From d5595a31f9089ae7b23dfa2c1a88bd50ca35d1f3 Mon Sep 17 00:00:00 2001 From: vytautasb Date: Wed, 6 Jun 2018 14:17:55 +0300 Subject: [PATCH 1/3] Fixed packet buffer reset --- src/tx_path_top/packets2data/synth/p2d_rd.vhd | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/src/tx_path_top/packets2data/synth/p2d_rd.vhd b/src/tx_path_top/packets2data/synth/p2d_rd.vhd index c8447d84..7ae7ec07 100644 --- a/src/tx_path_top/packets2data/synth/p2d_rd.vhd +++ b/src/tx_path_top/packets2data/synth/p2d_rd.vhd @@ -301,9 +301,11 @@ begin pct_buff_rdreq(to_integer(crnt_buff_cnt)) <= rd_req_int; end process; - process(current_state, crnt_buff_cnt) + process(current_state, crnt_buff_cnt, reset_n) begin - if current_state = clr_buff then + if reset_n = '0' then + pct_buff_clr_n <= (others=>'0'); + elsif current_state = clr_buff then pct_buff_clr_n <= (others=>'1'); pct_buff_clr_n(to_integer(crnt_buff_cnt)) <= '0'; else From a3eb1bfc19ea4eb70fd23e506de34578573e1d0f Mon Sep 17 00:00:00 2001 From: vytautasb Date: Thu, 7 Jun 2018 14:43:51 +0300 Subject: [PATCH 2/3] Added automatic reset for TX packet loss flag --- .../data2packets/synth/data2packets_top.vhd | 19 ++- src/rx_path_top/rx_path/synth/rx_path_top.vhd | 2 + src/rxtx_top/synth/rxtx_top.vhd | 10 +- .../packets2data/synth/p2d_wr_fsm.vhd | 148 ++++++++++++++---- .../packets2data/synth/packets2data.vhd | 29 ++-- .../packets2data/synth/packets2data_top.vhd | 6 +- .../tx_path/sim/tx_path_top_tb.vhd | 6 +- src/tx_path_top/tx_path/synth/tx_path_top.vhd | 9 +- 8 files changed, 181 insertions(+), 48 deletions(-) diff --git a/src/rx_path_top/data2packets/synth/data2packets_top.vhd b/src/rx_path_top/data2packets/synth/data2packets_top.vhd index 12d3cd46..cc737efd 100644 --- a/src/rx_path_top/data2packets/synth/data2packets_top.vhd +++ b/src/rx_path_top/data2packets/synth/data2packets_top.vhd @@ -34,7 +34,8 @@ entity data2packets_top is pct_buff_wrdata : out std_logic_vector(63 downto 0); smpl_buff_rdusedw : in std_logic_vector(smpl_buff_rdusedw_w-1 downto 0); smpl_buff_rdreq : out std_logic; - smpl_buff_rddata : in std_logic_vector(63 downto 0) + smpl_buff_rddata : in std_logic_vector(63 downto 0); + pct_hdr_cap : out std_logic ); end data2packets_top; @@ -76,6 +77,8 @@ signal smpl_buff_rdreq_reg : std_logic; --input registers signal smpl_buff_rdusedw_reg : std_logic_vector(smpl_buff_rdusedw_w-1 downto 0); + +signal pct_hdr_captured : std_logic; begin @@ -182,6 +185,19 @@ begin end if; end process; +process(clk, reset_n) +begin + if reset_n = '0' then + pct_hdr_captured <= '0'; + elsif (clk'event AND clk='1') then + if inst2_pct_state = "01" then + pct_hdr_captured <= '1'; + else + pct_hdr_captured <= '0'; + end if; + end if; +end process; + process(clk, reset_n) begin @@ -280,6 +296,7 @@ end process; pct_buff_wrdata <= pct_buff_wrdata_reg; pct_buff_wrreq <= smpl_buff_rdreq_reg; smpl_buff_rdreq <= inst0_smpl_buff_rdreq; +pct_hdr_cap <= pct_hdr_captured; diff --git a/src/rx_path_top/rx_path/synth/rx_path_top.vhd b/src/rx_path_top/rx_path/synth/rx_path_top.vhd index 41d694d9..9fbf0341 100644 --- a/src/rx_path_top/rx_path/synth/rx_path_top.vhd +++ b/src/rx_path_top/rx_path/synth/rx_path_top.vhd @@ -41,6 +41,7 @@ entity rx_path_top is pct_fifo_wusedw : in std_logic_vector(pct_buff_wrusedw_w-1 downto 0); pct_fifo_wrreq : out std_logic; pct_fifo_wdata : out std_logic_vector(63 downto 0); + pct_hdr_cap : out std_logic; --sample nr clr_smpl_nr : in std_logic; ld_smpl_nr : in std_logic; @@ -268,6 +269,7 @@ data2packets_top_inst2 : entity work.data2packets_top pct_buff_wrusedw => pct_fifo_wusedw, pct_buff_wrreq => pct_fifo_wrreq, pct_buff_wrdata => pct_fifo_wdata, + pct_hdr_cap => pct_hdr_cap, smpl_buff_rdusedw => inst1_rdusedw, smpl_buff_rdreq => inst2_smpl_buff_rdreq, smpl_buff_rddata => inst2_smpl_buff_rddata diff --git a/src/rxtx_top/synth/rxtx_top.vhd b/src/rxtx_top/synth/rxtx_top.vhd index 92d5b406..bc5720a0 100644 --- a/src/rxtx_top/synth/rxtx_top.vhd +++ b/src/rxtx_top/synth/rxtx_top.vhd @@ -139,6 +139,7 @@ signal inst1_DIQ_l : std_logic_vector(TX_IQ_WIDTH downto 0); signal inst1_in_pct_full : std_logic; signal inst1_pct_loss_flg : std_logic; signal inst1_in_pct_rdy : std_logic; +signal inst1_in_pct_reset_n_req : std_logic; --inst2 signal inst2_wfm_infifo_wrusedw : std_logic_vector(WFM_WFM_INFIFO_SIZE-1 downto 0); @@ -154,6 +155,7 @@ signal inst3_diq_l : std_logic_vector(TX_IQ_WIDTH downto 0); --inst5 signal inst5_reset_n : std_logic; signal inst5_smpl_nr_cnt : std_logic_vector(63 downto 0); +signal inst5_pct_hdr_cap : std_logic; --inst6 signal inst6_reset_n : std_logic; @@ -165,7 +167,7 @@ begin sync_reg0 : entity work.sync_reg port map(tx_clk, from_fpgacfg.rx_en, '1', inst0_reset_n); - tx_in_pct_reset_n_req <= inst0_reset_n; + tx_in_pct_reset_n_req <= inst0_reset_n AND inst1_in_pct_reset_n_req; inst1_reset_n <= inst0_reset_n; inst6_reset_n <= inst0_reset_n; inst5_reset_n <= inst0_reset_n; @@ -218,7 +220,7 @@ begin pct_sync_size => from_fpgacfg.sync_size, pct_loss_flg => inst1_pct_loss_flg, - pct_loss_flg_clr => from_fpgacfg.txpct_loss_clr, + pct_loss_flg_clr => inst5_pct_hdr_cap, --from_fpgacfg.txpct_loss_clr --txant txant_cyc_before_en => from_fpgacfg.txant_pre, @@ -238,7 +240,8 @@ begin fsync => open, DIQ_h => inst1_DIQ_h, DIQ_l => inst1_DIQ_l, - --fifo ports + --fifo ports + in_pct_reset_n_req => inst1_in_pct_reset_n_req, in_pct_rdreq => tx_in_pct_rdreq, in_pct_data => tx_in_pct_data, in_pct_rdy => inst1_in_pct_rdy @@ -395,6 +398,7 @@ begin pct_fifo_wusedw => rx_pct_fifo_wusedw, pct_fifo_wrreq => rx_pct_fifo_wrreq, pct_fifo_wdata => rx_pct_fifo_wdata, + pct_hdr_cap => inst5_pct_hdr_cap, --sample nr clr_smpl_nr => from_fpgacfg.smpl_nr_clr, ld_smpl_nr => '0', diff --git a/src/tx_path_top/packets2data/synth/p2d_wr_fsm.vhd b/src/tx_path_top/packets2data/synth/p2d_wr_fsm.vhd index 6b3c3315..d7d01615 100644 --- a/src/tx_path_top/packets2data/synth/p2d_wr_fsm.vhd +++ b/src/tx_path_top/packets2data/synth/p2d_wr_fsm.vhd @@ -14,6 +14,9 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; +LIBRARY lpm; +USE lpm.all; + -- ---------------------------------------------------------------------------- -- Entity declaration -- ---------------------------------------------------------------------------- @@ -24,8 +27,12 @@ entity p2d_wr_fsm is ); port ( clk : in std_logic; - reset_n : in std_logic; + reset_n : in std_logic; + + pct_sync_dis : in std_logic; + sample_nr : in std_logic_vector(63 downto 0); + in_pct_reset_n_req: out std_logic; in_pct_rdreq : out std_logic; in_pct_data : in std_logic_vector(127 downto 0); in_pct_rdy : in std_logic; @@ -52,23 +59,63 @@ architecture arch of p2d_wr_fsm is constant C_HEADER_POS : integer := 0; -type state_type is (idle, switch_next_buff, rd_pct, wait_wr_end, check_next_buf, switch_current_buff); +type state_type is (idle, rd_hdr, wait_cmpr_pipe, check_smpl_nr, clr_fifo, switch_next_buff, rd_pct, wait_wr_end, check_next_buf, switch_current_buff); signal current_state, next_state : state_type; signal current_buff_cnt : unsigned(3 downto 0); signal next_buff_cnt : unsigned(3 downto 0); signal rd_cnt : unsigned(15 downto 0); +signal pipe_cnt : unsigned(3 downto 0); signal current_buff_rdy : std_logic; signal next_buff_rdy : std_logic; signal in_pct_rdreq_int : std_logic; +signal in_pct_data_valid : std_logic; -signal pct_data_wrreq_int : std_logic; signal pct_data_wrreq_cnt : unsigned(15 downto 0); +signal pct_smpl_nr_equal : std_logic; +signal pct_smpl_nr_less : std_logic; +signal pct_hdr_0_reg : std_logic_vector(63 downto 0); +signal pct_hdr_1_reg : std_logic_vector(63 downto 0); +alias crnt_pct_sync_dis : std_logic is pct_hdr_0_reg(4); + + + +-- Component declaration +COMPONENT lpm_compare + GENERIC ( + lpm_pipeline : NATURAL; + lpm_representation : STRING; + lpm_type : STRING; + lpm_width : NATURAL + ); + PORT ( + clock : IN STD_LOGIC ; + dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); + datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); + aeb : OUT STD_LOGIC ; + alb : OUT STD_LOGIC + ); + END COMPONENT; begin + + LPM_COMPARE_component : LPM_COMPARE + GENERIC MAP ( + lpm_pipeline => 3, + lpm_representation => "UNSIGNED", + lpm_type => "LPM_COMPARE", + lpm_width => 64 + ) + PORT MAP ( + clock => clk, + dataa => pct_hdr_1_reg, + datab => sample_nr, + aeb => pct_smpl_nr_equal, + alb => pct_smpl_nr_less + ); -- ---------------------------------------------------------------------------- -- Buffer selection process @@ -116,12 +163,21 @@ begin rdcnt_proc : process(clk, reset_n) begin if reset_n = '0' then - rd_cnt <= (others=>'0'); + rd_cnt <= (others=>'0'); + pipe_cnt <= (others=>'0'); elsif (clk'event AND clk='1') then - if current_state = rd_pct then + if current_state = rd_pct OR current_state = rd_hdr then rd_cnt <= rd_cnt + 1; - else + elsif current_state = idle then rd_cnt <= (others=>'0'); + else + rd_cnt <= rd_cnt; + end if; + + if current_state = wait_cmpr_pipe then + pipe_cnt <= pipe_cnt + 1; + else + pipe_cnt <= (others=>'0'); end if; end if; end process; @@ -141,41 +197,66 @@ end process; -- state machine combo -- ---------------------------------------------------------------------------- fsm : process(current_state, current_buff_rdy, in_pct_rdy, rd_cnt, - next_buff_rdy, pct_data_wrreq_int) begin + next_buff_rdy, in_pct_data_valid, pipe_cnt, pct_smpl_nr_less, + crnt_pct_sync_dis, pct_sync_dis) begin next_state <= current_state; case current_state is when idle => - if current_buff_rdy = '1' AND in_pct_rdy = '1' then - next_state <= switch_next_buff; + if in_pct_rdy = '1' then + next_state <= rd_hdr; else next_state <= idle; end if; + + when rd_hdr => + next_state <= wait_cmpr_pipe; + + when wait_cmpr_pipe => + if pipe_cnt > 3 then + next_state <= check_smpl_nr; + else + next_state <= wait_cmpr_pipe; + end if; + + when check_smpl_nr => + if pct_smpl_nr_less = '1' AND crnt_pct_sync_dis = '0' AND pct_sync_dis = '0' then + next_state <= clr_fifo; + else + if current_buff_rdy = '1' then + next_state <= switch_next_buff; + else + next_state <= check_smpl_nr; + end if; + end if; + when clr_fifo => + next_state <= idle; + when switch_next_buff => next_state <= rd_pct; when rd_pct => - if rd_cnt < (PCT_SIZE*8)/pct_data'length-1 then + if rd_cnt < (PCT_SIZE*8)/pct_data'length - 1 then next_state <= rd_pct; else next_state <= wait_wr_end; end if; when wait_wr_end => - if pct_data_wrreq_int = '0' then + if in_pct_data_valid = '0' then next_state <= check_next_buf; else next_state <= wait_wr_end; end if; - when check_next_buf => - if next_buff_rdy = '1' then + when check_next_buf => + if next_buff_rdy = '1' then next_state <= switch_current_buff; else next_state <= check_next_buf; end if; - + when switch_current_buff => next_state <= idle; @@ -191,12 +272,12 @@ end process; process(clk, reset_n) begin if reset_n = '0' then - pct_data_wrreq_int <= '0'; + in_pct_data_valid <= '0'; pct_data_wrreq_cnt <= (others => '0'); elsif (clk'event AND clk='1') then - pct_data_wrreq_int <= in_pct_rdreq_int; + in_pct_data_valid <= in_pct_rdreq_int; - if pct_data_wrreq_int = '1' then + if in_pct_data_valid = '1' then pct_data_wrreq_cnt <= pct_data_wrreq_cnt + 1; elsif current_state = idle then pct_data_wrreq_cnt <= (others => '0'); @@ -205,36 +286,44 @@ end process; end if; end if; end process; + + -- ---------------------------------------------------------------------------- -- Output registers -- ---------------------------------------------------------------------------- - out_reg: process(clk, reset_n) begin if reset_n = '0' then - in_pct_rdreq_int <= '0'; - pct_hdr_0 <= (others=>'0'); + in_pct_rdreq_int <= '0'; + in_pct_reset_n_req <= '1'; + pct_hdr_0_reg <= (others=>'0'); pct_hdr_0_valid <= (others=>'0'); - pct_hdr_1 <= (others=>'0'); + pct_hdr_1_reg <= (others=>'0'); pct_hdr_1_valid <= (others=>'0'); pct_data <= (others=>'0'); pct_data_wrreq <= (others=>'0'); elsif (clk'event AND clk='1') then -- Read request signal for FIFO where packet is stored - if current_state = rd_pct then + if current_state = rd_pct OR current_state = rd_hdr then in_pct_rdreq_int <= '1'; else in_pct_rdreq_int <= '0'; end if; + if current_state = clr_fifo then + in_pct_reset_n_req <= '0'; + else + in_pct_reset_n_req <= '1'; + end if; + -- Packet header - if pct_data_wrreq_int = '1' AND pct_data_wrreq_cnt = C_HEADER_POS then - pct_hdr_0 <= in_pct_data(63 downto 0); - pct_hdr_1 <= in_pct_data(127 downto 64); + if in_pct_data_valid = '1' AND pct_data_wrreq_cnt = C_HEADER_POS then + pct_hdr_0_reg <= in_pct_data(63 downto 0); + pct_hdr_1_reg <= in_pct_data(127 downto 64); end if; - if pct_data_wrreq_int = '1' AND pct_data_wrreq_cnt = C_HEADER_POS then + if in_pct_data_valid = '1' AND pct_data_wrreq_cnt = C_HEADER_POS then pct_hdr_0_valid <= (others=>'0'); pct_hdr_0_valid(to_integer(current_buff_cnt)) <= '1'; @@ -246,11 +335,11 @@ begin end if; -- Packet data - if pct_data_wrreq_int = '1' AND pct_data_wrreq_cnt > C_HEADER_POS then + if in_pct_data_valid = '1' AND pct_data_wrreq_cnt > C_HEADER_POS then pct_data <= in_pct_data; end if; - if pct_data_wrreq_int = '1' AND pct_data_wrreq_cnt > C_HEADER_POS then + if in_pct_data_valid = '1' AND pct_data_wrreq_cnt > C_HEADER_POS then pct_data_wrreq <= (others=>'0'); pct_data_wrreq(to_integer(current_buff_cnt)) <= '1'; else @@ -263,6 +352,9 @@ end process; in_pct_rdreq <= in_pct_rdreq_int; +pct_hdr_0 <= pct_hdr_0_reg; +pct_hdr_1 <= pct_hdr_1_reg; + end arch; diff --git a/src/tx_path_top/packets2data/synth/packets2data.vhd b/src/tx_path_top/packets2data/synth/packets2data.vhd index 3c8508f0..ad0afe42 100644 --- a/src/tx_path_top/packets2data/synth/packets2data.vhd +++ b/src/tx_path_top/packets2data/synth/packets2data.vhd @@ -45,6 +45,7 @@ entity packets2data is pct_sync_dis : in std_logic; sample_nr : in std_logic_vector(63 downto 0); + in_pct_reset_n_req : out std_logic; in_pct_rdreq : out std_logic; in_pct_data : in std_logic_vector(in_pct_data_w-1 downto 0); in_pct_rdy : in std_logic; @@ -66,14 +67,15 @@ architecture arch of packets2data is --declare signals, components here --inst0 -signal inst0_pct_hdr_0 : std_logic_vector(63 downto 0); -signal inst0_pct_hdr_0_valid : std_logic_vector(n_buff-1 downto 0); -signal inst0_pct_hdr_1 : std_logic_vector(63 downto 0); -signal inst0_pct_hdr_1_valid : std_logic_vector(n_buff-1 downto 0); -signal inst0_pct_data : std_logic_vector(in_pct_data_w-1 downto 0); -signal inst0_pct_data_wrreq : std_logic_vector(n_buff-1 downto 0); -signal inst0_pct_buff_rdy : std_logic_vector(n_buff-1 downto 0); -signal inst0_in_pct_wrfull : std_logic; +signal inst0_pct_hdr_0 : std_logic_vector(63 downto 0); +signal inst0_pct_hdr_0_valid : std_logic_vector(n_buff-1 downto 0); +signal inst0_pct_hdr_1 : std_logic_vector(63 downto 0); +signal inst0_pct_hdr_1_valid : std_logic_vector(n_buff-1 downto 0); +signal inst0_pct_data : std_logic_vector(in_pct_data_w-1 downto 0); +signal inst0_pct_data_wrreq : std_logic_vector(n_buff-1 downto 0); +signal inst0_pct_buff_rdy : std_logic_vector(n_buff-1 downto 0); +signal inst0_in_pct_wrfull : std_logic; +signal inst0_in_pct_reset_n_req : std_logic; --for clk domain crosing @@ -153,7 +155,7 @@ begin for i in 0 to n_buff-1 loop inst1_pct_data_clr <= not inst3_pct_buff_clr_n; end loop; - if unsigned(inst1_pct_data_clr) > 0 then + if unsigned(inst1_pct_data_clr) > 0 OR inst0_in_pct_reset_n_req = '0' then in_pct_clr_flag <= '1'; else in_pct_clr_flag <= '0'; @@ -174,6 +176,10 @@ p2d_wr_fsm_inst0 : entity work.p2d_wr_fsm port map( clk => wclk, reset_n => reset_n, + + pct_sync_dis => pct_sync_dis, + sample_nr => sample_nr, + in_pct_reset_n_req=> inst0_in_pct_reset_n_req, in_pct_rdreq => in_pct_rdreq, in_pct_data => in_pct_data, in_pct_rdy => in_pct_rdy, @@ -188,7 +194,8 @@ p2d_wr_fsm_inst0 : entity work.p2d_wr_fsm pct_data_wrreq => inst0_pct_data_wrreq, pct_buff_rdy => instx_wrempty - ); + ); + -- ---------------------------------------------------------------------------- -- Generated FIFO buffers @@ -299,6 +306,8 @@ p2d_rd_inst3 : entity work.p2d_rd smpl_buff_q <= instx_q(to_integer(unsigned(inst3_pct_buff_sel))); end if; end process; + + in_pct_reset_n_req <= inst0_in_pct_reset_n_req; diff --git a/src/tx_path_top/packets2data/synth/packets2data_top.vhd b/src/tx_path_top/packets2data/synth/packets2data_top.vhd index d8972dad..4bd5c6d4 100644 --- a/src/tx_path_top/packets2data/synth/packets2data_top.vhd +++ b/src/tx_path_top/packets2data/synth/packets2data_top.vhd @@ -45,7 +45,8 @@ entity packets2data_top is pct_sync_dis : in std_logic; sample_nr : in std_logic_vector(63 downto 0); - + + in_pct_reset_n_req: out std_logic; in_pct_rdreq : out std_logic; in_pct_data : in std_logic_vector(in_pct_data_w-1 downto 0); in_pct_rdy : in std_logic; @@ -139,7 +140,8 @@ smpl_buff_wrfull <= fifo_full_sig; pct_sync_dis => pct_sync_dis, sample_nr => sample_nr, - + + in_pct_reset_n_req => in_pct_reset_n_req, in_pct_rdreq => in_pct_rdreq, in_pct_data => in_pct_data, in_pct_rdy => in_pct_rdy, diff --git a/src/tx_path_top/tx_path/sim/tx_path_top_tb.vhd b/src/tx_path_top/tx_path/sim/tx_path_top_tb.vhd index 50c926ac..d470f41a 100644 --- a/src/tx_path_top/tx_path/sim/tx_path_top_tb.vhd +++ b/src/tx_path_top/tx_path/sim/tx_path_top_tb.vhd @@ -71,6 +71,7 @@ architecture tb_behave of tx_path_top_tb is signal inst1_pct_sync_dis : std_logic := '0'; signal inst1_in_pct_rdy : std_logic; signal inst1_in_pct_rdreq : std_logic; + signal inst1_in_pct_reset_n_req : std_logic; --inst2 signal inst2_pct_sync_pulse : std_logic; @@ -193,7 +194,7 @@ end process; ) port map( --input ports - reset_n => reset_n, + reset_n => inst1_in_pct_reset_n_req, wrclk => clk1, wrreq => inst0_fifo_wrreq, data => file_rdata, @@ -267,7 +268,8 @@ end process; DIQ => open, fsync => open, - + + in_pct_reset_n_req => inst1_in_pct_reset_n_req, in_pct_rdreq => inst1_in_pct_rdreq, in_pct_data => inst0_q, in_pct_rdy => inst1_in_pct_rdy diff --git a/src/tx_path_top/tx_path/synth/tx_path_top.vhd b/src/tx_path_top/tx_path/synth/tx_path_top.vhd index 72534895..a4847017 100644 --- a/src/tx_path_top/tx_path/synth/tx_path_top.vhd +++ b/src/tx_path_top/tx_path/synth/tx_path_top.vhd @@ -60,7 +60,8 @@ entity tx_path_top is fsync : out std_logic; DIQ_h : out std_logic_vector(iq_width downto 0); DIQ_l : out std_logic_vector(iq_width downto 0); - --fifo ports + --fifo ports + in_pct_reset_n_req : out std_logic; in_pct_rdreq : out std_logic; in_pct_data : in std_logic_vector(in_pct_data_w-1 downto 0); in_pct_rdy : in std_logic @@ -79,6 +80,7 @@ signal rx_sample_nr_iq_rdclk : std_logic_vector(63 downto 0); signal en_sync_rx_sample_clk : std_logic; signal en_sync_iq_rdclk : std_logic; signal pct_loss_flg_clr_sync_iq_rdclk : std_logic; +signal pct_loss_flg_clr_sync_iq_rdclk_reg : std_logic; signal mode_sync_iq_rdclk : std_logic; signal trxiqpulse_sync_iq_rdclk : std_logic; @@ -242,12 +244,14 @@ end process; if reset_n_sync_iq_rdclk = '0' then pct_loss_flg_int <= '0'; inst0_in_pct_clr_flag_reg <= '1'; + pct_loss_flg_clr_sync_iq_rdclk_reg <= '0'; elsif (iq_rdclk'event AND iq_rdclk='1') then inst0_in_pct_clr_flag_reg <= inst0_in_pct_clr_flag; + pct_loss_flg_clr_sync_iq_rdclk_reg <= pct_loss_flg_clr_sync_iq_rdclk; if inst0_in_pct_clr_flag = '1' AND inst0_in_pct_clr_flag_reg = '0' then pct_loss_flg_int <= '1'; - elsif pct_loss_flg_clr_sync_iq_rdclk = '1' then + elsif pct_loss_flg_clr_sync_iq_rdclk = '1' AND pct_loss_flg_clr_sync_iq_rdclk_reg = '0' then pct_loss_flg_int <= '0'; else pct_loss_flg_int <= pct_loss_flg_int; @@ -307,6 +311,7 @@ generic map( pct_sync_dis => pct_sync_dis, sample_nr => rx_sample_nr_iq_rdclk, + in_pct_reset_n_req=> in_pct_reset_n_req, in_pct_rdreq => in_pct_rdreq, in_pct_data => in_pct_data, in_pct_rdy => in_pct_rdy, From c42492294e9cb1387b3a6366bd68a34ac54ac6d2 Mon Sep 17 00:00:00 2001 From: vytautasb Date: Thu, 7 Jun 2018 14:44:45 +0300 Subject: [PATCH 3/3] Recompiled project --- LimeSDR-USB_lms7_trx.qsf | 264 +- output_files/LimeSDR-USB_lms7_trx.fit.rpt | 4171 +++++++++--------- output_files/LimeSDR-USB_lms7_trx.pof | Bin 2097355 -> 2097355 bytes output_files/LimeSDR-USB_lms7_trx.sof | Bin 1311417 -> 1258676 bytes output_files/LimeSDR-USB_lms7_trx_HW_1.4.jic | Bin 2097373 -> 2097373 bytes output_files/LimeSDR-USB_lms7_trx_HW_1.4.map | 2 +- output_files/LimeSDR-USB_lms7_trx_HW_1.4.rbf | Bin 580615 -> 575239 bytes output_files/LimeSDR-USB_lms7_trx_HW_1.4.sof | Bin 1311417 -> 1258676 bytes 8 files changed, 1998 insertions(+), 2439 deletions(-) diff --git a/LimeSDR-USB_lms7_trx.qsf b/LimeSDR-USB_lms7_trx.qsf index 17040cb0..97ffb1dc 100644 --- a/LimeSDR-USB_lms7_trx.qsf +++ b/LimeSDR-USB_lms7_trx.qsf @@ -211,7 +211,7 @@ set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULA set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO" set_location_assignment PIN_J6 -to LM75_OS set_location_assignment PIN_E4 -to FAN_CTRL -set_global_assignment -name ENABLE_SIGNALTAP ON +set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE signal_tap/debug.stp set_location_assignment PIN_N16 -to FX3_DQ[31] set_location_assignment PIN_N17 -to FX3_DQ[30] @@ -928,266 +928,4 @@ set_global_assignment -name QIP_FILE ip/txpll/txpll.qip set_global_assignment -name VHDL_FILE src/stream/stream_switch.vhd set_global_assignment -name VHDL_FILE src/general/sync_reg.vhd set_global_assignment -name VHDL_FILE src/general/gpio_ctrl.vhd -set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|phy_clk" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[0]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[10]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[11]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[12]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[13]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[14]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[15]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[16]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[17]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[18]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[19]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[1]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[20]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[21]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[22]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[23]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[24]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[2]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[3]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[4]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[5]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[6]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[7]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[8]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[9]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_be[0]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_be[1]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_be[2]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_be[3]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_burstbegin" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_init_done" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[0]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[10]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[11]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[12]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[13]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[14]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[15]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[16]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[17]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[18]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[19]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[1]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[20]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[21]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[22]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[23]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[24]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[25]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[26]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[27]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[28]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[29]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[2]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[30]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[31]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[3]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[4]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[5]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[6]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[7]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[8]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[9]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata_valid" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_read_req" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_ready" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_refresh_ack" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_size[0]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_size[1]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[0]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[10]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[11]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[12]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[13]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[14]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[15]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[16]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[17]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[18]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[19]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[1]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[20]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[21]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[22]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[23]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[24]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[25]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[26]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[27]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[28]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[29]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[2]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[30]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[31]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[3]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[4]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[5]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[6]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[7]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[8]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[9]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_write_req" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[0]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[10]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[11]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[12]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[13]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[14]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[15]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[16]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[17]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[18]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[19]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[1]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[20]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[21]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[22]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[23]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[24]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[2]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[3]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[4]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[5]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[6]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[7]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[8]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_address[9]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_be[0]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_be[1]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_be[2]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_be[3]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_burstbegin" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_init_done" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[0]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[10]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[11]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[12]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[13]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[14]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[15]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[16]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[17]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[18]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[19]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[1]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[20]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[21]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[22]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[23]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[24]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[25]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[26]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[27]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[28]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[29]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[2]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[30]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[31]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[3]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[4]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[5]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[6]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[7]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[8]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata[9]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_rdata_valid" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_read_req" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_ready" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_refresh_ack" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_size[0]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_size[1]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[0]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[10]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[11]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[12]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[13]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[14]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[15]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[16]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[17]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[18]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[19]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[1]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[20]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[21]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[22]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[23]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[24]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[25]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[26]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[27]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[28]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[29]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[2]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[30]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[31]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[3]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[4]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[5]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[6]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[7]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[8]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_wdata[9]" -section_id auto_signaltap_6 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to "rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|local_write_req" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_RAM_BLOCK_TYPE=AUTO" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=102" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=102" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_BITS=102" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334534" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=326" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=64" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INCREMENTAL_ROUTING=1" -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[0] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[1] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[2] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[3] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[4] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[5] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[6] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[7] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[8] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[9] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[10] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[11] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[12] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[13] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[14] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[15] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[16] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[17] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[18] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[19] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[20] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[21] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[22] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[23] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[24] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[25] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[26] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[27] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[28] -to auto_signaltap_6|gnd -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[29] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[30] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[31] -to auto_signaltap_6|vcc -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=64" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_6 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_6 -set_global_assignment -name SLD_FILE db/debug_auto_stripped.stp set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/output_files/LimeSDR-USB_lms7_trx.fit.rpt b/output_files/LimeSDR-USB_lms7_trx.fit.rpt index c8d2b1dd..2e5a62f1 100644 --- a/output_files/LimeSDR-USB_lms7_trx.fit.rpt +++ b/output_files/LimeSDR-USB_lms7_trx.fit.rpt @@ -1,5 +1,5 @@ Fitter report for LimeSDR-USB_lms7_trx -Mon Jun 04 17:05:53 2018 +Thu Jun 07 14:10:07 2018 Quartus Prime Version 15.1.2 Build 193 02/01/2016 SJ Lite Edition @@ -78,20 +78,20 @@ agreement for further details. +----------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+---------------------------------------------+ -; Fitter Status ; Successful - Mon Jun 04 17:05:53 2018 ; +; Fitter Status ; Successful - Thu Jun 07 14:10:06 2018 ; ; Quartus Prime Version ; 15.1.2 Build 193 02/01/2016 SJ Lite Edition ; ; Revision Name ; LimeSDR-USB_lms7_trx ; ; Top-level Entity Name ; lms7_trx_top ; ; Family ; Cyclone IV E ; ; Device ; EP4CE40F23C8 ; ; Timing Models ; Final ; -; Total logic elements ; 29,786 / 39,600 ( 75 % ) ; -; Total combinational functions ; 23,253 / 39,600 ( 59 % ) ; -; Dedicated logic registers ; 20,366 / 39,600 ( 51 % ) ; -; Total registers ; 20681 ; +; Total logic elements ; 28,187 / 39,600 ( 71 % ) ; +; Total combinational functions ; 22,673 / 39,600 ( 57 % ) ; +; Dedicated logic registers ; 18,866 / 39,600 ( 48 % ) ; +; Total registers ; 19181 ; ; Total pins ; 224 / 329 ( 68 % ) ; ; Total virtual pins ; 0 ; -; Total memory bits ; 806,120 / 1,161,216 ( 69 % ) ; +; Total memory bits ; 799,584 / 1,161,216 ( 69 % ) ; ; Embedded Multiplier 9-bit elements ; 0 / 232 ( 0 % ) ; ; Total PLLs ; 4 / 4 ( 100 % ) ; +------------------------------------+---------------------------------------------+ @@ -165,14 +165,14 @@ agreement for further details. ; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.16 ; +; Average used ; 1.12 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 7.1% ; -; Processor 3 ; 5.1% ; -; Processor 4 ; 4.1% ; +; Processor 2 ; 5.5% ; +; Processor 3 ; 3.4% ; +; Processor 4 ; 3.0% ; +----------------------------+-------------+ @@ -665,12 +665,12 @@ agreement for further details. ; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; +---------------------+-----------------------+----------------------------+--------------------------+ ; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 45837 ) ; 0.00 % ( 0 / 45837 ) ; 0.00 % ( 0 / 45837 ) ; -; -- Achieved ; 0.00 % ( 0 / 45837 ) ; 0.00 % ( 0 / 45837 ) ; 0.00 % ( 0 / 45837 ) ; +; -- Requested ; 0.00 % ( 0 / 43656 ) ; 0.00 % ( 0 / 43656 ) ; 0.00 % ( 0 / 43656 ) ; +; -- Achieved ; 0.00 % ( 0 / 43656 ) ; 0.00 % ( 0 / 43656 ) ; 0.00 % ( 0 / 43656 ) ; ; ; ; ; ; ; Routing (by net) ; ; ; ; -; -- Requested ; 0.14 % ( 47 / 33546 ) ; 0.14 % ( 47 / 33546 ) ; 0.00 % ( 0 / 33546 ) ; -; -- Achieved ; 0.14 % ( 47 / 33546 ) ; 0.14 % ( 47 / 33546 ) ; 0.00 % ( 0 / 33546 ) ; +; -- Requested ; 0.15 % ( 47 / 31702 ) ; 0.15 % ( 47 / 31702 ) ; 0.00 % ( 0 / 31702 ) ; +; -- Achieved ; 0.15 % ( 47 / 31702 ) ; 0.15 % ( 47 / 31702 ) ; 0.00 % ( 0 / 31702 ) ; +---------------------+-----------------------+----------------------------+--------------------------+ @@ -681,7 +681,6 @@ agreement for further details. +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ ; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ; sld_hub:auto_hub ; Auto-generated ; Post-Synthesis ; N/A ; Post-Synthesis ; N/A ; sld_hub:auto_hub ; -; sld_signaltap:auto_signaltap_6 ; Auto-generated ; Post-Synthesis ; N/A ; Post-Synthesis ; N/A ; sld_signaltap:auto_signaltap_6 ; ; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ @@ -691,9 +690,8 @@ agreement for further details. +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ ; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 43313 ) ; N/A ; Source File ; N/A ; ; -; sld_hub:auto_hub ; 0.00 % ( 0 / 276 ) ; N/A ; Post-Synthesis ; N/A ; ; -; sld_signaltap:auto_signaltap_6 ; 0.00 % ( 0 / 2198 ) ; N/A ; Post-Synthesis ; N/A ; ; +; Top ; 0.00 % ( 0 / 43412 ) ; N/A ; Source File ; N/A ; ; +; sld_hub:auto_hub ; 0.00 % ( 0 / 194 ) ; N/A ; Post-Synthesis ; N/A ; ; ; hard_block:auto_generated_inst ; 0.00 % ( 0 / 50 ) ; N/A ; Source File ; N/A ; ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ @@ -703,19 +701,12 @@ agreement for further details. +--------------------------------+--------------------------------+-------------------------+-------------------------+---------------------+-------+ ; Partition 1 ; Partition 2 ; Preservation Requested ; Preservation Achieved ; Preservation Method ; Notes ; +--------------------------------+--------------------------------+-------------------------+-------------------------+---------------------+-------+ -; Top ; Top ; 0.11 % ( 184 / 170566 ) ; 0.11 % ( 184 / 170566 ) ; Design Partitions ; ; -; sld_hub:auto_hub ; Top ; 0.00 % ( 0 / 146 ) ; 0.00 % ( 0 / 146 ) ; N/A ; ; -; Top ; sld_hub:auto_hub ; 0.00 % ( 0 / 146 ) ; 0.00 % ( 0 / 146 ) ; N/A ; ; -; sld_hub:auto_hub ; sld_hub:auto_hub ; 0.00 % ( 0 / 1054 ) ; 0.00 % ( 0 / 1054 ) ; N/A ; ; -; sld_signaltap:auto_signaltap_6 ; Top ; 0.00 % ( 0 / 291 ) ; 0.00 % ( 0 / 291 ) ; N/A ; ; -; Top ; sld_signaltap:auto_signaltap_6 ; 0.00 % ( 0 / 291 ) ; 0.00 % ( 0 / 291 ) ; N/A ; ; -; sld_signaltap:auto_signaltap_6 ; sld_hub:auto_hub ; 0.00 % ( 0 / 120 ) ; 0.00 % ( 0 / 120 ) ; N/A ; ; -; sld_hub:auto_hub ; sld_signaltap:auto_signaltap_6 ; 0.00 % ( 0 / 120 ) ; 0.00 % ( 0 / 120 ) ; N/A ; ; -; sld_signaltap:auto_signaltap_6 ; sld_signaltap:auto_signaltap_6 ; 0.00 % ( 0 / 6274 ) ; 0.00 % ( 0 / 6274 ) ; N/A ; ; -; hard_block:auto_generated_inst ; Top ; 0.00 % ( 0 / 1954 ) ; 0.00 % ( 0 / 1954 ) ; N/A ; ; -; Top ; hard_block:auto_generated_inst ; 0.00 % ( 0 / 1954 ) ; 0.00 % ( 0 / 1954 ) ; N/A ; ; -; hard_block:auto_generated_inst ; sld_signaltap:auto_signaltap_6 ; 0.00 % ( 0 / 147 ) ; 0.00 % ( 0 / 147 ) ; N/A ; ; -; sld_signaltap:auto_signaltap_6 ; hard_block:auto_generated_inst ; 0.00 % ( 0 / 147 ) ; 0.00 % ( 0 / 147 ) ; N/A ; ; +; Top ; Top ; 0.11 % ( 184 / 171136 ) ; 0.11 % ( 184 / 171136 ) ; Design Partitions ; ; +; sld_hub:auto_hub ; Top ; 0.00 % ( 0 / 138 ) ; 0.00 % ( 0 / 138 ) ; N/A ; ; +; Top ; sld_hub:auto_hub ; 0.00 % ( 0 / 138 ) ; 0.00 % ( 0 / 138 ) ; N/A ; ; +; sld_hub:auto_hub ; sld_hub:auto_hub ; 0.00 % ( 0 / 688 ) ; 0.00 % ( 0 / 688 ) ; N/A ; ; +; hard_block:auto_generated_inst ; Top ; 0.00 % ( 0 / 1952 ) ; 0.00 % ( 0 / 1952 ) ; N/A ; ; +; Top ; hard_block:auto_generated_inst ; 0.00 % ( 0 / 1952 ) ; 0.00 % ( 0 / 1952 ) ; N/A ; ; ; hard_block:auto_generated_inst ; hard_block:auto_generated_inst ; 0.00 % ( 0 / 128 ) ; 0.00 % ( 0 / 128 ) ; N/A ; ; +--------------------------------+--------------------------------+-------------------------+-------------------------+---------------------+-------+ Note: The table contains rows with duplicate information to facilitate sorting by Partition. @@ -732,35 +723,35 @@ The pin-out file can be found in H:/working_dir/altera/LimeSDR-USB/lms7_trx/outp +---------------------------------------------+--------------------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------------------+ -; Total logic elements ; 29,786 / 39,600 ( 75 % ) ; -; -- Combinational with no register ; 9420 ; -; -- Register only ; 6533 ; -; -- Combinational with a register ; 13833 ; +; Total logic elements ; 28,187 / 39,600 ( 71 % ) ; +; -- Combinational with no register ; 9321 ; +; -- Register only ; 5514 ; +; -- Combinational with a register ; 13352 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 11912 ; -; -- 3 input functions ; 6370 ; -; -- <=2 input functions ; 4971 ; -; -- Register only ; 6533 ; +; -- 4 input functions ; 11558 ; +; -- 3 input functions ; 6270 ; +; -- <=2 input functions ; 4845 ; +; -- Register only ; 5514 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 20506 ; -; -- arithmetic mode ; 2747 ; +; -- normal mode ; 19985 ; +; -- arithmetic mode ; 2688 ; ; ; ; -; Total registers* ; 20,681 / 41,173 ( 50 % ) ; -; -- Dedicated logic registers ; 20,366 / 39,600 ( 51 % ) ; +; Total registers* ; 19,181 / 41,173 ( 47 % ) ; +; -- Dedicated logic registers ; 18,866 / 39,600 ( 48 % ) ; ; -- I/O registers ; 315 / 1,573 ( 20 % ) ; ; ; ; -; Total LABs: partially or completely used ; 2,187 / 2,475 ( 88 % ) ; +; Total LABs: partially or completely used ; 2,095 / 2,475 ( 85 % ) ; ; Virtual pins ; 0 ; ; I/O pins ; 224 / 329 ( 68 % ) ; ; -- Clock pins ; 8 / 7 ( 114 % ) ; ; -- Dedicated input pins ; 3 / 9 ( 33 % ) ; ; ; ; ; Global signals ; 20 ; -; M9Ks ; 124 / 126 ( 98 % ) ; -; Total block memory bits ; 806,120 / 1,161,216 ( 69 % ) ; -; Total block memory implementation bits ; 1,142,784 / 1,161,216 ( 98 % ) ; +; M9Ks ; 121 / 126 ( 96 % ) ; +; Total block memory bits ; 799,584 / 1,161,216 ( 69 % ) ; +; Total block memory implementation bits ; 1,115,136 / 1,161,216 ( 96 % ) ; ; Embedded Multiplier 9-bit elements ; 0 / 232 ( 0 % ) ; ; PLLs ; 4 / 4 ( 100 % ) ; ; Global clocks ; 20 / 20 ( 100 % ) ; @@ -769,92 +760,91 @@ The pin-out file can be found in H:/working_dir/altera/LimeSDR-USB/lms7_trx/outp ; ASMI blocks ; 0 / 1 ( 0 % ) ; ; Oscillator blocks ; 0 / 1 ( 0 % ) ; ; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 23.9% / 23.6% / 24.3% ; -; Peak interconnect usage (total/H/V) ; 42.3% / 40.8% / 44.4% ; -; Maximum fan-out ; 4722 ; -; Highest non-global fan-out ; 2443 ; -; Total fan-out ; 155229 ; -; Average fan-out ; 3.11 ; +; Average interconnect usage (total/H/V) ; 23.9% / 23.9% / 23.9% ; +; Peak interconnect usage (total/H/V) ; 37.6% / 34.8% / 41.6% ; +; Maximum fan-out ; 3814 ; +; Highest non-global fan-out ; 2441 ; +; Total fan-out ; 148073 ; +; Average fan-out ; 3.15 ; +---------------------------------------------+--------------------------------+ * Register count does not include registers inside RAM blocks or DSP blocks. -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+----------------------------------------------+------------------------+-----------------------+--------------------------------+--------------------------------+ -; Statistic ; Top ; sld_hub:auto_hub ; sld_signaltap:auto_signaltap_6 ; hard_block:auto_generated_inst ; -+----------------------------------------------+------------------------+-----------------------+--------------------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; Low ; Low ; -; ; ; ; ; ; -; Total logic elements ; 27974 / 39600 ( 71 % ) ; 184 / 39600 ( < 1 % ) ; 1606 / 39600 ( 4 % ) ; 22 / 39600 ( < 1 % ) ; -; -- Combinational with no register ; 9193 ; 78 ; 127 ; 22 ; -; -- Register only ; 5532 ; 14 ; 987 ; 0 ; -; -- Combinational with a register ; 13249 ; 92 ; 492 ; 0 ; -; ; ; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; ; ; -; -- 4 input functions ; 11492 ; 69 ; 347 ; 4 ; -; -- 3 input functions ; 6126 ; 57 ; 181 ; 6 ; -; -- <=2 input functions ; 4824 ; 44 ; 91 ; 12 ; -; -- Register only ; 5532 ; 14 ; 987 ; 0 ; -; ; ; ; ; ; -; Logic elements by mode ; ; ; ; ; -; -- normal mode ; 19762 ; 162 ; 560 ; 22 ; -; -- arithmetic mode ; 2680 ; 8 ; 59 ; 0 ; -; ; ; ; ; ; -; Total registers ; 19096 ; 106 ; 1479 ; 0 ; -; -- Dedicated logic registers ; 18781 / 39600 ( 47 % ) ; 106 / 39600 ( < 1 % ) ; 1479 / 39600 ( 4 % ) ; 0 / 39600 ( 0 % ) ; -; -- I/O registers ; 630 ; 0 ; 0 ; 0 ; -; ; ; ; ; ; -; Total LABs: partially or completely used ; 2067 / 2475 ( 84 % ) ; 18 / 2475 ( < 1 % ) ; 138 / 2475 ( 6 % ) ; 5 / 2475 ( < 1 % ) ; -; ; ; ; ; ; -; Virtual pins ; 0 ; 0 ; 0 ; 0 ; -; I/O pins ; 224 ; 0 ; 0 ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 / 232 ( 0 % ) ; 0 / 232 ( 0 % ) ; 0 / 232 ( 0 % ) ; 0 / 232 ( 0 % ) ; -; Total memory bits ; 799592 ; 0 ; 6528 ; 0 ; -; Total RAM block bits ; 1115136 ; 0 ; 27648 ; 0 ; -; JTAG ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; 0 / 1 ( 0 % ) ; 0 / 1 ( 0 % ) ; -; PLL ; 0 / 4 ( 0 % ) ; 0 / 4 ( 0 % ) ; 0 / 4 ( 0 % ) ; 4 / 4 ( 100 % ) ; -; M9K ; 121 / 126 ( 96 % ) ; 0 / 126 ( 0 % ) ; 3 / 126 ( 2 % ) ; 0 / 126 ( 0 % ) ; -; Clock control block ; 8 / 24 ( 33 % ) ; 0 / 24 ( 0 % ) ; 0 / 24 ( 0 % ) ; 12 / 24 ( 50 % ) ; -; Double Data Rate I/O output circuitry ; 140 / 520 ( 26 % ) ; 0 / 520 ( 0 % ) ; 0 / 520 ( 0 % ) ; 0 / 520 ( 0 % ) ; -; Double Data Rate I/O output enable circuitry ; 68 / 520 ( 13 % ) ; 0 / 520 ( 0 % ) ; 0 / 520 ( 0 % ) ; 0 / 520 ( 0 % ) ; -; ; ; ; ; ; -; Connections ; ; ; ; ; -; -- Input Connections ; 8011 ; 155 ; 1860 ; 44 ; -; -- Registered Input Connections ; 7514 ; 115 ; 1500 ; 0 ; -; -- Output Connections ; 1107 ; 232 ; 34 ; 8697 ; -; -- Registered Output Connections ; 27 ; 231 ; 0 ; 0 ; -; ; ; ; ; ; -; Internal Connections ; ; ; ; ; -; -- Total Connections ; 148963 ; 1134 ; 7143 ; 8810 ; -; -- Registered Connections ; 85362 ; 798 ; 4385 ; 0 ; -; ; ; ; ; ; -; External Connections ; ; ; ; ; -; -- Top ; 266 ; 232 ; 787 ; 7833 ; -; -- sld_hub:auto_hub ; 232 ; 20 ; 135 ; 0 ; -; -- sld_signaltap:auto_signaltap_6 ; 787 ; 135 ; 64 ; 908 ; -; -- hard_block:auto_generated_inst ; 7833 ; 0 ; 908 ; 0 ; -; ; ; ; ; ; -; Partition Interface ; ; ; ; ; -; -- Input Ports ; 70 ; 77 ; 374 ; 44 ; -; -- Output Ports ; 206 ; 95 ; 219 ; 22 ; -; -- Bidir Ports ; 82 ; 0 ; 0 ; 0 ; -; ; ; ; ; ; -; Registered Ports ; ; ; ; ; -; -- Registered Input Ports ; 0 ; 3 ; 6 ; 0 ; -; -- Registered Output Ports ; 0 ; 48 ; 205 ; 0 ; -; ; ; ; ; ; -; Port Connectivity ; ; ; ; ; -; -- Input Ports driven by GND ; 0 ; 1 ; 17 ; 6 ; -; -- Output Ports driven by GND ; 0 ; 36 ; 2 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; 23 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; 1 ; 0 ; -; -- Input Ports with no Source ; 0 ; 53 ; 105 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 58 ; 119 ; 6 ; -; -- Output Ports with no Fanout ; 0 ; 52 ; 207 ; 0 ; -+----------------------------------------------+------------------------+-----------------------+--------------------------------+--------------------------------+ ++--------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++----------------------------------------------+------------------------+-----------------------+--------------------------------+ +; Statistic ; Top ; sld_hub:auto_hub ; hard_block:auto_generated_inst ; ++----------------------------------------------+------------------------+-----------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; Low ; +; ; ; ; ; +; Total logic elements ; 28032 / 39600 ( 71 % ) ; 133 / 39600 ( < 1 % ) ; 22 / 39600 ( < 1 % ) ; +; -- Combinational with no register ; 9242 ; 57 ; 22 ; +; -- Register only ; 5499 ; 15 ; 0 ; +; -- Combinational with a register ; 13291 ; 61 ; 0 ; +; ; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; ; +; -- 4 input functions ; 11500 ; 54 ; 4 ; +; -- 3 input functions ; 6243 ; 21 ; 6 ; +; -- <=2 input functions ; 4790 ; 43 ; 12 ; +; -- Register only ; 5499 ; 15 ; 0 ; +; ; ; ; ; +; Logic elements by mode ; ; ; ; +; -- normal mode ; 19853 ; 110 ; 22 ; +; -- arithmetic mode ; 2680 ; 8 ; 0 ; +; ; ; ; ; +; Total registers ; 19105 ; 76 ; 0 ; +; -- Dedicated logic registers ; 18790 / 39600 ( 47 % ) ; 76 / 39600 ( < 1 % ) ; 0 / 39600 ( 0 % ) ; +; -- I/O registers ; 630 ; 0 ; 0 ; +; ; ; ; ; +; Total LABs: partially or completely used ; 2083 / 2475 ( 84 % ) ; 14 / 2475 ( < 1 % ) ; 5 / 2475 ( < 1 % ) ; +; ; ; ; ; +; Virtual pins ; 0 ; 0 ; 0 ; +; I/O pins ; 224 ; 0 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 232 ( 0 % ) ; 0 / 232 ( 0 % ) ; 0 / 232 ( 0 % ) ; +; Total memory bits ; 799584 ; 0 ; 0 ; +; Total RAM block bits ; 1115136 ; 0 ; 0 ; +; JTAG ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; 0 / 1 ( 0 % ) ; +; PLL ; 0 / 4 ( 0 % ) ; 0 / 4 ( 0 % ) ; 4 / 4 ( 100 % ) ; +; M9K ; 121 / 126 ( 96 % ) ; 0 / 126 ( 0 % ) ; 0 / 126 ( 0 % ) ; +; Clock control block ; 8 / 24 ( 33 % ) ; 0 / 24 ( 0 % ) ; 12 / 24 ( 50 % ) ; +; Double Data Rate I/O output circuitry ; 140 / 520 ( 26 % ) ; 0 / 520 ( 0 % ) ; 0 / 520 ( 0 % ) ; +; Double Data Rate I/O output enable circuitry ; 68 / 520 ( 13 % ) ; 0 / 520 ( 0 % ) ; 0 / 520 ( 0 % ) ; +; ; ; ; ; +; Connections ; ; ; ; +; -- Input Connections ; 8008 ; 111 ; 44 ; +; -- Registered Input Connections ; 7514 ; 84 ; 0 ; +; -- Output Connections ; 288 ; 89 ; 7786 ; +; -- Registered Output Connections ; 23 ; 88 ; 0 ; +; ; ; ; ; +; Internal Connections ; ; ; ; +; -- Total Connections ; 148451 ; 705 ; 7899 ; +; -- Registered Connections ; 85646 ; 480 ; 0 ; +; ; ; ; ; +; External Connections ; ; ; ; +; -- Top ; 266 ; 200 ; 7830 ; +; -- sld_hub:auto_hub ; 200 ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 7830 ; 0 ; 0 ; +; ; ; ; ; +; Partition Interface ; ; ; ; +; -- Input Ports ; 70 ; 36 ; 44 ; +; -- Output Ports ; 103 ; 54 ; 22 ; +; -- Bidir Ports ; 82 ; 0 ; 0 ; +; ; ; ; ; +; Registered Ports ; ; ; ; +; -- Registered Input Ports ; 0 ; 3 ; 0 ; +; -- Registered Output Ports ; 0 ; 20 ; 0 ; +; ; ; ; ; +; Port Connectivity ; ; ; ; +; -- Input Ports driven by GND ; 0 ; 1 ; 6 ; +; -- Output Ports driven by GND ; 0 ; 28 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 24 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 29 ; 6 ; +; -- Output Ports with no Fanout ; 0 ; 43 ; 0 ; ++----------------------------------------------+------------------------+-----------------------+--------------------------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -1790,118 +1780,118 @@ Note: Pin directions (input, output or bidir) are based on device operating in u +--------------------------------------------------------------------------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+ ; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; +--------------------------------------------------------------------------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+ -; |lms7_trx_top ; 29786 (3) ; 20366 (0) ; 315 (315) ; 806120 ; 124 ; 0 ; 0 ; 0 ; 224 ; 0 ; 9420 (3) ; 6533 (0) ; 13833 (2) ; |lms7_trx_top ; work ; -; |FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top| ; 1021 (5) ; 734 (4) ; 0 (0) ; 208896 ; 26 ; 0 ; 0 ; 0 ; 0 ; 0 ; 281 (1) ; 246 (2) ; 494 (2) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top ; work ; -; |fifo_inst:inst2_EP01_0_FIFO| ; 127 (0) ; 113 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 44 (0) ; 70 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 127 (0) ; 113 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 44 (0) ; 70 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_mro1:auto_generated| ; 127 (35) ; 113 (29) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (3) ; 44 (18) ; 70 (13) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated ; work ; -; |a_gray2bin_ugb:wrptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 7 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|a_gray2bin_ugb:wrptr_g_gray2bin ; work ; +; |lms7_trx_top ; 28187 (2) ; 18866 (0) ; 315 (315) ; 799584 ; 121 ; 0 ; 0 ; 0 ; 224 ; 0 ; 9321 (2) ; 5514 (0) ; 13352 (2) ; |lms7_trx_top ; work ; +; |FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top| ; 1020 (5) ; 734 (4) ; 0 (0) ; 208896 ; 26 ; 0 ; 0 ; 0 ; 0 ; 0 ; 282 (1) ; 237 (2) ; 501 (2) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top ; work ; +; |fifo_inst:inst2_EP01_0_FIFO| ; 127 (0) ; 113 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (0) ; 44 (0) ; 69 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 127 (0) ; 113 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (0) ; 44 (0) ; 69 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_mro1:auto_generated| ; 127 (40) ; 113 (29) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (5) ; 44 (22) ; 69 (11) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated ; work ; +; |a_gray2bin_ugb:wrptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|a_gray2bin_ugb:wrptr_g_gray2bin ; work ; ; |a_gray2bin_ugb:ws_dgrp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|a_gray2bin_ugb:ws_dgrp_gray2bin ; work ; -; |a_graycounter_qjc:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 12 (12) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|a_graycounter_qjc:wrptr_g1p ; work ; -; |a_graycounter_t57:rdptr_g1p| ; 18 (18) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 1 (1) ; 14 (14) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|a_graycounter_t57:rdptr_g1p ; work ; +; |a_graycounter_qjc:wrptr_g1p| ; 16 (16) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 13 (13) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|a_graycounter_qjc:wrptr_g1p ; work ; +; |a_graycounter_t57:rdptr_g1p| ; 18 (18) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 15 (15) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|a_graycounter_t57:rdptr_g1p ; work ; ; |alt_synch_pipe_hol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (0) ; 6 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|alt_synch_pipe_hol:rs_dgwp ; work ; ; |dffpipe_3e9:dffpipe6| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|alt_synch_pipe_hol:rs_dgwp|dffpipe_3e9:dffpipe6 ; work ; -; |alt_synch_pipe_iol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (0) ; 7 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|alt_synch_pipe_iol:ws_dgrp ; work ; -; |dffpipe_4e9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (11) ; 7 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|alt_synch_pipe_iol:ws_dgrp|dffpipe_4e9:dffpipe9 ; work ; +; |alt_synch_pipe_iol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 7 (0) ; 11 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|alt_synch_pipe_iol:ws_dgrp ; work ; +; |dffpipe_4e9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 7 (7) ; 11 (11) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|alt_synch_pipe_iol:ws_dgrp|dffpipe_4e9:dffpipe9 ; work ; ; |altsyncram_nm31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram ; work ; ; |cmpr_f66:rdfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|cmpr_f66:rdfull_eq_comp ; work ; ; |cmpr_f66:wrfull_eq_comp| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|cmpr_f66:wrfull_eq_comp ; work ; -; |cntr_64e:cntr_b| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|cntr_64e:cntr_b ; work ; +; |cntr_64e:cntr_b| ; 4 (4) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|cntr_64e:cntr_b ; work ; ; |dffpipe_8d9:rdfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|dffpipe_8d9:rdfull_reg ; work ; -; |dffpipe_8d9:wrfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|dffpipe_8d9:wrfull_reg ; work ; +; |dffpipe_8d9:wrfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 0 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|dffpipe_8d9:wrfull_reg ; work ; ; |dffpipe_gd9:ws_brp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|dffpipe_gd9:ws_brp ; work ; ; |dffpipe_ue9:ws_bwp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 9 (9) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|dffpipe_ue9:ws_bwp ; work ; -; |fifo_inst:inst4_EP01_1_FIFO| ; 185 (0) ; 139 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 46 (0) ; 43 (0) ; 96 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 185 (0) ; 139 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 46 (0) ; 43 (0) ; 96 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_boo1:auto_generated| ; 185 (54) ; 139 (43) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 46 (6) ; 43 (19) ; 96 (25) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated ; work ; -; |a_gray2bin_7ib:rdptr_g_gray2bin| ; 12 (12) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 5 (5) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_gray2bin_7ib:rdptr_g_gray2bin ; work ; -; |a_gray2bin_7ib:rs_dgwp_gray2bin| ; 11 (11) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_gray2bin_7ib:rs_dgwp_gray2bin ; work ; -; |a_gray2bin_7ib:wrptr_g_gray2bin| ; 10 (10) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_gray2bin_7ib:wrptr_g_gray2bin ; work ; +; |fifo_inst:inst4_EP01_1_FIFO| ; 178 (0) ; 139 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 39 (0) ; 43 (0) ; 96 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 178 (0) ; 139 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 39 (0) ; 43 (0) ; 96 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_boo1:auto_generated| ; 178 (56) ; 139 (43) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 39 (8) ; 43 (21) ; 96 (21) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated ; work ; +; |a_gray2bin_7ib:rdptr_g_gray2bin| ; 12 (12) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_gray2bin_7ib:rdptr_g_gray2bin ; work ; +; |a_gray2bin_7ib:rs_dgwp_gray2bin| ; 11 (11) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 10 (10) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_gray2bin_7ib:rs_dgwp_gray2bin ; work ; +; |a_gray2bin_7ib:wrptr_g_gray2bin| ; 10 (10) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 8 (8) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_gray2bin_7ib:wrptr_g_gray2bin ; work ; ; |a_gray2bin_7ib:ws_dgrp_gray2bin| ; 10 (10) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_gray2bin_7ib:ws_dgrp_gray2bin ; work ; -; |a_graycounter_2lc:wrptr_g1p| ; 23 (23) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 18 (18) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_graycounter_2lc:wrptr_g1p ; work ; -; |a_graycounter_777:rdptr_g1p| ; 24 (24) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 0 (0) ; 15 (15) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_graycounter_777:rdptr_g1p ; work ; -; |alt_synch_pipe_ipl:rs_dgwp| ; 22 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (0) ; 12 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|alt_synch_pipe_ipl:rs_dgwp ; work ; -; |dffpipe_6f9:dffpipe6| ; 22 (22) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (10) ; 12 (12) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|alt_synch_pipe_ipl:rs_dgwp|dffpipe_6f9:dffpipe6 ; work ; -; |alt_synch_pipe_jpl:ws_dgrp| ; 22 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (0) ; 8 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|alt_synch_pipe_jpl:ws_dgrp ; work ; -; |dffpipe_7f9:dffpipe9| ; 22 (22) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (14) ; 8 (8) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|alt_synch_pipe_jpl:ws_dgrp|dffpipe_7f9:dffpipe9 ; work ; +; |a_graycounter_2lc:wrptr_g1p| ; 23 (23) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 17 (17) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_graycounter_2lc:wrptr_g1p ; work ; +; |a_graycounter_777:rdptr_g1p| ; 23 (23) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 15 (15) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_graycounter_777:rdptr_g1p ; work ; +; |alt_synch_pipe_ipl:rs_dgwp| ; 22 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (0) ; 16 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|alt_synch_pipe_ipl:rs_dgwp ; work ; +; |dffpipe_6f9:dffpipe6| ; 22 (22) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 16 (16) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|alt_synch_pipe_ipl:rs_dgwp|dffpipe_6f9:dffpipe6 ; work ; +; |alt_synch_pipe_jpl:ws_dgrp| ; 22 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (0) ; 6 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|alt_synch_pipe_jpl:ws_dgrp ; work ; +; |dffpipe_7f9:dffpipe9| ; 22 (22) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (16) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|alt_synch_pipe_jpl:ws_dgrp|dffpipe_7f9:dffpipe9 ; work ; ; |altsyncram_rq41:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram ; work ; -; |cmpr_o76:rdempty_eq_comp| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 3 (3) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|cmpr_o76:rdempty_eq_comp ; work ; +; |cmpr_o76:rdempty_eq_comp| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 5 (5) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|cmpr_o76:rdempty_eq_comp ; work ; ; |cmpr_o76:rdfull_eq_comp| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|cmpr_o76:rdfull_eq_comp ; work ; ; |cmpr_o76:wrfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 3 (3) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|cmpr_o76:wrfull_eq_comp ; work ; ; |dffpipe_8d9:rdfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|dffpipe_8d9:rdfull_reg ; work ; ; |dffpipe_8d9:wrfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|dffpipe_8d9:wrfull_reg ; work ; ; |dffpipe_ue9:ws_brp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|dffpipe_ue9:ws_brp ; work ; ; |dffpipe_ue9:ws_bwp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|dffpipe_ue9:ws_bwp ; work ; -; |fifo_inst:inst5_EP0F_FIFO| ; 107 (0) ; 93 (0) ; 0 (0) ; 4096 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 37 (0) ; 57 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 107 (0) ; 93 (0) ; 0 (0) ; 4096 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 37 (0) ; 57 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_9no1:auto_generated| ; 107 (31) ; 93 (24) ; 0 (0) ; 4096 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (6) ; 37 (15) ; 57 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated ; work ; -; |a_gray2bin_tgb:wrptr_g_gray2bin| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin ; work ; +; |fifo_inst:inst5_EP0F_FIFO| ; 106 (0) ; 93 (0) ; 0 (0) ; 4096 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (0) ; 38 (0) ; 56 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 106 (0) ; 93 (0) ; 0 (0) ; 4096 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (0) ; 38 (0) ; 56 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_9no1:auto_generated| ; 106 (30) ; 93 (24) ; 0 (0) ; 4096 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (3) ; 38 (16) ; 56 (9) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated ; work ; +; |a_gray2bin_tgb:wrptr_g_gray2bin| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 4 (4) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin ; work ; ; |a_gray2bin_tgb:ws_dgrp_gray2bin| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin ; work ; -; |a_graycounter_ojc:wrptr_g1p| ; 14 (14) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 12 (12) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|a_graycounter_ojc:wrptr_g1p ; work ; -; |a_graycounter_u57:rdptr_g1p| ; 17 (17) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 13 (13) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|a_graycounter_u57:rdptr_g1p ; work ; -; |alt_synch_pipe_dol:rs_dgwp| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (0) ; 5 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|alt_synch_pipe_dol:rs_dgwp ; work ; -; |dffpipe_vd9:dffpipe9| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (11) ; 5 (5) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|alt_synch_pipe_dol:rs_dgwp|dffpipe_vd9:dffpipe9 ; work ; -; |alt_synch_pipe_eol:ws_dgrp| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (0) ; 5 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|alt_synch_pipe_eol:ws_dgrp ; work ; -; |dffpipe_0e9:dffpipe12| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (11) ; 5 (5) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|alt_synch_pipe_eol:ws_dgrp|dffpipe_0e9:dffpipe12 ; work ; +; |a_graycounter_ojc:wrptr_g1p| ; 15 (15) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 11 (11) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|a_graycounter_ojc:wrptr_g1p ; work ; +; |a_graycounter_u57:rdptr_g1p| ; 17 (17) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 15 (15) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|a_graycounter_u57:rdptr_g1p ; work ; +; |alt_synch_pipe_dol:rs_dgwp| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (0) ; 4 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|alt_synch_pipe_dol:rs_dgwp ; work ; +; |dffpipe_vd9:dffpipe9| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 4 (4) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|alt_synch_pipe_dol:rs_dgwp|dffpipe_vd9:dffpipe9 ; work ; +; |alt_synch_pipe_eol:ws_dgrp| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (0) ; 7 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|alt_synch_pipe_eol:ws_dgrp ; work ; +; |dffpipe_0e9:dffpipe12| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (9) ; 7 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|alt_synch_pipe_eol:ws_dgrp|dffpipe_0e9:dffpipe12 ; work ; ; |altsyncram_gv61:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 4096 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram ; work ; ; |cmpr_e66:rdempty_eq_comp| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|cmpr_e66:rdempty_eq_comp ; work ; ; |cmpr_e66:wrfull_eq_comp| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|cmpr_e66:wrfull_eq_comp ; work ; ; |dffpipe_8d9:wrfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|dffpipe_8d9:wrfull_reg ; work ; ; |dffpipe_fd9:ws_brp| ; 7 (7) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|dffpipe_fd9:ws_brp ; work ; -; |dffpipe_fd9:ws_bwp| ; 7 (7) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|dffpipe_fd9:ws_bwp ; work ; -; |fifo_inst:inst6_EP81_FIFO| ; 208 (0) ; 165 (0) ; 0 (0) ; 131072 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 42 (0) ; 69 (0) ; 97 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 208 (0) ; 165 (0) ; 0 (0) ; 131072 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 42 (0) ; 69 (0) ; 97 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_qoo1:auto_generated| ; 208 (64) ; 165 (37) ; 0 (0) ; 131072 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 42 (21) ; 69 (29) ; 97 (10) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated ; work ; -; |a_gray2bin_8ib:rdptr_g_gray2bin| ; 11 (11) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 8 (8) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|a_gray2bin_8ib:rdptr_g_gray2bin ; work ; +; |dffpipe_fd9:ws_bwp| ; 7 (7) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|dffpipe_fd9:ws_bwp ; work ; +; |fifo_inst:inst6_EP81_FIFO| ; 200 (0) ; 165 (0) ; 0 (0) ; 131072 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (0) ; 59 (0) ; 109 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 200 (0) ; 165 (0) ; 0 (0) ; 131072 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (0) ; 59 (0) ; 109 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_qoo1:auto_generated| ; 200 (59) ; 165 (37) ; 0 (0) ; 131072 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (16) ; 59 (23) ; 109 (16) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated ; work ; +; |a_gray2bin_8ib:rdptr_g_gray2bin| ; 11 (11) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 10 (10) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|a_gray2bin_8ib:rdptr_g_gray2bin ; work ; ; |a_gray2bin_8ib:rs_dgwp_gray2bin| ; 11 (11) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 11 (11) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|a_gray2bin_8ib:rs_dgwp_gray2bin ; work ; -; |a_gray2bin_8ib:wrptr_g_gray2bin| ; 10 (10) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 9 (9) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|a_gray2bin_8ib:wrptr_g_gray2bin ; work ; +; |a_gray2bin_8ib:wrptr_g_gray2bin| ; 10 (10) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 7 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|a_gray2bin_8ib:wrptr_g_gray2bin ; work ; ; |a_gray2bin_8ib:ws_dgrp_gray2bin| ; 11 (11) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 11 (11) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|a_gray2bin_8ib:ws_dgrp_gray2bin ; work ; -; |a_graycounter_3lc:wrptr_g1p| ; 24 (24) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 1 (1) ; 17 (17) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|a_graycounter_3lc:wrptr_g1p ; work ; +; |a_graycounter_3lc:wrptr_g1p| ; 22 (22) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 18 (18) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|a_graycounter_3lc:wrptr_g1p ; work ; ; |a_graycounter_677:rdptr_g1p| ; 22 (22) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 17 (17) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|a_graycounter_677:rdptr_g1p ; work ; -; |alt_synch_pipe_apl:rs_dgwp| ; 24 (0) ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 19 (0) ; 5 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|alt_synch_pipe_apl:rs_dgwp ; work ; -; |dffpipe_se9:dffpipe14| ; 24 (24) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 19 (19) ; 5 (5) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_se9:dffpipe14 ; work ; -; |alt_synch_pipe_bpl:ws_dgrp| ; 24 (0) ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (0) ; 6 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|alt_synch_pipe_bpl:ws_dgrp ; work ; -; |dffpipe_te9:dffpipe17| ; 24 (24) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (18) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|alt_synch_pipe_bpl:ws_dgrp|dffpipe_te9:dffpipe17 ; work ; +; |alt_synch_pipe_apl:rs_dgwp| ; 24 (0) ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (0) ; 6 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|alt_synch_pipe_apl:rs_dgwp ; work ; +; |dffpipe_se9:dffpipe14| ; 24 (24) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (18) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_se9:dffpipe14 ; work ; +; |alt_synch_pipe_bpl:ws_dgrp| ; 24 (0) ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (0) ; 7 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|alt_synch_pipe_bpl:ws_dgrp ; work ; +; |dffpipe_te9:dffpipe17| ; 24 (24) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 7 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|alt_synch_pipe_bpl:ws_dgrp|dffpipe_te9:dffpipe17 ; work ; ; |altsyncram_9f11:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 131072 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|altsyncram_9f11:fifo_ram ; work ; -; |cmpr_p76:rdempty_eq_comp| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|cmpr_p76:rdempty_eq_comp ; work ; +; |cmpr_p76:rdempty_eq_comp| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|cmpr_p76:rdempty_eq_comp ; work ; ; |cmpr_p76:wrfull_eq_comp| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 7 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|cmpr_p76:wrfull_eq_comp ; work ; -; |cmpr_q76:rdfull_eq_comp| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 5 (5) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|cmpr_q76:rdfull_eq_comp ; work ; -; |cntr_54e:cntr_b| ; 3 (3) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|cntr_54e:cntr_b ; work ; +; |cmpr_q76:rdfull_eq_comp| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 7 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|cmpr_q76:rdfull_eq_comp ; work ; +; |cntr_54e:cntr_b| ; 3 (3) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|cntr_54e:cntr_b ; work ; ; |dffpipe_8d9:rdfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|dffpipe_8d9:rdfull_reg ; work ; ; |dffpipe_8d9:wrfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|dffpipe_8d9:wrfull_reg ; work ; ; |dffpipe_qe9:rs_bwp| ; 11 (11) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 11 (11) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|dffpipe_qe9:rs_bwp ; work ; ; |dffpipe_qe9:ws_brp| ; 11 (11) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 11 (11) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|dffpipe_qe9:ws_brp ; work ; -; |dffpipe_qe9:ws_bwp| ; 11 (11) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 9 (9) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|dffpipe_qe9:ws_bwp ; work ; +; |dffpipe_qe9:ws_bwp| ; 11 (11) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 10 (10) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|dffpipe_qe9:ws_bwp ; work ; ; |dffpipe_re9:rs_brp| ; 12 (12) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 12 (12) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|dffpipe_re9:rs_brp ; work ; -; |fifo_inst:inst7_EP8F_FIFO| ; 127 (0) ; 106 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 39 (0) ; 68 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 127 (0) ; 106 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 39 (0) ; 68 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_fko1:auto_generated| ; 127 (33) ; 106 (27) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (6) ; 39 (16) ; 68 (8) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated ; work ; +; |fifo_inst:inst7_EP8F_FIFO| ; 129 (0) ; 106 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (0) ; 41 (0) ; 66 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 129 (0) ; 106 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (0) ; 41 (0) ; 66 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_fko1:auto_generated| ; 129 (38) ; 106 (27) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (9) ; 41 (18) ; 66 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated ; work ; ; |a_gray2bin_ugb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|a_gray2bin_ugb:rdptr_g_gray2bin ; work ; ; |a_gray2bin_ugb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|a_gray2bin_ugb:rs_dgwp_gray2bin ; work ; -; |a_graycounter_pjc:wrptr_g1p| ; 21 (21) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 1 (1) ; 13 (13) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; -; |a_graycounter_t57:rdptr_g1p| ; 18 (18) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 1 (1) ; 14 (14) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|a_graycounter_t57:rdptr_g1p ; work ; -; |alt_synch_pipe_2ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (0) ; 4 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|alt_synch_pipe_2ol:rs_dgwp ; work ; -; |dffpipe_jd9:dffpipe6| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (14) ; 4 (4) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|alt_synch_pipe_2ol:rs_dgwp|dffpipe_jd9:dffpipe6 ; work ; -; |alt_synch_pipe_3ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (0) ; 12 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|alt_synch_pipe_3ol:ws_dgrp ; work ; -; |dffpipe_kd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 12 (12) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|alt_synch_pipe_3ol:ws_dgrp|dffpipe_kd9:dffpipe9 ; work ; +; |a_graycounter_pjc:wrptr_g1p| ; 19 (19) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 1 (1) ; 13 (13) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; +; |a_graycounter_t57:rdptr_g1p| ; 18 (18) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 13 (13) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|a_graycounter_t57:rdptr_g1p ; work ; +; |alt_synch_pipe_2ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (0) ; 10 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|alt_synch_pipe_2ol:rs_dgwp ; work ; +; |dffpipe_jd9:dffpipe6| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (8) ; 10 (10) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|alt_synch_pipe_2ol:rs_dgwp|dffpipe_jd9:dffpipe6 ; work ; +; |alt_synch_pipe_3ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (0) ; 5 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|alt_synch_pipe_3ol:ws_dgrp ; work ; +; |dffpipe_kd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (13) ; 5 (5) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|alt_synch_pipe_3ol:ws_dgrp|dffpipe_kd9:dffpipe9 ; work ; ; |altsyncram_9o41:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|altsyncram_9o41:fifo_ram ; work ; ; |cmpr_f66:rdempty_eq_comp| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; ; |cmpr_f66:rdfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|cmpr_f66:rdfull_eq_comp ; work ; ; |cmpr_f66:wrfull_eq_comp| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|cmpr_f66:wrfull_eq_comp ; work ; ; |dffpipe_8d9:rdfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|dffpipe_8d9:rdfull_reg ; work ; -; |dffpipe_gd9:rs_brp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 7 (7) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|dffpipe_gd9:rs_brp ; work ; +; |dffpipe_gd9:rs_brp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|dffpipe_gd9:rs_brp ; work ; ; |dffpipe_gd9:rs_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|dffpipe_gd9:rs_bwp ; work ; -; |pct_payload_extrct:pct_payload_extrct_inst3| ; 98 (98) ; 30 (30) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 64 (64) ; 0 (0) ; 34 (34) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3 ; work ; -; |slaveFIFO5b:inst1| ; 179 (179) ; 76 (76) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 82 (82) ; 7 (7) ; 90 (90) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1 ; work ; +; |pct_payload_extrct:pct_payload_extrct_inst3| ; 98 (98) ; 30 (30) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 68 (68) ; 0 (0) ; 30 (30) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3 ; work ; +; |slaveFIFO5b:inst1| ; 177 (177) ; 76 (76) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 94 (94) ; 5 (5) ; 78 (78) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1 ; work ; ; |sync_reg:sync_reg0| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|sync_reg:sync_reg0 ; work ; ; |sync_reg:sync_reg1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|sync_reg:sync_reg1 ; work ; ; |sync_reg:sync_reg2| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|sync_reg:sync_reg2 ; work ; ; |sync_reg:sync_reg3| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|sync_reg:sync_reg3 ; work ; ; |busy_delay:inst5_busy_delay| ; 57 (57) ; 38 (38) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 2 (2) ; 36 (36) ; |lms7_trx_top|busy_delay:inst5_busy_delay ; work ; -; |general_periph_top:inst4_general_periph_top| ; 53 (1) ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (0) ; 0 (0) ; 39 (1) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top ; work ; +; |general_periph_top:inst4_general_periph_top| ; 53 (1) ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (0) ; 0 (0) ; 38 (1) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top ; work ; ; |FPGA_LED1_cntrl:FPGA_LED1_cntrl_inst2| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top|FPGA_LED1_cntrl:FPGA_LED1_cntrl_inst2 ; work ; -; |FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3| ; 5 (5) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 1 (1) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3 ; work ; -; |FX3_LED_ctrl:FX3_LED_ctrl_inst4| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 2 (2) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top|FX3_LED_ctrl:FX3_LED_ctrl_inst4 ; work ; +; |FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3| ; 5 (5) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 2 (2) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3 ; work ; +; |FX3_LED_ctrl:FX3_LED_ctrl_inst4| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 0 (0) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top|FX3_LED_ctrl:FX3_LED_ctrl_inst4 ; work ; ; |alive:alive_inst0| ; 23 (23) ; 23 (23) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 23 (23) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top|alive:alive_inst0 ; work ; ; |gpio_ctrl_top:gpio_ctrl_top_inst5| ; 16 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 0 (0) ; 12 (0) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5 ; work ; ; |gpio_ctrl:\gpio_ctrl_gen:0:gpio_ctrl_bitx| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 1 (1) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:0:gpio_ctrl_bitx ; work ; @@ -1912,86 +1902,87 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |gpio_ctrl:\gpio_ctrl_gen:5:gpio_ctrl_bitx| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 1 (1) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:5:gpio_ctrl_bitx ; work ; ; |gpio_ctrl:\gpio_ctrl_gen:6:gpio_ctrl_bitx| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:6:gpio_ctrl_bitx ; work ; ; |gpio_ctrl:\gpio_ctrl_gen:7:gpio_ctrl_bitx| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 1 (1) ; |lms7_trx_top|general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:7:gpio_ctrl_bitx ; work ; -; |nios_cpu:inst0_nios_cpu| ; 5347 (2) ; 3507 (0) ; 0 (0) ; 272384 ; 35 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1821 (2) ; 1164 (0) ; 2362 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu ; work ; -; |cfg_top:cfg_top_inst1| ; 3015 (0) ; 2199 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 800 (0) ; 952 (0) ; 1263 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1 ; work ; -; |fpgacfg:fpgacfg_inst0| ; 747 (731) ; 574 (567) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 173 (164) ; 206 (205) ; 368 (360) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0 ; work ; -; |mcfg32wm_fsm:fsm| ; 18 (18) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 1 (1) ; 8 (8) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mcfg32wm_fsm:fsm ; work ; -; |periphcfg:periphcfg_inst6| ; 681 (673) ; 543 (543) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 133 (129) ; 237 (237) ; 311 (307) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6 ; work ; -; |mcfg32wm_fsm:fsm| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 4 (4) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mcfg32wm_fsm:fsm ; work ; -; |pllcfg:pllcfg_inst1| ; 676 (670) ; 517 (517) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 152 (147) ; 262 (262) ; 262 (261) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1 ; work ; -; |mcfg32wm_fsm:fsmA| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mcfg32wm_fsm:fsmA ; work ; -; |tstcfg:tstcfg_inst3| ; 917 (899) ; 565 (559) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 342 (331) ; 247 (247) ; 328 (317) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3 ; work ; -; |mcfg32wm_fsm:fsm| ; 22 (22) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 0 (0) ; 11 (11) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mcfg32wm_fsm:fsm ; work ; -; |lms_ctr:lms_ctr_inst0| ; 2332 (0) ; 1308 (0) ; 0 (0) ; 272384 ; 35 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1019 (0) ; 212 (0) ; 1101 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0 ; lms_ctr ; -; |altera_reset_controller:rst_controller| ; 17 (11) ; 16 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 10 (6) ; 6 (4) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|altera_reset_controller:rst_controller ; lms_ctr ; -; |altera_reset_synchronizer:alt_rst_req_sync_uq1| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|altera_reset_controller:rst_controller|altera_reset_synchronizer:alt_rst_req_sync_uq1 ; lms_ctr ; +; |nios_cpu:inst0_nios_cpu| ; 5332 (2) ; 3507 (0) ; 0 (0) ; 272384 ; 35 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1800 (1) ; 1181 (0) ; 2351 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu ; work ; +; |cfg_top:cfg_top_inst1| ; 3010 (0) ; 2199 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 794 (0) ; 973 (0) ; 1243 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1 ; work ; +; |fpgacfg:fpgacfg_inst0| ; 749 (734) ; 574 (567) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 175 (167) ; 228 (227) ; 346 (337) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0 ; work ; +; |mcfg32wm_fsm:fsm| ; 18 (18) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 1 (1) ; 9 (9) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mcfg32wm_fsm:fsm ; work ; +; |periphcfg:periphcfg_inst6| ; 676 (668) ; 543 (543) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 130 (125) ; 232 (232) ; 314 (311) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6 ; work ; +; |mcfg32wm_fsm:fsm| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 3 (3) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mcfg32wm_fsm:fsm ; work ; +; |pllcfg:pllcfg_inst1| ; 675 (669) ; 517 (517) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 142 (138) ; 261 (261) ; 272 (270) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1 ; work ; +; |mcfg32wm_fsm:fsmA| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 2 (2) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mcfg32wm_fsm:fsmA ; work ; +; |tstcfg:tstcfg_inst3| ; 916 (901) ; 565 (559) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 347 (338) ; 252 (252) ; 317 (306) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3 ; work ; +; |mcfg32wm_fsm:fsm| ; 20 (20) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 0 (0) ; 11 (11) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mcfg32wm_fsm:fsm ; work ; +; |lms_ctr:lms_ctr_inst0| ; 2323 (0) ; 1308 (0) ; 0 (0) ; 272384 ; 35 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1005 (0) ; 208 (0) ; 1110 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0 ; lms_ctr ; +; |altera_reset_controller:rst_controller| ; 16 (11) ; 16 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (6) ; 7 (5) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|altera_reset_controller:rst_controller ; lms_ctr ; +; |altera_reset_synchronizer:alt_rst_req_sync_uq1| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|altera_reset_controller:rst_controller|altera_reset_synchronizer:alt_rst_req_sync_uq1 ; lms_ctr ; ; |altera_reset_synchronizer:alt_rst_sync_uq1| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|altera_reset_controller:rst_controller|altera_reset_synchronizer:alt_rst_sync_uq1 ; lms_ctr ; ; |avfifo:av_fifo_int_0| ; 38 (38) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 36 (36) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|avfifo:av_fifo_int_0 ; lms_ctr ; ; |i2c_opencores:i2c_opencores_0| ; 278 (0) ; 129 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 149 (0) ; 1 (0) ; 128 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0 ; lms_ctr ; -; |i2c_master_top:i2c_master_top_inst| ; 278 (85) ; 129 (54) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 149 (33) ; 1 (1) ; 128 (49) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst ; lms_ctr ; -; |i2c_master_byte_ctrl:byte_controller| ; 195 (56) ; 75 (26) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 116 (30) ; 0 (0) ; 79 (26) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller ; lms_ctr ; -; |i2c_master_bit_ctrl:bit_controller| ; 139 (139) ; 49 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 86 (86) ; 0 (0) ; 53 (53) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|i2c_master_bit_ctrl:bit_controller ; lms_ctr ; +; |i2c_master_top:i2c_master_top_inst| ; 278 (83) ; 129 (54) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 149 (30) ; 1 (1) ; 128 (52) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst ; lms_ctr ; +; |i2c_master_byte_ctrl:byte_controller| ; 195 (56) ; 75 (26) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 119 (30) ; 0 (0) ; 76 (26) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller ; lms_ctr ; +; |i2c_master_bit_ctrl:bit_controller| ; 139 (139) ; 49 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 89 (89) ; 0 (0) ; 50 (50) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|i2c_master_bit_ctrl:bit_controller ; lms_ctr ; ; |lms_ctr_leds:leds| ; 18 (18) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 5 (5) ; 11 (11) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_leds:leds ; lms_ctr ; ; |lms_ctr_lms_ctr_gpio:lms_ctr_gpio| ; 10 (10) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 8 (8) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_lms_ctr_gpio:lms_ctr_gpio ; lms_ctr ; -; |lms_ctr_mm_interconnect_0:mm_interconnect_0| ; 469 (0) ; 207 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 211 (0) ; 17 (0) ; 241 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0 ; lms_ctr ; +; |lms_ctr_mm_interconnect_0:mm_interconnect_0| ; 465 (0) ; 207 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 198 (0) ; 16 (0) ; 251 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0 ; lms_ctr ; ; |altera_avalon_sc_fifo:av_fifo_int_0_avalon_slave_0_agent_rsp_fifo| ; 4 (4) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 2 (2) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:av_fifo_int_0_avalon_slave_0_agent_rsp_fifo ; lms_ctr ; ; |altera_avalon_sc_fifo:i2c_opencores_0_avalon_slave_0_agent_rsp_fifo| ; 5 (5) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 2 (2) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:i2c_opencores_0_avalon_slave_0_agent_rsp_fifo ; lms_ctr ; ; |altera_avalon_sc_fifo:leds_s1_agent_rsp_fifo| ; 4 (4) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 2 (2) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:leds_s1_agent_rsp_fifo ; lms_ctr ; ; |altera_avalon_sc_fifo:lms_ctr_gpio_s1_agent_rsp_fifo| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:lms_ctr_gpio_s1_agent_rsp_fifo ; lms_ctr ; -; |altera_avalon_sc_fifo:nios2_cpu_debug_mem_slave_agent_rsp_fifo| ; 10 (10) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 5 (5) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:nios2_cpu_debug_mem_slave_agent_rsp_fifo ; lms_ctr ; -; |altera_avalon_sc_fifo:oc_mem_s1_agent_rsp_fifo| ; 10 (10) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 2 (2) ; 5 (5) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:oc_mem_s1_agent_rsp_fifo ; lms_ctr ; +; |altera_avalon_sc_fifo:nios2_cpu_debug_mem_slave_agent_rsp_fifo| ; 10 (10) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 2 (2) ; 4 (4) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:nios2_cpu_debug_mem_slave_agent_rsp_fifo ; lms_ctr ; +; |altera_avalon_sc_fifo:oc_mem_s1_agent_rsp_fifo| ; 9 (9) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 2 (2) ; 4 (4) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:oc_mem_s1_agent_rsp_fifo ; lms_ctr ; ; |altera_avalon_sc_fifo:spi_1_adf_spi_control_port_agent_rsp_fifo| ; 5 (5) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 3 (3) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:spi_1_adf_spi_control_port_agent_rsp_fifo ; lms_ctr ; ; |altera_avalon_sc_fifo:spi_1_dac_spi_control_port_agent_rsp_fifo| ; 5 (5) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 3 (3) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:spi_1_dac_spi_control_port_agent_rsp_fifo ; lms_ctr ; -; |altera_avalon_sc_fifo:spi_lms_spi_control_port_agent_rsp_fifo| ; 4 (4) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:spi_lms_spi_control_port_agent_rsp_fifo ; lms_ctr ; +; |altera_avalon_sc_fifo:spi_lms_spi_control_port_agent_rsp_fifo| ; 5 (5) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 3 (3) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:spi_lms_spi_control_port_agent_rsp_fifo ; lms_ctr ; ; |altera_avalon_sc_fifo:switch_s1_agent_rsp_fifo| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:switch_s1_agent_rsp_fifo ; lms_ctr ; ; |altera_avalon_sc_fifo:sysid_qsys_0_control_slave_agent_rsp_fifo| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:sysid_qsys_0_control_slave_agent_rsp_fifo ; lms_ctr ; -; |altera_merlin_master_agent:nios2_cpu_data_master_agent| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_agent:nios2_cpu_data_master_agent ; lms_ctr ; -; |altera_merlin_master_translator:nios2_cpu_data_master_translator| ; 13 (13) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 3 (3) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_translator:nios2_cpu_data_master_translator ; lms_ctr ; +; |altera_merlin_master_agent:nios2_cpu_data_master_agent| ; 2 (2) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_agent:nios2_cpu_data_master_agent ; lms_ctr ; +; |altera_merlin_master_translator:nios2_cpu_data_master_translator| ; 13 (13) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 5 (5) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_translator:nios2_cpu_data_master_translator ; lms_ctr ; ; |altera_merlin_master_translator:nios2_cpu_instruction_master_translator| ; 5 (5) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_translator:nios2_cpu_instruction_master_translator ; lms_ctr ; -; |altera_merlin_slave_agent:av_fifo_int_0_avalon_slave_0_agent| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:av_fifo_int_0_avalon_slave_0_agent ; lms_ctr ; +; |altera_merlin_slave_agent:av_fifo_int_0_avalon_slave_0_agent| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:av_fifo_int_0_avalon_slave_0_agent ; lms_ctr ; ; |altera_merlin_slave_agent:leds_s1_agent| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:leds_s1_agent ; lms_ctr ; ; |altera_merlin_slave_agent:lms_ctr_gpio_s1_agent| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:lms_ctr_gpio_s1_agent ; lms_ctr ; ; |altera_merlin_slave_agent:nios2_cpu_debug_mem_slave_agent| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:nios2_cpu_debug_mem_slave_agent ; lms_ctr ; +; |altera_merlin_slave_agent:switch_s1_agent| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:switch_s1_agent ; lms_ctr ; ; |altera_merlin_slave_agent:sysid_qsys_0_control_slave_agent| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:sysid_qsys_0_control_slave_agent ; lms_ctr ; -; |altera_merlin_slave_translator:av_fifo_int_0_avalon_slave_0_translator| ; 38 (38) ; 35 (35) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 35 (35) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:av_fifo_int_0_avalon_slave_0_translator ; lms_ctr ; +; |altera_merlin_slave_translator:av_fifo_int_0_avalon_slave_0_translator| ; 37 (37) ; 35 (35) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 35 (35) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:av_fifo_int_0_avalon_slave_0_translator ; lms_ctr ; ; |altera_merlin_slave_translator:i2c_opencores_0_avalon_slave_0_translator| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:i2c_opencores_0_avalon_slave_0_translator ; lms_ctr ; ; |altera_merlin_slave_translator:leds_s1_translator| ; 15 (15) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 11 (11) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:leds_s1_translator ; lms_ctr ; -; |altera_merlin_slave_translator:lms_ctr_gpio_s1_translator| ; 9 (9) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 7 (7) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:lms_ctr_gpio_s1_translator ; lms_ctr ; +; |altera_merlin_slave_translator:lms_ctr_gpio_s1_translator| ; 10 (10) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 7 (7) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:lms_ctr_gpio_s1_translator ; lms_ctr ; ; |altera_merlin_slave_translator:nios2_cpu_debug_mem_slave_translator| ; 33 (33) ; 33 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 33 (33) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:nios2_cpu_debug_mem_slave_translator ; lms_ctr ; -; |altera_merlin_slave_translator:oc_mem_s1_translator| ; 2 (2) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:oc_mem_s1_translator ; lms_ctr ; -; |altera_merlin_slave_translator:spi_1_adf_spi_control_port_translator| ; 20 (20) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 12 (12) ; 7 (7) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:spi_1_adf_spi_control_port_translator ; lms_ctr ; +; |altera_merlin_slave_translator:oc_mem_s1_translator| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:oc_mem_s1_translator ; lms_ctr ; +; |altera_merlin_slave_translator:spi_1_adf_spi_control_port_translator| ; 20 (20) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 9 (9) ; 10 (10) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:spi_1_adf_spi_control_port_translator ; lms_ctr ; ; |altera_merlin_slave_translator:spi_1_dac_spi_control_port_translator| ; 20 (20) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 2 (2) ; 17 (17) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:spi_1_dac_spi_control_port_translator ; lms_ctr ; -; |altera_merlin_slave_translator:spi_lms_spi_control_port_translator| ; 22 (22) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 19 (19) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:spi_lms_spi_control_port_translator ; lms_ctr ; -; |altera_merlin_slave_translator:switch_s1_translator| ; 8 (8) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 3 (3) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:switch_s1_translator ; lms_ctr ; +; |altera_merlin_slave_translator:spi_lms_spi_control_port_translator| ; 21 (21) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 19 (19) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:spi_lms_spi_control_port_translator ; lms_ctr ; +; |altera_merlin_slave_translator:switch_s1_translator| ; 6 (6) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 3 (3) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:switch_s1_translator ; lms_ctr ; ; |altera_merlin_slave_translator:sysid_qsys_0_control_slave_translator| ; 9 (9) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 6 (6) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:sysid_qsys_0_control_slave_translator ; lms_ctr ; -; |lms_ctr_mm_interconnect_0_cmd_demux:cmd_demux| ; 15 (15) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 0 (0) ; 2 (2) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_demux:cmd_demux ; lms_ctr ; +; |lms_ctr_mm_interconnect_0_cmd_demux:cmd_demux| ; 13 (13) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (12) ; 0 (0) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_demux:cmd_demux ; lms_ctr ; ; |lms_ctr_mm_interconnect_0_cmd_demux_001:cmd_demux_001| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_demux_001:cmd_demux_001 ; lms_ctr ; -; |lms_ctr_mm_interconnect_0_cmd_demux_001:rsp_demux_003| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_demux_001:rsp_demux_003 ; lms_ctr ; +; |lms_ctr_mm_interconnect_0_cmd_demux_001:rsp_demux_003| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_demux_001:rsp_demux_003 ; lms_ctr ; ; |lms_ctr_mm_interconnect_0_cmd_demux_001:rsp_demux_004| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_demux_001:rsp_demux_004 ; lms_ctr ; -; |lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_003| ; 56 (53) ; 5 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (5) ; 0 (0) ; 50 (47) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_003 ; lms_ctr ; +; |lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_003| ; 57 (54) ; 5 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (6) ; 1 (1) ; 49 (46) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_003 ; lms_ctr ; ; |altera_merlin_arbitrator:arb| ; 4 (4) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_003|altera_merlin_arbitrator:arb ; lms_ctr ; -; |lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_004| ; 60 (57) ; 5 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 52 (51) ; 0 (0) ; 8 (5) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_004 ; lms_ctr ; +; |lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_004| ; 60 (57) ; 5 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 41 (40) ; 0 (0) ; 19 (16) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_004 ; lms_ctr ; ; |altera_merlin_arbitrator:arb| ; 4 (4) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_004|altera_merlin_arbitrator:arb ; lms_ctr ; -; |lms_ctr_mm_interconnect_0_router:router| ; 22 (22) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (21) ; 0 (0) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_router:router ; lms_ctr ; +; |lms_ctr_mm_interconnect_0_router:router| ; 21 (21) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (20) ; 0 (0) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_router:router ; lms_ctr ; ; |lms_ctr_mm_interconnect_0_router_001:router_001| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_router_001:router_001 ; lms_ctr ; -; |lms_ctr_mm_interconnect_0_rsp_mux:rsp_mux| ; 103 (103) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 36 (36) ; 0 (0) ; 67 (67) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_rsp_mux:rsp_mux ; lms_ctr ; -; |lms_ctr_mm_interconnect_0_rsp_mux_001:rsp_mux_001| ; 11 (11) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_rsp_mux_001:rsp_mux_001 ; lms_ctr ; -; |lms_ctr_nios2_cpu:nios2_cpu| ; 1144 (0) ; 587 (0) ; 0 (0) ; 10240 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 546 (0) ; 57 (0) ; 541 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu ; lms_ctr ; -; |lms_ctr_nios2_cpu_cpu:cpu| ; 1144 (755) ; 587 (315) ; 0 (0) ; 10240 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 546 (429) ; 57 (11) ; 541 (315) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu ; lms_ctr ; -; |lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci| ; 389 (89) ; 272 (80) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 117 (9) ; 46 (0) ; 226 (78) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci ; lms_ctr ; -; |lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper| ; 133 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 37 (0) ; 40 (0) ; 56 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper ; lms_ctr ; -; |lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk| ; 53 (49) ; 49 (45) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 29 (26) ; 20 (19) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk ; lms_ctr ; +; |lms_ctr_mm_interconnect_0_rsp_mux:rsp_mux| ; 103 (103) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (40) ; 0 (0) ; 63 (63) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_rsp_mux:rsp_mux ; lms_ctr ; +; |lms_ctr_mm_interconnect_0_rsp_mux_001:rsp_mux_001| ; 11 (11) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 0 (0) ; 2 (2) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_rsp_mux_001:rsp_mux_001 ; lms_ctr ; +; |lms_ctr_nios2_cpu:nios2_cpu| ; 1145 (0) ; 587 (0) ; 0 (0) ; 10240 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 550 (0) ; 59 (0) ; 536 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu ; lms_ctr ; +; |lms_ctr_nios2_cpu_cpu:cpu| ; 1145 (753) ; 587 (315) ; 0 (0) ; 10240 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 550 (430) ; 59 (11) ; 536 (312) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu ; lms_ctr ; +; |lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci| ; 392 (88) ; 272 (80) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 120 (8) ; 48 (0) ; 224 (79) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci ; lms_ctr ; +; |lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper| ; 140 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 44 (0) ; 43 (0) ; 53 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper ; lms_ctr ; +; |lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk| ; 53 (49) ; 49 (45) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 33 (30) ; 16 (15) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk ; lms_ctr ; ; |altera_std_synchronizer:the_altera_std_synchronizer3| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|altera_std_synchronizer:the_altera_std_synchronizer3 ; work ; ; |altera_std_synchronizer:the_altera_std_synchronizer4| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|altera_std_synchronizer:the_altera_std_synchronizer4 ; work ; -; |lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck| ; 93 (89) ; 47 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 30 (30) ; 11 (7) ; 52 (52) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck ; lms_ctr ; +; |lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck| ; 92 (88) ; 47 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 37 (37) ; 10 (6) ; 45 (45) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck ; lms_ctr ; ; |altera_std_synchronizer:the_altera_std_synchronizer1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck|altera_std_synchronizer:the_altera_std_synchronizer1 ; work ; ; |altera_std_synchronizer:the_altera_std_synchronizer2| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck|altera_std_synchronizer:the_altera_std_synchronizer2 ; work ; ; |sld_virtual_jtag_basic:lms_ctr_nios2_cpu_cpu_debug_slave_phy| ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 1 (1) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:lms_ctr_nios2_cpu_cpu_debug_slave_phy ; work ; ; |lms_ctr_nios2_cpu_cpu_nios2_avalon_reg:the_lms_ctr_nios2_cpu_cpu_nios2_avalon_reg| ; 11 (11) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 6 (6) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_avalon_reg:the_lms_ctr_nios2_cpu_cpu_nios2_avalon_reg ; lms_ctr ; ; |lms_ctr_nios2_cpu_cpu_nios2_oci_break:the_lms_ctr_nios2_cpu_cpu_nios2_oci_break| ; 33 (33) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 32 (32) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_oci_break:the_lms_ctr_nios2_cpu_cpu_nios2_oci_break ; lms_ctr ; -; |lms_ctr_nios2_cpu_cpu_nios2_oci_debug:the_lms_ctr_nios2_cpu_cpu_nios2_oci_debug| ; 12 (10) ; 9 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 4 (2) ; 5 (5) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_oci_debug:the_lms_ctr_nios2_cpu_cpu_nios2_oci_debug ; lms_ctr ; +; |lms_ctr_nios2_cpu_cpu_nios2_oci_debug:the_lms_ctr_nios2_cpu_cpu_nios2_oci_debug| ; 12 (10) ; 9 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 3 (1) ; 6 (6) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_oci_debug:the_lms_ctr_nios2_cpu_cpu_nios2_oci_debug ; lms_ctr ; ; |altera_std_synchronizer:the_altera_std_synchronizer| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_oci_debug:the_lms_ctr_nios2_cpu_cpu_nios2_oci_debug|altera_std_synchronizer:the_altera_std_synchronizer ; work ; -; |lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem| ; 114 (114) ; 49 (49) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 62 (62) ; 2 (2) ; 50 (50) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem ; lms_ctr ; +; |lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem| ; 114 (114) ; 49 (49) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 59 (59) ; 2 (2) ; 53 (53) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem ; lms_ctr ; ; |lms_ctr_nios2_cpu_cpu_ociram_sp_ram_module:lms_ctr_nios2_cpu_cpu_ociram_sp_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem|lms_ctr_nios2_cpu_cpu_ociram_sp_ram_module:lms_ctr_nios2_cpu_cpu_ociram_sp_ram ; lms_ctr ; ; |altsyncram:the_altsyncram| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem|lms_ctr_nios2_cpu_cpu_ociram_sp_ram_module:lms_ctr_nios2_cpu_cpu_ociram_sp_ram|altsyncram:the_altsyncram ; work ; ; |altsyncram_4a31:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem|lms_ctr_nios2_cpu_cpu_ociram_sp_ram_module:lms_ctr_nios2_cpu_cpu_ociram_sp_ram|altsyncram:the_altsyncram|altsyncram_4a31:auto_generated ; work ; @@ -2005,12 +1996,12 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |lms_ctr_oc_mem:oc_mem| ; 1 (1) ; 0 (0) ; 0 (0) ; 262144 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_oc_mem:oc_mem ; lms_ctr ; ; |altsyncram:the_altsyncram| ; 0 (0) ; 0 (0) ; 0 (0) ; 262144 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_oc_mem:oc_mem|altsyncram:the_altsyncram ; work ; ; |altsyncram_75c1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 262144 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_oc_mem:oc_mem|altsyncram:the_altsyncram|altsyncram_75c1:auto_generated ; work ; -; |lms_ctr_spi_1_ADF:spi_1_adf| ; 153 (153) ; 117 (117) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (33) ; 40 (40) ; 80 (80) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf ; lms_ctr ; -; |lms_ctr_spi_1_DAC:spi_1_dac| ; 147 (147) ; 118 (118) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (29) ; 40 (40) ; 78 (78) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac ; lms_ctr ; -; |lms_ctr_spi_lms:spi_lms| ; 162 (162) ; 118 (118) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 42 (42) ; 42 (42) ; 78 (78) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms ; lms_ctr ; -; |pll_top:inst1_pll_top| ; 1699 (1) ; 1354 (0) ; 0 (0) ; 288 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 340 (1) ; 550 (0) ; 809 (0) ; |lms7_trx_top|pll_top:inst1_pll_top ; work ; -; |pll_ctrl:pll_ctrl_inst2| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 4 (4) ; |lms7_trx_top|pll_top:inst1_pll_top|pll_ctrl:pll_ctrl_inst2 ; work ; -; |rx_pll_top:rx_pll_top_inst0| ; 850 (6) ; 677 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 171 (5) ; 278 (0) ; 401 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0 ; work ; +; |lms_ctr_spi_1_ADF:spi_1_adf| ; 152 (152) ; 117 (117) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 31 (31) ; 42 (42) ; 79 (79) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf ; lms_ctr ; +; |lms_ctr_spi_1_DAC:spi_1_dac| ; 147 (147) ; 118 (118) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (29) ; 37 (37) ; 81 (81) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac ; lms_ctr ; +; |lms_ctr_spi_lms:spi_lms| ; 160 (160) ; 118 (118) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (40) ; 39 (39) ; 81 (81) ; |lms7_trx_top|nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms ; lms_ctr ; +; |pll_top:inst1_pll_top| ; 1701 (1) ; 1354 (0) ; 0 (0) ; 288 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 345 (1) ; 547 (0) ; 809 (0) ; |lms7_trx_top|pll_top:inst1_pll_top ; work ; +; |pll_ctrl:pll_ctrl_inst2| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 6 (6) ; |lms7_trx_top|pll_top:inst1_pll_top|pll_ctrl:pll_ctrl_inst2 ; work ; +; |rx_pll_top:rx_pll_top_inst0| ; 847 (6) ; 677 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 168 (5) ; 270 (0) ; 409 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0 ; work ; ; |altddio_out:ALTDDIO_OUT_component_int5| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altddio_out:ALTDDIO_OUT_component_int5 ; work ; ; |ddio_out_p9j:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altddio_out:ALTDDIO_OUT_component_int5|ddio_out_p9j:auto_generated ; work ; ; |altpll:altpll_inst3| ; 19 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 1 (0) ; 10 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3 ; work ; @@ -2020,9 +2011,9 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |pll_altpll1_pll_altpll_dyn_phase_le:altpll_dyn_phase_le2| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|pll_altpll1_pll_altpll_dyn_phase_le:altpll_dyn_phase_le2 ; work ; ; |pll_altpll1_pll_cntr1:pll_internal_phasestep| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|pll_altpll1_pll_cntr1:pll_internal_phasestep ; work ; ; |pll_altpll1_pll_cntr:phasestep_counter| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|pll_altpll1_pll_cntr:phasestep_counter ; work ; -; |bus_sync_reg:bus_sync_reg0| ; 275 (275) ; 274 (274) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 192 (192) ; 83 (83) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|bus_sync_reg:bus_sync_reg0 ; work ; +; |bus_sync_reg:bus_sync_reg0| ; 275 (275) ; 274 (274) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 187 (187) ; 88 (88) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|bus_sync_reg:bus_sync_reg0 ; work ; ; |bus_sync_reg:bus_sync_reg1| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|bus_sync_reg:bus_sync_reg1 ; work ; -; |bus_sync_reg:bus_sync_reg2| ; 20 (20) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 19 (19) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|bus_sync_reg:bus_sync_reg2 ; work ; +; |bus_sync_reg:bus_sync_reg2| ; 20 (20) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 20 (20) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|bus_sync_reg:bus_sync_reg2 ; work ; ; |bus_sync_reg:bus_sync_reg3| ; 20 (20) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 19 (19) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|bus_sync_reg:bus_sync_reg3 ; work ; ; |clkctrl:clkctrl_inst6| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|clkctrl:clkctrl_inst6 ; clkctrl ; ; |clkctrl_altclkctrl_0:altclkctrl_0| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|clkctrl:clkctrl_inst6|clkctrl_altclkctrl_0:altclkctrl_0 ; clkctrl ; @@ -2033,12 +2024,12 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |clkctrl:clkctrl_inst8| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|clkctrl:clkctrl_inst8 ; clkctrl ; ; |clkctrl_altclkctrl_0:altclkctrl_0| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|clkctrl:clkctrl_inst8|clkctrl_altclkctrl_0:altclkctrl_0 ; clkctrl ; ; |clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|clkctrl:clkctrl_inst8|clkctrl_altclkctrl_0:altclkctrl_0|clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component ; clkctrl ; -; |config_ctrl:config_ctrl_inst0| ; 110 (110) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (25) ; 1 (1) ; 84 (84) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|config_ctrl:config_ctrl_inst0 ; work ; -; |pll_ps_top:pll_ps_top_inst2| ; 302 (21) ; 223 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 79 (7) ; 14 (0) ; 209 (14) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2 ; work ; +; |config_ctrl:config_ctrl_inst0| ; 110 (110) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 26 (26) ; 0 (0) ; 84 (84) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|config_ctrl:config_ctrl_inst0 ; work ; +; |pll_ps_top:pll_ps_top_inst2| ; 302 (20) ; 223 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 78 (6) ; 14 (0) ; 210 (15) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2 ; work ; ; |pll_ps:pll_ps_inst0| ; 66 (66) ; 49 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 1 (1) ; 49 (49) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0 ; work ; ; |pll_ps_fsm:pll_ps_fsm_inst1| ; 231 (231) ; 160 (160) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 56 (56) ; 13 (13) ; 162 (162) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1 ; work ; -; |pll_reconfig_module:pll_reconfig_module_inst1| ; 152 (0) ; 89 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 54 (0) ; 11 (0) ; 87 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1 ; work ; -; |pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component| ; 152 (95) ; 89 (46) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 54 (40) ; 11 (11) ; 87 (44) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component ; work ; +; |pll_reconfig_module:pll_reconfig_module_inst1| ; 149 (0) ; 89 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 51 (0) ; 8 (0) ; 90 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1 ; work ; +; |pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component| ; 149 (92) ; 89 (46) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 51 (37) ; 8 (8) ; 90 (47) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component ; work ; ; |altsyncram:altsyncram4| ; 0 (0) ; 0 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|altsyncram:altsyncram4 ; work ; ; |altsyncram_v1k3:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|altsyncram:altsyncram4|altsyncram_v1k3:auto_generated ; work ; ; |lpm_counter:cntr12| ; 10 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 8 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr12 ; work ; @@ -2055,27 +2046,27 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |cntr_a9o:auto_generated| ; 9 (9) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 8 (8) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr2|cntr_a9o:auto_generated ; work ; ; |sync_reg:sync_reg0| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg0 ; work ; ; |sync_reg:sync_reg10| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg10 ; work ; -; |sync_reg:sync_reg1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg1 ; work ; +; |sync_reg:sync_reg1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg1 ; work ; ; |sync_reg:sync_reg2| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg2 ; work ; ; |sync_reg:sync_reg4| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg4 ; work ; ; |sync_reg:sync_reg5| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg5 ; work ; -; |sync_reg:sync_reg6| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg6 ; work ; +; |sync_reg:sync_reg6| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg6 ; work ; ; |sync_reg:sync_reg7| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg7 ; work ; ; |sync_reg:sync_reg8| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg8 ; work ; ; |sync_reg:sync_reg9| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg9 ; work ; -; |tx_pll_top:tx_pll_top_inst0| ; 845 (6) ; 677 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 164 (2) ; 272 (0) ; 409 (4) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0 ; work ; +; |tx_pll_top:tx_pll_top_inst0| ; 853 (6) ; 677 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 174 (6) ; 277 (0) ; 402 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0 ; work ; ; |altddio_out:ALTDDIO_OUT_component_int5| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altddio_out:ALTDDIO_OUT_component_int5 ; work ; ; |ddio_out_p9j:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altddio_out:ALTDDIO_OUT_component_int5|ddio_out_p9j:auto_generated ; work ; -; |altpll:altpll_inst3| ; 19 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 1 (0) ; 10 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3 ; work ; -; |pll_altpll2:auto_generated| ; 19 (12) ; 9 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (6) ; 1 (1) ; 10 (6) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated ; work ; +; |altpll:altpll_inst3| ; 19 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (0) ; 1 (0) ; 9 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3 ; work ; +; |pll_altpll2:auto_generated| ; 19 (12) ; 9 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (7) ; 1 (1) ; 9 (5) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated ; work ; ; |pll_altpll2_pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|pll_altpll2_pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5 ; work ; ; |pll_altpll2_pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|pll_altpll2_pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4 ; work ; ; |pll_altpll2_pll_altpll_dyn_phase_le:altpll_dyn_phase_le2| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|pll_altpll2_pll_altpll_dyn_phase_le:altpll_dyn_phase_le2 ; work ; ; |pll_altpll2_pll_cntr1:pll_internal_phasestep| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|pll_altpll2_pll_cntr1:pll_internal_phasestep ; work ; ; |pll_altpll2_pll_cntr:phasestep_counter| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|pll_altpll2_pll_cntr:phasestep_counter ; work ; -; |bus_sync_reg:bus_sync_reg0| ; 274 (274) ; 274 (274) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 190 (190) ; 84 (84) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|bus_sync_reg:bus_sync_reg0 ; work ; +; |bus_sync_reg:bus_sync_reg0| ; 275 (275) ; 274 (274) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 186 (186) ; 89 (89) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|bus_sync_reg:bus_sync_reg0 ; work ; ; |bus_sync_reg:bus_sync_reg1| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|bus_sync_reg:bus_sync_reg1 ; work ; -; |bus_sync_reg:bus_sync_reg2| ; 20 (20) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 20 (20) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|bus_sync_reg:bus_sync_reg2 ; work ; +; |bus_sync_reg:bus_sync_reg2| ; 20 (20) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 19 (19) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|bus_sync_reg:bus_sync_reg2 ; work ; ; |bus_sync_reg:bus_sync_reg3| ; 20 (20) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 19 (19) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|bus_sync_reg:bus_sync_reg3 ; work ; ; |clkctrl:clkctrl_inst6| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|clkctrl:clkctrl_inst6 ; clkctrl ; ; |clkctrl_altclkctrl_0:altclkctrl_0| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|clkctrl:clkctrl_inst6|clkctrl_altclkctrl_0:altclkctrl_0 ; clkctrl ; @@ -2087,11 +2078,11 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |clkctrl_altclkctrl_0:altclkctrl_0| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|clkctrl:clkctrl_inst8|clkctrl_altclkctrl_0:altclkctrl_0 ; clkctrl ; ; |clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|clkctrl:clkctrl_inst8|clkctrl_altclkctrl_0:altclkctrl_0|clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component ; clkctrl ; ; |config_ctrl:config_ctrl_inst0| ; 110 (110) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (25) ; 1 (1) ; 84 (84) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|config_ctrl:config_ctrl_inst0 ; work ; -; |pll_ps_top:pll_ps_top_inst2| ; 303 (21) ; 223 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 77 (7) ; 15 (0) ; 211 (14) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2 ; work ; -; |pll_ps:pll_ps_inst0| ; 66 (66) ; 49 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 1 (1) ; 49 (49) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0 ; work ; -; |pll_ps_fsm:pll_ps_fsm_inst1| ; 232 (232) ; 160 (160) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 54 (54) ; 14 (14) ; 164 (164) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1 ; work ; -; |pll_reconfig_module:pll_reconfig_module_inst1| ; 150 (0) ; 89 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 52 (0) ; 9 (0) ; 89 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1 ; work ; -; |pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component| ; 150 (93) ; 89 (46) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 52 (38) ; 9 (9) ; 89 (46) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component ; work ; +; |pll_ps_top:pll_ps_top_inst2| ; 303 (21) ; 223 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 79 (7) ; 16 (0) ; 208 (14) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2 ; work ; +; |pll_ps:pll_ps_inst0| ; 66 (66) ; 49 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 2 (2) ; 48 (48) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0 ; work ; +; |pll_ps_fsm:pll_ps_fsm_inst1| ; 232 (232) ; 160 (160) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 56 (56) ; 14 (14) ; 162 (162) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1 ; work ; +; |pll_reconfig_module:pll_reconfig_module_inst1| ; 154 (0) ; 89 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 55 (0) ; 13 (0) ; 86 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1 ; work ; +; |pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component| ; 154 (97) ; 89 (46) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 55 (41) ; 13 (13) ; 86 (43) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component ; work ; ; |altsyncram:altsyncram4| ; 0 (0) ; 0 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|altsyncram:altsyncram4 ; work ; ; |altsyncram_v1k3:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|altsyncram:altsyncram4|altsyncram_v1k3:auto_generated ; work ; ; |lpm_counter:cntr12| ; 10 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 8 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr12 ; work ; @@ -2107,67 +2098,67 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |lpm_counter:cntr2| ; 9 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 8 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr2 ; work ; ; |cntr_a9o:auto_generated| ; 9 (9) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 8 (8) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr2|cntr_a9o:auto_generated ; work ; ; |sync_reg:sync_reg0| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg0 ; work ; -; |sync_reg:sync_reg10| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg10 ; work ; +; |sync_reg:sync_reg10| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg10 ; work ; ; |sync_reg:sync_reg1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg1 ; work ; ; |sync_reg:sync_reg2| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg2 ; work ; ; |sync_reg:sync_reg4| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg4 ; work ; -; |sync_reg:sync_reg5| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg5 ; work ; -; |sync_reg:sync_reg6| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg6 ; work ; +; |sync_reg:sync_reg5| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg5 ; work ; +; |sync_reg:sync_reg6| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg6 ; work ; ; |sync_reg:sync_reg7| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg7 ; work ; ; |sync_reg:sync_reg8| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg8 ; work ; ; |sync_reg:sync_reg9| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg9 ; work ; -; |rxtx_top:inst6_rxtx_top| ; 13264 (1) ; 9383 (1) ; 0 (0) ; 305064 ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3851 (0) ; 2953 (0) ; 6460 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top ; work ; +; |rxtx_top:inst6_rxtx_top| ; 13270 (1) ; 9395 (1) ; 0 (0) ; 305056 ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3869 (0) ; 2883 (1) ; 6518 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top ; work ; ; |lms7002_ddout:lms7002_ddout_inst4| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|lms7002_ddout:lms7002_ddout_inst4 ; work ; ; |altddio_out:ALTDDIO_OUT_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|lms7002_ddout:lms7002_ddout_inst4|altddio_out:ALTDDIO_OUT_component ; work ; ; |ddio_out_epj:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|lms7002_ddout:lms7002_ddout_inst4|altddio_out:ALTDDIO_OUT_component|ddio_out_epj:auto_generated ; work ; -; |pulse_gen:pulse_gen_inst6| ; 106 (106) ; 65 (65) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 19 (19) ; 68 (68) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|pulse_gen:pulse_gen_inst6 ; work ; -; |rx_path_top:rx_path_top_inst5| ; 2982 (0) ; 2767 (0) ; 0 (0) ; 49408 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 212 (0) ; 1187 (0) ; 1583 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5 ; work ; +; |pulse_gen:pulse_gen_inst6| ; 88 (88) ; 65 (65) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (21) ; 1 (1) ; 66 (66) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|pulse_gen:pulse_gen_inst6 ; work ; +; |rx_path_top:rx_path_top_inst5| ; 2984 (0) ; 2768 (0) ; 0 (0) ; 49408 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 214 (0) ; 1186 (0) ; 1584 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5 ; work ; ; |altshift_taps:delay_chain_rtl_0| ; 7 (0) ; 6 (0) ; 0 (0) ; 256 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 1 (0) ; 5 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0 ; work ; ; |shift_taps_kkm:auto_generated| ; 7 (1) ; 6 (1) ; 0 (0) ; 256 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 1 (1) ; 5 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated ; work ; ; |altsyncram_82b1:altsyncram2| ; 0 (0) ; 0 (0) ; 0 (0) ; 256 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2 ; work ; ; |cntr_7pf:cntr1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|cntr_7pf:cntr1 ; work ; ; |cntr_u8h:cntr3| ; 4 (4) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|cntr_u8h:cntr3 ; work ; -; |bus_sync_reg:bus_sync_reg0| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|bus_sync_reg:bus_sync_reg0 ; work ; +; |bus_sync_reg:bus_sync_reg0| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|bus_sync_reg:bus_sync_reg0 ; work ; ; |bus_sync_reg:bus_sync_reg1| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|bus_sync_reg:bus_sync_reg1 ; work ; -; |bus_sync_reg:bus_sync_reg3| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (4) ; 28 (28) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|bus_sync_reg:bus_sync_reg3 ; work ; -; |data2packets_top:data2packets_top_inst2| ; 1646 (98) ; 1613 (88) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (6) ; 757 (65) ; 860 (25) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2 ; work ; -; |bit_pack:bit_pack_inst1| ; 1280 (130) ; 1277 (130) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (0) ; 629 (0) ; 648 (130) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1 ; work ; +; |bus_sync_reg:bus_sync_reg3| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 30 (30) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|bus_sync_reg:bus_sync_reg3 ; work ; +; |data2packets_top:data2packets_top_inst2| ; 1644 (97) ; 1614 (89) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 27 (6) ; 756 (65) ; 861 (26) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2 ; work ; +; |bit_pack:bit_pack_inst1| ; 1279 (131) ; 1277 (130) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (1) ; 628 (1) ; 649 (129) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1 ; work ; ; |pack_48_to_64:inst0| ; 262 (262) ; 262 (262) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 192 (192) ; 70 (70) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_48_to_64:inst0 ; work ; -; |pack_56_to_64:inst1| ; 888 (888) ; 885 (885) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 437 (437) ; 448 (448) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1 ; work ; -; |data2packets:data2packets_inst2| ; 233 (233) ; 221 (221) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 63 (63) ; 160 (160) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|data2packets:data2packets_inst2 ; work ; +; |pack_56_to_64:inst1| ; 886 (886) ; 885 (885) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 435 (435) ; 450 (450) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1 ; work ; +; |data2packets:data2packets_inst2| ; 232 (232) ; 221 (221) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 63 (63) ; 160 (160) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|data2packets:data2packets_inst2 ; work ; ; |data2packets_fsm:data2packets_fsm_inst0| ; 37 (37) ; 27 (27) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 27 (27) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|data2packets_fsm:data2packets_fsm_inst0 ; work ; -; |diq2fifo:diq2fifo_inst0| ; 764 (26) ; 707 (26) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 37 (0) ; 355 (0) ; 372 (27) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0 ; work ; -; |lms7002_ddin:inst0_lms7002_ddin| ; 39 (0) ; 39 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 38 (0) ; 1 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|lms7002_ddin:inst0_lms7002_ddin ; work ; -; |altddio_in:ALTDDIO_IN_component| ; 39 (0) ; 39 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 38 (0) ; 1 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|lms7002_ddin:inst0_lms7002_ddin|altddio_in:ALTDDIO_IN_component ; work ; -; |ddio_in_opi:auto_generated| ; 39 (39) ; 39 (39) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 38 (38) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|lms7002_ddin:inst0_lms7002_ddin|altddio_in:ALTDDIO_IN_component|ddio_in_opi:auto_generated ; work ; -; |rxiq:inst1_rxiq| ; 590 (51) ; 570 (51) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 295 (1) ; 276 (50) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq ; work ; +; |diq2fifo:diq2fifo_inst0| ; 770 (26) ; 707 (26) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 41 (0) ; 358 (0) ; 371 (27) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0 ; work ; +; |lms7002_ddin:inst0_lms7002_ddin| ; 39 (0) ; 39 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 39 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|lms7002_ddin:inst0_lms7002_ddin ; work ; +; |altddio_in:ALTDDIO_IN_component| ; 39 (0) ; 39 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 39 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|lms7002_ddin:inst0_lms7002_ddin|altddio_in:ALTDDIO_IN_component ; work ; +; |ddio_in_opi:auto_generated| ; 39 (39) ; 39 (39) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 39 (39) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|lms7002_ddin:inst0_lms7002_ddin|altddio_in:ALTDDIO_IN_component|ddio_in_opi:auto_generated ; work ; +; |rxiq:inst1_rxiq| ; 595 (56) ; 570 (51) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (3) ; 297 (3) ; 276 (50) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq ; work ; ; |rxiq_mimo:inst1_rxiq_mimo| ; 379 (51) ; 363 (51) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (0) ; 194 (1) ; 170 (50) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo ; work ; -; |rxiq_mimo_ddr:rxiq_mimo_ddr_inst0| ; 165 (165) ; 156 (156) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 97 (97) ; 60 (60) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_mimo_ddr:rxiq_mimo_ddr_inst0 ; work ; -; |rxiq_pulse_ddr:rxiq_pulse_ddr_inst1| ; 165 (165) ; 156 (156) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 96 (96) ; 62 (62) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_pulse_ddr:rxiq_pulse_ddr_inst1 ; work ; +; |rxiq_mimo_ddr:rxiq_mimo_ddr_inst0| ; 166 (166) ; 156 (156) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 97 (97) ; 61 (61) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_mimo_ddr:rxiq_mimo_ddr_inst0 ; work ; +; |rxiq_pulse_ddr:rxiq_pulse_ddr_inst1| ; 166 (166) ; 156 (156) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 96 (96) ; 63 (63) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_pulse_ddr:rxiq_pulse_ddr_inst1 ; work ; ; |rxiq_siso:inst0_rxiq_siso| ; 160 (51) ; 156 (51) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 100 (1) ; 56 (50) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso ; work ; -; |rxiq_siso_ddr:rxiq_siso_ddr_inst1| ; 55 (55) ; 52 (52) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 49 (49) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_ddr:rxiq_siso_ddr_inst1 ; work ; -; |rxiq_siso_sdr:rxiq_siso_sdr_inst0| ; 54 (54) ; 53 (53) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 50 (50) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_sdr:rxiq_siso_sdr_inst0 ; work ; -; |smpl_cmp:inst3_smpl_cmp| ; 97 (97) ; 60 (60) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (18) ; 22 (22) ; 57 (57) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|smpl_cmp:inst3_smpl_cmp ; work ; +; |rxiq_siso_ddr:rxiq_siso_ddr_inst1| ; 56 (56) ; 52 (52) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 50 (50) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_ddr:rxiq_siso_ddr_inst1 ; work ; +; |rxiq_siso_sdr:rxiq_siso_sdr_inst0| ; 53 (53) ; 53 (53) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 49 (49) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_sdr:rxiq_siso_sdr_inst0 ; work ; +; |smpl_cmp:inst3_smpl_cmp| ; 98 (98) ; 60 (60) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 22 (22) ; 57 (57) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|smpl_cmp:inst3_smpl_cmp ; work ; ; |test_data_dd:int2_test_data_dd| ; 12 (12) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 12 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|test_data_dd:int2_test_data_dd ; work ; -; |fifo_inst:smpl_fifo_inst1| ; 143 (0) ; 128 (0) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (0) ; 52 (0) ; 76 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1 ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 143 (0) ; 128 (0) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (0) ; 52 (0) ; 76 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_nro1:auto_generated| ; 143 (36) ; 128 (33) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (3) ; 52 (23) ; 76 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated ; work ; -; |a_gray2bin_7ib:rdptr_g_gray2bin| ; 10 (10) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|a_gray2bin_7ib:rdptr_g_gray2bin ; work ; +; |fifo_inst:smpl_fifo_inst1| ; 142 (0) ; 128 (0) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (0) ; 54 (0) ; 74 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1 ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 142 (0) ; 128 (0) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (0) ; 54 (0) ; 74 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_nro1:auto_generated| ; 142 (32) ; 128 (33) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (1) ; 54 (21) ; 74 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated ; work ; +; |a_gray2bin_7ib:rdptr_g_gray2bin| ; 10 (10) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 9 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|a_gray2bin_7ib:rdptr_g_gray2bin ; work ; ; |a_gray2bin_7ib:rs_dgwp_gray2bin| ; 10 (10) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|a_gray2bin_7ib:rs_dgwp_gray2bin ; work ; -; |a_graycounter_2lc:wrptr_g1p| ; 21 (21) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 18 (18) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|a_graycounter_2lc:wrptr_g1p ; work ; -; |a_graycounter_777:rdptr_g1p| ; 24 (24) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 19 (19) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|a_graycounter_777:rdptr_g1p ; work ; -; |alt_synch_pipe_cpl:rs_dgwp| ; 22 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (0) ; 10 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|alt_synch_pipe_cpl:rs_dgwp ; work ; -; |dffpipe_0f9:dffpipe12| ; 22 (22) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 10 (10) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|alt_synch_pipe_cpl:rs_dgwp|dffpipe_0f9:dffpipe12 ; work ; -; |alt_synch_pipe_dpl:ws_dgrp| ; 22 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (0) ; 6 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|alt_synch_pipe_dpl:ws_dgrp ; work ; -; |dffpipe_1f9:dffpipe15| ; 22 (22) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (16) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|alt_synch_pipe_dpl:ws_dgrp|dffpipe_1f9:dffpipe15 ; work ; +; |a_graycounter_2lc:wrptr_g1p| ; 21 (21) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 17 (17) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|a_graycounter_2lc:wrptr_g1p ; work ; +; |a_graycounter_777:rdptr_g1p| ; 24 (24) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 18 (18) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|a_graycounter_777:rdptr_g1p ; work ; +; |alt_synch_pipe_cpl:rs_dgwp| ; 22 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (0) ; 7 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|alt_synch_pipe_cpl:rs_dgwp ; work ; +; |dffpipe_0f9:dffpipe12| ; 22 (22) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (15) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|alt_synch_pipe_cpl:rs_dgwp|dffpipe_0f9:dffpipe12 ; work ; +; |alt_synch_pipe_dpl:ws_dgrp| ; 22 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (0) ; 7 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|alt_synch_pipe_dpl:ws_dgrp ; work ; +; |dffpipe_1f9:dffpipe15| ; 22 (22) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (15) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|alt_synch_pipe_dpl:ws_dgrp|dffpipe_1f9:dffpipe15 ; work ; ; |altsyncram_i271:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|altsyncram_i271:fifo_ram ; work ; ; |cmpr_o76:rdempty_eq_comp| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|cmpr_o76:rdempty_eq_comp ; work ; ; |cmpr_o76:rdfull_eq_comp| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|cmpr_o76:rdfull_eq_comp ; work ; -; |cmpr_o76:wrfull_eq_comp| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|cmpr_o76:wrfull_eq_comp ; work ; +; |cmpr_o76:wrfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|cmpr_o76:wrfull_eq_comp ; work ; ; |dffpipe_8d9:rdfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|dffpipe_8d9:rdfull_reg ; work ; -; |dffpipe_ue9:rs_brp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 9 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|dffpipe_ue9:rs_brp ; work ; +; |dffpipe_ue9:rs_brp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|dffpipe_ue9:rs_brp ; work ; ; |dffpipe_ue9:rs_bwp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|dffpipe_ue9:rs_bwp ; work ; -; |smpl_cnt:smpl_cnt_inst3| ; 195 (66) ; 129 (65) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 65 (0) ; 1 (1) ; 129 (65) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3 ; work ; +; |smpl_cnt:smpl_cnt_inst3| ; 197 (68) ; 129 (65) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 66 (1) ; 3 (3) ; 128 (64) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3 ; work ; ; |lpm_cnt_inst:lpm_cnt_inst_inst0| ; 129 (0) ; 64 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 65 (0) ; 0 (0) ; 64 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|lpm_cnt_inst:lpm_cnt_inst_inst0 ; work ; ; |lpm_counter:LPM_COUNTER_component| ; 129 (0) ; 64 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 65 (0) ; 0 (0) ; 64 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|lpm_cnt_inst:lpm_cnt_inst_inst0|lpm_counter:LPM_COUNTER_component ; work ; ; |cntr_f5l:auto_generated| ; 129 (129) ; 64 (64) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 65 (65) ; 0 (0) ; 64 (64) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|lpm_cnt_inst:lpm_cnt_inst_inst0|lpm_counter:LPM_COUNTER_component|cntr_f5l:auto_generated ; work ; @@ -2175,111 +2166,112 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |lpm_cnt_inst:lpm_cnt_inst_inst0| ; 129 (0) ; 64 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 65 (0) ; 0 (0) ; 64 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst4|lpm_cnt_inst:lpm_cnt_inst_inst0 ; work ; ; |lpm_counter:LPM_COUNTER_component| ; 129 (0) ; 64 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 65 (0) ; 0 (0) ; 64 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst4|lpm_cnt_inst:lpm_cnt_inst_inst0|lpm_counter:LPM_COUNTER_component ; work ; ; |cntr_f5l:auto_generated| ; 129 (129) ; 64 (64) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 65 (65) ; 0 (0) ; 64 (64) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst4|lpm_cnt_inst:lpm_cnt_inst_inst0|lpm_counter:LPM_COUNTER_component|cntr_f5l:auto_generated ; work ; -; |sync_reg:sync_reg0| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg0 ; work ; +; |sync_reg:sync_reg0| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg0 ; work ; ; |sync_reg:sync_reg10| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg10 ; work ; ; |sync_reg:sync_reg11| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg11 ; work ; ; |sync_reg:sync_reg1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg1 ; work ; -; |sync_reg:sync_reg4| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg4 ; work ; -; |sync_reg:sync_reg5| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg5 ; work ; +; |sync_reg:sync_reg4| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg4 ; work ; +; |sync_reg:sync_reg5| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg5 ; work ; ; |sync_reg:sync_reg6| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg6 ; work ; ; |sync_reg:sync_reg8| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg8 ; work ; ; |sync_reg:sync_reg0| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|sync_reg:sync_reg0 ; work ; ; |sync_reg:sync_reg1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|sync_reg:sync_reg1 ; work ; -; |tx_path_top:tx_path_top_inst1| ; 2646 (40) ; 2227 (12) ; 0 (0) ; 172032 ; 21 ; 0 ; 0 ; 0 ; 0 ; 0 ; 417 (27) ; 830 (2) ; 1399 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1 ; work ; -; |bus_sync_reg:bus_sync_reg0| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|bus_sync_reg:bus_sync_reg0 ; work ; +; |tx_path_top:tx_path_top_inst1| ; 2726 (41) ; 2250 (13) ; 0 (0) ; 172032 ; 21 ; 0 ; 0 ; 0 ; 0 ; 0 ; 473 (28) ; 785 (1) ; 1468 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1 ; work ; +; |bus_sync_reg:bus_sync_reg0| ; 5 (5) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|bus_sync_reg:bus_sync_reg0 ; work ; ; |bus_sync_reg:bus_sync_reg1| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|bus_sync_reg:bus_sync_reg1 ; work ; -; |fifo2diq:diq2fifo_inst1| ; 314 (18) ; 202 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 104 (0) ; 2 (0) ; 208 (18) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1 ; work ; -; |txiq:inst1_txiq| ; 196 (196) ; 116 (116) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 75 (75) ; 2 (2) ; 119 (119) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq:inst1_txiq ; work ; -; |txiq_ctrl:txiq_ctrl_inst3| ; 100 (100) ; 69 (69) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (29) ; 0 (0) ; 71 (71) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq_ctrl:txiq_ctrl_inst3 ; work ; -; |packets2data_top:packets2data_top_inst0| ; 2181 (5) ; 1905 (2) ; 0 (0) ; 155648 ; 19 ; 0 ; 0 ; 0 ; 0 ; 0 ; 275 (3) ; 771 (0) ; 1135 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0 ; work ; -; |bit_unpack_64:bit_unpack_64_inst1| ; 651 (197) ; 649 (194) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 353 (2) ; 297 (194) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1 ; work ; -; |unpack_64_to_48:unpack_64_to_48_inst0| ; 309 (309) ; 309 (309) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 207 (207) ; 102 (102) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1|unpack_64_to_48:unpack_64_to_48_inst0 ; work ; -; |unpack_64_to_64:unpack_64_to_64_inst2| ; 146 (146) ; 146 (146) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 144 (144) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1|unpack_64_to_64:unpack_64_to_64_inst2 ; work ; -; |fifo_inst:fifo_inst_isnt2| ; 126 (0) ; 107 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 43 (0) ; 64 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2 ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 126 (0) ; 107 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 43 (0) ; 64 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_gqo1:auto_generated| ; 126 (36) ; 107 (27) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (6) ; 43 (21) ; 64 (10) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated ; work ; -; |a_gray2bin_ugb:wrptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:wrptr_g_gray2bin ; work ; +; |fifo2diq:diq2fifo_inst1| ; 314 (17) ; 202 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 99 (0) ; 2 (0) ; 213 (17) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1 ; work ; +; |txiq:inst1_txiq| ; 197 (197) ; 116 (116) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 71 (71) ; 2 (2) ; 124 (124) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq:inst1_txiq ; work ; +; |txiq_ctrl:txiq_ctrl_inst3| ; 100 (100) ; 69 (69) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 28 (28) ; 0 (0) ; 72 (72) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq_ctrl:txiq_ctrl_inst3 ; work ; +; |packets2data_top:packets2data_top_inst0| ; 2262 (6) ; 1927 (2) ; 0 (0) ; 155648 ; 19 ; 0 ; 0 ; 0 ; 0 ; 0 ; 335 (4) ; 727 (0) ; 1200 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0 ; work ; +; |bit_unpack_64:bit_unpack_64_inst1| ; 651 (194) ; 649 (194) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 353 (0) ; 298 (194) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1 ; work ; +; |unpack_64_to_48:unpack_64_to_48_inst0| ; 310 (310) ; 309 (309) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 208 (208) ; 102 (102) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1|unpack_64_to_48:unpack_64_to_48_inst0 ; work ; +; |unpack_64_to_64:unpack_64_to_64_inst2| ; 147 (147) ; 146 (146) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 145 (145) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1|unpack_64_to_64:unpack_64_to_64_inst2 ; work ; +; |fifo_inst:fifo_inst_isnt2| ; 125 (0) ; 107 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 43 (0) ; 64 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2 ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 125 (0) ; 107 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 43 (0) ; 64 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_gqo1:auto_generated| ; 125 (37) ; 107 (27) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (2) ; 43 (21) ; 64 (15) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated ; work ; +; |a_gray2bin_ugb:wrptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:wrptr_g_gray2bin ; work ; ; |a_gray2bin_ugb:ws_dgrp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:ws_dgrp_gray2bin ; work ; ; |a_graycounter_pjc:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 12 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; -; |a_graycounter_s57:rdptr_g1p| ; 18 (18) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 16 (16) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p ; work ; -; |alt_synch_pipe_6ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (0) ; 8 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp ; work ; -; |dffpipe_nd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (10) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp|dffpipe_nd9:dffpipe9 ; work ; -; |alt_synch_pipe_7ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (0) ; 8 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp ; work ; -; |dffpipe_od9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (10) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp|dffpipe_od9:dffpipe12 ; work ; +; |a_graycounter_s57:rdptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 15 (15) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p ; work ; +; |alt_synch_pipe_6ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (0) ; 5 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp ; work ; +; |dffpipe_nd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (13) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp|dffpipe_nd9:dffpipe9 ; work ; +; |alt_synch_pipe_7ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 7 (0) ; 11 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp ; work ; +; |dffpipe_od9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 7 (7) ; 11 (11) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp|dffpipe_od9:dffpipe12 ; work ; ; |altsyncram_kl31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram ; work ; -; |cmpr_f66:rdempty_eq_comp| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; +; |cmpr_f66:rdempty_eq_comp| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; ; |cmpr_f66:wrfull_eq_comp| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:wrfull_eq_comp ; work ; ; |cntr_54e:cntr_b| ; 3 (3) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cntr_54e:cntr_b ; work ; ; |dffpipe_8d9:wrfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_8d9:wrfull_reg ; work ; -; |dffpipe_gd9:ws_brp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_gd9:ws_brp ; work ; -; |dffpipe_gd9:ws_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_gd9:ws_bwp ; work ; -; |packets2data:packets2data_inst0| ; 1401 (153) ; 1147 (79) ; 0 (0) ; 131072 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 252 (75) ; 375 (6) ; 774 (72) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0 ; work ; -; |fifo_inst:\gen_fifo:0:fifo_inst_isntx| ; 132 (0) ; 112 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 44 (0) ; 70 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 132 (0) ; 112 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 44 (0) ; 70 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_gqo1:auto_generated| ; 132 (41) ; 112 (28) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (7) ; 44 (16) ; 70 (15) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated ; work ; +; |dffpipe_gd9:ws_brp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_gd9:ws_brp ; work ; +; |dffpipe_gd9:ws_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_gd9:ws_bwp ; work ; +; |packets2data:packets2data_inst0| ; 1483 (155) ; 1169 (79) ; 0 (0) ; 131072 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 313 (76) ; 331 (4) ; 839 (74) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0 ; work ; +; |fifo_inst:\gen_fifo:0:fifo_inst_isntx| ; 133 (0) ; 109 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (0) ; 44 (0) ; 65 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 133 (0) ; 109 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (0) ; 44 (0) ; 65 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_gqo1:auto_generated| ; 133 (44) ; 109 (28) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (12) ; 44 (19) ; 65 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated ; work ; ; |a_gray2bin_ugb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:rdptr_g_gray2bin ; work ; ; |a_gray2bin_ugb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:rs_dgwp_gray2bin ; work ; -; |a_graycounter_pjc:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 1 (1) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; -; |a_graycounter_s57:rdptr_g1p| ; 19 (19) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 2 (2) ; 14 (14) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p ; work ; +; |a_graycounter_pjc:wrptr_g1p| ; 16 (16) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 15 (15) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; +; |a_graycounter_s57:rdptr_g1p| ; 18 (18) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p ; work ; ; |alt_synch_pipe_6ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (0) ; 4 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp ; work ; ; |dffpipe_nd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (14) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp|dffpipe_nd9:dffpipe9 ; work ; ; |alt_synch_pipe_7ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (0) ; 7 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp ; work ; ; |dffpipe_od9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (11) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp|dffpipe_od9:dffpipe12 ; work ; ; |altsyncram_kl31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram ; work ; -; |cmpr_f66:rdempty_eq_comp| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; +; |cmpr_f66:rdempty_eq_comp| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; ; |cmpr_f66:wrempty_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:wrempty_eq_comp ; work ; +; |cmpr_f66:wrfull_eq_comp| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:wrfull_eq_comp ; work ; ; |cmpr_n76:rdfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_n76:rdfull_eq_comp ; work ; ; |cntr_54e:cntr_b| ; 3 (3) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cntr_54e:cntr_b ; work ; ; |dffpipe_8d9:rdfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_8d9:rdfull_reg ; work ; ; |dffpipe_gd9:rs_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_gd9:rs_bwp ; work ; ; |dffpipe_oe9:rs_brp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_oe9:rs_brp ; work ; -; |fifo_inst:\gen_fifo:1:fifo_inst_isntx| ; 130 (0) ; 112 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 43 (0) ; 69 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 130 (0) ; 112 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 43 (0) ; 69 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_gqo1:auto_generated| ; 130 (39) ; 112 (28) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (6) ; 43 (17) ; 69 (15) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated ; work ; +; |fifo_inst:\gen_fifo:1:fifo_inst_isntx| ; 132 (0) ; 109 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 (0) ; 39 (0) ; 70 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 132 (0) ; 109 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 (0) ; 39 (0) ; 70 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_gqo1:auto_generated| ; 132 (42) ; 109 (28) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 (10) ; 39 (19) ; 70 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated ; work ; ; |a_gray2bin_ugb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:rdptr_g_gray2bin ; work ; ; |a_gray2bin_ugb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:rs_dgwp_gray2bin ; work ; -; |a_graycounter_pjc:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 12 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; -; |a_graycounter_s57:rdptr_g1p| ; 19 (19) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 2 (2) ; 14 (14) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p ; work ; -; |alt_synch_pipe_6ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (0) ; 8 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp ; work ; -; |dffpipe_nd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (10) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp|dffpipe_nd9:dffpipe9 ; work ; -; |alt_synch_pipe_7ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (0) ; 6 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp ; work ; -; |dffpipe_od9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp|dffpipe_od9:dffpipe12 ; work ; +; |a_graycounter_pjc:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 1 (1) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; +; |a_graycounter_s57:rdptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p ; work ; +; |alt_synch_pipe_6ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (0) ; 7 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp ; work ; +; |dffpipe_nd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (11) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp|dffpipe_nd9:dffpipe9 ; work ; +; |alt_synch_pipe_7ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (0) ; 10 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp ; work ; +; |dffpipe_od9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (8) ; 10 (10) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp|dffpipe_od9:dffpipe12 ; work ; ; |altsyncram_kl31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram ; work ; ; |cmpr_f66:rdempty_eq_comp| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; ; |cmpr_f66:wrempty_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:wrempty_eq_comp ; work ; -; |cmpr_n76:rdfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_n76:rdfull_eq_comp ; work ; +; |cmpr_n76:rdfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_n76:rdfull_eq_comp ; work ; ; |cntr_54e:cntr_b| ; 3 (3) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cntr_54e:cntr_b ; work ; ; |dffpipe_8d9:rdfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_8d9:rdfull_reg ; work ; ; |dffpipe_gd9:rs_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_gd9:rs_bwp ; work ; -; |dffpipe_oe9:rs_brp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_oe9:rs_brp ; work ; -; |fifo_inst:\gen_fifo:2:fifo_inst_isntx| ; 122 (0) ; 109 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 35 (0) ; 74 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 122 (0) ; 109 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 35 (0) ; 74 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_gqo1:auto_generated| ; 122 (41) ; 109 (28) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (3) ; 35 (19) ; 74 (17) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated ; work ; -; |a_gray2bin_ugb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:rdptr_g_gray2bin ; work ; -; |a_gray2bin_ugb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:rs_dgwp_gray2bin ; work ; -; |a_graycounter_pjc:wrptr_g1p| ; 16 (16) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; -; |a_graycounter_s57:rdptr_g1p| ; 16 (16) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p ; work ; -; |alt_synch_pipe_6ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (0) ; 13 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp ; work ; -; |dffpipe_nd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (5) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp|dffpipe_nd9:dffpipe9 ; work ; -; |alt_synch_pipe_7ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (0) ; 8 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp ; work ; -; |dffpipe_od9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (10) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp|dffpipe_od9:dffpipe12 ; work ; +; |dffpipe_oe9:rs_brp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_oe9:rs_brp ; work ; +; |fifo_inst:\gen_fifo:2:fifo_inst_isntx| ; 127 (0) ; 109 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 17 (0) ; 38 (0) ; 72 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 127 (0) ; 109 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 17 (0) ; 38 (0) ; 72 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_gqo1:auto_generated| ; 127 (41) ; 109 (28) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 17 (4) ; 38 (19) ; 72 (18) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated ; work ; +; |a_gray2bin_ugb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:rdptr_g_gray2bin ; work ; +; |a_gray2bin_ugb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:rs_dgwp_gray2bin ; work ; +; |a_graycounter_pjc:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 1 (1) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; +; |a_graycounter_s57:rdptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 15 (15) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p ; work ; +; |alt_synch_pipe_6ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (0) ; 8 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp ; work ; +; |dffpipe_nd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (10) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp|dffpipe_nd9:dffpipe9 ; work ; +; |alt_synch_pipe_7ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (0) ; 12 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp ; work ; +; |dffpipe_od9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 12 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp|dffpipe_od9:dffpipe12 ; work ; ; |altsyncram_kl31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram ; work ; ; |cmpr_f66:rdempty_eq_comp| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; -; |cmpr_f66:wrempty_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:wrempty_eq_comp ; work ; -; |cmpr_n76:rdfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_n76:rdfull_eq_comp ; work ; -; |cntr_54e:cntr_b| ; 3 (3) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cntr_54e:cntr_b ; work ; +; |cmpr_f66:wrempty_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:wrempty_eq_comp ; work ; +; |cmpr_n76:rdfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_n76:rdfull_eq_comp ; work ; +; |cntr_54e:cntr_b| ; 3 (3) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cntr_54e:cntr_b ; work ; ; |dffpipe_8d9:rdfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_8d9:rdfull_reg ; work ; -; |dffpipe_gd9:rs_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_gd9:rs_bwp ; work ; +; |dffpipe_gd9:rs_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_gd9:rs_bwp ; work ; ; |dffpipe_oe9:rs_brp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_oe9:rs_brp ; work ; -; |fifo_inst:\gen_fifo:3:fifo_inst_isntx| ; 130 (0) ; 112 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 39 (0) ; 73 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 130 (0) ; 112 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 39 (0) ; 73 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_gqo1:auto_generated| ; 130 (41) ; 112 (28) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (8) ; 39 (16) ; 73 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated ; work ; +; |fifo_inst:\gen_fifo:3:fifo_inst_isntx| ; 129 (0) ; 109 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 40 (0) ; 70 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 129 (0) ; 109 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 40 (0) ; 70 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_gqo1:auto_generated| ; 129 (42) ; 109 (28) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (12) ; 40 (15) ; 70 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated ; work ; ; |a_gray2bin_ugb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:rdptr_g_gray2bin ; work ; ; |a_gray2bin_ugb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_gray2bin_ugb:rs_dgwp_gray2bin ; work ; -; |a_graycounter_pjc:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 1 (1) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; -; |a_graycounter_s57:rdptr_g1p| ; 19 (19) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 2 (2) ; 15 (15) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p ; work ; -; |alt_synch_pipe_6ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 7 (0) ; 11 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp ; work ; -; |dffpipe_nd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 7 (7) ; 11 (11) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp|dffpipe_nd9:dffpipe9 ; work ; +; |a_graycounter_pjc:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 15 (15) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; +; |a_graycounter_s57:rdptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 14 (14) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p ; work ; +; |alt_synch_pipe_6ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (0) ; 5 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp ; work ; +; |dffpipe_nd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (13) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_6ol:rs_dgwp|dffpipe_nd9:dffpipe9 ; work ; ; |alt_synch_pipe_7ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (0) ; 7 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp ; work ; ; |dffpipe_od9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (11) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|alt_synch_pipe_7ol:ws_dgrp|dffpipe_od9:dffpipe12 ; work ; ; |altsyncram_kl31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram ; work ; @@ -2287,88 +2279,90 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |cmpr_f66:wrempty_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:wrempty_eq_comp ; work ; ; |cmpr_f66:wrfull_eq_comp| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_f66:wrfull_eq_comp ; work ; ; |cmpr_n76:rdfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cmpr_n76:rdfull_eq_comp ; work ; -; |cntr_54e:cntr_b| ; 3 (3) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cntr_54e:cntr_b ; work ; +; |cntr_54e:cntr_b| ; 3 (3) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|cntr_54e:cntr_b ; work ; ; |dffpipe_8d9:rdfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_8d9:rdfull_reg ; work ; ; |dffpipe_gd9:rs_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_gd9:rs_bwp ; work ; -; |dffpipe_oe9:rs_brp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_oe9:rs_brp ; work ; -; |p2d_rd:p2d_rd_inst3| ; 477 (308) ; 376 (288) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 96 (16) ; 13 (13) ; 368 (32) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3 ; work ; -; |lpm_compare:\gen_lpm_compare:0:LPM_COMPARE_component| ; 104 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (0) ; 0 (0) ; 82 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:0:LPM_COMPARE_component ; work ; -; |cmpr_qvi:auto_generated| ; 104 (104) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (22) ; 0 (0) ; 82 (82) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:0:LPM_COMPARE_component|cmpr_qvi:auto_generated ; work ; +; |dffpipe_oe9:rs_brp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|dffpipe_oe9:rs_brp ; work ; +; |p2d_rd:p2d_rd_inst3| ; 469 (306) ; 376 (288) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 89 (14) ; 6 (6) ; 374 (33) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3 ; work ; +; |lpm_compare:\gen_lpm_compare:0:LPM_COMPARE_component| ; 104 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 0 (0) ; 84 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:0:LPM_COMPARE_component ; work ; +; |cmpr_qvi:auto_generated| ; 104 (104) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (20) ; 0 (0) ; 84 (84) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:0:LPM_COMPARE_component|cmpr_qvi:auto_generated ; work ; ; |lpm_compare:\gen_lpm_compare:1:LPM_COMPARE_component| ; 104 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 0 (0) ; 85 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:1:LPM_COMPARE_component ; work ; ; |cmpr_qvi:auto_generated| ; 104 (104) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 0 (0) ; 85 (85) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:1:LPM_COMPARE_component|cmpr_qvi:auto_generated ; work ; -; |lpm_compare:\gen_lpm_compare:2:LPM_COMPARE_component| ; 104 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 0 (0) ; 85 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:2:LPM_COMPARE_component ; work ; -; |cmpr_qvi:auto_generated| ; 104 (104) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 0 (0) ; 85 (85) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:2:LPM_COMPARE_component|cmpr_qvi:auto_generated ; work ; -; |lpm_compare:\gen_lpm_compare:3:LPM_COMPARE_component| ; 104 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 0 (0) ; 84 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:3:LPM_COMPARE_component ; work ; -; |cmpr_qvi:auto_generated| ; 104 (104) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (20) ; 0 (0) ; 84 (84) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:3:LPM_COMPARE_component|cmpr_qvi:auto_generated ; work ; -; |p2d_wr_fsm:p2d_wr_fsm_inst0| ; 261 (261) ; 247 (247) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (14) ; 195 (195) ; 52 (52) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0 ; work ; +; |lpm_compare:\gen_lpm_compare:2:LPM_COMPARE_component| ; 104 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 0 (0) ; 86 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:2:LPM_COMPARE_component ; work ; +; |cmpr_qvi:auto_generated| ; 104 (104) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (18) ; 0 (0) ; 86 (86) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:2:LPM_COMPARE_component|cmpr_qvi:auto_generated ; work ; +; |lpm_compare:\gen_lpm_compare:3:LPM_COMPARE_component| ; 104 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 0 (0) ; 86 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:3:LPM_COMPARE_component ; work ; +; |cmpr_qvi:auto_generated| ; 104 (104) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (18) ; 0 (0) ; 86 (86) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|lpm_compare:\gen_lpm_compare:3:LPM_COMPARE_component|cmpr_qvi:auto_generated ; work ; +; |p2d_wr_fsm:p2d_wr_fsm_inst0| ; 343 (271) ; 278 (256) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 65 (20) ; 160 (160) ; 118 (59) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0 ; work ; +; |lpm_compare:LPM_COMPARE_component| ; 104 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 45 (0) ; 0 (0) ; 59 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|lpm_compare:LPM_COMPARE_component ; work ; +; |cmpr_ili:auto_generated| ; 104 (104) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 45 (45) ; 0 (0) ; 59 (59) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|lpm_compare:LPM_COMPARE_component|cmpr_ili:auto_generated ; work ; ; |sync_fifo_rw:sync_fifo_rw_inst| ; 101 (1) ; 90 (1) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (0) ; 47 (0) ; 43 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst ; work ; ; |fifo_inst:fifo| ; 101 (0) ; 89 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (0) ; 47 (0) ; 43 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo ; work ; ; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 101 (0) ; 89 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (0) ; 47 (0) ; 43 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_nno1:auto_generated| ; 101 (29) ; 89 (27) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (2) ; 47 (18) ; 43 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated ; work ; -; |a_graycounter_pjc:wrptr_g1p| ; 19 (19) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 14 (14) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; +; |dcfifo_nno1:auto_generated| ; 101 (28) ; 89 (27) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (1) ; 47 (19) ; 43 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated ; work ; +; |a_graycounter_pjc:wrptr_g1p| ; 20 (20) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 2 (2) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; ; |a_graycounter_t57:rdptr_g1p| ; 18 (18) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 1 (1) ; 14 (14) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|a_graycounter_t57:rdptr_g1p ; work ; -; |alt_synch_pipe_4ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (0) ; 4 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|alt_synch_pipe_4ol:rs_dgwp ; work ; -; |dffpipe_ld9:dffpipe6| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (14) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|alt_synch_pipe_4ol:rs_dgwp|dffpipe_ld9:dffpipe6 ; work ; -; |alt_synch_pipe_5ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (0) ; 5 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|alt_synch_pipe_5ol:ws_dgrp ; work ; -; |dffpipe_md9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (13) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|alt_synch_pipe_5ol:ws_dgrp|dffpipe_md9:dffpipe9 ; work ; +; |alt_synch_pipe_4ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (0) ; 5 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|alt_synch_pipe_4ol:rs_dgwp ; work ; +; |dffpipe_ld9:dffpipe6| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (13) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|alt_synch_pipe_4ol:rs_dgwp|dffpipe_ld9:dffpipe6 ; work ; +; |alt_synch_pipe_5ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (0) ; 6 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|alt_synch_pipe_5ol:ws_dgrp ; work ; +; |dffpipe_md9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|alt_synch_pipe_5ol:ws_dgrp|dffpipe_md9:dffpipe9 ; work ; ; |altsyncram_sv61:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|altsyncram_sv61:fifo_ram ; work ; ; |cmpr_f66:rdempty_eq_comp| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; ; |cmpr_f66:wrfull_eq_comp| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|cmpr_f66:wrfull_eq_comp ; work ; ; |sync_reg:sync_reg1| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg1 ; work ; -; |sync_reg:sync_reg3| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg3 ; work ; -; |sync_reg:sync_reg4| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg4 ; work ; -; |sync_reg:sync_reg5| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg5 ; work ; -; |sync_reg:sync_reg7| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg7 ; work ; +; |sync_reg:sync_reg3| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg3 ; work ; +; |sync_reg:sync_reg4| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg4 ; work ; +; |sync_reg:sync_reg5| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg5 ; work ; +; |sync_reg:sync_reg7| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg7 ; work ; ; |sync_reg:sync_reg8| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg8 ; work ; -; |txiqmux:txiqmux_inst3| ; 172 (82) ; 166 (78) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (3) ; 66 (2) ; 102 (77) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3 ; work ; +; |txiqmux:txiqmux_inst3| ; 169 (85) ; 166 (78) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 65 (5) ; 102 (78) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3 ; work ; ; |sync_reg:sync_reg0| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3|sync_reg:sync_reg0 ; work ; ; |sync_reg:sync_reg1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3|sync_reg:sync_reg1 ; work ; ; |test_data_dd:test_data_dd_inst1| ; 12 (12) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 12 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3|test_data_dd:test_data_dd_inst1 ; work ; -; |txiq_tst_ptrn:tst_ptrn_inst0| ; 75 (0) ; 72 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 60 (0) ; 14 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3|txiq_tst_ptrn:tst_ptrn_inst0 ; work ; -; |bus_synch:bus_sync_reg0| ; 37 (37) ; 36 (36) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 30 (30) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3|txiq_tst_ptrn:tst_ptrn_inst0|bus_synch:bus_sync_reg0 ; work ; -; |bus_synch:bus_sync_reg1| ; 38 (38) ; 36 (36) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 30 (30) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3|txiq_tst_ptrn:tst_ptrn_inst0|bus_synch:bus_sync_reg1 ; work ; -; |wfm_player_top:wfm_player_top_inst2| ; 7355 (10) ; 4153 (10) ; 0 (0) ; 83624 ; 19 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3199 (0) ; 849 (6) ; 3307 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2 ; work ; -; |DDR2_ctrl_top:DDR2_ctrl_top_inst| ; 6702 (45) ; 3735 (0) ; 0 (0) ; 34472 ; 13 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2961 (44) ; 711 (0) ; 3030 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst ; work ; -; |DDR2_arb:DDR2_arb_inst| ; 88 (88) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 50 (50) ; 0 (0) ; 38 (38) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|DDR2_arb:DDR2_arb_inst ; work ; -; |ddr2:ddr2_inst| ; 5294 (0) ; 2735 (0) ; 0 (0) ; 11104 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2555 (0) ; 569 (0) ; 2170 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst ; work ; -; |ddr2_controller_phy:ddr2_controller_phy_inst| ; 5294 (0) ; 2735 (0) ; 0 (0) ; 11104 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2555 (0) ; 569 (0) ; 2170 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst ; work ; -; |ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst| ; 3493 (0) ; 1751 (0) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1730 (0) ; 352 (0) ; 1411 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst ; work ; -; |alt_mem_ddrx_controller_st_top:controller_inst| ; 3482 (0) ; 1748 (0) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1722 (0) ; 352 (0) ; 1408 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst ; work ; -; |alt_mem_ddrx_controller:controller_inst| ; 3482 (1) ; 1748 (1) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1722 (0) ; 352 (0) ; 1408 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst ; work ; -; |alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst| ; 49 (6) ; 37 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (6) ; 0 (0) ; 37 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst ; work ; -; |alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[0].alt_mem_ddrx_addr_cmd_inst| ; 25 (25) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 20 (20) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[0].alt_mem_ddrx_addr_cmd_inst ; work ; -; |alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[1].alt_mem_ddrx_addr_cmd_inst| ; 18 (18) ; 17 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 17 (17) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[1].alt_mem_ddrx_addr_cmd_inst ; work ; -; |alt_mem_ddrx_arbiter:arbiter_inst| ; 205 (205) ; 26 (26) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 89 (89) ; 0 (0) ; 116 (116) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst ; work ; -; |alt_mem_ddrx_burst_gen:burst_gen_inst| ; 87 (87) ; 68 (68) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 9 (9) ; 59 (59) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst ; work ; -; |alt_mem_ddrx_cmd_gen:cmd_gen_inst| ; 240 (240) ; 74 (74) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 140 (140) ; 25 (25) ; 75 (75) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst ; work ; +; |txiq_tst_ptrn:tst_ptrn_inst0| ; 73 (0) ; 72 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 56 (0) ; 17 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3|txiq_tst_ptrn:tst_ptrn_inst0 ; work ; +; |bus_synch:bus_sync_reg0| ; 36 (36) ; 36 (36) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 27 (27) ; 9 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3|txiq_tst_ptrn:tst_ptrn_inst0|bus_synch:bus_sync_reg0 ; work ; +; |bus_synch:bus_sync_reg1| ; 37 (37) ; 36 (36) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 29 (29) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3|txiq_tst_ptrn:tst_ptrn_inst0|bus_synch:bus_sync_reg1 ; work ; +; |wfm_player_top:wfm_player_top_inst2| ; 7300 (9) ; 4141 (10) ; 0 (0) ; 83616 ; 19 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3159 (0) ; 843 (6) ; 3298 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2 ; work ; +; |DDR2_ctrl_top:DDR2_ctrl_top_inst| ; 6652 (38) ; 3725 (0) ; 0 (0) ; 34464 ; 13 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2927 (37) ; 698 (0) ; 3027 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst ; work ; +; |DDR2_arb:DDR2_arb_inst| ; 81 (81) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 45 (45) ; 0 (0) ; 36 (36) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|DDR2_arb:DDR2_arb_inst ; work ; +; |ddr2:ddr2_inst| ; 5283 (0) ; 2737 (0) ; 0 (0) ; 11104 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2546 (0) ; 577 (0) ; 2160 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst ; work ; +; |ddr2_controller_phy:ddr2_controller_phy_inst| ; 5283 (0) ; 2737 (0) ; 0 (0) ; 11104 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2546 (0) ; 577 (0) ; 2160 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst ; work ; +; |ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst| ; 3484 (0) ; 1753 (0) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1727 (0) ; 360 (0) ; 1397 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst ; work ; +; |alt_mem_ddrx_controller_st_top:controller_inst| ; 3469 (0) ; 1750 (0) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1715 (0) ; 360 (0) ; 1394 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst ; work ; +; |alt_mem_ddrx_controller:controller_inst| ; 3469 (1) ; 1750 (1) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1715 (0) ; 360 (0) ; 1394 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst ; work ; +; |alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst| ; 49 (6) ; 37 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (5) ; 0 (0) ; 42 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst ; work ; +; |alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[0].alt_mem_ddrx_addr_cmd_inst| ; 25 (25) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 23 (23) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[0].alt_mem_ddrx_addr_cmd_inst ; work ; +; |alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[1].alt_mem_ddrx_addr_cmd_inst| ; 19 (19) ; 17 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 19 (19) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[1].alt_mem_ddrx_addr_cmd_inst ; work ; +; |alt_mem_ddrx_arbiter:arbiter_inst| ; 206 (206) ; 26 (26) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 80 (80) ; 0 (0) ; 126 (126) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst ; work ; +; |alt_mem_ddrx_burst_gen:burst_gen_inst| ; 86 (86) ; 68 (68) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 17 (17) ; 4 (4) ; 65 (65) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst ; work ; +; |alt_mem_ddrx_cmd_gen:cmd_gen_inst| ; 249 (249) ; 74 (74) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 153 (153) ; 25 (25) ; 71 (71) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst ; work ; ; |alt_mem_ddrx_input_if:input_if_inst| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_input_if:input_if_inst ; work ; -; |alt_mem_ddrx_rank_timer:rank_timer_inst| ; 204 (204) ; 113 (113) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 89 (89) ; 52 (52) ; 63 (63) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst ; work ; -; |alt_mem_ddrx_rdata_path:rdata_path_inst| ; 494 (93) ; 256 (34) ; 0 (0) ; 8384 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 237 (59) ; 5 (1) ; 252 (33) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst ; work ; +; |alt_mem_ddrx_rank_timer:rank_timer_inst| ; 206 (206) ; 113 (113) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 92 (92) ; 57 (57) ; 57 (57) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst ; work ; +; |alt_mem_ddrx_rdata_path:rdata_path_inst| ; 483 (93) ; 256 (34) ; 0 (0) ; 8384 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 227 (59) ; 5 (0) ; 251 (34) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst ; work ; ; |alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component ; work ; ; |altsyncram_7pl1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component|altsyncram_7pl1:auto_generated ; work ; -; |alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst| ; 37 (2) ; 23 (0) ; 0 (0) ; 64 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (1) ; 0 (0) ; 23 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst ; work ; -; |scfifo:gen_fifo_instance.scfifo_component| ; 36 (0) ; 23 (0) ; 0 (0) ; 64 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 0 (0) ; 23 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component ; work ; -; |scfifo_j941:auto_generated| ; 36 (0) ; 23 (0) ; 0 (0) ; 64 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 0 (0) ; 23 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated ; work ; -; |a_dpfifo_sk31:dpfifo| ; 36 (25) ; 23 (12) ; 0 (0) ; 64 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 0 (0) ; 23 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo ; work ; +; |alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst| ; 37 (2) ; 23 (0) ; 0 (0) ; 64 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (2) ; 1 (0) ; 22 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst ; work ; +; |scfifo:gen_fifo_instance.scfifo_component| ; 35 (0) ; 23 (0) ; 0 (0) ; 64 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (0) ; 1 (0) ; 22 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component ; work ; +; |scfifo_j941:auto_generated| ; 35 (0) ; 23 (0) ; 0 (0) ; 64 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (0) ; 1 (0) ; 22 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated ; work ; +; |a_dpfifo_sk31:dpfifo| ; 35 (24) ; 23 (12) ; 0 (0) ; 64 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (12) ; 1 (1) ; 22 (11) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo ; work ; ; |altsyncram_9ah1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 64 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|altsyncram_9ah1:FIFOram ; work ; ; |cntr_bo7:usedw_counter| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|cntr_bo7:usedw_counter ; work ; ; |cntr_unb:rd_ptr_msb| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|cntr_unb:rd_ptr_msb ; work ; ; |cntr_vnb:wr_ptr| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|cntr_vnb:wr_ptr ; work ; -; |alt_mem_ddrx_fifo:pending_rd_fifo| ; 32 (1) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (0) ; 0 (0) ; 23 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo ; work ; -; |scfifo:gen_fifo_instance.scfifo_component| ; 32 (0) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (0) ; 0 (0) ; 23 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component ; work ; -; |scfifo_p941:auto_generated| ; 32 (0) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (0) ; 0 (0) ; 23 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated ; work ; -; |a_dpfifo_2l31:dpfifo| ; 32 (21) ; 23 (12) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 0 (0) ; 23 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo ; work ; +; |alt_mem_ddrx_fifo:pending_rd_fifo| ; 33 (1) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (0) ; 0 (0) ; 23 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo ; work ; +; |scfifo:gen_fifo_instance.scfifo_component| ; 33 (0) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (0) ; 0 (0) ; 23 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component ; work ; +; |scfifo_p941:auto_generated| ; 33 (0) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (0) ; 0 (0) ; 23 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated ; work ; +; |a_dpfifo_2l31:dpfifo| ; 33 (22) ; 23 (12) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 23 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo ; work ; ; |altsyncram_lah1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|altsyncram_lah1:FIFOram ; work ; ; |cntr_bo7:usedw_counter| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|cntr_bo7:usedw_counter ; work ; ; |cntr_unb:rd_ptr_msb| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|cntr_unb:rd_ptr_msb ; work ; ; |cntr_vnb:wr_ptr| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|cntr_vnb:wr_ptr ; work ; -; |alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst| ; 179 (179) ; 96 (96) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 83 (83) ; 4 (4) ; 92 (92) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst ; work ; -; |alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst| ; 153 (153) ; 80 (80) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 72 (72) ; 0 (0) ; 81 (81) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst ; work ; -; |alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst| ; 554 (554) ; 453 (453) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 95 (95) ; 187 (187) ; 272 (272) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst ; work ; -; |alt_mem_ddrx_sideband:sideband_inst| ; 74 (74) ; 35 (35) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 36 (36) ; 0 (0) ; 38 (38) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst ; work ; -; |alt_mem_ddrx_tbp:tbp_inst| ; 956 (956) ; 404 (404) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 540 (540) ; 56 (56) ; 360 (360) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst ; work ; -; |alt_mem_ddrx_wdata_path:wdata_path_inst| ; 747 (3) ; 281 (3) ; 0 (0) ; 2176 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 465 (0) ; 18 (0) ; 264 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst ; work ; +; |alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst| ; 180 (180) ; 96 (96) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 84 (84) ; 4 (4) ; 92 (92) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst ; work ; +; |alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst| ; 140 (140) ; 80 (80) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 60 (60) ; 0 (0) ; 80 (80) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst ; work ; +; |alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst| ; 570 (570) ; 453 (453) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 113 (113) ; 193 (193) ; 264 (264) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst ; work ; +; |alt_mem_ddrx_sideband:sideband_inst| ; 87 (87) ; 35 (35) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 51 (51) ; 1 (1) ; 35 (35) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst ; work ; +; |alt_mem_ddrx_tbp:tbp_inst| ; 933 (933) ; 403 (403) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 522 (522) ; 51 (51) ; 360 (360) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst ; work ; +; |alt_mem_ddrx_wdata_path:wdata_path_inst| ; 739 (3) ; 284 (3) ; 0 (0) ; 2176 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 453 (0) ; 24 (0) ; 262 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst ; work ; ; |alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst| ; 0 (0) ; 0 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component ; work ; ; |altsyncram_lil1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component|altsyncram_lil1:auto_generated ; work ; @@ -2378,19 +2372,19 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[1].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[1].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[1].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component ; work ; ; |altsyncram_vll1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[1].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_vll1:auto_generated ; work ; -; |alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst| ; 40 (40) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 30 (30) ; 0 (0) ; 10 (10) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst ; work ; -; |alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst| ; 573 (516) ; 202 (170) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 370 (346) ; 18 (15) ; 185 (155) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst ; work ; -; |alt_mem_ddrx_list:burstcount_list| ; 57 (57) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (24) ; 3 (3) ; 30 (30) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list ; work ; -; |alt_mem_ddrx_list:wdatap_list_allocated_id_inst| ; 71 (71) ; 37 (37) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 34 (34) ; 0 (0) ; 37 (37) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst ; work ; +; |alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst| ; 40 (40) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (33) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst ; work ; +; |alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst| ; 560 (501) ; 205 (173) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 353 (327) ; 21 (18) ; 186 (156) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst ; work ; +; |alt_mem_ddrx_list:burstcount_list| ; 59 (59) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 26 (26) ; 3 (3) ; 30 (30) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list ; work ; +; |alt_mem_ddrx_list:wdatap_list_allocated_id_inst| ; 73 (73) ; 37 (37) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 36 (36) ; 3 (3) ; 34 (34) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst ; work ; ; |alt_mem_ddrx_list:wdatap_list_freeid_inst| ; 63 (63) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 31 (31) ; 0 (0) ; 32 (32) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst ; work ; -; |alt_mem_ddrx_mm_st_converter:mm_st_converter_inst| ; 12 (12) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_mm_st_converter:mm_st_converter_inst ; work ; -; |ddr2_phy:ddr2_phy_inst| ; 1822 (0) ; 984 (0) ; 0 (0) ; 544 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 825 (0) ; 217 (0) ; 780 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst ; work ; -; |ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst| ; 1822 (0) ; 984 (0) ; 0 (0) ; 544 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 825 (0) ; 217 (0) ; 780 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst ; work ; -; |ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc| ; 94 (0) ; 66 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 18 (0) ; 56 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc ; work ; +; |alt_mem_ddrx_mm_st_converter:mm_st_converter_inst| ; 15 (15) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (12) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_mm_st_converter:mm_st_converter_inst ; work ; +; |ddr2_phy:ddr2_phy_inst| ; 1816 (0) ; 984 (0) ; 0 (0) ; 544 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 819 (0) ; 217 (0) ; 780 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst ; work ; +; |ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst| ; 1816 (0) ; 984 (0) ; 0 (0) ; 544 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 819 (0) ; 217 (0) ; 780 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst ; work ; +; |ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc| ; 93 (0) ; 66 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (0) ; 22 (0) ; 50 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc ; work ; ; |ddr2_phy_alt_mem_phy_ac:addr[0].addr_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[0].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[0].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[0].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_ac:addr[11].addr_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[11].addr_struct ; work ; @@ -2399,16 +2393,16 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |ddr2_phy_alt_mem_phy_ac:addr[12].addr_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[12].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[12].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[12].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_ac:addr[2].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[2].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[2].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[2].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[3].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[3].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[3].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[3].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[3].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[3].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[4].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[4].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[4].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[4].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[4].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[4].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_ac:addr[5].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[5].addr_struct ; work ; @@ -2420,10 +2414,10 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |ddr2_phy_alt_mem_phy_ac:addr[7].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[7].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[7].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[7].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[8].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[8].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[8].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[8].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[8].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[8].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[9].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[9].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[9].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[9].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[9].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[9].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_ac:ba[0].ba_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[0].ba_struct ; work ; @@ -2432,35 +2426,35 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |ddr2_phy_alt_mem_phy_ac:ba[1].ba_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[1].ba_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[1].ba_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_akd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[1].ba_struct|altddio_out:full_rate.addr_pin|ddio_out_akd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_akd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct|altddio_out:full_rate.addr_pin|ddio_out_akd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:cas_n_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cas_n_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:cas_n_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cas_n_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cas_n_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cas_n_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:cke[0].cke_struct| ; 4 (4) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cke[0].cke_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:cke[0].cke_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cke[0].cke_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cke[0].cke_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_akd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cke[0].cke_struct|altddio_out:full_rate.addr_pin|ddio_out_akd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_ac:gen_odt.odt[0].odt_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:gen_odt.odt[0].odt_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:gen_odt.odt[0].odt_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_akd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:gen_odt.odt[0].odt_struct|altddio_out:full_rate.addr_pin|ddio_out_akd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:ras_n_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ras_n_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:ras_n_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ras_n_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ras_n_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ras_n_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_ac:we_n_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:we_n_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:we_n_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:we_n_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_clk_reset:clk| ; 60 (33) ; 49 (31) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (2) ; 21 (15) ; 28 (16) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk ; work ; +; |ddr2_phy_alt_mem_phy_clk_reset:clk| ; 59 (33) ; 49 (31) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (2) ; 20 (15) ; 29 (16) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk ; work ; ; |altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_n| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_n ; work ; ; |ddio_bidir_ref:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_n|ddio_bidir_ref:auto_generated ; work ; ; |altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_p| ; 1 (0) ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_p ; work ; ; |ddio_bidir_n5h:auto_generated| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_p|ddio_bidir_n5h:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_pll:pll| ; 18 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (0) ; 1 (0) ; 8 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll ; work ; -; |altpll:altpll_component| ; 18 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (0) ; 1 (0) ; 8 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component ; work ; -; |altpll_5ik3:auto_generated| ; 18 (10) ; 9 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (6) ; 1 (1) ; 8 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated ; work ; +; |ddr2_phy_alt_mem_phy_pll:pll| ; 17 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 0 (0) ; 9 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll ; work ; +; |altpll:altpll_component| ; 17 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 0 (0) ; 9 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component ; work ; +; |altpll_5ik3:auto_generated| ; 17 (9) ; 9 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (5) ; 0 (0) ; 9 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated ; work ; ; |altpll_dyn_phase_le_4ho:altpll_dyn_phase_le2| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|altpll_dyn_phase_le_4ho:altpll_dyn_phase_le2 ; work ; ; |altpll_dyn_phase_le_5ho:altpll_dyn_phase_le4| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|altpll_dyn_phase_le_5ho:altpll_dyn_phase_le4 ; work ; ; |altpll_dyn_phase_le_6ho:altpll_dyn_phase_le5| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|altpll_dyn_phase_le_6ho:altpll_dyn_phase_le5 ; work ; @@ -2470,7 +2464,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |ddr2_phy_alt_mem_phy_reset_pipe:measure_clk_pipe| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:measure_clk_pipe ; work ; ; |ddr2_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe ; work ; ; |ddr2_phy_alt_mem_phy_reset_pipe:resync_clk_pipe| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:resync_clk_pipe ; work ; -; |ddr2_phy_alt_mem_phy_dp_io:dpio| ; 112 (64) ; 112 (64) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 112 (64) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio ; work ; +; |ddr2_phy_alt_mem_phy_dp_io:dpio| ; 112 (64) ; 112 (64) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 110 (62) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio ; work ; ; |altddio_in:dqs_group[0].dq[0].dqi| ; 3 (0) ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[0].dqi ; work ; ; |ddio_in_9gd:auto_generated| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[0].dqi|ddio_in_9gd:auto_generated ; work ; ; |altddio_in:dqs_group[0].dq[1].dqi| ; 3 (0) ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[1].dqi ; work ; @@ -2504,30 +2498,30 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |altddio_in:dqs_group[1].dq[7].dqi| ; 3 (0) ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[7].dqi ; work ; ; |ddio_in_9gd:auto_generated| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[7].dqi|ddio_in_9gd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_mimic:mmc| ; 29 (29) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 3 (3) ; 21 (21) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_mimic:mmc ; work ; -; |ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe| ; 17 (17) ; 10 (10) ; 0 (0) ; 32 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 11 (11) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe ; work ; +; |ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe| ; 17 (17) ; 10 (10) ; 0 (0) ; 32 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 12 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 32 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|altsyncram:altsyncram_component ; work ; ; |altsyncram_boi1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 32 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|altsyncram:altsyncram_component|altsyncram_boi1:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_read_dp:rdp| ; 9 (9) ; 7 (7) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp ; work ; ; |altsyncram:full_rate_ram_gen.altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component ; work ; ; |altsyncram_reh1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper| ; 1461 (0) ; 674 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 781 (0) ; 57 (0) ; 623 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper ; work ; -; |ddr2_phy_alt_mem_phy_seq:seq_inst| ; 1461 (39) ; 674 (34) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 781 (6) ; 57 (5) ; 623 (25) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst ; work ; -; |ddr2_phy_alt_mem_phy_admin:admin| ; 301 (301) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 212 (212) ; 1 (1) ; 88 (88) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin ; work ; -; |ddr2_phy_alt_mem_phy_ctrl:ctrl| ; 243 (243) ; 134 (134) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 91 (91) ; 13 (13) ; 139 (139) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl ; work ; -; |ddr2_phy_alt_mem_phy_dgrb:dgrb| ; 791 (791) ; 365 (365) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 419 (419) ; 31 (31) ; 341 (341) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb ; work ; -; |ddr2_phy_alt_mem_phy_dgwb:dgwb| ; 110 (110) ; 55 (55) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 53 (53) ; 7 (7) ; 50 (50) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb ; work ; -; |ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp| ; 44 (44) ; 42 (42) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 38 (38) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp ; work ; -; |ddr2_traffic_gen:traffic_gen_inst| ; 1030 (0) ; 756 (0) ; 0 (0) ; 1864 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 271 (0) ; 60 (0) ; 699 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst ; ddr2_traffic_gen ; -; |ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0| ; 1030 (0) ; 756 (0) ; 0 (0) ; 1864 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 271 (0) ; 60 (0) ; 699 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0 ; ddr2_traffic_gen ; -; |driver_avl_use_be_avl_use_burstbegin:traffic_generator_0| ; 1030 (45) ; 756 (32) ; 0 (0) ; 1864 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 271 (13) ; 60 (0) ; 699 (32) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0 ; ddr2_traffic_gen ; -; |addr_gen:addr_gen_inst| ; 235 (57) ; 145 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 87 (54) ; 0 (0) ; 148 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst ; ddr2_traffic_gen ; +; |ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper| ; 1455 (0) ; 674 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 776 (0) ; 55 (0) ; 624 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper ; work ; +; |ddr2_phy_alt_mem_phy_seq:seq_inst| ; 1455 (37) ; 674 (34) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 776 (5) ; 55 (3) ; 624 (27) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst ; work ; +; |ddr2_phy_alt_mem_phy_admin:admin| ; 297 (297) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 206 (206) ; 0 (0) ; 91 (91) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin ; work ; +; |ddr2_phy_alt_mem_phy_ctrl:ctrl| ; 243 (243) ; 134 (134) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 91 (91) ; 15 (15) ; 137 (137) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl ; work ; +; |ddr2_phy_alt_mem_phy_dgrb:dgrb| ; 791 (791) ; 365 (365) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 421 (421) ; 33 (33) ; 337 (337) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb ; work ; +; |ddr2_phy_alt_mem_phy_dgwb:dgwb| ; 109 (109) ; 55 (55) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 53 (53) ; 4 (4) ; 52 (52) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb ; work ; +; |ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp| ; 44 (44) ; 42 (42) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 7 (7) ; 37 (37) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp ; work ; +; |ddr2_traffic_gen:traffic_gen_inst| ; 1014 (0) ; 754 (0) ; 0 (0) ; 1856 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 258 (0) ; 50 (0) ; 706 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst ; ddr2_traffic_gen ; +; |ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0| ; 1014 (0) ; 754 (0) ; 0 (0) ; 1856 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 258 (0) ; 50 (0) ; 706 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0 ; ddr2_traffic_gen ; +; |driver_avl_use_be_avl_use_burstbegin:traffic_generator_0| ; 1014 (45) ; 754 (32) ; 0 (0) ; 1856 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 258 (13) ; 50 (0) ; 706 (32) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0 ; ddr2_traffic_gen ; +; |addr_gen:addr_gen_inst| ; 234 (56) ; 145 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 87 (56) ; 0 (0) ; 147 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst ; ddr2_traffic_gen ; ; |rand_addr_gen:rand_addr_gen_inst| ; 28 (0) ; 27 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 27 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst ; ddr2_traffic_gen ; ; |lfsr:rand_addr_high| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|lfsr:rand_addr_high ; ddr2_traffic_gen ; ; |lfsr:rand_addr_low| ; 12 (12) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 12 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|lfsr:rand_addr_low ; ddr2_traffic_gen ; ; |rand_burstcount_gen:rand_burstcount| ; 6 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 5 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|rand_burstcount_gen:rand_burstcount ; ddr2_traffic_gen ; -; |rand_num_gen:power_of_two_false.rand_burstcount| ; 6 (2) ; 5 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 5 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount ; ddr2_traffic_gen ; -; |lfsr:random_gen.lfsr_inst| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount|lfsr:random_gen.lfsr_inst ; ddr2_traffic_gen ; -; |rand_seq_addr_gen:rand_seq_addr_gen_inst| ; 119 (74) ; 88 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 31 (25) ; 0 (0) ; 88 (49) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst ; ddr2_traffic_gen ; +; |rand_num_gen:power_of_two_false.rand_burstcount| ; 6 (2) ; 5 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 5 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount ; ddr2_traffic_gen ; +; |lfsr:random_gen.lfsr_inst| ; 4 (4) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount|lfsr:random_gen.lfsr_inst ; ddr2_traffic_gen ; +; |rand_seq_addr_gen:rand_seq_addr_gen_inst| ; 119 (74) ; 88 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (23) ; 0 (0) ; 90 (51) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst ; ddr2_traffic_gen ; ; |lfsr:rand_addr_high| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|lfsr:rand_addr_high ; ddr2_traffic_gen ; ; |lfsr:rand_addr_low| ; 12 (12) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 12 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|lfsr:rand_addr_low ; ddr2_traffic_gen ; ; |rand_burstcount_gen:rand_burstcount| ; 6 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 5 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount ; ddr2_traffic_gen ; @@ -2537,36 +2531,36 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |lfsr:random_gen.lfsr_inst| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|rand_num_gen:rand_seq_prob|lfsr:random_gen.lfsr_inst ; ddr2_traffic_gen ; ; |seq_addr_gen:seq_addr_gen_inst| ; 30 (23) ; 29 (23) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 29 (23) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst ; ddr2_traffic_gen ; ; |rand_burstcount_gen:rand_burstcount| ; 7 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 6 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount ; ddr2_traffic_gen ; -; |rand_num_gen:power_of_two_false.rand_burstcount| ; 7 (3) ; 6 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 6 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount ; ddr2_traffic_gen ; -; |lfsr:random_gen.lfsr_inst| ; 4 (4) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount|lfsr:random_gen.lfsr_inst ; ddr2_traffic_gen ; +; |rand_num_gen:power_of_two_false.rand_burstcount| ; 7 (3) ; 6 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 6 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount ; ddr2_traffic_gen ; +; |lfsr:random_gen.lfsr_inst| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount|lfsr:random_gen.lfsr_inst ; ddr2_traffic_gen ; ; |template_addr_gen:template_addr_gen_inst| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|template_addr_gen:template_addr_gen_inst ; ddr2_traffic_gen ; -; |avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst| ; 277 (152) ; 224 (144) ; 0 (0) ; 496 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 52 (7) ; 0 (0) ; 225 (146) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst ; ddr2_traffic_gen ; -; |scfifo_wrapper:avalon_traffic_fifo| ; 125 (91) ; 80 (63) ; 0 (0) ; 496 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 45 (28) ; 0 (0) ; 80 (63) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo ; ddr2_traffic_gen ; -; |scfifo:scfifo_inst| ; 34 (0) ; 17 (0) ; 0 (0) ; 496 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 17 (0) ; 0 (0) ; 17 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst ; work ; -; |scfifo_4m41:auto_generated| ; 34 (3) ; 17 (1) ; 0 (0) ; 496 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 17 (2) ; 0 (0) ; 17 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated ; work ; -; |a_dpfifo_2g11:dpfifo| ; 31 (23) ; 16 (8) ; 0 (0) ; 496 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (15) ; 0 (0) ; 16 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo ; work ; -; |altsyncram_vmb1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 496 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram ; work ; +; |avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst| ; 267 (153) ; 222 (143) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 45 (10) ; 0 (0) ; 222 (144) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst ; ddr2_traffic_gen ; +; |scfifo_wrapper:avalon_traffic_fifo| ; 114 (90) ; 79 (62) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 35 (28) ; 0 (0) ; 79 (62) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo ; ddr2_traffic_gen ; +; |scfifo:scfifo_inst| ; 24 (0) ; 17 (0) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (0) ; 0 (0) ; 17 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst ; work ; +; |scfifo_4m41:auto_generated| ; 24 (2) ; 17 (1) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (1) ; 0 (0) ; 17 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated ; work ; +; |a_dpfifo_2g11:dpfifo| ; 22 (14) ; 16 (8) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 16 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo ; work ; +; |altsyncram_vmb1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram ; work ; ; |cntr_ao7:usedw_counter| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|cntr_ao7:usedw_counter ; work ; ; |cntr_tnb:rd_ptr_msb| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|cntr_tnb:rd_ptr_msb ; work ; ; |cntr_unb:wr_ptr| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|cntr_unb:wr_ptr ; work ; -; |driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst| ; 225 (101) ; 120 (72) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 105 (29) ; 0 (0) ; 120 (72) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst ; ddr2_traffic_gen ; +; |driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst| ; 224 (101) ; 120 (72) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 102 (28) ; 0 (0) ; 122 (73) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst ; ddr2_traffic_gen ; ; |block_rw_stage_avl_use_be_avl_use_burstbegin:block_rw_stage_inst| ; 37 (37) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (21) ; 0 (0) ; 16 (16) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|block_rw_stage_avl_use_be_avl_use_burstbegin:block_rw_stage_inst ; ddr2_traffic_gen ; -; |single_rw_stage_avl_use_be_avl_use_burstbegin:single_rw_stage_inst| ; 34 (34) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (20) ; 0 (0) ; 14 (14) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|single_rw_stage_avl_use_be_avl_use_burstbegin:single_rw_stage_inst ; ddr2_traffic_gen ; -; |template_stage:template_stage_inst| ; 53 (53) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 35 (35) ; 0 (0) ; 18 (18) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|template_stage:template_stage_inst ; ddr2_traffic_gen ; +; |single_rw_stage_avl_use_be_avl_use_burstbegin:single_rw_stage_inst| ; 33 (33) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 0 (0) ; 14 (14) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|single_rw_stage_avl_use_be_avl_use_burstbegin:single_rw_stage_inst ; ddr2_traffic_gen ; +; |template_stage:template_stage_inst| ; 53 (53) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 34 (34) ; 0 (0) ; 19 (19) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|template_stage:template_stage_inst ; ddr2_traffic_gen ; ; |lfsr_wrapper:data_gen_inst| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|lfsr_wrapper:data_gen_inst ; ddr2_traffic_gen ; ; |lfsr:lfsr_gen[0].lfsr_inst| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (32) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|lfsr_wrapper:data_gen_inst|lfsr:lfsr_gen[0].lfsr_inst ; ddr2_traffic_gen ; -; |read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst| ; 159 (99) ; 151 (99) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 51 (50) ; 100 (49) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst ; ddr2_traffic_gen ; -; |scfifo_wrapper:written_data_fifo| ; 60 (34) ; 52 (34) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 1 (1) ; 51 (33) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo ; ddr2_traffic_gen ; -; |scfifo:scfifo_inst| ; 26 (0) ; 18 (0) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 0 (0) ; 18 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst ; work ; -; |scfifo_vr41:auto_generated| ; 26 (5) ; 18 (1) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (4) ; 0 (0) ; 18 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated ; work ; -; |a_dpfifo_gk11:dpfifo| ; 21 (0) ; 17 (0) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 0 (0) ; 17 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo ; work ; -; |a_fefifo_1cf:fifo_state| ; 11 (6) ; 7 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 7 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|a_fefifo_1cf:fifo_state ; work ; +; |read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst| ; 156 (97) ; 151 (99) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (0) ; 42 (42) ; 109 (55) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst ; ddr2_traffic_gen ; +; |scfifo_wrapper:written_data_fifo| ; 59 (34) ; 52 (34) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (0) ; 0 (0) ; 54 (33) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo ; ddr2_traffic_gen ; +; |scfifo:scfifo_inst| ; 26 (0) ; 18 (0) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (0) ; 0 (0) ; 21 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst ; work ; +; |scfifo_vr41:auto_generated| ; 26 (5) ; 18 (1) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (2) ; 0 (0) ; 21 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated ; work ; +; |a_dpfifo_gk11:dpfifo| ; 21 (0) ; 17 (0) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (0) ; 0 (0) ; 18 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo ; work ; +; |a_fefifo_1cf:fifo_state| ; 11 (6) ; 7 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 8 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|a_fefifo_1cf:fifo_state ; work ; ; |cntr_co7:count_usedw| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|a_fefifo_1cf:fifo_state|cntr_co7:count_usedw ; work ; ; |altsyncram_8km1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|altsyncram_8km1:FIFOram ; work ; ; |cntr_0ob:rd_ptr_count| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|cntr_0ob:rd_ptr_count ; work ; ; |cntr_0ob:wr_ptr| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|cntr_0ob:wr_ptr ; work ; ; |reset_sync:ureset_driver_clk| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (8) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk ; ddr2_traffic_gen ; -; |scfifo_wrapper:addr_burstcount_fifo| ; 48 (27) ; 42 (26) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (1) ; 1 (1) ; 41 (25) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo ; ddr2_traffic_gen ; +; |scfifo_wrapper:addr_burstcount_fifo| ; 48 (27) ; 42 (26) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (1) ; 0 (0) ; 42 (26) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo ; ddr2_traffic_gen ; ; |scfifo:scfifo_inst| ; 21 (0) ; 16 (0) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (0) ; 0 (0) ; 16 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst ; work ; ; |scfifo_5m41:auto_generated| ; 21 (0) ; 16 (0) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (0) ; 0 (0) ; 16 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated ; work ; ; |a_dpfifo_3g11:dpfifo| ; 21 (13) ; 16 (8) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 16 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo ; work ; @@ -2574,231 +2568,93 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |cntr_ao7:usedw_counter| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|cntr_ao7:usedw_counter ; work ; ; |cntr_tnb:rd_ptr_msb| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|cntr_tnb:rd_ptr_msb ; work ; ; |cntr_unb:wr_ptr| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|cntr_unb:wr_ptr ; work ; -; |fifo_inst:rcmdfifo| ; 105 (0) ; 89 (0) ; 0 (0) ; 6656 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 47 (0) ; 42 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 105 (0) ; 89 (0) ; 0 (0) ; 6656 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 47 (0) ; 42 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_lko1:auto_generated| ; 105 (30) ; 89 (27) ; 0 (0) ; 6656 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (4) ; 47 (21) ; 42 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated ; work ; -; |a_graycounter_pjc:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 12 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; -; |a_graycounter_t57:rdptr_g1p| ; 19 (19) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 1 (1) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|a_graycounter_t57:rdptr_g1p ; work ; -; |alt_synch_pipe_aol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (0) ; 5 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|alt_synch_pipe_aol:rs_dgwp ; work ; -; |dffpipe_rd9:dffpipe6| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (13) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|alt_synch_pipe_aol:rs_dgwp|dffpipe_rd9:dffpipe6 ; work ; -; |alt_synch_pipe_bol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (0) ; 7 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|alt_synch_pipe_bol:ws_dgrp ; work ; -; |dffpipe_sd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (11) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|alt_synch_pipe_bol:ws_dgrp|dffpipe_sd9:dffpipe9 ; work ; +; |fifo_inst:rcmdfifo| ; 105 (0) ; 89 (0) ; 0 (0) ; 6656 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 49 (0) ; 40 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 105 (0) ; 89 (0) ; 0 (0) ; 6656 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 49 (0) ; 40 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_lko1:auto_generated| ; 105 (30) ; 89 (27) ; 0 (0) ; 6656 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (3) ; 49 (22) ; 40 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated ; work ; +; |a_graycounter_pjc:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 14 (14) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; +; |a_graycounter_t57:rdptr_g1p| ; 20 (20) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 1 (1) ; 12 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|a_graycounter_t57:rdptr_g1p ; work ; +; |alt_synch_pipe_aol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (0) ; 4 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|alt_synch_pipe_aol:rs_dgwp ; work ; +; |dffpipe_rd9:dffpipe6| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (14) ; 4 (4) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|alt_synch_pipe_aol:rs_dgwp|dffpipe_rd9:dffpipe6 ; work ; +; |alt_synch_pipe_bol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (0) ; 6 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|alt_synch_pipe_bol:ws_dgrp ; work ; +; |dffpipe_sd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|alt_synch_pipe_bol:ws_dgrp|dffpipe_sd9:dffpipe9 ; work ; ; |altsyncram_fo41:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 6656 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|altsyncram_fo41:fifo_ram ; work ; -; |cmpr_f66:rdempty_eq_comp| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; -; |cmpr_f66:wrfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|cmpr_f66:wrfull_eq_comp ; work ; -; |fifo_inst:wcmdfifo| ; 148 (0) ; 123 (0) ; 0 (0) ; 14848 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (0) ; 35 (0) ; 88 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 148 (0) ; 123 (0) ; 0 (0) ; 14848 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (0) ; 35 (0) ; 88 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_vko1:auto_generated| ; 148 (45) ; 123 (27) ; 0 (0) ; 14848 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (7) ; 35 (15) ; 88 (20) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated ; work ; -; |a_gray2bin_ugb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|a_gray2bin_ugb:rdptr_g_gray2bin ; work ; +; |cmpr_f66:rdempty_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; +; |cmpr_f66:wrfull_eq_comp| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|cmpr_f66:wrfull_eq_comp ; work ; +; |fifo_inst:wcmdfifo| ; 138 (0) ; 113 (0) ; 0 (0) ; 14848 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (0) ; 22 (0) ; 91 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 138 (0) ; 113 (0) ; 0 (0) ; 14848 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (0) ; 22 (0) ; 91 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_vko1:auto_generated| ; 138 (45) ; 113 (33) ; 0 (0) ; 14848 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (10) ; 22 (9) ; 91 (17) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated ; work ; +; |a_gray2bin_ugb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|a_gray2bin_ugb:rdptr_g_gray2bin ; work ; ; |a_gray2bin_ugb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|a_gray2bin_ugb:rs_dgwp_gray2bin ; work ; ; |a_gray2bin_ugb:wrptr_g_gray2bin| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|a_gray2bin_ugb:wrptr_g_gray2bin ; work ; -; |a_gray2bin_ugb:ws_dgrp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|a_gray2bin_ugb:ws_dgrp_gray2bin ; work ; -; |a_graycounter_pjc:wrptr_g1p| ; 19 (19) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 1 (1) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; -; |a_graycounter_t57:rdptr_g1p| ; 20 (20) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 1 (1) ; 12 (12) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|a_graycounter_t57:rdptr_g1p ; work ; -; |alt_synch_pipe_8ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (0) ; 10 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|alt_synch_pipe_8ol:rs_dgwp ; work ; -; |dffpipe_pd9:dffpipe6| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (8) ; 10 (10) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|alt_synch_pipe_8ol:rs_dgwp|dffpipe_pd9:dffpipe6 ; work ; -; |alt_synch_pipe_9ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (0) ; 9 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|alt_synch_pipe_9ol:ws_dgrp ; work ; -; |dffpipe_qd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (9) ; 9 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|alt_synch_pipe_9ol:ws_dgrp|dffpipe_qd9:dffpipe9 ; work ; +; |a_gray2bin_ugb:ws_dgrp_gray2bin| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|a_gray2bin_ugb:ws_dgrp_gray2bin ; work ; +; |a_graycounter_pjc:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|a_graycounter_pjc:wrptr_g1p ; work ; +; |a_graycounter_t57:rdptr_g1p| ; 19 (19) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 15 (15) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|a_graycounter_t57:rdptr_g1p ; work ; +; |alt_synch_pipe_8ol:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (0) ; 13 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|alt_synch_pipe_8ol:rs_dgwp ; work ; +; |dffpipe_pd9:dffpipe6| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (5) ; 13 (13) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|alt_synch_pipe_8ol:rs_dgwp|dffpipe_pd9:dffpipe6 ; work ; +; |alt_synch_pipe_9ol:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (0) ; 10 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|alt_synch_pipe_9ol:ws_dgrp ; work ; +; |dffpipe_qd9:dffpipe9| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (8) ; 10 (10) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|alt_synch_pipe_9ol:ws_dgrp|dffpipe_qd9:dffpipe9 ; work ; ; |altsyncram_po41:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 14848 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|altsyncram_po41:fifo_ram ; work ; -; |cmpr_f66:rdempty_eq_comp| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; +; |cmpr_f66:rdempty_eq_comp| ; 11 (11) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|cmpr_f66:rdempty_eq_comp ; work ; ; |cmpr_f66:rdfull_eq_comp| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|cmpr_f66:rdfull_eq_comp ; work ; ; |cmpr_f66:wrfull_eq_comp| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|cmpr_f66:wrfull_eq_comp ; work ; ; |dffpipe_8d9:rdfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|dffpipe_8d9:rdfull_reg ; work ; ; |dffpipe_8d9:wrfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|dffpipe_8d9:wrfull_reg ; work ; ; |dffpipe_gd9:rs_brp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|dffpipe_gd9:rs_brp ; work ; ; |dffpipe_gd9:rs_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|dffpipe_gd9:rs_bwp ; work ; -; |dffpipe_gd9:ws_brp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|dffpipe_gd9:ws_brp ; work ; -; |dffpipe_gd9:ws_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|dffpipe_gd9:ws_bwp ; work ; -; |decompress:dcmpr| ; 426 (284) ; 231 (112) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 195 (172) ; 51 (0) ; 180 (113) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr ; work ; -; |fifo_inst:fifo| ; 142 (0) ; 119 (0) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 (0) ; 51 (0) ; 68 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo ; work ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 142 (0) ; 119 (0) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 (0) ; 51 (0) ; 68 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; -; |dcfifo_ioo1:auto_generated| ; 142 (35) ; 119 (30) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 (4) ; 51 (17) ; 68 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated ; work ; +; |decompress:dcmpr| ; 430 (286) ; 231 (112) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 193 (168) ; 55 (1) ; 182 (117) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr ; work ; +; |fifo_inst:fifo| ; 144 (0) ; 119 (0) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (0) ; 54 (0) ; 65 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo ; work ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 144 (0) ; 119 (0) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (0) ; 54 (0) ; 65 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; work ; +; |dcfifo_ioo1:auto_generated| ; 144 (39) ; 119 (30) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (3) ; 54 (19) ; 65 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated ; work ; ; |a_gray2bin_6ib:wrptr_g_gray2bin| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|a_gray2bin_6ib:wrptr_g_gray2bin ; work ; -; |a_gray2bin_6ib:ws_dgrp_gray2bin| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 7 (7) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|a_gray2bin_6ib:ws_dgrp_gray2bin ; work ; -; |a_graycounter_1lc:wrptr_g1p| ; 19 (19) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 14 (14) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|a_graycounter_1lc:wrptr_g1p ; work ; -; |a_graycounter_477:rdptr_g1p| ; 24 (24) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 1 (1) ; 16 (16) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|a_graycounter_477:rdptr_g1p ; work ; +; |a_gray2bin_6ib:ws_dgrp_gray2bin| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|a_gray2bin_6ib:ws_dgrp_gray2bin ; work ; +; |a_graycounter_1lc:wrptr_g1p| ; 20 (20) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 1 (1) ; 16 (16) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|a_graycounter_1lc:wrptr_g1p ; work ; +; |a_graycounter_477:rdptr_g1p| ; 24 (24) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 0 (0) ; 15 (15) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|a_graycounter_477:rdptr_g1p ; work ; ; |alt_synch_pipe_gpl:rs_dgwp| ; 20 (0) ; 20 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (0) ; 5 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|alt_synch_pipe_gpl:rs_dgwp ; work ; ; |dffpipe_4f9:dffpipe12| ; 20 (20) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (15) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|alt_synch_pipe_gpl:rs_dgwp|dffpipe_4f9:dffpipe12 ; work ; ; |alt_synch_pipe_hpl:ws_dgrp| ; 20 (0) ; 20 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (0) ; 2 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|alt_synch_pipe_hpl:ws_dgrp ; work ; ; |dffpipe_5f9:dffpipe15| ; 20 (20) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (18) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|alt_synch_pipe_hpl:ws_dgrp|dffpipe_5f9:dffpipe15 ; work ; ; |altsyncram_gf11:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 49152 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|altsyncram_gf11:fifo_ram ; work ; ; |cmpr_n76:rdempty_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|cmpr_n76:rdempty_eq_comp ; work ; -; |cmpr_n76:wrfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 5 (5) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|cmpr_n76:wrfull_eq_comp ; work ; -; |cntr_64e:cntr_b| ; 4 (4) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|cntr_64e:cntr_b ; work ; +; |cmpr_n76:wrfull_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|cmpr_n76:wrfull_eq_comp ; work ; +; |cntr_64e:cntr_b| ; 4 (4) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|cntr_64e:cntr_b ; work ; ; |dffpipe_8d9:wrfull_reg| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|dffpipe_8d9:wrfull_reg ; work ; ; |dffpipe_oe9:ws_brp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|dffpipe_oe9:ws_brp ; work ; -; |dffpipe_oe9:ws_bwp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|dffpipe_oe9:ws_bwp ; work ; +; |dffpipe_oe9:ws_bwp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 8 (8) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|dffpipe_oe9:ws_bwp ; work ; ; |rd_tx_fifo:rd_fifo| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|rd_tx_fifo:rd_fifo ; work ; -; |wfm_player:wfm_player_inst| ; 218 (3) ; 175 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 43 (0) ; 81 (2) ; 94 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst ; work ; -; |wfm_rcmd_fsm:wfm_rcmd_fsm_inst| ; 143 (143) ; 111 (111) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (32) ; 52 (52) ; 59 (59) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_rcmd_fsm:wfm_rcmd_fsm_inst ; work ; -; |wfm_wcmd_fsm:wfm_wcmd_fsm_inst| ; 72 (72) ; 61 (61) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 27 (27) ; 34 (34) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_wcmd_fsm:wfm_wcmd_fsm_inst ; work ; -; |sld_hub:auto_hub| ; 184 (1) ; 106 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 78 (1) ; 14 (0) ; 92 (0) ; |lms7_trx_top|sld_hub:auto_hub ; altera_sld ; -; |alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric| ; 183 (0) ; 106 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 77 (0) ; 14 (0) ; 92 (0) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric ; altera_sld ; -; |alt_sld_fab:instrumentation_fabric| ; 183 (0) ; 106 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 77 (0) ; 14 (0) ; 92 (0) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric ; alt_sld_fab ; -; |alt_sld_fab_alt_sld_fab:alt_sld_fab| ; 183 (7) ; 106 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 77 (1) ; 14 (2) ; 92 (0) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab ; alt_sld_fab ; -; |alt_sld_fab_alt_sld_fab_sldfabric:sldfabric| ; 180 (0) ; 100 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 76 (0) ; 12 (0) ; 92 (0) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric ; alt_sld_fab ; -; |sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub| ; 180 (136) ; 100 (72) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 76 (60) ; 12 (11) ; 92 (66) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub ; work ; -; |sld_rom_sr:hub_info_reg| ; 24 (24) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (15) ; 0 (0) ; 9 (9) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg ; work ; +; |wfm_player:wfm_player_inst| ; 216 (3) ; 173 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 39 (0) ; 84 (2) ; 93 (1) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst ; work ; +; |wfm_rcmd_fsm:wfm_rcmd_fsm_inst| ; 143 (143) ; 111 (111) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 28 (28) ; 55 (55) ; 60 (60) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_rcmd_fsm:wfm_rcmd_fsm_inst ; work ; +; |wfm_wcmd_fsm:wfm_wcmd_fsm_inst| ; 70 (70) ; 59 (59) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 27 (27) ; 32 (32) ; |lms7_trx_top|rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_wcmd_fsm:wfm_wcmd_fsm_inst ; work ; +; |sld_hub:auto_hub| ; 133 (1) ; 76 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 57 (1) ; 15 (0) ; 61 (0) ; |lms7_trx_top|sld_hub:auto_hub ; altera_sld ; +; |alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric| ; 132 (0) ; 76 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 56 (0) ; 15 (0) ; 61 (0) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric ; altera_sld ; +; |alt_sld_fab:instrumentation_fabric| ; 132 (0) ; 76 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 56 (0) ; 15 (0) ; 61 (0) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric ; alt_sld_fab ; +; |alt_sld_fab_alt_sld_fab:alt_sld_fab| ; 132 (6) ; 76 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 56 (1) ; 15 (2) ; 61 (0) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab ; alt_sld_fab ; +; |alt_sld_fab_alt_sld_fab_sldfabric:sldfabric| ; 129 (0) ; 71 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 55 (0) ; 13 (0) ; 61 (0) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric ; alt_sld_fab ; +; |sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub| ; 129 (90) ; 71 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 55 (44) ; 13 (12) ; 61 (35) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub ; work ; +; |sld_rom_sr:hub_info_reg| ; 19 (19) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 9 (9) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg ; work ; ; |sld_shadow_jsm:shadow_jsm| ; 20 (20) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 18 (18) ; |lms7_trx_top|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm ; altera_sld ; -; |sld_signaltap:auto_signaltap_6| ; 1606 (206) ; 1479 (204) ; 0 (0) ; 6528 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 127 (2) ; 987 (204) ; 492 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6 ; work ; -; |sld_signaltap_impl:sld_signaltap_body| ; 1400 (0) ; 1275 (0) ; 0 (0) ; 6528 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 125 (0) ; 783 (0) ; 492 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body ; work ; -; |sld_signaltap_implb:sld_signaltap_body| ; 1400 (515) ; 1275 (480) ; 0 (0) ; 6528 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 125 (35) ; 783 (425) ; 492 (54) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body ; work ; -; |altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem| ; 42 (40) ; 40 (40) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 27 (27) ; 13 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem ; work ; -; |lpm_decode:wdecoder| ; 2 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_decode:wdecoder ; work ; -; |decode_dvf:auto_generated| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_decode:wdecoder|decode_dvf:auto_generated ; work ; -; |lpm_mux:mux| ; 13 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 13 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_mux:mux ; work ; -; |mux_qsc:auto_generated| ; 13 (13) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 13 (13) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_mux:mux|mux_qsc:auto_generated ; work ; -; |altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 6528 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram ; work ; -; |altsyncram_8124:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 6528 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_8124:auto_generated ; work ; -; |lpm_shiftreg:segment_offset_config_deserialize| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 5 (5) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|lpm_shiftreg:segment_offset_config_deserialize ; work ; -; |lpm_shiftreg:status_register| ; 17 (17) ; 17 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 17 (17) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|lpm_shiftreg:status_register ; work ; -; |serial_crc_16:\tdo_crc_gen:tdo_crc_calc| ; 14 (14) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 13 (13) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|serial_crc_16:\tdo_crc_gen:tdo_crc_calc ; work ; -; |sld_buffer_manager:sld_buffer_manager_inst| ; 60 (60) ; 39 (39) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (15) ; 9 (9) ; 36 (36) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst ; work ; -; |sld_ela_control:ela_control| ; 561 (1) ; 526 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 35 (0) ; 321 (0) ; 205 (1) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control ; work ; -; |lpm_shiftreg:trigger_config_deserialize| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (4) ; 0 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|lpm_shiftreg:trigger_config_deserialize ; work ; -; |sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm| ; 511 (0) ; 510 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 307 (0) ; 203 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm ; work ; -; |lpm_shiftreg:trigger_condition_deserialize| ; 306 (306) ; 306 (306) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 306 (306) ; 0 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|lpm_shiftreg:trigger_condition_deserialize ; work ; -; |sld_mbpmg:\trigger_modules_gen:0:trigger_match| ; 205 (0) ; 204 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 1 (0) ; 203 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:0:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:0:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:100:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:100:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:101:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:101:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:10:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:10:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:11:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:11:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:12:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:12:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:13:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:13:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:14:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:14:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:15:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:15:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:16:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:16:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:17:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:17:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:18:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:18:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:19:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:19:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:1:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:1:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:20:sm1| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 1 (1) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:20:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:21:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:21:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:22:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:22:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:23:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:23:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:24:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:24:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:25:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:25:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:26:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:26:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:27:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:27:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:28:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:28:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:2:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:2:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:30:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:30:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:31:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:31:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:32:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:32:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:33:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:33:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:34:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:34:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:35:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:35:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:37:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:37:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:38:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:38:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:3:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:3:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:40:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:40:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:41:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:41:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:42:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:42:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:43:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:43:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:44:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:44:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:46:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:46:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:47:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:47:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:48:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:48:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:49:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:49:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:4:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:4:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:50:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:50:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:51:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:51:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:52:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:52:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:53:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:53:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:54:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:54:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:55:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:55:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:56:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:56:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:57:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:57:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:58:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:58:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:59:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:59:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:5:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:5:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:60:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:60:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:61:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:61:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:62:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:62:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:63:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:63:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:64:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:64:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:65:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:65:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:66:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:66:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:67:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:67:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:68:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:68:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:69:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:69:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:6:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:6:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:70:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:70:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:71:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:71:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:72:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:72:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:73:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:73:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:74:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:74:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:75:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:75:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:76:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:76:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:77:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:77:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:78:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:78:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:79:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:79:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:7:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:7:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:80:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:80:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:81:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:81:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:82:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:82:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:83:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:83:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:84:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:84:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:85:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:85:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:86:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:86:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:87:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:87:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:88:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:88:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:89:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:89:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:8:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:8:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:90:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:90:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:91:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:91:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:92:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:92:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:93:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:93:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:94:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:94:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:95:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:95:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:96:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:96:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:97:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:97:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:98:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:98:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:99:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:99:sm1 ; work ; -; |sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:9:sm1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_basic_multi_level_trigger:\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|sld_mbpmg:\trigger_modules_gen:0:trigger_match|sld_sbpmg:\gen_sbpmg_pipeline_less_than_two:sm0:9:sm1 ; work ; -; |sld_ela_trigger_flow_mgr:\builtin:ela_trigger_flow_mgr_entity| ; 45 (35) ; 11 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 34 (34) ; 10 (0) ; 1 (1) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_trigger_flow_mgr:\builtin:ela_trigger_flow_mgr_entity ; work ; -; |lpm_shiftreg:trigger_config_deserialize| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (10) ; 0 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_ela_control:ela_control|sld_ela_trigger_flow_mgr:\builtin:ela_trigger_flow_mgr_entity|lpm_shiftreg:trigger_config_deserialize ; work ; -; |sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst| ; 161 (9) ; 146 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (9) ; 0 (0) ; 146 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst ; work ; -; |lpm_counter:\adv_point_3_and_more:advance_pointer_counter| ; 9 (0) ; 7 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 7 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:\adv_point_3_and_more:advance_pointer_counter ; work ; -; |cntr_nii:auto_generated| ; 9 (9) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 7 (7) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:\adv_point_3_and_more:advance_pointer_counter|cntr_nii:auto_generated ; work ; -; |lpm_counter:read_pointer_counter| ; 6 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:read_pointer_counter ; work ; -; |cntr_05j:auto_generated| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:read_pointer_counter|cntr_05j:auto_generated ; work ; -; |lpm_counter:status_advance_pointer_counter| ; 6 (0) ; 4 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 4 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_advance_pointer_counter ; work ; -; |cntr_cgi:auto_generated| ; 6 (6) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 4 (4) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_advance_pointer_counter|cntr_cgi:auto_generated ; work ; -; |lpm_counter:status_read_pointer_counter| ; 3 (0) ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 1 (0) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_read_pointer_counter ; work ; -; |cntr_23j:auto_generated| ; 3 (3) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 1 (1) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_read_pointer_counter|cntr_23j:auto_generated ; work ; -; |lpm_shiftreg:info_data_shift_out| ; 13 (13) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 13 (13) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_shiftreg:info_data_shift_out ; work ; -; |lpm_shiftreg:ram_data_shift_out| ; 102 (102) ; 102 (102) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 102 (102) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_shiftreg:ram_data_shift_out ; work ; -; |lpm_shiftreg:status_data_shift_out| ; 13 (13) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 13 (13) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_shiftreg:status_data_shift_out ; work ; -; |sld_rom_sr:crc_rom_sr| ; 30 (30) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (22) ; 0 (0) ; 8 (8) ; |lms7_trx_top|sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr ; work ; ; |sync_reg:sync_reg0| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|sync_reg:sync_reg0 ; work ; ; |sync_reg:sync_reg1| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|sync_reg:sync_reg1 ; work ; -; |tst_top:inst3_tst_top| ; 6623 (0) ; 3737 (0) ; 0 (0) ; 12960 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2886 (0) ; 615 (0) ; 3122 (0) ; |lms7_trx_top|tst_top:inst3_tst_top ; work ; -; |clock_test:clock_test_inst0| ; 262 (0) ; 244 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 20 (0) ; 224 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|clock_test:clock_test_inst0 ; work ; -; |clk_no_ref_test:FX3_clk_test| ; 26 (26) ; 21 (21) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 2 (2) ; 19 (19) ; |lms7_trx_top|tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_no_ref_test:FX3_clk_test ; work ; +; |tst_top:inst3_tst_top| ; 6666 (0) ; 3734 (0) ; 0 (0) ; 12960 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2932 (0) ; 647 (0) ; 3087 (0) ; |lms7_trx_top|tst_top:inst3_tst_top ; work ; +; |clock_test:clock_test_inst0| ; 262 (0) ; 244 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (0) ; 25 (0) ; 219 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|clock_test:clock_test_inst0 ; work ; +; |clk_no_ref_test:FX3_clk_test| ; 26 (26) ; 21 (21) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 3 (3) ; 18 (18) ; |lms7_trx_top|tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_no_ref_test:FX3_clk_test ; work ; ; |clk_with_ref_test:Si5351C_test| ; 152 (152) ; 147 (147) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 15 (15) ; 132 (132) ; |lms7_trx_top|tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test ; work ; -; |singl_clk_with_ref_test:LML_CLK_test| ; 63 (63) ; 55 (55) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 2 (2) ; 53 (53) ; |lms7_trx_top|tst_top:inst3_tst_top|clock_test:clock_test_inst0|singl_clk_with_ref_test:LML_CLK_test ; work ; -; |transition_count:ADF_muxout_test| ; 21 (21) ; 21 (21) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 20 (20) ; |lms7_trx_top|tst_top:inst3_tst_top|clock_test:clock_test_inst0|transition_count:ADF_muxout_test ; work ; -; |ddr2_tester:ddr2_tester_inst2| ; 6361 (0) ; 3493 (0) ; 0 (0) ; 12960 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2868 (0) ; 595 (0) ; 2898 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2 ; work ; -; |ddr2:ddr2_inst| ; 5342 (0) ; 2739 (0) ; 0 (0) ; 11104 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2592 (0) ; 549 (0) ; 2201 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst ; work ; -; |ddr2_controller_phy:ddr2_controller_phy_inst| ; 5342 (0) ; 2739 (0) ; 0 (0) ; 11104 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2592 (0) ; 549 (0) ; 2201 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst ; work ; -; |ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst| ; 3506 (0) ; 1755 (0) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1735 (0) ; 330 (0) ; 1441 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst ; work ; -; |alt_mem_ddrx_controller_st_top:controller_inst| ; 3498 (0) ; 1752 (0) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1730 (0) ; 330 (0) ; 1438 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst ; work ; -; |alt_mem_ddrx_controller:controller_inst| ; 3498 (1) ; 1752 (1) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1730 (0) ; 330 (0) ; 1438 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst ; work ; -; |alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst| ; 48 (6) ; 37 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (6) ; 0 (0) ; 40 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst ; work ; -; |alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[0].alt_mem_ddrx_addr_cmd_inst| ; 24 (24) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 22 (22) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[0].alt_mem_ddrx_addr_cmd_inst ; work ; -; |alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[1].alt_mem_ddrx_addr_cmd_inst| ; 18 (18) ; 17 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 18 (18) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[1].alt_mem_ddrx_addr_cmd_inst ; work ; -; |alt_mem_ddrx_arbiter:arbiter_inst| ; 212 (212) ; 26 (26) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 82 (82) ; 1 (1) ; 129 (129) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst ; work ; -; |alt_mem_ddrx_burst_gen:burst_gen_inst| ; 86 (86) ; 68 (68) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 4 (4) ; 66 (66) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst ; work ; -; |alt_mem_ddrx_cmd_gen:cmd_gen_inst| ; 203 (203) ; 74 (74) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 111 (111) ; 0 (0) ; 92 (92) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst ; work ; +; |singl_clk_with_ref_test:LML_CLK_test| ; 63 (63) ; 55 (55) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 3 (3) ; 52 (52) ; |lms7_trx_top|tst_top:inst3_tst_top|clock_test:clock_test_inst0|singl_clk_with_ref_test:LML_CLK_test ; work ; +; |transition_count:ADF_muxout_test| ; 21 (21) ; 21 (21) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (4) ; 17 (17) ; |lms7_trx_top|tst_top:inst3_tst_top|clock_test:clock_test_inst0|transition_count:ADF_muxout_test ; work ; +; |ddr2_tester:ddr2_tester_inst2| ; 6404 (0) ; 3490 (0) ; 0 (0) ; 12960 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2914 (0) ; 622 (0) ; 2868 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2 ; work ; +; |ddr2:ddr2_inst| ; 5364 (0) ; 2736 (0) ; 0 (0) ; 11104 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2628 (0) ; 573 (0) ; 2163 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst ; work ; +; |ddr2_controller_phy:ddr2_controller_phy_inst| ; 5364 (0) ; 2736 (0) ; 0 (0) ; 11104 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2628 (0) ; 573 (0) ; 2163 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst ; work ; +; |ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst| ; 3527 (0) ; 1752 (0) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1762 (0) ; 354 (0) ; 1411 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst ; work ; +; |alt_mem_ddrx_controller_st_top:controller_inst| ; 3519 (0) ; 1749 (0) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1757 (0) ; 354 (0) ; 1408 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst ; work ; +; |alt_mem_ddrx_controller:controller_inst| ; 3519 (1) ; 1749 (1) ; 0 (0) ; 10560 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1757 (0) ; 354 (0) ; 1408 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst ; work ; +; |alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst| ; 48 (6) ; 37 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (6) ; 0 (0) ; 39 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst ; work ; +; |alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[0].alt_mem_ddrx_addr_cmd_inst| ; 24 (24) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 21 (21) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[0].alt_mem_ddrx_addr_cmd_inst ; work ; +; |alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[1].alt_mem_ddrx_addr_cmd_inst| ; 19 (19) ; 17 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 19 (19) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[1].alt_mem_ddrx_addr_cmd_inst ; work ; +; |alt_mem_ddrx_arbiter:arbiter_inst| ; 209 (209) ; 26 (26) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 92 (92) ; 0 (0) ; 117 (117) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst ; work ; +; |alt_mem_ddrx_burst_gen:burst_gen_inst| ; 86 (86) ; 68 (68) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (18) ; 8 (8) ; 60 (60) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst ; work ; +; |alt_mem_ddrx_cmd_gen:cmd_gen_inst| ; 207 (207) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 113 (113) ; 0 (0) ; 94 (94) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst ; work ; ; |alt_mem_ddrx_input_if:input_if_inst| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_input_if:input_if_inst ; work ; -; |alt_mem_ddrx_rank_timer:rank_timer_inst| ; 204 (204) ; 113 (113) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 90 (90) ; 45 (45) ; 69 (69) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst ; work ; -; |alt_mem_ddrx_rdata_path:rdata_path_inst| ; 520 (93) ; 256 (34) ; 0 (0) ; 8384 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 264 (59) ; 8 (1) ; 248 (34) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst ; work ; +; |alt_mem_ddrx_rank_timer:rank_timer_inst| ; 206 (206) ; 113 (113) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 93 (93) ; 59 (59) ; 54 (54) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst ; work ; +; |alt_mem_ddrx_rdata_path:rdata_path_inst| ; 512 (88) ; 256 (34) ; 0 (0) ; 8384 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 255 (54) ; 6 (0) ; 251 (34) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst ; work ; ; |alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component ; work ; ; |altsyncram_7pl1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component|altsyncram_7pl1:auto_generated ; work ; @@ -2810,20 +2666,20 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |cntr_bo7:usedw_counter| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|cntr_bo7:usedw_counter ; work ; ; |cntr_unb:rd_ptr_msb| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|cntr_unb:rd_ptr_msb ; work ; ; |cntr_vnb:wr_ptr| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|cntr_vnb:wr_ptr ; work ; -; |alt_mem_ddrx_fifo:pending_rd_fifo| ; 39 (1) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (1) ; 2 (0) ; 21 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo ; work ; -; |scfifo:gen_fifo_instance.scfifo_component| ; 38 (0) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (0) ; 2 (0) ; 21 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component ; work ; -; |scfifo_p941:auto_generated| ; 38 (0) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (0) ; 2 (0) ; 21 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated ; work ; -; |a_dpfifo_2l31:dpfifo| ; 38 (27) ; 23 (12) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (15) ; 2 (2) ; 21 (10) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo ; work ; +; |alt_mem_ddrx_fifo:pending_rd_fifo| ; 35 (1) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (1) ; 1 (0) ; 22 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo ; work ; +; |scfifo:gen_fifo_instance.scfifo_component| ; 34 (0) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (0) ; 1 (0) ; 22 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component ; work ; +; |scfifo_p941:auto_generated| ; 34 (0) ; 23 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (0) ; 1 (0) ; 22 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated ; work ; +; |a_dpfifo_2l31:dpfifo| ; 34 (23) ; 23 (12) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 1 (1) ; 22 (11) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo ; work ; ; |altsyncram_lah1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|altsyncram_lah1:FIFOram ; work ; ; |cntr_bo7:usedw_counter| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|cntr_bo7:usedw_counter ; work ; ; |cntr_unb:rd_ptr_msb| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|cntr_unb:rd_ptr_msb ; work ; ; |cntr_vnb:wr_ptr| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|cntr_vnb:wr_ptr ; work ; -; |alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst| ; 197 (197) ; 96 (96) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 101 (101) ; 3 (3) ; 93 (93) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst ; work ; -; |alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst| ; 146 (146) ; 80 (80) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 66 (66) ; 1 (1) ; 79 (79) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst ; work ; -; |alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst| ; 571 (571) ; 453 (453) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 112 (112) ; 197 (197) ; 262 (262) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst ; work ; -; |alt_mem_ddrx_sideband:sideband_inst| ; 86 (86) ; 35 (35) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 49 (49) ; 0 (0) ; 37 (37) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst ; work ; -; |alt_mem_ddrx_tbp:tbp_inst| ; 969 (969) ; 408 (408) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 531 (531) ; 55 (55) ; 383 (383) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst ; work ; -; |alt_mem_ddrx_wdata_path:wdata_path_inst| ; 750 (3) ; 281 (3) ; 0 (0) ; 2176 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 467 (0) ; 20 (0) ; 263 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst ; work ; +; |alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst| ; 196 (196) ; 96 (96) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 100 (100) ; 4 (4) ; 92 (92) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst ; work ; +; |alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst| ; 148 (148) ; 80 (80) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 67 (67) ; 0 (0) ; 81 (81) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst ; work ; +; |alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst| ; 572 (572) ; 453 (453) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 112 (112) ; 199 (199) ; 261 (261) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst ; work ; +; |alt_mem_ddrx_sideband:sideband_inst| ; 76 (76) ; 35 (35) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (40) ; 1 (1) ; 35 (35) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst ; work ; +; |alt_mem_ddrx_tbp:tbp_inst| ; 966 (966) ; 408 (408) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 551 (551) ; 65 (65) ; 350 (350) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst ; work ; +; |alt_mem_ddrx_wdata_path:wdata_path_inst| ; 760 (3) ; 277 (3) ; 0 (0) ; 2176 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 474 (0) ; 16 (0) ; 270 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst ; work ; ; |alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst| ; 0 (0) ; 0 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component ; work ; ; |altsyncram_lil1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component|altsyncram_lil1:auto_generated ; work ; @@ -2834,30 +2690,30 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[1].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component ; work ; ; |altsyncram_vll1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[1].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_vll1:auto_generated ; work ; ; |alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst| ; 44 (44) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 37 (37) ; 0 (0) ; 7 (7) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst ; work ; -; |alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst| ; 567 (507) ; 202 (170) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 363 (335) ; 17 (14) ; 187 (159) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst ; work ; -; |alt_mem_ddrx_list:burstcount_list| ; 60 (60) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 28 (28) ; 3 (3) ; 29 (29) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list ; work ; -; |alt_mem_ddrx_list:wdatap_list_allocated_id_inst| ; 73 (73) ; 37 (37) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 36 (36) ; 3 (3) ; 34 (34) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst ; work ; -; |alt_mem_ddrx_list:wdatap_list_freeid_inst| ; 63 (63) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 31 (31) ; 0 (0) ; 32 (32) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst ; work ; +; |alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst| ; 572 (510) ; 198 (166) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 365 (336) ; 13 (10) ; 194 (164) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst ; work ; +; |alt_mem_ddrx_list:burstcount_list| ; 62 (62) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (29) ; 3 (3) ; 30 (30) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list ; work ; +; |alt_mem_ddrx_list:wdatap_list_allocated_id_inst| ; 73 (73) ; 37 (37) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 35 (35) ; 3 (3) ; 35 (35) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst ; work ; +; |alt_mem_ddrx_list:wdatap_list_freeid_inst| ; 69 (69) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 37 (37) ; 0 (0) ; 32 (32) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst ; work ; ; |alt_mem_ddrx_mm_st_converter:mm_st_converter_inst| ; 8 (8) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_mm_st_converter:mm_st_converter_inst ; work ; -; |ddr2_phy:ddr2_phy_inst| ; 1846 (0) ; 984 (0) ; 0 (0) ; 544 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 857 (0) ; 219 (0) ; 770 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst ; work ; -; |ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst| ; 1846 (0) ; 984 (0) ; 0 (0) ; 544 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 857 (0) ; 219 (0) ; 770 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst ; work ; -; |ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc| ; 93 (0) ; 66 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 (0) ; 22 (0) ; 46 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[0].addr_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[0].addr_struct ; work ; +; |ddr2_phy:ddr2_phy_inst| ; 1852 (0) ; 984 (0) ; 0 (0) ; 544 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 866 (0) ; 219 (0) ; 767 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst ; work ; +; |ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst| ; 1852 (0) ; 984 (0) ; 0 (0) ; 544 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 866 (0) ; 219 (0) ; 767 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst ; work ; +; |ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc| ; 94 (0) ; 66 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 27 (0) ; 18 (0) ; 49 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[0].addr_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[0].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[0].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[0].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[10].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_ac:addr[11].addr_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[11].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[11].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[11].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[12].addr_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[12].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[12].addr_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[12].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[12].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[12].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[1].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[2].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[2].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[2].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[2].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[2].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[2].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_ac:addr[3].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[3].addr_struct ; work ; @@ -2869,10 +2725,10 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |ddr2_phy_alt_mem_phy_ac:addr[5].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[5].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[5].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[5].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[6].addr_struct| ; 4 (4) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[6].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[6].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[6].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[6].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[6].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:addr[7].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[7].addr_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:addr[7].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[7].addr_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[7].addr_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[7].addr_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_ac:addr[8].addr_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:addr[8].addr_struct ; work ; @@ -2884,10 +2740,10 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |ddr2_phy_alt_mem_phy_ac:ba[0].ba_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 1 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[0].ba_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[0].ba_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_akd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[0].ba_struct|altddio_out:full_rate.addr_pin|ddio_out_akd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:ba[1].ba_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 1 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[1].ba_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:ba[1].ba_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[1].ba_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[1].ba_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_akd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[1].ba_struct|altddio_out:full_rate.addr_pin|ddio_out_akd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 1 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_akd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:ba[2].ba_struct|altddio_out:full_rate.addr_pin|ddio_out_akd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_ac:cas_n_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cas_n_struct ; work ; @@ -2896,7 +2752,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |ddr2_phy_alt_mem_phy_ac:cke[0].cke_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cke[0].cke_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cke[0].cke_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_akd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cke[0].cke_struct|altddio_out:full_rate.addr_pin|ddio_out_akd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 4 (4) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct ; work ; +; |ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_ac:gen_odt.odt[0].odt_struct| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:gen_odt.odt[0].odt_struct ; work ; @@ -2908,7 +2764,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |ddr2_phy_alt_mem_phy_ac:we_n_struct| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:we_n_struct ; work ; ; |altddio_out:full_rate.addr_pin| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:we_n_struct|altddio_out:full_rate.addr_pin ; work ; ; |ddio_out_nhd:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_addr_cmd:full_rate_adc_gen.adc|ddr2_phy_alt_mem_phy_ac:we_n_struct|altddio_out:full_rate.addr_pin|ddio_out_nhd:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_clk_reset:clk| ; 61 (34) ; 49 (31) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (3) ; 21 (15) ; 28 (16) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk ; work ; +; |ddr2_phy_alt_mem_phy_clk_reset:clk| ; 60 (33) ; 49 (31) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (2) ; 21 (16) ; 28 (16) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk ; work ; ; |altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_n| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_n ; work ; ; |ddio_bidir_ref:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_n|ddio_bidir_ref:auto_generated ; work ; ; |altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_p| ; 1 (0) ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_p ; work ; @@ -2923,13 +2779,13 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |cntr_8ge:pll_internal_phasestep| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|cntr_8ge:pll_internal_phasestep ; work ; ; |ddr2_phy_alt_mem_phy_reset_pipe:ac_clk_pipe_2x| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:ac_clk_pipe_2x ; work ; ; |ddr2_phy_alt_mem_phy_reset_pipe:measure_clk_pipe| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:measure_clk_pipe ; work ; -; |ddr2_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe ; work ; +; |ddr2_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe ; work ; ; |ddr2_phy_alt_mem_phy_reset_pipe:resync_clk_pipe| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:resync_clk_pipe ; work ; -; |ddr2_phy_alt_mem_phy_dp_io:dpio| ; 112 (64) ; 112 (64) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 112 (64) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio ; work ; +; |ddr2_phy_alt_mem_phy_dp_io:dpio| ; 112 (64) ; 112 (64) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 108 (61) ; 4 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio ; work ; ; |altddio_in:dqs_group[0].dq[0].dqi| ; 3 (0) ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[0].dqi ; work ; ; |ddio_in_9gd:auto_generated| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[0].dqi|ddio_in_9gd:auto_generated ; work ; -; |altddio_in:dqs_group[0].dq[1].dqi| ; 3 (0) ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[1].dqi ; work ; -; |ddio_in_9gd:auto_generated| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[1].dqi|ddio_in_9gd:auto_generated ; work ; +; |altddio_in:dqs_group[0].dq[1].dqi| ; 3 (0) ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (0) ; 1 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[1].dqi ; work ; +; |ddio_in_9gd:auto_generated| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 1 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[1].dqi|ddio_in_9gd:auto_generated ; work ; ; |altddio_in:dqs_group[0].dq[2].dqi| ; 3 (0) ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[2].dqi ; work ; ; |ddio_in_9gd:auto_generated| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[2].dqi|ddio_in_9gd:auto_generated ; work ; ; |altddio_in:dqs_group[0].dq[3].dqi| ; 3 (0) ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[3].dqi ; work ; @@ -2959,30 +2815,30 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |altddio_in:dqs_group[1].dq[7].dqi| ; 3 (0) ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[7].dqi ; work ; ; |ddio_in_9gd:auto_generated| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[7].dqi|ddio_in_9gd:auto_generated ; work ; ; |ddr2_phy_alt_mem_phy_mimic:mmc| ; 29 (29) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 3 (3) ; 21 (21) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_mimic:mmc ; work ; -; |ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe| ; 17 (17) ; 10 (10) ; 0 (0) ; 32 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 1 (1) ; 10 (10) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe ; work ; +; |ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe| ; 17 (17) ; 10 (10) ; 0 (0) ; 32 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 11 (11) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 32 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|altsyncram:altsyncram_component ; work ; ; |altsyncram_boi1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 32 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|altsyncram:altsyncram_component|altsyncram_boi1:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_read_dp:rdp| ; 9 (9) ; 7 (7) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 7 (7) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp ; work ; +; |ddr2_phy_alt_mem_phy_read_dp:rdp| ; 9 (9) ; 7 (7) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp ; work ; ; |altsyncram:full_rate_ram_gen.altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component ; work ; ; |altsyncram_reh1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated ; work ; -; |ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper| ; 1483 (0) ; 674 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 807 (0) ; 54 (0) ; 622 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper ; work ; -; |ddr2_phy_alt_mem_phy_seq:seq_inst| ; 1483 (38) ; 674 (34) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 807 (7) ; 54 (3) ; 622 (25) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst ; work ; -; |ddr2_phy_alt_mem_phy_admin:admin| ; 305 (305) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 217 (217) ; 2 (2) ; 86 (86) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin ; work ; -; |ddr2_phy_alt_mem_phy_ctrl:ctrl| ; 241 (241) ; 134 (134) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 89 (89) ; 10 (10) ; 142 (142) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl ; work ; -; |ddr2_phy_alt_mem_phy_dgrb:dgrb| ; 809 (809) ; 365 (365) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 439 (439) ; 33 (33) ; 337 (337) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb ; work ; -; |ddr2_phy_alt_mem_phy_dgwb:dgwb| ; 111 (111) ; 55 (55) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 55 (55) ; 6 (6) ; 50 (50) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb ; work ; -; |ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp| ; 44 (44) ; 42 (42) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 38 (38) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp ; work ; -; |ddr2_traffic_gen:traffic_gen_inst| ; 1030 (0) ; 754 (0) ; 0 (0) ; 1856 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 276 (0) ; 46 (0) ; 708 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst ; ddr2_traffic_gen ; -; |ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0| ; 1030 (0) ; 754 (0) ; 0 (0) ; 1856 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 276 (0) ; 46 (0) ; 708 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0 ; ddr2_traffic_gen ; -; |driver_avl_use_be_avl_use_burstbegin:traffic_generator_0| ; 1030 (45) ; 754 (32) ; 0 (0) ; 1856 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 276 (13) ; 46 (0) ; 708 (32) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0 ; ddr2_traffic_gen ; -; |addr_gen:addr_gen_inst| ; 236 (57) ; 145 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 90 (56) ; 0 (0) ; 146 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst ; ddr2_traffic_gen ; +; |ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper| ; 1492 (0) ; 674 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 817 (0) ; 63 (0) ; 612 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper ; work ; +; |ddr2_phy_alt_mem_phy_seq:seq_inst| ; 1492 (42) ; 674 (34) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 817 (7) ; 63 (7) ; 612 (26) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst ; work ; +; |ddr2_phy_alt_mem_phy_admin:admin| ; 304 (304) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 218 (218) ; 2 (2) ; 84 (84) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin ; work ; +; |ddr2_phy_alt_mem_phy_ctrl:ctrl| ; 242 (242) ; 134 (134) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 91 (91) ; 14 (14) ; 137 (137) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl ; work ; +; |ddr2_phy_alt_mem_phy_dgrb:dgrb| ; 810 (810) ; 365 (365) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 443 (443) ; 32 (32) ; 335 (335) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb ; work ; +; |ddr2_phy_alt_mem_phy_dgwb:dgwb| ; 113 (113) ; 55 (55) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 58 (58) ; 8 (8) ; 47 (47) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb ; work ; +; |ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp| ; 43 (43) ; 42 (42) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 37 (37) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp ; work ; +; |ddr2_traffic_gen:traffic_gen_inst| ; 1040 (0) ; 754 (0) ; 0 (0) ; 1856 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 286 (0) ; 49 (0) ; 705 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst ; ddr2_traffic_gen ; +; |ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0| ; 1040 (0) ; 754 (0) ; 0 (0) ; 1856 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 286 (0) ; 49 (0) ; 705 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0 ; ddr2_traffic_gen ; +; |driver_avl_use_be_avl_use_burstbegin:traffic_generator_0| ; 1040 (45) ; 754 (32) ; 0 (0) ; 1856 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 286 (13) ; 49 (0) ; 705 (32) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0 ; ddr2_traffic_gen ; +; |addr_gen:addr_gen_inst| ; 260 (58) ; 145 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 101 (56) ; 0 (0) ; 159 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst ; ddr2_traffic_gen ; ; |rand_addr_gen:rand_addr_gen_inst| ; 28 (0) ; 27 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 27 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst ; ddr2_traffic_gen ; ; |lfsr:rand_addr_high| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|lfsr:rand_addr_high ; ddr2_traffic_gen ; ; |lfsr:rand_addr_low| ; 12 (12) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 12 (12) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|lfsr:rand_addr_low ; ddr2_traffic_gen ; ; |rand_burstcount_gen:rand_burstcount| ; 6 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 5 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|rand_burstcount_gen:rand_burstcount ; ddr2_traffic_gen ; -; |rand_num_gen:power_of_two_false.rand_burstcount| ; 6 (2) ; 5 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 5 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount ; ddr2_traffic_gen ; -; |lfsr:random_gen.lfsr_inst| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 4 (4) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount|lfsr:random_gen.lfsr_inst ; ddr2_traffic_gen ; -; |rand_seq_addr_gen:rand_seq_addr_gen_inst| ; 120 (75) ; 88 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (26) ; 0 (0) ; 88 (49) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst ; ddr2_traffic_gen ; +; |rand_num_gen:power_of_two_false.rand_burstcount| ; 6 (2) ; 5 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 5 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount ; ddr2_traffic_gen ; +; |lfsr:random_gen.lfsr_inst| ; 4 (4) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_addr_gen:rand_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount|lfsr:random_gen.lfsr_inst ; ddr2_traffic_gen ; +; |rand_seq_addr_gen:rand_seq_addr_gen_inst| ; 120 (75) ; 88 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 31 (25) ; 0 (0) ; 89 (50) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst ; ddr2_traffic_gen ; ; |lfsr:rand_addr_high| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|lfsr:rand_addr_high ; ddr2_traffic_gen ; ; |lfsr:rand_addr_low| ; 12 (12) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 12 (12) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|lfsr:rand_addr_low ; ddr2_traffic_gen ; ; |rand_burstcount_gen:rand_burstcount| ; 6 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 5 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount ; ddr2_traffic_gen ; @@ -2990,41 +2846,41 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |lfsr:random_gen.lfsr_inst| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 4 (4) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount|lfsr:random_gen.lfsr_inst ; ddr2_traffic_gen ; ; |rand_num_gen:rand_seq_prob| ; 17 (7) ; 12 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 12 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|rand_num_gen:rand_seq_prob ; ddr2_traffic_gen ; ; |lfsr:random_gen.lfsr_inst| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|rand_num_gen:rand_seq_prob|lfsr:random_gen.lfsr_inst ; ddr2_traffic_gen ; -; |seq_addr_gen:seq_addr_gen_inst| ; 30 (23) ; 29 (23) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 29 (23) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst ; ddr2_traffic_gen ; +; |seq_addr_gen:seq_addr_gen_inst| ; 53 (46) ; 29 (23) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (12) ; 0 (0) ; 40 (34) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst ; ddr2_traffic_gen ; ; |rand_burstcount_gen:rand_burstcount| ; 7 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 6 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount ; ddr2_traffic_gen ; -; |rand_num_gen:power_of_two_false.rand_burstcount| ; 7 (3) ; 6 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 6 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount ; ddr2_traffic_gen ; -; |lfsr:random_gen.lfsr_inst| ; 5 (5) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 4 (4) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount|lfsr:random_gen.lfsr_inst ; ddr2_traffic_gen ; +; |rand_num_gen:power_of_two_false.rand_burstcount| ; 7 (3) ; 6 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 6 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount ; ddr2_traffic_gen ; +; |lfsr:random_gen.lfsr_inst| ; 4 (4) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen:seq_addr_gen_inst|rand_burstcount_gen:rand_burstcount|rand_num_gen:power_of_two_false.rand_burstcount|lfsr:random_gen.lfsr_inst ; ddr2_traffic_gen ; ; |template_addr_gen:template_addr_gen_inst| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|template_addr_gen:template_addr_gen_inst ; ddr2_traffic_gen ; -; |avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst| ; 274 (156) ; 222 (143) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 52 (13) ; 0 (0) ; 222 (144) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst ; ddr2_traffic_gen ; -; |scfifo_wrapper:avalon_traffic_fifo| ; 118 (90) ; 79 (62) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 39 (28) ; 0 (0) ; 79 (62) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo ; ddr2_traffic_gen ; -; |scfifo:scfifo_inst| ; 28 (0) ; 17 (0) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (0) ; 0 (0) ; 17 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst ; work ; -; |scfifo_4m41:auto_generated| ; 28 (3) ; 17 (1) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (2) ; 0 (0) ; 17 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated ; work ; -; |a_dpfifo_2g11:dpfifo| ; 25 (17) ; 16 (8) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 0 (0) ; 16 (8) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo ; work ; +; |avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst| ; 268 (154) ; 222 (143) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 46 (11) ; 0 (0) ; 222 (144) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst ; ddr2_traffic_gen ; +; |scfifo_wrapper:avalon_traffic_fifo| ; 114 (90) ; 79 (62) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 35 (28) ; 0 (0) ; 79 (62) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo ; ddr2_traffic_gen ; +; |scfifo:scfifo_inst| ; 24 (0) ; 17 (0) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (0) ; 0 (0) ; 17 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst ; work ; +; |scfifo_4m41:auto_generated| ; 24 (3) ; 17 (1) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (2) ; 0 (0) ; 17 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated ; work ; +; |a_dpfifo_2g11:dpfifo| ; 21 (13) ; 16 (8) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 16 (8) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo ; work ; ; |altsyncram_vmb1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 488 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram ; work ; ; |cntr_ao7:usedw_counter| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|cntr_ao7:usedw_counter ; work ; ; |cntr_tnb:rd_ptr_msb| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|cntr_tnb:rd_ptr_msb ; work ; ; |cntr_unb:wr_ptr| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|cntr_unb:wr_ptr ; work ; -; |driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst| ; 230 (101) ; 120 (72) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 109 (28) ; 0 (0) ; 121 (73) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst ; ddr2_traffic_gen ; -; |block_rw_stage_avl_use_be_avl_use_burstbegin:block_rw_stage_inst| ; 37 (37) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (21) ; 0 (0) ; 16 (16) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|block_rw_stage_avl_use_be_avl_use_burstbegin:block_rw_stage_inst ; ddr2_traffic_gen ; -; |single_rw_stage_avl_use_be_avl_use_burstbegin:single_rw_stage_inst| ; 33 (33) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 0 (0) ; 14 (14) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|single_rw_stage_avl_use_be_avl_use_burstbegin:single_rw_stage_inst ; ddr2_traffic_gen ; -; |template_stage:template_stage_inst| ; 59 (59) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 41 (41) ; 0 (0) ; 18 (18) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|template_stage:template_stage_inst ; ddr2_traffic_gen ; +; |driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst| ; 231 (103) ; 120 (72) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 111 (31) ; 0 (0) ; 120 (72) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst ; ddr2_traffic_gen ; +; |block_rw_stage_avl_use_be_avl_use_burstbegin:block_rw_stage_inst| ; 38 (38) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (22) ; 0 (0) ; 16 (16) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|block_rw_stage_avl_use_be_avl_use_burstbegin:block_rw_stage_inst ; ddr2_traffic_gen ; +; |single_rw_stage_avl_use_be_avl_use_burstbegin:single_rw_stage_inst| ; 34 (34) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (20) ; 0 (0) ; 14 (14) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|single_rw_stage_avl_use_be_avl_use_burstbegin:single_rw_stage_inst ; ddr2_traffic_gen ; +; |template_stage:template_stage_inst| ; 56 (56) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 38 (38) ; 0 (0) ; 18 (18) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|template_stage:template_stage_inst ; ddr2_traffic_gen ; ; |lfsr_wrapper:data_gen_inst| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|lfsr_wrapper:data_gen_inst ; ddr2_traffic_gen ; ; |lfsr:lfsr_gen[0].lfsr_inst| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (32) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|lfsr_wrapper:data_gen_inst|lfsr:lfsr_gen[0].lfsr_inst ; ddr2_traffic_gen ; -; |read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst| ; 157 (99) ; 151 (99) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 38 (38) ; 113 (60) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst ; ddr2_traffic_gen ; -; |scfifo_wrapper:written_data_fifo| ; 59 (34) ; 52 (34) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 53 (33) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo ; ddr2_traffic_gen ; -; |scfifo:scfifo_inst| ; 26 (0) ; 18 (0) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 20 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst ; work ; -; |scfifo_vr41:auto_generated| ; 26 (5) ; 18 (1) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (3) ; 0 (0) ; 20 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated ; work ; -; |a_dpfifo_gk11:dpfifo| ; 21 (0) ; 17 (0) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (0) ; 0 (0) ; 18 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo ; work ; -; |a_fefifo_1cf:fifo_state| ; 11 (6) ; 7 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 8 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|a_fefifo_1cf:fifo_state ; work ; +; |read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst| ; 159 (99) ; 151 (99) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 40 (39) ; 111 (60) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst ; ddr2_traffic_gen ; +; |scfifo_wrapper:written_data_fifo| ; 60 (34) ; 52 (34) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 1 (1) ; 51 (33) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo ; ddr2_traffic_gen ; +; |scfifo:scfifo_inst| ; 26 (0) ; 18 (0) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 0 (0) ; 18 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst ; work ; +; |scfifo_vr41:auto_generated| ; 26 (5) ; 18 (1) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (4) ; 0 (0) ; 18 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated ; work ; +; |a_dpfifo_gk11:dpfifo| ; 21 (0) ; 17 (0) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 0 (0) ; 17 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo ; work ; +; |a_fefifo_1cf:fifo_state| ; 11 (6) ; 7 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 7 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|a_fefifo_1cf:fifo_state ; work ; ; |cntr_co7:count_usedw| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|a_fefifo_1cf:fifo_state|cntr_co7:count_usedw ; work ; ; |altsyncram_8km1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 1152 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|altsyncram_8km1:FIFOram ; work ; ; |cntr_0ob:rd_ptr_count| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|cntr_0ob:rd_ptr_count ; work ; ; |cntr_0ob:wr_ptr| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|cntr_0ob:wr_ptr ; work ; -; |reset_sync:ureset_driver_clk| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (8) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk ; ddr2_traffic_gen ; -; |scfifo_wrapper:addr_burstcount_fifo| ; 48 (27) ; 42 (26) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (1) ; 0 (0) ; 42 (26) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo ; ddr2_traffic_gen ; -; |scfifo:scfifo_inst| ; 21 (0) ; 16 (0) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (0) ; 0 (0) ; 16 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst ; work ; -; |scfifo_5m41:auto_generated| ; 21 (0) ; 16 (0) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (0) ; 0 (0) ; 16 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated ; work ; -; |a_dpfifo_3g11:dpfifo| ; 21 (13) ; 16 (8) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 16 (8) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo ; work ; +; |reset_sync:ureset_driver_clk| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (9) ; 1 (1) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk ; ddr2_traffic_gen ; +; |scfifo_wrapper:addr_burstcount_fifo| ; 49 (27) ; 42 (26) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (1) ; 0 (0) ; 42 (26) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo ; ddr2_traffic_gen ; +; |scfifo:scfifo_inst| ; 22 (0) ; 16 (0) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 16 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst ; work ; +; |scfifo_5m41:auto_generated| ; 22 (0) ; 16 (0) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 16 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated ; work ; +; |a_dpfifo_3g11:dpfifo| ; 22 (14) ; 16 (8) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 16 (8) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo ; work ; ; |altsyncram_1nb1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|altsyncram_1nb1:FIFOram ; work ; ; |cntr_ao7:usedw_counter| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|cntr_ao7:usedw_counter ; work ; ; |cntr_tnb:rd_ptr_msb| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |lms7_trx_top|tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|cntr_tnb:rd_ptr_msb ; work ; @@ -3140,37 +2996,37 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; TX1_2_LB_H ; Output ; -- ; -- ; -- ; -- ; -- ; ; TX1_2_LB_AT ; Output ; -- ; -- ; -- ; -- ; -- ; ; TX1_2_LB_SH ; Output ; -- ; -- ; -- ; -- ; -- ; -; FX3_DQ[0] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[0] ; Bidir ; (0) 0 ps ; (1) 382 ps ; -- ; (1) 458 ps ; (0) 0 ps ; ; FX3_DQ[1] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; ; FX3_DQ[2] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; ; FX3_DQ[3] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; ; FX3_DQ[4] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[5] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[5] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; ; FX3_DQ[6] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[7] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[8] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[9] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[10] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[11] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[12] ; Bidir ; (0) 0 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[13] ; Bidir ; (0) 0 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[14] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[15] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[16] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[17] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[18] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[7] ; Bidir ; (0) 0 ps ; (1) 382 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[8] ; Bidir ; (0) 0 ps ; (1) 382 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[9] ; Bidir ; (0) 0 ps ; (1) 382 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[10] ; Bidir ; (1) 382 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[11] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[12] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[13] ; Bidir ; (1) 382 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[14] ; Bidir ; (1) 382 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[15] ; Bidir ; (1) 382 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[16] ; Bidir ; (1) 382 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[17] ; Bidir ; (0) 0 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[18] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; ; FX3_DQ[19] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[20] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[21] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[22] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[23] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[24] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[25] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[26] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[27] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[28] ; Bidir ; -- ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[29] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; -; FX3_DQ[30] ; Bidir ; (0) 0 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[20] ; Bidir ; (0) 0 ps ; (1) 382 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[21] ; Bidir ; (1) 382 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[22] ; Bidir ; (0) 0 ps ; (1) 382 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[23] ; Bidir ; (0) 0 ps ; (1) 382 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[24] ; Bidir ; (0) 0 ps ; (1) 382 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[25] ; Bidir ; (1) 382 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[26] ; Bidir ; (0) 0 ps ; (1) 382 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[27] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[28] ; Bidir ; (0) 0 ps ; (0) 0 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[29] ; Bidir ; (0) 0 ps ; (1) 382 ps ; -- ; (1) 458 ps ; (0) 0 ps ; +; FX3_DQ[30] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; ; FX3_DQ[31] ; Bidir ; (0) 0 ps ; -- ; -- ; (1) 458 ps ; (0) 0 ps ; ; DDR2_1_CLK[0] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; ; DDR2_1_CLK_N[0] ; Bidir ; -- ; -- ; -- ; (0) 0 ps ; -- ; @@ -3179,7 +3035,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; DDR2_1_DQ[2] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; (0) 0 ps ; ; DDR2_1_DQ[3] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; (0) 0 ps ; ; DDR2_1_DQ[4] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; (0) 0 ps ; -; DDR2_1_DQ[5] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; (0) 0 ps ; +; DDR2_1_DQ[5] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; (0) 0 ps ; ; DDR2_1_DQ[6] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; (0) 0 ps ; ; DDR2_1_DQ[7] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; (0) 0 ps ; ; DDR2_1_DQ[8] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; (0) 0 ps ; @@ -3195,7 +3051,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; DDR2_2_CLK[0] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; ; DDR2_2_CLK_N[0] ; Bidir ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; DDR2_2_DQ[0] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; (0) 0 ps ; -; DDR2_2_DQ[1] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; (0) 0 ps ; +; DDR2_2_DQ[1] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; (0) 0 ps ; ; DDR2_2_DQ[2] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; (0) 0 ps ; ; DDR2_2_DQ[3] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; (0) 0 ps ; ; DDR2_2_DQ[4] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; (0) 0 ps ; @@ -3212,7 +3068,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; DDR2_2_DQ[15] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; (0) 0 ps ; ; DDR2_2_DQS[0] ; Bidir ; -- ; -- ; -- ; (0) 0 ps ; (0) 0 ps ; ; DDR2_2_DQS[1] ; Bidir ; -- ; -- ; -- ; (0) 0 ps ; (0) 0 ps ; -; FPGA_I2C_SCL ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FPGA_I2C_SCL ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FPGA_I2C_SDA ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FPGA_GPIO[0] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FPGA_GPIO[1] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; @@ -3223,11 +3079,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; FPGA_GPIO[6] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FPGA_GPIO[7] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; ADF_MUXOUT ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; HW_VER[0] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; HW_VER[0] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; HW_VER[1] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; HW_VER[2] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; HW_VER[3] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; LM75_OS ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; LM75_OS ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FX3_PCLK ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; LMK_CLK ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; FX3_CTL5 ; Input ; (1) 382 ps ; (1) 382 ps ; -- ; -- ; -- ; @@ -3236,8 +3092,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SI_CLK0 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; EXT_GND ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; SI_CLK1 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; FX3_CTL4 ; Input ; -- ; (3) 742 ps ; -- ; -- ; -- ; -; FX3_CTL8 ; Input ; -- ; (2) 556 ps ; -- ; -- ; -- ; +; FX3_CTL4 ; Input ; -- ; (0) 0 ps ; -- ; -- ; -- ; +; FX3_CTL8 ; Input ; -- ; (0) 0 ps ; -- ; -- ; -- ; ; FPGA_SPI0_MISO ; Input ; -- ; (0) 0 ps ; -- ; -- ; -- ; ; LMS_DIQ2_IQSEL2 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; LMS_DIQ2_D[10] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; @@ -3257,11 +3113,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SI_CLK5 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; SI_CLK3 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; SI_CLK2 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; PWR_SRC ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; PWR_SRC ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; BOM_VER[3] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; BOM_VER[2] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; BOM_VER[1] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; BOM_VER[0] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; BOM_VER[0] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +------------------+----------+---------------+---------------+-----------------------+------------+----------+ @@ -3274,147 +3130,147 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; BRDG_SPI_MOSI ; ; ; ; BRDG_SPI_FPGA_SS ; ; ; ; FX3_DQ[0] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 0 ; 0 ; ; FX3_DQ[1] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a1 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 0 ; 0 ; ; FX3_DQ[2] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a2 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 0 ; 0 ; ; FX3_DQ[3] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a2 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 0 ; 0 ; ; FX3_DQ[4] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a4 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a2 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a4 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a0 ; 0 ; 0 ; ; FX3_DQ[5] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a5 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a4 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a0 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a4 ; 1 ; 0 ; ; FX3_DQ[6] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a5 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a4 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a4 ; 0 ; 0 ; ; FX3_DQ[7] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a5 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a4 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a4 ; 1 ; 1 ; ; FX3_DQ[8] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a8 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a5 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a4 ; 1 ; 1 ; ; FX3_DQ[9] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a5 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a8 ; 1 ; 0 ; -; FX3_DQ[10] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[10]~13 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a9 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a9 ; 1 ; 1 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a8 ; 0 ; 0 ; -; FX3_DQ[11] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[11]~12 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 1 ; 0 ; +; FX3_DQ[10] ; ; ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[10]~13 ; 1 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a8 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a9 ; 0 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a9 ; 1 ; 0 ; +; FX3_DQ[11] ; ; ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[11]~12 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a9 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a9 ; 0 ; 0 ; ; FX3_DQ[12] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[12]~11 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a8 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[12]~11 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a9 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a9 ; 0 ; 0 ; ; FX3_DQ[13] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a13 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a13 ; 0 ; 1 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[13]~10 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a9 ; 1 ; 0 ; ; FX3_DQ[14] ; ; ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[14]~9 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a13 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a13 ; 0 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a9 ; 1 ; 0 ; ; FX3_DQ[15] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[15]~8 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a13 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[15]~8 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a13 ; 0 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a9 ; 1 ; 0 ; ; FX3_DQ[16] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[16]~7 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a13 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[16]~7 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 0 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a9 ; 1 ; 0 ; ; FX3_DQ[17] ; ; ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[17]~6 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a13 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a9 ; 0 ; 0 ; ; FX3_DQ[18] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[18]~5 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a1 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a13 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a18 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[18]~5 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 0 ; 0 ; ; FX3_DQ[19] ; ; ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[19]~4 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a1 ; 1 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a0 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a18 ; 1 ; 0 ; ; FX3_DQ[20] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[20]~3 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a1 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a4 ; 1 ; 0 ; -; FX3_DQ[21] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[21]~2 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a1 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[20]~3 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a4 ; 0 ; 0 ; -; FX3_DQ[22] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[22]~1 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a1 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a0 ; 1 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a18 ; 0 ; 0 ; +; FX3_DQ[21] ; ; ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[21]~2 ; 1 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a4 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a4 ; 0 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a18 ; 1 ; 0 ; +; FX3_DQ[22] ; ; ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[22]~1 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a4 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a18 ; 0 ; 0 ; ; FX3_DQ[23] ; ; ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|hdr_payload_reg[23]~0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a1 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a4 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a4 ; 1 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a18 ; 0 ; 0 ; ; FX3_DQ[24] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a1 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a4 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a4 ; 1 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a18 ; 0 ; 0 ; ; FX3_DQ[25] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a1 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a8 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a9 ; 0 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a18 ; 1 ; 0 ; ; FX3_DQ[26] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a2 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a8 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a9 ; 1 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a18 ; 0 ; 0 ; ; FX3_DQ[27] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a2 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a8 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a27 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a9 ; 0 ; 0 ; ; FX3_DQ[28] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a2 ; 1 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a8 ; 1 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a9 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a27 ; 1 ; 0 ; ; FX3_DQ[29] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a2 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a13 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 1 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a9 ; 1 ; 1 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a27 ; 0 ; 0 ; ; FX3_DQ[30] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a2 ; 1 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a13 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a13 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a27 ; 0 ; 0 ; ; FX3_DQ[31] ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a2 ; 0 ; 0 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0 ; 0 ; 0 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a13 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a13 ; 0 ; 0 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a27 ; 0 ; 0 ; ; DDR2_1_CLK[0] ; ; ; ; - rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_p|ddio_bidir_n5h:auto_generated|input_cell_h[0]~feeder ; 0 ; 0 ; ; DDR2_1_CLK_N[0] ; ; ; @@ -3434,8 +3290,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[4].dqi|ddio_in_9gd:auto_generated|input_cell_l[0]~feeder ; 0 ; 0 ; ; - rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[4].dqi|ddio_in_9gd:auto_generated|input_cell_h[0]~feeder ; 0 ; 0 ; ; DDR2_1_DQ[5] ; ; ; -; - rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[5].dqi|ddio_in_9gd:auto_generated|input_cell_l[0]~feeder ; 1 ; 0 ; -; - rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[5].dqi|ddio_in_9gd:auto_generated|input_cell_h[0]~feeder ; 1 ; 0 ; +; - rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[5].dqi|ddio_in_9gd:auto_generated|input_cell_l[0]~feeder ; 0 ; 0 ; +; - rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[5].dqi|ddio_in_9gd:auto_generated|input_cell_h[0]~feeder ; 0 ; 0 ; ; DDR2_1_DQ[6] ; ; ; ; - rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[6].dqi|ddio_in_9gd:auto_generated|input_cell_l[0]~feeder ; 1 ; 0 ; ; - rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[6].dqi|ddio_in_9gd:auto_generated|input_cell_h[0]~feeder ; 1 ; 0 ; @@ -3475,8 +3331,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[0].dqi|ddio_in_9gd:auto_generated|input_cell_l[0]~feeder ; 1 ; 0 ; ; - tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[0].dqi|ddio_in_9gd:auto_generated|input_cell_h[0]~feeder ; 1 ; 0 ; ; DDR2_2_DQ[1] ; ; ; -; - tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[1].dqi|ddio_in_9gd:auto_generated|input_cell_h[0]~feeder ; 0 ; 0 ; -; - tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[1].dqi|ddio_in_9gd:auto_generated|input_cell_l[0]~feeder ; 0 ; 0 ; +; - tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[1].dqi|ddio_in_9gd:auto_generated|input_cell_h[0]~feeder ; 1 ; 0 ; +; - tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[1].dqi|ddio_in_9gd:auto_generated|input_cell_l[0]~feeder ; 1 ; 0 ; ; DDR2_2_DQ[2] ; ; ; ; - tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[2].dqi|ddio_in_9gd:auto_generated|input_cell_h[0]~feeder ; 1 ; 0 ; ; - tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[2].dqi|ddio_in_9gd:auto_generated|input_cell_l[0]~feeder ; 1 ; 0 ; @@ -3522,33 +3378,33 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; DDR2_2_DQS[0] ; ; ; ; DDR2_2_DQS[1] ; ; ; ; FPGA_I2C_SCL ; ; ; -; - nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|i2c_master_bit_ctrl:bit_controller|sSCL~0 ; 0 ; 6 ; +; - nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|i2c_master_bit_ctrl:bit_controller|sSCL~0 ; 1 ; 6 ; ; FPGA_I2C_SDA ; ; ; ; - nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|i2c_master_bit_ctrl:bit_controller|sSDA~0 ; 1 ; 6 ; ; FPGA_GPIO[0] ; ; ; ; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~344 ; 1 ; 6 ; ; FPGA_GPIO[1] ; ; ; -; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~330 ; 1 ; 6 ; +; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~331 ; 1 ; 6 ; ; FPGA_GPIO[2] ; ; ; -; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~307 ; 1 ; 6 ; +; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~308 ; 1 ; 6 ; ; FPGA_GPIO[3] ; ; ; -; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~284 ; 0 ; 6 ; +; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~285 ; 0 ; 6 ; ; FPGA_GPIO[4] ; ; ; -; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~261 ; 1 ; 6 ; +; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~262 ; 1 ; 6 ; ; FPGA_GPIO[5] ; ; ; -; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~238 ; 1 ; 6 ; +; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~239 ; 1 ; 6 ; ; FPGA_GPIO[6] ; ; ; -; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~215 ; 1 ; 6 ; +; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~216 ; 1 ; 6 ; ; FPGA_GPIO[7] ; ; ; -; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~192 ; 0 ; 6 ; +; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~193 ; 0 ; 6 ; ; ADF_MUXOUT ; ; ; ; - general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|led_g~0 ; 0 ; 6 ; ; - general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|led_r~0 ; 0 ; 6 ; -; - tst_top:inst3_tst_top|clock_test:clock_test_inst0|transition_count:ADF_muxout_test|trans_wire_reg[0] ; 0 ; 6 ; ; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Mux28~4 ; 0 ; 6 ; +; - tst_top:inst3_tst_top|clock_test:clock_test_inst0|transition_count:ADF_muxout_test|trans_wire_reg[0]~feeder ; 0 ; 6 ; ; HW_VER[0] ; ; ; -; - general_periph_top:inst4_general_periph_top|FX3_LED_ctrl:FX3_LED_ctrl_inst4|led_g~1 ; 0 ; 6 ; -; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|dout_reg~334 ; 0 ; 6 ; +; - general_periph_top:inst4_general_periph_top|FX3_LED_ctrl:FX3_LED_ctrl_inst4|led_g~1 ; 1 ; 6 ; +; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|dout_reg~334 ; 1 ; 6 ; ; HW_VER[1] ; ; ; ; - general_periph_top:inst4_general_periph_top|FX3_LED_ctrl:FX3_LED_ctrl_inst4|led_g~1 ; 0 ; 6 ; ; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|dout_reg~322 ; 0 ; 6 ; @@ -3559,15 +3415,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - general_periph_top:inst4_general_periph_top|FX3_LED_ctrl:FX3_LED_ctrl_inst4|led_g~1 ; 0 ; 6 ; ; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|dout_reg~276 ; 0 ; 6 ; ; LM75_OS ; ; ; -; - general_periph_top:inst4_general_periph_top|fan_ctrl_out~0 ; 0 ; 6 ; -; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~344 ; 0 ; 6 ; +; - general_periph_top:inst4_general_periph_top|fan_ctrl_out~0 ; 1 ; 6 ; +; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg~344 ; 1 ; 6 ; ; FX3_PCLK ; ; ; ; LMK_CLK ; ; ; ; FX3_CTL5 ; ; ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|flagb_d ; 0 ; 1 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|next_state.stream_in_pktend~0 ; 0 ; 1 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|Selector4~2 ; 0 ; 1 ; ; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|Selector0~2 ; 1 ; 1 ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|flagb_d~feeder ; 0 ; 1 ; ; LMS_MCLK1 ; ; ; ; LMS_MCLK2 ; ; ; ; SI_CLK0 ; ; ; @@ -4260,9 +4116,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg0|sync_reg[0] ; 0 ; 6 ; ; SI_CLK1 ; ; ; ; FX3_CTL4 ; ; ; -; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|flaga_d ; 1 ; 3 ; +; - FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|flaga_d ; 1 ; 0 ; ; FX3_CTL8 ; ; ; -; - comb~0 ; 1 ; 2 ; +; - comb~0 ; 1 ; 0 ; ; FPGA_SPI0_MISO ; ; ; ; - nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|MISO_reg~7 ; 1 ; 0 ; ; LMS_DIQ2_IQSEL2 ; ; ; @@ -4310,7 +4166,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SI_CLK3 ; ; ; ; SI_CLK2 ; ; ; ; PWR_SRC ; ; ; -; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|Mux23~1 ; 0 ; 6 ; +; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|Mux23~1 ; 1 ; 6 ; ; BOM_VER[3] ; ; ; ; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|dout_reg~182 ; 1 ; 6 ; ; BOM_VER[2] ; ; ; @@ -4318,7 +4174,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; BOM_VER[1] ; ; ; ; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|dout_reg~230 ; 0 ; 6 ; ; BOM_VER[0] ; ; ; -; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|dout_reg~242 ; 1 ; 6 ; +; - nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|dout_reg~242 ; 0 ; 6 ; +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ @@ -4330,26 +4186,26 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; EXT_GND ; PIN_T17 ; 686 ; Async. clear ; no ; -- ; -- ; -- ; ; FX3_PCLK ; PIN_T21 ; 8 ; Clock ; no ; -- ; -- ; -- ; ; FX3_PCLK ; PIN_T21 ; 2064 ; Clock ; yes ; Global Clock ; GCLK6 ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|_~0 ; LCCOMB_X53_Y25_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|valid_rdreq~0 ; LCCOMB_X57_Y26_N30 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|valid_wrreq~0 ; LCCOMB_X57_Y25_N6 ; 17 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|valid_rdreq~0 ; LCCOMB_X39_Y15_N0 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|valid_wrreq~1 ; LCCOMB_X41_Y18_N12 ; 23 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|valid_rdreq~0 ; LCCOMB_X42_Y11_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|valid_wrreq~0 ; LCCOMB_X44_Y11_N6 ; 15 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|_~0 ; LCCOMB_X51_Y25_N28 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|valid_rdreq~1 ; LCCOMB_X51_Y25_N6 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|valid_wrreq~0 ; LCCOMB_X48_Y27_N8 ; 35 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|a_graycounter_pjc:wrptr_g1p|cntr_cout[0]~3 ; LCCOMB_X41_Y13_N16 ; 18 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|valid_rdreq~0 ; LCCOMB_X43_Y13_N30 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|inst2_reset_n ; FF_X45_Y17_N23 ; 120 ; Async. clear ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|inst3_pct_wr~0 ; LCCOMB_X45_Y17_N0 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|inst3_reset_n ; FF_X45_Y17_N11 ; 176 ; Async. clear ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|LessThan0~32 ; LCCOMB_X45_Y18_N6 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|process_1~5 ; LCCOMB_X45_Y18_N8 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.prep_thread_addr ; FF_X29_Y19_N15 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.wait_flg_latency ; FF_X29_Y19_N13 ; 7 ; Sync. clear ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|faddr_reg[1]~_Duplicate_1 ; FF_X43_Y19_N21 ; 43 ; Sync. clear ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|_~0 ; LCCOMB_X59_Y28_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|valid_rdreq~0 ; LCCOMB_X59_Y30_N18 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|valid_wrreq~0 ; LCCOMB_X59_Y28_N6 ; 17 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|valid_rdreq~0 ; LCCOMB_X57_Y15_N0 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|valid_wrreq~1 ; LCCOMB_X59_Y16_N20 ; 22 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|valid_rdreq~0 ; LCCOMB_X57_Y21_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|valid_wrreq~0 ; LCCOMB_X59_Y21_N24 ; 15 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|_~0 ; LCCOMB_X45_Y19_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|valid_rdreq~1 ; LCCOMB_X45_Y19_N30 ; 31 ; Clock enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|valid_wrreq~0 ; LCCOMB_X44_Y21_N8 ; 36 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|a_graycounter_pjc:wrptr_g1p|cntr_cout[0]~1 ; LCCOMB_X55_Y19_N16 ; 18 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|valid_rdreq~0 ; LCCOMB_X57_Y19_N18 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|inst2_reset_n ; FF_X59_Y20_N17 ; 120 ; Async. clear ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|inst3_pct_wr~0 ; LCCOMB_X63_Y19_N12 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|inst3_reset_n ; FF_X59_Y20_N29 ; 176 ; Async. clear ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|LessThan0~32 ; LCCOMB_X64_Y17_N6 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|pct_payload_extrct:pct_payload_extrct_inst3|process_1~5 ; LCCOMB_X66_Y16_N28 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.prep_thread_addr ; FF_X53_Y20_N25 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.wait_flg_latency ; FF_X53_Y20_N19 ; 7 ; Sync. clear ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|faddr_reg[1]~_Duplicate_1 ; FF_X54_Y20_N27 ; 43 ; Sync. clear ; no ; -- ; -- ; -- ; ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_streamIN_n_d ; DDIOOECELL_X67_Y19_N19 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_streamIN_n_d~_Duplicate_1 ; DDIOOECELL_X67_Y2_N19 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_streamIN_n_d~_Duplicate_10 ; DDIOOECELL_X67_Y11_N5 ; 1 ; Output enable ; no ; -- ; -- ; -- ; @@ -4382,7 +4238,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_streamIN_n_d~_Duplicate_7 ; DDIOOECELL_X67_Y10_N12 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_streamIN_n_d~_Duplicate_8 ; DDIOOECELL_X67_Y10_N5 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_streamIN_n_d~_Duplicate_9 ; DDIOOECELL_X67_Y11_N12 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|sync_reg:sync_reg2|sync_reg[1] ; FF_X43_Y10_N7 ; 95 ; Async. clear ; no ; -- ; -- ; -- ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|sync_reg:sync_reg2|sync_reg[1] ; FF_X61_Y20_N1 ; 95 ; Async. clear ; no ; -- ; -- ; -- ; ; LMK_CLK ; PIN_B12 ; 1382 ; Clock ; yes ; Global Clock ; GCLK12 ; -- ; ; LMS_MCLK1 ; PIN_G21 ; 2 ; Clock ; no ; -- ; -- ; -- ; ; LMS_MCLK2 ; PIN_B11 ; 2 ; Clock ; no ; -- ; -- ; -- ; @@ -4393,572 +4249,574 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SI_CLK5 ; PIN_G1 ; 18 ; Clock ; no ; -- ; -- ; -- ; ; SI_CLK6 ; PIN_AA11 ; 18 ; Clock ; no ; -- ; -- ; -- ; ; SI_CLK7 ; PIN_AB11 ; 18 ; Clock ; no ; -- ; -- ; -- ; -; altera_internal_jtag~TCKUTAP ; JTAG_X1_Y22_N0 ; 730 ; Clock ; no ; -- ; -- ; -- ; -; altera_internal_jtag~TMSUTAP ; JTAG_X1_Y22_N0 ; 24 ; Sync. clear ; no ; -- ; -- ; -- ; -; busy_delay:inst5_busy_delay|cnt[0]~64 ; LCCOMB_X18_Y27_N2 ; 32 ; Sync. clear ; no ; -- ; -- ; -- ; -; busy_delay:inst5_busy_delay|cnt[0]~65 ; LCCOMB_X18_Y27_N0 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:0:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X26_Y28_N8 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:1:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X26_Y28_N0 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:2:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X27_Y28_N4 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:3:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X27_Y28_N16 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:4:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X27_Y24_N0 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:5:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X27_Y28_N2 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:6:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X27_Y24_N24 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:7:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X27_Y28_N10 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|dout_reg[15]~347 ; LCCOMB_X36_Y31_N4 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mcfg32wm_fsm:fsm|Mux0~1 ; LCCOMB_X35_Y31_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mcfg32wm_fsm:fsm|Mux1~0 ; LCCOMB_X36_Y32_N6 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mcfg32wm_fsm:fsm|Mux2~0 ; LCCOMB_X36_Y31_N30 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mcfg32wm_fsm:fsm|state[5]~0 ; LCCOMB_X33_Y12_N22 ; 13 ; Async. clear ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[0][15]~31 ; LCCOMB_X39_Y30_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[10][0] ; FF_X39_Y32_N17 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[10][0]~8 ; LCCOMB_X39_Y32_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[11][15]~26 ; LCCOMB_X43_Y32_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[12][1]~11 ; LCCOMB_X37_Y30_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[13][2] ; FF_X39_Y30_N15 ; 245 ; Async. clear ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[13][2]~9 ; LCCOMB_X39_Y30_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[14][15]~33 ; LCCOMB_X41_Y32_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[15][1]~17 ; LCCOMB_X43_Y32_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[16][15]~13 ; LCCOMB_X41_Y30_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[17][15]~12 ; LCCOMB_X43_Y29_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[18][15]~19 ; LCCOMB_X39_Y28_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[19][3]~1 ; LCCOMB_X43_Y30_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[1][15]~30 ; LCCOMB_X43_Y29_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[20][15]~22 ; LCCOMB_X36_Y31_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[21][15]~21 ; LCCOMB_X41_Y30_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[22][15]~18 ; LCCOMB_X39_Y28_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[23][4]~6 ; LCCOMB_X43_Y30_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[24][15]~23 ; LCCOMB_X41_Y30_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[25][15]~20 ; LCCOMB_X37_Y30_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[26][2]~4 ; LCCOMB_X43_Y29_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[27][15]~24 ; LCCOMB_X41_Y30_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[28][2]~5 ; LCCOMB_X37_Y30_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][0] ; FF_X44_Y27_N17 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][1] ; FF_X42_Y27_N19 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][2] ; FF_X44_Y27_N29 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][3] ; FF_X42_Y27_N11 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][3]~7 ; LCCOMB_X41_Y30_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[2][15]~29 ; LCCOMB_X38_Y31_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[30][0]~16 ; LCCOMB_X43_Y29_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[31][0]~15 ; LCCOMB_X43_Y30_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[3][15]~32 ; LCCOMB_X43_Y30_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[4][15]~28 ; LCCOMB_X37_Y30_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[5][0]~3 ; LCCOMB_X39_Y30_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[6][15]~27 ; LCCOMB_X38_Y31_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[7][0]~14 ; LCCOMB_X43_Y30_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[8][10]~10 ; LCCOMB_X41_Y32_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[9][0]~25 ; LCCOMB_X36_Y32_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg[12]~24 ; LCCOMB_X33_Y31_N14 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mcfg32wm_fsm:fsm|Mux1~0 ; LCCOMB_X35_Y31_N4 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mcfg32wm_fsm:fsm|Mux3~0 ; LCCOMB_X33_Y31_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[0][0]~3 ; LCCOMB_X32_Y30_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[10][15]~24 ; LCCOMB_X32_Y29_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[11][15]~29 ; LCCOMB_X34_Y30_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[12][0]~1 ; LCCOMB_X32_Y29_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[13][0]~0 ; LCCOMB_X35_Y30_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[14][15]~26 ; LCCOMB_X32_Y30_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[15][15]~31 ; LCCOMB_X32_Y28_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[16][15]~15 ; LCCOMB_X34_Y30_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[17][15]~14 ; LCCOMB_X32_Y29_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[18][15]~13 ; LCCOMB_X33_Y28_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[19][15]~16 ; LCCOMB_X34_Y30_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[1][15]~23 ; LCCOMB_X32_Y29_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[20][15]~11 ; LCCOMB_X34_Y30_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[21][15]~9 ; LCCOMB_X36_Y30_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[22][15]~10 ; LCCOMB_X33_Y28_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[23][15]~12 ; LCCOMB_X32_Y27_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[24][15]~7 ; LCCOMB_X36_Y30_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[25][15]~6 ; LCCOMB_X36_Y30_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[26][15]~5 ; LCCOMB_X32_Y29_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[27][15]~8 ; LCCOMB_X34_Y30_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[28][15]~19 ; LCCOMB_X34_Y30_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[29][15]~17 ; LCCOMB_X35_Y30_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[2][15]~25 ; LCCOMB_X32_Y29_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[30][15]~18 ; LCCOMB_X32_Y29_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[31][15]~20 ; LCCOMB_X32_Y28_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[3][15]~30 ; LCCOMB_X32_Y30_N24 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[4][0]~4 ; LCCOMB_X34_Y30_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[5][15]~21 ; LCCOMB_X34_Y30_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[6][0]~2 ; LCCOMB_X33_Y28_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[7][15]~28 ; LCCOMB_X32_Y27_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[8][15]~27 ; LCCOMB_X32_Y30_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[9][15]~22 ; LCCOMB_X32_Y30_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|dout_regA[3]~326 ; LCCOMB_X35_Y32_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mcfg32wm_fsm:fsmA|Mux1~0 ; LCCOMB_X35_Y32_N16 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mcfg32wm_fsm:fsmA|Mux2~0 ; LCCOMB_X35_Y32_N10 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mcfg32wm_fsm:fsmA|Mux3~0 ; LCCOMB_X35_Y32_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[0][15]~17 ; LCCOMB_X34_Y30_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[10][0]~11 ; LCCOMB_X35_Y32_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[11][0]~9 ; LCCOMB_X36_Y32_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[12][15]~19 ; LCCOMB_X36_Y32_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[13][15]~18 ; LCCOMB_X36_Y32_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[14][0]~7 ; LCCOMB_X34_Y30_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[15][0]~5 ; LCCOMB_X33_Y32_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[16][0]~4 ; LCCOMB_X32_Y30_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[17][0]~6 ; LCCOMB_X32_Y29_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[18][0]~8 ; LCCOMB_X32_Y29_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[19][15]~28 ; LCCOMB_X32_Y30_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[20][15]~22 ; LCCOMB_X34_Y30_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[21][15]~21 ; LCCOMB_X34_Y30_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[22][15]~20 ; LCCOMB_X33_Y32_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[23][15]~23 ; LCCOMB_X32_Y30_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[24][15]~26 ; LCCOMB_X33_Y32_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[25][15]~24 ; LCCOMB_X33_Y32_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[26][15]~25 ; LCCOMB_X35_Y32_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[27][15]~27 ; LCCOMB_X35_Y32_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[28][15]~30 ; LCCOMB_X33_Y32_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[29][15]~29 ; LCCOMB_X35_Y32_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[30][0]~14 ; LCCOMB_X32_Y30_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[31][9]~13 ; LCCOMB_X33_Y32_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[3][2]~0 ; LCCOMB_X34_Y30_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[4][9]~1 ; LCCOMB_X36_Y32_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[5][8]~12 ; LCCOMB_X36_Y30_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[6][3]~10 ; LCCOMB_X36_Y32_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[7][9]~2 ; LCCOMB_X36_Y32_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[8][15]~16 ; LCCOMB_X36_Y32_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[9][15]~15 ; LCCOMB_X36_Y32_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~50 ; LCCOMB_X44_Y25_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~52 ; LCCOMB_X41_Y24_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~60 ; LCCOMB_X41_Y22_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~61 ; LCCOMB_X39_Y23_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~62 ; LCCOMB_X42_Y22_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~63 ; LCCOMB_X41_Y22_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~64 ; LCCOMB_X42_Y22_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~65 ; LCCOMB_X42_Y22_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~66 ; LCCOMB_X37_Y22_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~67 ; LCCOMB_X38_Y23_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~68 ; LCCOMB_X39_Y23_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~69 ; LCCOMB_X38_Y23_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~70 ; LCCOMB_X39_Y23_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~71 ; LCCOMB_X37_Y22_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~72 ; LCCOMB_X37_Y21_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~73 ; LCCOMB_X41_Y22_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~74 ; LCCOMB_X38_Y22_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~75 ; LCCOMB_X39_Y22_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~76 ; LCCOMB_X38_Y22_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~77 ; LCCOMB_X39_Y22_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~78 ; LCCOMB_X41_Y22_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~79 ; LCCOMB_X41_Y22_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~80 ; LCCOMB_X42_Y22_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~81 ; LCCOMB_X41_Y22_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~82 ; LCCOMB_X41_Y22_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~83 ; LCCOMB_X41_Y22_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~84 ; LCCOMB_X44_Y25_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~85 ; LCCOMB_X39_Y23_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~86 ; LCCOMB_X41_Y22_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~87 ; LCCOMB_X42_Y22_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~88 ; LCCOMB_X42_Y22_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~89 ; LCCOMB_X42_Y22_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|dout_reg[13]~68 ; LCCOMB_X37_Y25_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mcfg32wm_fsm:fsm|Mux0~2 ; LCCOMB_X36_Y25_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mcfg32wm_fsm:fsm|Mux1~0 ; LCCOMB_X37_Y25_N0 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mcfg32wm_fsm:fsm|Mux2~0 ; LCCOMB_X37_Y25_N16 ; 15 ; Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mem[1][1] ; FF_X36_Y21_N11 ; 128 ; Async. clear ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mem[1][2] ; FF_X36_Y21_N1 ; 28 ; Async. clear ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mem[1][4] ; FF_X36_Y21_N5 ; 108 ; Async. clear ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mem[1][5] ; FF_X36_Y21_N15 ; 7 ; Async. clear ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|altera_reset_controller:rst_controller|r_early_rst ; FF_X28_Y2_N25 ; 34 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|altera_reset_controller:rst_controller|r_sync_rst ; FF_X28_Y2_N15 ; 994 ; Async. clear, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|avfifo:av_fifo_int_0|coe_fifo_rst ; FF_X41_Y12_N23 ; 108 ; Async. clear ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|cr[0]~9 ; LCCOMB_X42_Y7_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|cr[7]~4 ; LCCOMB_X42_Y7_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|ctr[6]~10 ; LCCOMB_X43_Y9_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|core_cmd[2]~5 ; LCCOMB_X44_Y7_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|dcnt[1]~0 ; LCCOMB_X45_Y9_N4 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|i2c_master_bit_ctrl:bit_controller|scl_oen~4 ; LCCOMB_X43_Y6_N28 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|prer[7]~10 ; LCCOMB_X43_Y8_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|prer[8]~11 ; LCCOMB_X43_Y8_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|txr[5]~2 ; LCCOMB_X43_Y9_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_leds:leds|always0~1 ; LCCOMB_X35_Y8_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_lms_ctr_gpio:lms_ctr_gpio|data_out[0]~2 ; LCCOMB_X36_Y8_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:nios2_cpu_debug_mem_slave_agent_rsp_fifo|mem_used[1]~0 ; LCCOMB_X33_Y5_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:oc_mem_s1_agent_rsp_fifo|mem_used[1]~0 ; LCCOMB_X34_Y7_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_003|altera_merlin_arbitrator:arb|top_priority_reg[0]~0 ; LCCOMB_X32_Y4_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_003|update_grant~1 ; LCCOMB_X32_Y4_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_004|altera_merlin_arbitrator:arb|top_priority_reg[0]~0 ; LCCOMB_X33_Y7_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_004|update_grant~1 ; LCCOMB_X33_Y7_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|D_ctrl_mem8~1 ; LCCOMB_X39_Y5_N26 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|D_iw[2] ; FF_X39_Y6_N29 ; 25 ; Sync. clear ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|E_new_inst ; FF_X37_Y4_N1 ; 42 ; Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|E_valid_from_R ; FF_X35_Y6_N31 ; 27 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|F_valid~0 ; LCCOMB_X34_Y5_N0 ; 34 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|R_ctrl_hi_imm16 ; FF_X41_Y3_N7 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|R_src1~35 ; LCCOMB_X41_Y5_N18 ; 32 ; Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|R_src2_hi~0 ; LCCOMB_X38_Y4_N22 ; 15 ; Sync. clear ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|W_ienable_reg_nxt~0 ; LCCOMB_X44_Y5_N20 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|W_rf_wren ; LCCOMB_X41_Y4_N28 ; 2 ; Write enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|W_valid ; FF_X35_Y6_N3 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|av_ld_byte0_data[0]~0 ; LCCOMB_X38_Y5_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|av_ld_byte1_data_en~0 ; LCCOMB_X38_Y5_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|av_ld_rshift8~1 ; LCCOMB_X38_Y5_N4 ; 25 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|jxuir ; FF_X28_Y10_N27 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|take_action_ocimem_a ; LCCOMB_X27_Y5_N6 ; 5 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|take_action_ocimem_a~0 ; LCCOMB_X28_Y9_N16 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|take_action_ocimem_b ; LCCOMB_X28_Y9_N10 ; 36 ; Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|update_jdo_strobe ; FF_X28_Y10_N9 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck|sr[30]~28 ; LCCOMB_X26_Y8_N18 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck|sr[37]~21 ; LCCOMB_X29_Y8_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck|sr[6]~13 ; LCCOMB_X32_Y8_N30 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:lms_ctr_nios2_cpu_cpu_debug_slave_phy|virtual_state_sdr~0 ; LCCOMB_X32_Y8_N12 ; 39 ; Sync. load ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:lms_ctr_nios2_cpu_cpu_debug_slave_phy|virtual_state_uir~0 ; LCCOMB_X28_Y10_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_avalon_reg:the_lms_ctr_nios2_cpu_cpu_nios2_avalon_reg|take_action_oci_intr_mask_reg~0 ; LCCOMB_X29_Y3_N18 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_oci_break:the_lms_ctr_nios2_cpu_cpu_nios2_oci_break|break_readreg[6]~1 ; LCCOMB_X28_Y9_N30 ; 61 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_oci_debug:the_lms_ctr_nios2_cpu_cpu_nios2_oci_debug|resetrequest ; FF_X28_Y5_N17 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem|MonDReg[0]~8 ; LCCOMB_X27_Y5_N4 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem|MonDReg[15]~18 ; LCCOMB_X27_Y5_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem|ociram_wr_en~1 ; LCCOMB_X28_Y5_N0 ; 2 ; Write enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_oc_mem:oc_mem|wren~0 ; LCCOMB_X33_Y7_N12 ; 32 ; Write enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|always12~0 ; LCCOMB_X30_Y11_N18 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|always6~0 ; LCCOMB_X32_Y11_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|control_wr_strobe~0 ; LCCOMB_X33_Y11_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|endofpacketvalue_wr_strobe~0 ; LCCOMB_X32_Y10_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|shift_reg[1]~2 ; LCCOMB_X30_Y10_N18 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|slaveselect_wr_strobe ; LCCOMB_X32_Y10_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|transmitting~5 ; LCCOMB_X30_Y11_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|write_tx_holding ; LCCOMB_X32_Y11_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|always12~0 ; LCCOMB_X36_Y14_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|always6~0 ; LCCOMB_X36_Y13_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|control_wr_strobe~0 ; LCCOMB_X36_Y10_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|endofpacketvalue_wr_strobe~0 ; LCCOMB_X36_Y10_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|shift_reg[5]~11 ; LCCOMB_X39_Y10_N18 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|slaveselect_wr_strobe ; LCCOMB_X36_Y10_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|transaction_primed ; FF_X36_Y14_N9 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|write_tx_holding ; LCCOMB_X39_Y10_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|SCLK_reg ; FF_X37_Y26_N1 ; 2205 ; Clock ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|always12~0 ; LCCOMB_X33_Y13_N18 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|always6~0 ; LCCOMB_X35_Y12_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|control_wr_strobe~0 ; LCCOMB_X35_Y12_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|endofpacketvalue_wr_strobe~0 ; LCCOMB_X35_Y12_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|shift_reg[6]~2 ; LCCOMB_X34_Y13_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|slaveselect_wr_strobe ; LCCOMB_X34_Y12_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|transmitting~5 ; LCCOMB_X33_Y13_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|write_tx_holding ; LCCOMB_X34_Y13_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; nios_cpu:inst0_nios_cpu|spi_1_SCLK ; LCCOMB_X33_Y11_N10 ; 2 ; Clock ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|comb~1 ; LCCOMB_X10_Y40_N18 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|phasedone_state ; FF_X11_Y40_N27 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|pll_internal_phasestep_reg ; FF_X10_Y40_N13 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; altera_internal_jtag~TCKUTAP ; JTAG_X1_Y22_N0 ; 123 ; Clock ; no ; -- ; -- ; -- ; +; altera_internal_jtag~TMSUTAP ; JTAG_X1_Y22_N0 ; 23 ; Sync. clear ; no ; -- ; -- ; -- ; +; busy_delay:inst5_busy_delay|cnt[1]~64 ; LCCOMB_X64_Y22_N6 ; 32 ; Sync. clear ; no ; -- ; -- ; -- ; +; busy_delay:inst5_busy_delay|cnt[1]~65 ; LCCOMB_X55_Y22_N28 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:0:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X19_Y26_N28 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:1:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X19_Y26_N24 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:2:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X11_Y25_N28 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:3:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X11_Y25_N30 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:4:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X11_Y25_N26 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:5:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X19_Y26_N26 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:6:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X11_Y25_N22 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; general_periph_top:inst4_general_periph_top|gpio_ctrl_top:gpio_ctrl_top_inst5|gpio_ctrl:\gpio_ctrl_gen:7:gpio_ctrl_bitx|gpio_dir~0 ; LCCOMB_X19_Y26_N0 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|dout_reg[14]~347 ; LCCOMB_X29_Y24_N4 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mcfg32wm_fsm:fsm|Mux0~1 ; LCCOMB_X29_Y24_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mcfg32wm_fsm:fsm|Mux1~0 ; LCCOMB_X29_Y24_N10 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mcfg32wm_fsm:fsm|Mux2~0 ; LCCOMB_X29_Y24_N26 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mcfg32wm_fsm:fsm|state[5]~0 ; LCCOMB_X60_Y27_N16 ; 13 ; Async. clear ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[0][15]~31 ; LCCOMB_X30_Y26_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[10][0] ; FF_X33_Y29_N21 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[10][0]~8 ; LCCOMB_X30_Y25_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[11][15]~26 ; LCCOMB_X32_Y28_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[12][1]~11 ; LCCOMB_X29_Y28_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[13][2] ; FF_X33_Y24_N21 ; 245 ; Async. clear ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[13][2]~9 ; LCCOMB_X30_Y27_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[14][15]~33 ; LCCOMB_X29_Y28_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[15][1]~17 ; LCCOMB_X30_Y28_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[16][15]~13 ; LCCOMB_X30_Y28_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[17][15]~12 ; LCCOMB_X27_Y25_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[18][15]~19 ; LCCOMB_X30_Y26_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[19][3]~1 ; LCCOMB_X30_Y27_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[1][15]~30 ; LCCOMB_X27_Y25_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[20][15]~22 ; LCCOMB_X27_Y25_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[21][15]~21 ; LCCOMB_X30_Y28_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[22][15]~18 ; LCCOMB_X30_Y26_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[23][4]~6 ; LCCOMB_X32_Y28_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[24][15]~23 ; LCCOMB_X30_Y25_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[25][15]~20 ; LCCOMB_X30_Y28_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[26][2]~4 ; LCCOMB_X30_Y25_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[27][15]~24 ; LCCOMB_X32_Y28_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[28][2]~5 ; LCCOMB_X32_Y25_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][0] ; FF_X36_Y28_N29 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][1] ; FF_X36_Y25_N13 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][2] ; FF_X36_Y25_N11 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][3] ; FF_X36_Y28_N11 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][3]~7 ; LCCOMB_X30_Y28_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[2][15]~29 ; LCCOMB_X30_Y26_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[30][0]~16 ; LCCOMB_X29_Y26_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[31][0]~15 ; LCCOMB_X30_Y27_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[3][15]~32 ; LCCOMB_X30_Y27_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[4][15]~28 ; LCCOMB_X27_Y25_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[5][0]~3 ; LCCOMB_X30_Y25_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[6][15]~27 ; LCCOMB_X29_Y26_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[7][0]~14 ; LCCOMB_X32_Y28_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[8][10]~10 ; LCCOMB_X30_Y28_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[9][0]~25 ; LCCOMB_X30_Y28_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|dout_reg[9]~26 ; LCCOMB_X28_Y24_N14 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mcfg32wm_fsm:fsm|Mux1~0 ; LCCOMB_X29_Y24_N2 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mcfg32wm_fsm:fsm|Mux3~0 ; LCCOMB_X29_Y24_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[0][0]~3 ; LCCOMB_X21_Y25_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[10][15]~24 ; LCCOMB_X22_Y25_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[11][15]~29 ; LCCOMB_X26_Y28_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[12][0]~1 ; LCCOMB_X22_Y25_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[13][0]~0 ; LCCOMB_X22_Y25_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[14][15]~26 ; LCCOMB_X18_Y25_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[15][15]~31 ; LCCOMB_X16_Y24_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[16][15]~15 ; LCCOMB_X22_Y25_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[17][15]~14 ; LCCOMB_X18_Y25_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[18][15]~13 ; LCCOMB_X17_Y23_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[19][15]~16 ; LCCOMB_X26_Y24_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[1][15]~23 ; LCCOMB_X21_Y25_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[20][15]~11 ; LCCOMB_X18_Y25_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[21][15]~9 ; LCCOMB_X18_Y25_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[22][15]~10 ; LCCOMB_X22_Y25_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[23][15]~12 ; LCCOMB_X17_Y23_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[24][15]~7 ; LCCOMB_X21_Y25_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[25][15]~6 ; LCCOMB_X22_Y25_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[26][15]~5 ; LCCOMB_X18_Y25_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[27][15]~8 ; LCCOMB_X26_Y28_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[28][15]~19 ; LCCOMB_X22_Y25_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[29][15]~17 ; LCCOMB_X22_Y25_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[2][15]~25 ; LCCOMB_X17_Y23_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[30][15]~18 ; LCCOMB_X18_Y25_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[31][15]~20 ; LCCOMB_X16_Y24_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[3][15]~30 ; LCCOMB_X26_Y24_N30 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[4][0]~4 ; LCCOMB_X18_Y25_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[5][15]~21 ; LCCOMB_X18_Y25_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[6][0]~2 ; LCCOMB_X22_Y25_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[7][15]~28 ; LCCOMB_X17_Y23_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[8][15]~27 ; LCCOMB_X21_Y25_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|periphcfg:periphcfg_inst6|mem[9][15]~22 ; LCCOMB_X22_Y25_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|dout_regA[2]~326 ; LCCOMB_X29_Y24_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mcfg32wm_fsm:fsmA|Mux1~0 ; LCCOMB_X29_Y24_N16 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mcfg32wm_fsm:fsmA|Mux2~0 ; LCCOMB_X29_Y24_N6 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mcfg32wm_fsm:fsmA|Mux3~0 ; LCCOMB_X29_Y24_N18 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[0][15]~17 ; LCCOMB_X26_Y28_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[10][0]~11 ; LCCOMB_X22_Y25_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[11][0]~9 ; LCCOMB_X26_Y28_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[12][15]~19 ; LCCOMB_X22_Y28_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[13][15]~18 ; LCCOMB_X22_Y25_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[14][0]~7 ; LCCOMB_X21_Y28_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[15][0]~5 ; LCCOMB_X18_Y28_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[16][0]~4 ; LCCOMB_X26_Y28_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[17][0]~6 ; LCCOMB_X27_Y25_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[18][0]~8 ; LCCOMB_X25_Y26_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[19][15]~28 ; LCCOMB_X27_Y26_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[20][15]~22 ; LCCOMB_X27_Y25_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[21][15]~21 ; LCCOMB_X25_Y28_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[22][15]~20 ; LCCOMB_X27_Y27_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[23][15]~23 ; LCCOMB_X27_Y26_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[24][15]~26 ; LCCOMB_X25_Y28_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[25][15]~24 ; LCCOMB_X25_Y28_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[26][15]~25 ; LCCOMB_X30_Y25_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[27][15]~27 ; LCCOMB_X26_Y28_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[28][15]~30 ; LCCOMB_X25_Y27_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[29][15]~29 ; LCCOMB_X30_Y28_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[30][0]~14 ; LCCOMB_X29_Y28_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[31][9]~13 ; LCCOMB_X28_Y28_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[3][2]~0 ; LCCOMB_X26_Y28_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[4][9]~1 ; LCCOMB_X22_Y25_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[5][8]~12 ; LCCOMB_X21_Y28_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[6][3]~10 ; LCCOMB_X22_Y25_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[7][9]~2 ; LCCOMB_X18_Y28_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[8][15]~16 ; LCCOMB_X22_Y28_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[9][15]~15 ; LCCOMB_X22_Y28_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~50 ; LCCOMB_X32_Y18_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~52 ; LCCOMB_X30_Y19_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~60 ; LCCOMB_X30_Y19_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~61 ; LCCOMB_X30_Y18_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~62 ; LCCOMB_X34_Y20_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~63 ; LCCOMB_X34_Y22_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~64 ; LCCOMB_X34_Y21_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~65 ; LCCOMB_X32_Y21_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~66 ; LCCOMB_X33_Y18_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~67 ; LCCOMB_X33_Y18_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~68 ; LCCOMB_X32_Y18_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~69 ; LCCOMB_X34_Y20_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~70 ; LCCOMB_X32_Y18_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~71 ; LCCOMB_X35_Y22_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~72 ; LCCOMB_X30_Y18_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~73 ; LCCOMB_X30_Y19_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~74 ; LCCOMB_X34_Y20_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~75 ; LCCOMB_X34_Y20_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~76 ; LCCOMB_X34_Y20_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~77 ; LCCOMB_X34_Y22_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~78 ; LCCOMB_X34_Y21_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~79 ; LCCOMB_X34_Y21_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~80 ; LCCOMB_X36_Y20_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~81 ; LCCOMB_X34_Y21_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~82 ; LCCOMB_X30_Y19_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~83 ; LCCOMB_X30_Y19_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~84 ; LCCOMB_X30_Y19_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~85 ; LCCOMB_X30_Y20_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~86 ; LCCOMB_X34_Y22_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~87 ; LCCOMB_X30_Y20_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~88 ; LCCOMB_X32_Y21_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|Decoder0~89 ; LCCOMB_X36_Y20_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mcfg32wm_fsm:fsm|Mux0~2 ; LCCOMB_X33_Y21_N12 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mcfg32wm_fsm:fsm|Mux1~0 ; LCCOMB_X33_Y23_N28 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mcfg32wm_fsm:fsm|Mux2~0 ; LCCOMB_X33_Y21_N6 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mcfg32wm_fsm:fsm|Mux5~1 ; LCCOMB_X33_Y21_N0 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mem[1][1] ; FF_X39_Y18_N29 ; 128 ; Async. clear ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mem[1][2] ; FF_X39_Y18_N19 ; 28 ; Async. clear ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mem[1][4] ; FF_X39_Y18_N1 ; 111 ; Async. clear ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mem[1][5] ; FF_X39_Y18_N13 ; 7 ; Async. clear ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|altera_reset_controller:rst_controller|r_early_rst ; FF_X51_Y19_N7 ; 34 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|altera_reset_controller:rst_controller|r_sync_rst ; FF_X51_Y19_N5 ; 996 ; Async. clear, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|avfifo:av_fifo_int_0|coe_fifo_rst ; FF_X55_Y20_N31 ; 108 ; Async. clear ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|cr[1]~9 ; LCCOMB_X50_Y22_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|cr[6]~4 ; LCCOMB_X50_Y22_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|ctr[2]~10 ; LCCOMB_X50_Y23_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|core_cmd[3]~9 ; LCCOMB_X48_Y22_N14 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|dcnt[2]~0 ; LCCOMB_X49_Y20_N8 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|i2c_master_bit_ctrl:bit_controller|scl_oen~2 ; LCCOMB_X46_Y29_N8 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|prer[10]~11 ; LCCOMB_X49_Y23_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|prer[5]~10 ; LCCOMB_X49_Y23_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|i2c_opencores:i2c_opencores_0|i2c_master_top:i2c_master_top_inst|txr[2]~2 ; LCCOMB_X50_Y23_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_leds:leds|always0~1 ; LCCOMB_X55_Y24_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_lms_ctr_gpio:lms_ctr_gpio|data_out[0]~2 ; LCCOMB_X57_Y23_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:nios2_cpu_debug_mem_slave_agent_rsp_fifo|mem_used[1]~0 ; LCCOMB_X52_Y22_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:oc_mem_s1_agent_rsp_fifo|mem_used[1]~0 ; LCCOMB_X54_Y22_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_003|altera_merlin_arbitrator:arb|top_priority_reg[0]~0 ; LCCOMB_X52_Y24_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_003|update_grant~1 ; LCCOMB_X53_Y22_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_004|altera_merlin_arbitrator:arb|top_priority_reg[0]~0 ; LCCOMB_X52_Y23_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_mm_interconnect_0:mm_interconnect_0|lms_ctr_mm_interconnect_0_cmd_mux_003:cmd_mux_004|update_grant~1 ; LCCOMB_X53_Y23_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|D_ctrl_mem8~1 ; LCCOMB_X57_Y27_N6 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|D_iw[2] ; FF_X49_Y25_N3 ; 25 ; Sync. clear ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|E_new_inst ; FF_X53_Y24_N17 ; 42 ; Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|E_valid_from_R ; FF_X53_Y24_N13 ; 27 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|F_valid~0 ; LCCOMB_X53_Y24_N0 ; 34 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|R_ctrl_hi_imm16 ; FF_X51_Y27_N21 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|R_src1~35 ; LCCOMB_X52_Y29_N26 ; 32 ; Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|R_src2_hi~0 ; LCCOMB_X51_Y31_N4 ; 15 ; Sync. clear ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|W_ienable_reg_nxt~0 ; LCCOMB_X51_Y26_N22 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|W_rf_wren ; LCCOMB_X50_Y30_N20 ; 2 ; Write enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|W_valid ; FF_X53_Y24_N25 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|av_ld_byte0_data[0]~0 ; LCCOMB_X55_Y25_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|av_ld_byte1_data_en~0 ; LCCOMB_X53_Y26_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|av_ld_rshift8~1 ; LCCOMB_X53_Y26_N26 ; 25 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|jxuir ; FF_X38_Y24_N5 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|take_action_ocimem_a ; LCCOMB_X45_Y26_N8 ; 5 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|take_action_ocimem_a~0 ; LCCOMB_X45_Y26_N28 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|take_action_ocimem_b ; LCCOMB_X45_Y26_N30 ; 36 ; Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_sysclk:the_lms_ctr_nios2_cpu_cpu_debug_slave_sysclk|update_jdo_strobe ; FF_X38_Y24_N27 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck|sr[10]~13 ; LCCOMB_X37_Y24_N28 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck|sr[22]~28 ; LCCOMB_X42_Y24_N6 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|lms_ctr_nios2_cpu_cpu_debug_slave_tck:the_lms_ctr_nios2_cpu_cpu_debug_slave_tck|sr[36]~21 ; LCCOMB_X39_Y24_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:lms_ctr_nios2_cpu_cpu_debug_slave_phy|virtual_state_sdr~0 ; LCCOMB_X37_Y24_N24 ; 39 ; Sync. load ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_debug_slave_wrapper:the_lms_ctr_nios2_cpu_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:lms_ctr_nios2_cpu_cpu_debug_slave_phy|virtual_state_uir~0 ; LCCOMB_X38_Y24_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_avalon_reg:the_lms_ctr_nios2_cpu_cpu_nios2_avalon_reg|take_action_oci_intr_mask_reg~0 ; LCCOMB_X46_Y26_N2 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_oci_break:the_lms_ctr_nios2_cpu_cpu_nios2_oci_break|break_readreg[30]~1 ; LCCOMB_X45_Y26_N24 ; 61 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_oci_debug:the_lms_ctr_nios2_cpu_cpu_nios2_oci_debug|resetrequest ; FF_X51_Y23_N3 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem|MonDReg[0]~8 ; LCCOMB_X45_Y26_N0 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem|MonDReg[15]~18 ; LCCOMB_X45_Y26_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem|ociram_wr_en~1 ; LCCOMB_X46_Y26_N30 ; 2 ; Write enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_oc_mem:oc_mem|wren~0 ; LCCOMB_X53_Y23_N14 ; 32 ; Write enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|always12~0 ; LCCOMB_X63_Y22_N8 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|always6~0 ; LCCOMB_X61_Y22_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|control_wr_strobe~0 ; LCCOMB_X61_Y22_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|endofpacketvalue_wr_strobe~0 ; LCCOMB_X61_Y22_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|shift_reg[7]~2 ; LCCOMB_X63_Y22_N4 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|slaveselect_wr_strobe ; LCCOMB_X61_Y22_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|transmitting~5 ; LCCOMB_X62_Y20_N22 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|write_tx_holding ; LCCOMB_X62_Y22_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|always12~0 ; LCCOMB_X64_Y24_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|always6~0 ; LCCOMB_X62_Y25_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|control_wr_strobe~0 ; LCCOMB_X62_Y25_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|endofpacketvalue_wr_strobe~0 ; LCCOMB_X64_Y25_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|shift_reg[3]~11 ; LCCOMB_X61_Y24_N22 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|slaveselect_wr_strobe ; LCCOMB_X62_Y25_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|transaction_primed ; FF_X64_Y24_N21 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|write_tx_holding ; LCCOMB_X61_Y24_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|SCLK_reg ; FF_X29_Y26_N15 ; 2205 ; Clock ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|always12~0 ; LCCOMB_X50_Y26_N8 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|always6~0 ; LCCOMB_X61_Y26_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|control_wr_strobe~0 ; LCCOMB_X59_Y26_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|endofpacketvalue_wr_strobe~0 ; LCCOMB_X59_Y26_N30 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|shift_reg[7]~2 ; LCCOMB_X50_Y26_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|slaveselect_wr_strobe ; LCCOMB_X59_Y26_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|transmitting~5 ; LCCOMB_X39_Y26_N24 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|write_tx_holding ; LCCOMB_X62_Y26_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; nios_cpu:inst0_nios_cpu|spi_1_SCLK ; LCCOMB_X62_Y22_N10 ; 2 ; Clock ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|comb~1 ; LCCOMB_X9_Y41_N6 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|phasedone_state ; FF_X9_Y41_N5 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|pll_internal_phasestep_reg ; FF_X9_Y41_N9 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|wire_pll1_locked ; PLL_3 ; 3 ; Clock ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|c0_mux ; LCCOMB_X39_Y27_N30 ; 1 ; Clock ; yes ; Global Clock ; GCLK5 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][2] ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|c1_mux ; LCCOMB_X39_Y27_N18 ; 2931 ; Clock ; yes ; Global Clock ; GCLK11 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][3] ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|current_state.ps_disable ; FF_X28_Y39_N29 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_phase_step_cnt[3]~32 ; LCCOMB_X23_Y40_N26 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.check_phase_done ; FF_X22_Y40_N23 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.check_phase_step ; FF_X23_Y40_N29 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.phase_step ; FF_X22_Y40_N21 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|process_0~0 ; LCCOMB_X28_Y40_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.check_max_steps ; FF_X28_Y42_N21 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.max_found ; FF_X28_Y42_N19 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.wait_after_ph_shift ; FF_X28_Y42_N7 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|process_0~0 ; LCCOMB_X19_Y36_N4 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|process_1~0 ; LCCOMB_X28_Y40_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|ps_ctrl_phase_reg[2]~0 ; LCCOMB_X27_Y42_N12 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|ps_done_reg~0 ; LCCOMB_X28_Y39_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt[8]~30 ; LCCOMB_X28_Y41_N24 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt_max[0]~30 ; LCCOMB_X27_Y41_N2 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt_min[0]~1 ; LCCOMB_X26_Y41_N10 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr12|cntr_6jo:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X9_Y41_N24 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr13|cntr_rfo:auto_generated|_~0 ; LCCOMB_X8_Y42_N28 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr16|cntr_6jo:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X7_Y41_N0 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr2|cntr_a9o:auto_generated|_~0 ; LCCOMB_X11_Y41_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|pll_areset ; LCCOMB_X11_Y39_N22 ; 5 ; Async. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|power_up~1 ; LCCOMB_X9_Y39_N2 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_counter_state~2 ; LCCOMB_X8_Y40_N14 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_counter_state~3 ; LCCOMB_X7_Y40_N18 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_seq_ena_state ; FF_X8_Y40_N31 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|rom_init_state ; FF_X10_Y39_N25 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|scan_cache_write_enable~0 ; LCCOMB_X10_Y41_N0 ; 10 ; Write enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg7|sync_reg[1] ; FF_X26_Y42_N25 ; 223 ; Async. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg8|sync_reg[1] ; FF_X26_Y42_N13 ; 31 ; Async. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|comb~1 ; LCCOMB_X49_Y42_N28 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|phasedone_state ; FF_X49_Y42_N19 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|pll_internal_phasestep_reg ; FF_X49_Y42_N9 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|c0_mux ; LCCOMB_X34_Y24_N14 ; 1 ; Clock ; yes ; Global Clock ; GCLK5 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][2] ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|c1_mux ; LCCOMB_X34_Y24_N20 ; 2932 ; Clock ; yes ; Global Clock ; GCLK11 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][3] ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|current_state.ps_disable ; FF_X20_Y33_N3 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_phase_step_cnt[0]~32 ; LCCOMB_X17_Y36_N26 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.check_phase_done ; FF_X16_Y36_N9 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.check_phase_step ; FF_X17_Y36_N25 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.phase_step ; FF_X17_Y36_N29 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|process_0~0 ; LCCOMB_X19_Y34_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.check_max_steps ; FF_X20_Y34_N7 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.max_found ; FF_X21_Y35_N3 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.wait_after_ph_shift ; FF_X21_Y34_N5 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|process_0~0 ; LCCOMB_X18_Y36_N2 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|process_1~0 ; LCCOMB_X20_Y33_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|ps_ctrl_phase_reg[2]~0 ; LCCOMB_X21_Y35_N10 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|ps_done_reg~0 ; LCCOMB_X20_Y34_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt[9]~30 ; LCCOMB_X17_Y34_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt_max[2]~30 ; LCCOMB_X16_Y34_N4 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt_min[6]~1 ; LCCOMB_X17_Y35_N4 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr12|cntr_6jo:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X14_Y29_N18 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr13|cntr_rfo:auto_generated|_~0 ; LCCOMB_X14_Y32_N26 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr16|cntr_6jo:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X14_Y30_N0 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr2|cntr_a9o:auto_generated|_~0 ; LCCOMB_X16_Y31_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|pll_areset ; LCCOMB_X16_Y33_N12 ; 5 ; Async. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|power_up~1 ; LCCOMB_X15_Y32_N28 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_counter_state~2 ; LCCOMB_X12_Y30_N20 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_counter_state~3 ; LCCOMB_X11_Y30_N30 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_seq_ena_state ; FF_X12_Y30_N3 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|rom_init_state ; FF_X16_Y32_N17 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|scan_cache_write_enable~0 ; LCCOMB_X15_Y32_N30 ; 10 ; Write enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg7|sync_reg[1] ; FF_X20_Y33_N19 ; 223 ; Async. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|sync_reg:sync_reg8|sync_reg[1] ; FF_X19_Y33_N29 ; 31 ; Async. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|comb~1 ; LCCOMB_X36_Y42_N16 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|phasedone_state ; FF_X36_Y42_N7 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|pll_internal_phasestep_reg ; FF_X36_Y42_N15 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|wire_pll1_locked ; PLL_2 ; 3 ; Clock ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|c0_mux ; LCCOMB_X3_Y21_N8 ; 1 ; Clock ; yes ; Global Clock ; GCLK15 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][0] ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|c1_mux ; LCCOMB_X3_Y21_N10 ; 2743 ; Clock ; yes ; Global Clock ; GCLK0 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][1] ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_locked~0 ; LCCOMB_X39_Y42_N14 ; 106 ; Async. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|current_state.ps_disable ; FF_X41_Y41_N19 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_phase_step_cnt[1]~32 ; LCCOMB_X39_Y41_N4 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.check_phase_done ; FF_X44_Y42_N29 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.check_phase_step ; FF_X39_Y41_N3 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.phase_step ; FF_X39_Y41_N29 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|process_0~0 ; LCCOMB_X32_Y40_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.check_max_steps ; FF_X36_Y42_N7 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.max_found ; FF_X35_Y42_N31 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.wait_after_ph_shift ; FF_X36_Y42_N15 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|process_0~0 ; LCCOMB_X41_Y42_N30 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|process_1~0 ; LCCOMB_X37_Y42_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|ps_ctrl_phase_reg[0]~0 ; LCCOMB_X36_Y42_N12 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|ps_done_reg~0 ; LCCOMB_X41_Y42_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt[6]~30 ; LCCOMB_X34_Y42_N4 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt_max[8]~30 ; LCCOMB_X34_Y41_N2 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt_min[9]~1 ; LCCOMB_X34_Y41_N0 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr12|cntr_6jo:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X45_Y40_N24 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr13|cntr_rfo:auto_generated|_~0 ; LCCOMB_X46_Y40_N28 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr16|cntr_6jo:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X45_Y41_N0 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr2|cntr_a9o:auto_generated|_~0 ; LCCOMB_X44_Y40_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|pll_areset ; LCCOMB_X37_Y42_N12 ; 5 ; Async. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|power_up~1 ; LCCOMB_X44_Y39_N14 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_counter_state~2 ; LCCOMB_X45_Y42_N2 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_counter_state~3 ; LCCOMB_X45_Y42_N28 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_seq_ena_state ; FF_X45_Y42_N29 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|rom_init_state ; FF_X43_Y39_N25 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|scan_cache_write_enable~0 ; LCCOMB_X44_Y39_N8 ; 10 ; Write enable ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg7|sync_reg[1] ; FF_X39_Y42_N23 ; 223 ; Async. clear ; no ; -- ; -- ; -- ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg8|sync_reg[1] ; FF_X39_Y42_N9 ; 31 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|pulse_gen:pulse_gen_inst6|Equal0~20 ; LCCOMB_X50_Y30_N30 ; 33 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|cntr_u8h:cntr3|counter_comb_bita2~0 ; LCCOMB_X25_Y36_N20 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|dffe4 ; FF_X25_Y36_N11 ; 2 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|bus_sync_reg:bus_sync_reg1|sync_reg1[1] ; FF_X15_Y36_N29 ; 104 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|mux1_data_out_valid_reg ; FF_X14_Y30_N21 ; 198 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_48_to_64:inst0|Equal0~0 ; LCCOMB_X11_Y34_N4 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_48_to_64:inst0|Equal0~1 ; LCCOMB_X11_Y34_N26 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_48_to_64:inst0|Equal0~2 ; LCCOMB_X11_Y34_N20 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_48_to_64:inst0|word64_0_valid ; FF_X11_Y34_N5 ; 65 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~0 ; LCCOMB_X11_Y34_N10 ; 55 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~1 ; LCCOMB_X10_Y34_N2 ; 55 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~2 ; LCCOMB_X11_Y34_N12 ; 57 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~3 ; LCCOMB_X11_Y34_N6 ; 55 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~4 ; LCCOMB_X10_Y34_N4 ; 57 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~5 ; LCCOMB_X11_Y34_N18 ; 55 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~6 ; LCCOMB_X11_Y34_N0 ; 57 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|data2packets:data2packets_inst2|current_state.s1 ; FF_X19_Y30_N29 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|data2packets:data2packets_inst2|current_state.s2 ; FF_X20_Y30_N27 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|data2packets:data2packets_inst2|reg_1_en ; LCCOMB_X21_Y30_N12 ; 129 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|data2packets_fsm:data2packets_fsm_inst0|current_state.rd_smpl_buff ; FF_X20_Y36_N31 ; 18 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|inst1_data_in_valid ; FF_X12_Y34_N21 ; 62 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|inst0_reset_n~0 ; LCCOMB_X14_Y42_N2 ; 39 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|inst0_reset_n ; FF_X20_Y38_N17 ; 51 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|inst1_reset_n ; FF_X20_Y37_N3 ; 51 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|inst0_reset_n ; FF_X16_Y38_N17 ; 156 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|inst1_reset_n ; FF_X17_Y38_N13 ; 156 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_mimo_ddr:rxiq_mimo_ddr_inst0|diq_chA_0_reg_proc~0 ; LCCOMB_X15_Y37_N26 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_mimo_ddr:rxiq_mimo_ddr_inst0|diq_chA_1_reg_proc~0 ; LCCOMB_X15_Y37_N24 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_mimo_ddr:rxiq_mimo_ddr_inst0|diq_chB_0_reg_proc~1 ; LCCOMB_X15_Y37_N16 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_mimo_ddr:rxiq_mimo_ddr_inst0|diq_chB_1_reg_proc~0 ; LCCOMB_X15_Y36_N24 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_pulse_ddr:rxiq_pulse_ddr_inst1|diq_chA_0_reg_proc~0 ; LCCOMB_X15_Y37_N10 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_pulse_ddr:rxiq_pulse_ddr_inst1|diq_chA_1_reg_proc~0 ; LCCOMB_X15_Y37_N14 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_pulse_ddr:rxiq_pulse_ddr_inst1|diq_chB_0_reg_proc~1 ; LCCOMB_X14_Y37_N0 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_pulse_ddr:rxiq_pulse_ddr_inst1|diq_chB_1_reg_proc~0 ; LCCOMB_X14_Y37_N30 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|inst0_reset_n ; FF_X19_Y37_N19 ; 53 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|inst1_reset_n ; FF_X21_Y40_N1 ; 52 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_ddr:rxiq_siso_ddr_inst1|diq_pos0_reg_proc~0 ; LCCOMB_X19_Y41_N20 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_ddr:rxiq_siso_ddr_inst1|diq_pos1_reg_proc~0 ; LCCOMB_X19_Y41_N16 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_ddr:rxiq_siso_ddr_inst1|diq_pos2_reg_proc~0 ; LCCOMB_X19_Y41_N12 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_ddr:rxiq_siso_ddr_inst1|diq_pos3_reg_proc~0 ; LCCOMB_X19_Y41_N26 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_sdr:rxiq_siso_sdr_inst0|diq_pos0_reg_proc~0 ; LCCOMB_X19_Y37_N8 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_sdr:rxiq_siso_sdr_inst0|diq_pos1_reg_proc~0 ; LCCOMB_X19_Y37_N24 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_sdr:rxiq_siso_sdr_inst0|diq_pos2_reg_proc~0 ; LCCOMB_X19_Y37_N14 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_sdr:rxiq_siso_sdr_inst0|diq_pos3_reg_proc~0 ; LCCOMB_X19_Y37_N6 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|smpl_cmp:inst3_smpl_cmp|current_state.compare ; FF_X30_Y42_N31 ; 19 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|smpl_cmp:inst3_smpl_cmp|process_1~1 ; LCCOMB_X12_Y42_N28 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|smpl_cmp:inst3_smpl_cmp|process_1~2 ; LCCOMB_X12_Y42_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|test_data_dd:int2_test_data_dd|iq_sel ; FF_X19_Y41_N5 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|valid_rdreq~0 ; LCCOMB_X23_Y38_N12 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|valid_wrreq~0 ; LCCOMB_X25_Y37_N30 ; 26 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|lpm_cnt_inst:lpm_cnt_inst_inst0|lpm_counter:LPM_COUNTER_component|cntr_f5l:auto_generated|_~0 ; LCCOMB_X23_Y35_N0 ; 64 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst4|lpm_cnt_inst:lpm_cnt_inst_inst0|lpm_counter:LPM_COUNTER_component|cntr_f5l:auto_generated|_~0 ; LCCOMB_X53_Y33_N6 ; 64 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg0|sync_reg[1] ; FF_X14_Y42_N7 ; 2095 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg11|sync_reg[1] ; FF_X14_Y42_N21 ; 63 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|sync_reg:sync_reg0|sync_reg[1] ; FF_X48_Y32_N3 ; 1553 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|sync_reg:sync_reg1|sync_reg[1] ; FF_X60_Y32_N31 ; 181 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq:inst1_txiq|diq_L_reg_0[4]~14 ; LCCOMB_X43_Y34_N14 ; 24 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq:inst1_txiq|diq_L_reg_1[11]~13 ; LCCOMB_X42_Y34_N20 ; 12 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq:inst1_txiq|diq_L_reg_2[2]~13 ; LCCOMB_X44_Y36_N26 ; 24 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq:inst1_txiq|fifo_rdreq~0 ; LCCOMB_X43_Y37_N16 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq_ctrl:txiq_ctrl_inst3|cnt[1]~48 ; LCCOMB_X43_Y37_N10 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq_ctrl:txiq_ctrl_inst3|current_state.rd_samples ; FF_X45_Y33_N27 ; 21 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq_ctrl:txiq_ctrl_inst3|current_state.txant_dis_wait ; FF_X45_Y33_N15 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq_ctrl:txiq_ctrl_inst3|current_state.txant_enable_wait ; FF_X45_Y33_N23 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1|unpack_64_to_48:unpack_64_to_48_inst0|process_2~0 ; LCCOMB_X50_Y35_N6 ; 97 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1|unpack_64_to_48:unpack_64_to_48_inst0|process_3~0 ; LCCOMB_X50_Y35_N30 ; 97 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1|unpack_64_to_64:unpack_64_to_64_inst2|process_2~0 ; LCCOMB_X52_Y36_N26 ; 97 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|_~0 ; LCCOMB_X44_Y37_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_wrreq~0 ; LCCOMB_X41_Y38_N0 ; 20 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|_~0 ; LCCOMB_X57_Y42_N0 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_rdreq~1 ; LCCOMB_X57_Y40_N22 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_wrreq~0 ; LCCOMB_X57_Y41_N14 ; 21 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|_~0 ; LCCOMB_X62_Y34_N22 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_rdreq~0 ; LCCOMB_X59_Y34_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_wrreq~0 ; LCCOMB_X59_Y33_N18 ; 21 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|_~0 ; LCCOMB_X55_Y35_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_rdreq~1 ; LCCOMB_X55_Y34_N30 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_wrreq~0 ; LCCOMB_X57_Y34_N26 ; 21 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|_~0 ; LCCOMB_X59_Y39_N12 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_rdreq~0 ; LCCOMB_X57_Y39_N10 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_wrreq~0 ; LCCOMB_X59_Y37_N22 ; 20 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|current_state.idle ; FF_X54_Y36_N5 ; 20 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|current_state.switch_next_buff ; FF_X54_Y36_N21 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|pct_buff_clr_n[0]~3 ; LCCOMB_X57_Y39_N30 ; 117 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|pct_buff_clr_n[1]~2 ; LCCOMB_X59_Y36_N28 ; 117 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|pct_buff_clr_n[2]~1 ; LCCOMB_X54_Y36_N18 ; 114 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|pct_buff_clr_n[3]~0 ; LCCOMB_X57_Y39_N20 ; 117 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|rd_cnt[1]~37 ; LCCOMB_X54_Y36_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|current_state.check_next_buf ; FF_X57_Y33_N5 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|current_state.rd_pct ; FF_X57_Y33_N23 ; 19 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|current_state.switch_next_buff ; FF_X57_Y33_N7 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|out_reg~5 ; LCCOMB_X56_Y33_N14 ; 128 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|out_reg~6 ; LCCOMB_X56_Y33_N26 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data_wrreq_cnt[14]~18 ; LCCOMB_X56_Y33_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data_wrreq_int ; FF_X56_Y33_N15 ; 29 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_hdr_0_valid[0] ; FF_X57_Y29_N23 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_hdr_0_valid[1] ; FF_X57_Y29_N3 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_hdr_0_valid[2] ; FF_X57_Y29_N31 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_hdr_0_valid[3] ; FF_X57_Y29_N15 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|smpl_buff_valid ; FF_X54_Y36_N23 ; 70 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|valid_rdreq~0 ; LCCOMB_X54_Y28_N0 ; 19 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|valid_wrreq~0 ; LCCOMB_X57_Y28_N30 ; 21 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg1|sync_reg[1] ; FF_X43_Y33_N21 ; 204 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3|test_data_dd:test_data_dd_inst1|iq_sel ; FF_X28_Y31_N5 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[1] ; FF_X5_Y7_N9 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[2] ; FF_X5_Y7_N3 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[3] ; FF_X5_Y7_N13 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[0] ; FF_X5_Y7_N1 ; 28 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[1] ; FF_X5_Y7_N17 ; 28 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[2] ; FF_X5_Y7_N11 ; 28 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[3] ; FF_X5_Y7_N31 ; 28 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst|int_do_col_req ; LCCOMB_X11_Y7_N20 ; 27 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst|max_local_burst_size[1] ; FF_X3_Y11_N25 ; 107 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|buf_row_addr[7]~15 ; LCCOMB_X17_Y14_N0 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|buf_size[1]~5 ; LCCOMB_X19_Y15_N14 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|copy~1 ; LCCOMB_X17_Y14_N18 ; 46 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|generating ; FF_X19_Y15_N23 ; 34 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|int_queue_full~2 ; LCCOMB_X17_Y14_N6 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|act_monitor_per_chip[0].act_trrd_cnt[0]~13 ; LCCOMB_X4_Y14_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|act_tfaw_cmd_cnt~1 ; LCCOMB_X5_Y11_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|always164~0 ; LCCOMB_X5_Y11_N16 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|int_can_read~1 ; LCCOMB_X10_Y9_N24 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|int_can_write~6 ; LCCOMB_X9_Y9_N20 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].int_do_read_diff_chip~4 ; LCCOMB_X10_Y9_N20 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].int_do_write_diff_chip~4 ; LCCOMB_X10_Y13_N22 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].read_cnt_diff_chip[1]~20 ; LCCOMB_X14_Y9_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].read_cnt_this_chip[0]~19 ; LCCOMB_X12_Y9_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].write_cnt_diff_chip[0]~7 ; LCCOMB_X11_Y13_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].write_cnt_this_chip[5]~22 ; LCCOMB_X11_Y9_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|fifo_put~0 ; LCCOMB_X20_Y9_N8 ; 17 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|_~10 ; LCCOMB_X20_Y9_N26 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|_~6 ; LCCOMB_X20_Y11_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|pulse_ram_output~2 ; LCCOMB_X20_Y9_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|fifo_put ; LCCOMB_X22_Y7_N12 ; 16 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|_~11 ; LCCOMB_X22_Y7_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|_~9 ; LCCOMB_X25_Y7_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|pulse_ram_output~2 ; LCCOMB_X25_Y7_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[0][1]~2 ; LCCOMB_X21_Y9_N30 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[10][1]~61 ; LCCOMB_X25_Y11_N16 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[11][0]~66 ; LCCOMB_X23_Y11_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[12][2]~71 ; LCCOMB_X23_Y11_N24 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[13][0]~76 ; LCCOMB_X22_Y11_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[14][2]~81 ; LCCOMB_X22_Y11_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[15][0]~85 ; LCCOMB_X21_Y11_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[1][2]~8 ; LCCOMB_X22_Y10_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[2][2]~14 ; LCCOMB_X21_Y10_N26 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[3][2]~20 ; LCCOMB_X20_Y10_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[4][2]~26 ; LCCOMB_X20_Y10_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[5][3]~32 ; LCCOMB_X21_Y10_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[6][0]~38 ; LCCOMB_X23_Y10_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[7][0]~44 ; LCCOMB_X25_Y10_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[8][0]~51 ; LCCOMB_X25_Y10_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[9][3]~56 ; LCCOMB_X25_Y11_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[0][2]~10 ; LCCOMB_X17_Y12_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[10][0]~69 ; LCCOMB_X19_Y13_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[11][1]~74 ; LCCOMB_X18_Y12_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[12][1]~79 ; LCCOMB_X18_Y12_N26 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[15][0]~89 ; LCCOMB_X20_Y12_N26 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[1][1]~17 ; LCCOMB_X17_Y12_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[2][0]~22 ; LCCOMB_X16_Y11_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[3][1]~28 ; LCCOMB_X16_Y11_N30 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[4][3]~34 ; LCCOMB_X16_Y13_N12 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[5][0]~41 ; LCCOMB_X16_Y13_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[6][3]~47 ; LCCOMB_X17_Y13_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[7][2]~52 ; LCCOMB_X20_Y13_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[8][2]~57 ; LCCOMB_X20_Y13_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[9][3]~64 ; LCCOMB_X19_Y13_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list_v~59 ; LCCOMB_X16_Y12_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list_v~61 ; LCCOMB_X20_Y12_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|cmd_counter[3]~14 ; LCCOMB_X18_Y11_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|inordr_read_data_valid_r ; FF_X21_Y13_N17 ; 239 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst|Equal17~1 ; LCCOMB_X12_Y5_N2 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst|doing_write_pipe_eq_afi_wlat_minus_2~21 ; LCCOMB_X11_Y3_N12 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|always20~0 ; LCCOMB_X2_Y9_N28 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|auto_refresh_logic_per_chip[0].refresh_cnt[6]~39 ; LCCOMB_X2_Y8_N28 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|power_saving_logic_per_chip[0].power_saving_cnt[7]~19 ; LCCOMB_X1_Y9_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|rfsh_ack~0 ; LCCOMB_X2_Y9_N0 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|row_timer[0][0]~6 ; LCCOMB_X3_Y3_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|row_timer[1][4]~55 ; LCCOMB_X8_Y4_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|row_timer[2][5]~39 ; LCCOMB_X1_Y7_N22 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|row_timer[3][4]~23 ; LCCOMB_X5_Y5_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[0]~4 ; LCCOMB_X9_Y10_N20 ; 67 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[1]~3 ; LCCOMB_X9_Y10_N4 ; 70 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[2]~2 ; LCCOMB_X9_Y10_N10 ; 71 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[3]~1 ; LCCOMB_X9_Y10_N14 ; 72 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[0][5]~36 ; LCCOMB_X2_Y3_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[1][1]~96 ; LCCOMB_X5_Y2_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[2][3]~76 ; LCCOMB_X1_Y6_N12 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[3][0]~56 ; LCCOMB_X2_Y4_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst|burst_counter[3]~8 ; LCCOMB_X15_Y10_N6 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[0][0]~4 ; LCCOMB_X16_Y9_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[1][3]~8 ; LCCOMB_X16_Y9_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[2][3]~12 ; LCCOMB_X18_Y9_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[3][2]~16 ; LCCOMB_X17_Y9_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[4][0]~20 ; LCCOMB_X19_Y9_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[5][0]~24 ; LCCOMB_X18_Y9_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[6][3]~28 ; LCCOMB_X18_Y10_N2 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[7][0]~31 ; LCCOMB_X18_Y10_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always11~3 ; LCCOMB_X11_Y10_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always13~3 ; LCCOMB_X11_Y6_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always15~3 ; LCCOMB_X9_Y10_N30 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always17~3 ; LCCOMB_X9_Y10_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always3~3 ; LCCOMB_X11_Y10_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always5~3 ; LCCOMB_X12_Y10_N10 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always7~3 ; LCCOMB_X14_Y7_N12 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always9~3 ; LCCOMB_X14_Y7_N0 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|buffer_valid_counter[5]~10 ; LCCOMB_X18_Y10_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[0][5]~65 ; LCCOMB_X16_Y4_N8 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[1][1]~52 ; LCCOMB_X16_Y4_N2 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[4][3]~114 ; LCCOMB_X17_Y4_N26 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[7][3]~127 ; LCCOMB_X18_Y5_N16 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[2][1]~1 ; LCCOMB_X14_Y5_N24 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[3][3]~0 ; LCCOMB_X14_Y5_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[5][2]~2 ; LCCOMB_X17_Y4_N24 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[6][2]~3 ; LCCOMB_X20_Y4_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|write_data_if_accepted~1 ; LCCOMB_X17_Y10_N10 ; 35 ; Clock enable, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[1][0]~2 ; LCCOMB_X14_Y6_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[2][0]~7 ; LCCOMB_X14_Y7_N2 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[3][2]~12 ; LCCOMB_X15_Y7_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[4][0]~17 ; LCCOMB_X15_Y7_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[5][0]~21 ; LCCOMB_X16_Y7_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[6][2]~25 ; LCCOMB_X16_Y7_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[7][2]~29 ; LCCOMB_X17_Y7_N12 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list_vector[2]~12 ; LCCOMB_X15_Y6_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[0][0]~2 ; LCCOMB_X16_Y8_N16 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[1][1]~8 ; LCCOMB_X16_Y8_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[2][2]~11 ; LCCOMB_X19_Y8_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[3][1]~16 ; LCCOMB_X20_Y8_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[4][1]~21 ; LCCOMB_X20_Y8_N22 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[7][2]~33 ; LCCOMB_X17_Y8_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list_v~16 ; LCCOMB_X18_Y8_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list_v~24 ; LCCOMB_X20_Y7_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|init_done_reg ; FF_X17_Y14_N23 ; 45 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|always3~0 ; LCCOMB_X5_Y4_N30 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|clk_div_reset_ams_n_r ; FF_X5_Y1_N25 ; 4 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|comb~0 ; LCCOMB_X6_Y1_N6 ; 4 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|_~0 ; LCCOMB_X2_Y1_N10 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[1] ; PLL_1 ; 4708 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|c0_mux ; LCCOMB_X28_Y21_N8 ; 1 ; Clock ; yes ; Global Clock ; GCLK15 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][0] ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|c1_mux ; LCCOMB_X28_Y21_N26 ; 2754 ; Clock ; yes ; Global Clock ; GCLK0 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][1] ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_locked~0 ; LCCOMB_X30_Y34_N0 ; 106 ; Async. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|current_state.ps_disable ; FF_X30_Y35_N31 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_phase_step_cnt[6]~32 ; LCCOMB_X32_Y37_N26 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.check_phase_done ; FF_X33_Y41_N7 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.check_phase_step ; FF_X32_Y37_N3 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|current_state.phase_step ; FF_X32_Y37_N5 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps:pll_ps_inst0|process_0~0 ; LCCOMB_X30_Y36_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.check_max_steps ; FF_X33_Y35_N3 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.max_found ; FF_X33_Y35_N17 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|current_state.wait_after_ph_shift ; FF_X32_Y35_N25 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|process_0~0 ; LCCOMB_X30_Y39_N24 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|process_1~0 ; LCCOMB_X30_Y36_N18 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|ps_ctrl_phase_reg[0]~0 ; LCCOMB_X33_Y36_N16 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|ps_done_reg~0 ; LCCOMB_X30_Y35_N12 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt[7]~30 ; LCCOMB_X34_Y39_N28 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt_max[9]~30 ; LCCOMB_X35_Y39_N28 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|step_cnt_min[0]~1 ; LCCOMB_X34_Y40_N6 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr12|cntr_6jo:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X25_Y35_N10 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr13|cntr_rfo:auto_generated|_~0 ; LCCOMB_X26_Y35_N0 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr16|cntr_6jo:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X34_Y35_N0 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|lpm_counter:cntr2|cntr_a9o:auto_generated|_~0 ; LCCOMB_X26_Y34_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|pll_areset ; LCCOMB_X33_Y36_N28 ; 5 ; Async. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|power_up~1 ; LCCOMB_X28_Y36_N22 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_counter_state~2 ; LCCOMB_X33_Y38_N0 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_counter_state~3 ; LCCOMB_X34_Y38_N0 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|reconfig_seq_ena_state ; FF_X28_Y36_N13 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|rom_init_state ; FF_X28_Y36_N25 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|scan_cache_write_enable~0 ; LCCOMB_X28_Y36_N30 ; 10 ; Write enable ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg7|sync_reg[1] ; FF_X30_Y34_N25 ; 223 ; Async. clear ; no ; -- ; -- ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|sync_reg:sync_reg8|sync_reg[1] ; FF_X30_Y34_N29 ; 31 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|pulse_gen:pulse_gen_inst6|Equal0~20 ; LCCOMB_X41_Y27_N30 ; 33 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|cntr_u8h:cntr3|counter_comb_bita2~0 ; LCCOMB_X30_Y41_N20 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|dffe4 ; FF_X30_Y41_N31 ; 2 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|bus_sync_reg:bus_sync_reg1|sync_reg1[1] ; FF_X11_Y41_N27 ; 104 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|mux1_data_out_valid_reg ; FF_X34_Y36_N3 ; 199 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_48_to_64:inst0|Equal0~0 ; LCCOMB_X9_Y34_N16 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_48_to_64:inst0|Equal0~1 ; LCCOMB_X9_Y34_N22 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_48_to_64:inst0|Equal0~2 ; LCCOMB_X9_Y34_N0 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_48_to_64:inst0|word64_0_valid ; FF_X9_Y34_N17 ; 65 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~0 ; LCCOMB_X9_Y34_N6 ; 55 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~1 ; LCCOMB_X9_Y34_N12 ; 55 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~2 ; LCCOMB_X9_Y34_N24 ; 57 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~3 ; LCCOMB_X9_Y34_N18 ; 55 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~4 ; LCCOMB_X9_Y34_N26 ; 57 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~5 ; LCCOMB_X9_Y34_N10 ; 55 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|bit_pack:bit_pack_inst1|pack_56_to_64:inst1|Equal0~6 ; LCCOMB_X9_Y34_N2 ; 57 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|data2packets:data2packets_inst2|current_state.s1 ; FF_X34_Y36_N15 ; 15 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|data2packets:data2packets_inst2|current_state.s2 ; FF_X35_Y38_N9 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|data2packets:data2packets_inst2|reg_1_en ; LCCOMB_X33_Y38_N28 ; 129 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|data2packets_fsm:data2packets_fsm_inst0|current_state.rd_smpl_buff ; FF_X35_Y37_N23 ; 18 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|inst1_data_in_valid ; FF_X10_Y37_N11 ; 62 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|inst0_reset_n~0 ; LCCOMB_X15_Y42_N14 ; 39 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|fifo_wrreq~0 ; LCCOMB_X25_Y38_N0 ; 26 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|inst0_reset_n ; FF_X22_Y39_N1 ; 51 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|inst1_reset_n ; FF_X20_Y38_N7 ; 51 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|inst0_reset_n ; FF_X16_Y38_N9 ; 156 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|inst1_reset_n ; FF_X16_Y39_N9 ; 156 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_mimo_ddr:rxiq_mimo_ddr_inst0|diq_chA_0_reg_proc~0 ; LCCOMB_X12_Y41_N22 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_mimo_ddr:rxiq_mimo_ddr_inst0|diq_chA_1_reg_proc~0 ; LCCOMB_X12_Y41_N28 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_mimo_ddr:rxiq_mimo_ddr_inst0|diq_chB_0_reg_proc~1 ; LCCOMB_X12_Y41_N16 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_mimo_ddr:rxiq_mimo_ddr_inst0|diq_chB_1_reg_proc~0 ; LCCOMB_X12_Y41_N18 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_pulse_ddr:rxiq_pulse_ddr_inst1|diq_chA_0_reg_proc~0 ; LCCOMB_X12_Y40_N26 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_pulse_ddr:rxiq_pulse_ddr_inst1|diq_chA_1_reg_proc~0 ; LCCOMB_X12_Y41_N24 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_pulse_ddr:rxiq_pulse_ddr_inst1|diq_chB_0_reg_proc~1 ; LCCOMB_X12_Y40_N6 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_mimo:inst1_rxiq_mimo|rxiq_pulse_ddr:rxiq_pulse_ddr_inst1|diq_chB_1_reg_proc~0 ; LCCOMB_X12_Y40_N30 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|inst0_reset_n ; FF_X20_Y41_N21 ; 53 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|inst1_reset_n ; FF_X20_Y41_N1 ; 52 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_ddr:rxiq_siso_ddr_inst1|diq_pos0_reg_proc~0 ; LCCOMB_X17_Y41_N28 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_ddr:rxiq_siso_ddr_inst1|diq_pos1_reg_proc~0 ; LCCOMB_X17_Y41_N22 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_ddr:rxiq_siso_ddr_inst1|diq_pos2_reg_proc~0 ; LCCOMB_X17_Y41_N18 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_ddr:rxiq_siso_ddr_inst1|diq_pos3_reg_proc~0 ; LCCOMB_X17_Y41_N30 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_sdr:rxiq_siso_sdr_inst0|diq_pos0_reg_proc~0 ; LCCOMB_X21_Y38_N14 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_sdr:rxiq_siso_sdr_inst0|diq_pos1_reg_proc~0 ; LCCOMB_X21_Y38_N26 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_sdr:rxiq_siso_sdr_inst0|diq_pos2_reg_proc~0 ; LCCOMB_X21_Y38_N22 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|rxiq:inst1_rxiq|rxiq_siso:inst0_rxiq_siso|rxiq_siso_sdr:rxiq_siso_sdr_inst0|diq_pos3_reg_proc~0 ; LCCOMB_X21_Y38_N10 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|smpl_cmp:inst3_smpl_cmp|current_state.compare ; FF_X23_Y35_N25 ; 19 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|smpl_cmp:inst3_smpl_cmp|process_1~1 ; LCCOMB_X12_Y42_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|smpl_cmp:inst3_smpl_cmp|process_1~2 ; LCCOMB_X12_Y42_N24 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|diq2fifo:diq2fifo_inst0|test_data_dd:int2_test_data_dd|iq_sel ; FF_X17_Y41_N1 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|valid_rdreq~0 ; LCCOMB_X23_Y37_N28 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|lpm_cnt_inst:lpm_cnt_inst_inst0|lpm_counter:LPM_COUNTER_component|cntr_f5l:auto_generated|_~0 ; LCCOMB_X27_Y38_N12 ; 64 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst4|lpm_cnt_inst:lpm_cnt_inst_inst0|lpm_counter:LPM_COUNTER_component|cntr_f5l:auto_generated|_~0 ; LCCOMB_X51_Y38_N30 ; 64 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg0|sync_reg[1] ; FF_X15_Y42_N29 ; 2096 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg11|sync_reg[1] ; FF_X15_Y42_N7 ; 63 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|sync_reg:sync_reg0|sync_reg[1] ; FF_X41_Y39_N1 ; 1565 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|sync_reg:sync_reg1|sync_reg[1] ; FF_X59_Y27_N5 ; 181 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_in_pct_reset_n_req ; LCCOMB_X49_Y32_N18 ; 2 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq:inst1_txiq|diq_L_reg_0[0]~14 ; LCCOMB_X34_Y32_N6 ; 24 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq:inst1_txiq|diq_L_reg_1[10]~13 ; LCCOMB_X35_Y32_N22 ; 12 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq:inst1_txiq|diq_L_reg_2[0]~13 ; LCCOMB_X35_Y32_N16 ; 24 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq:inst1_txiq|fifo_rdreq~0 ; LCCOMB_X41_Y30_N28 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq_ctrl:txiq_ctrl_inst3|cnt[0]~48 ; LCCOMB_X41_Y30_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq_ctrl:txiq_ctrl_inst3|current_state.rd_samples ; FF_X42_Y29_N23 ; 21 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq_ctrl:txiq_ctrl_inst3|current_state.txant_dis_wait ; FF_X42_Y29_N31 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|fifo2diq:diq2fifo_inst1|txiq_ctrl:txiq_ctrl_inst3|current_state.txant_enable_wait ; FF_X42_Y29_N29 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1|unpack_64_to_48:unpack_64_to_48_inst0|process_2~0 ; LCCOMB_X48_Y34_N20 ; 97 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1|unpack_64_to_48:unpack_64_to_48_inst0|process_3~0 ; LCCOMB_X48_Y34_N12 ; 97 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|bit_unpack_64:bit_unpack_64_inst1|unpack_64_to_64:unpack_64_to_64_inst2|process_2~0 ; LCCOMB_X48_Y34_N4 ; 97 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|_~0 ; LCCOMB_X43_Y30_N16 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_wrreq~0 ; LCCOMB_X41_Y32_N10 ; 20 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|_~0 ; LCCOMB_X43_Y38_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_rdreq~0 ; LCCOMB_X45_Y38_N26 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_wrreq~0 ; LCCOMB_X44_Y41_N14 ; 20 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|_~0 ; LCCOMB_X49_Y36_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_rdreq~0 ; LCCOMB_X49_Y36_N18 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_wrreq~0 ; LCCOMB_X41_Y36_N14 ; 21 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|_~0 ; LCCOMB_X57_Y39_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_rdreq~0 ; LCCOMB_X57_Y39_N26 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_wrreq~0 ; LCCOMB_X59_Y41_N0 ; 21 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|_~0 ; LCCOMB_X43_Y40_N8 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_rdreq~0 ; LCCOMB_X44_Y40_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|valid_wrreq~0 ; LCCOMB_X39_Y40_N8 ; 20 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|current_state.idle ; FF_X42_Y36_N7 ; 20 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|current_state.switch_next_buff ; FF_X42_Y36_N23 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|pct_buff_clr_n[0]~2 ; LCCOMB_X42_Y38_N6 ; 114 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|pct_buff_clr_n[1]~1 ; LCCOMB_X42_Y36_N28 ; 114 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|pct_buff_clr_n[2]~0 ; LCCOMB_X41_Y40_N28 ; 114 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|pct_buff_clr_n[3]~3 ; LCCOMB_X41_Y40_N8 ; 114 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_rd:p2d_rd_inst3|rd_cnt[4]~37 ; LCCOMB_X42_Y36_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|current_state.check_next_buf ; FF_X45_Y30_N11 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|current_state.switch_next_buff ; FF_X49_Y32_N25 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|in_pct_data_valid ; FF_X45_Y30_N29 ; 29 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|out_reg~5 ; LCCOMB_X53_Y33_N8 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|out_reg~6 ; LCCOMB_X45_Y30_N18 ; 17 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|out_reg~7 ; LCCOMB_X53_Y33_N18 ; 128 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data_wrreq_cnt[0]~18 ; LCCOMB_X45_Y30_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_hdr_0_valid[0] ; FF_X53_Y35_N29 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_hdr_0_valid[1] ; FF_X53_Y35_N19 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_hdr_0_valid[2] ; FF_X53_Y35_N31 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_hdr_0_valid[3] ; FF_X53_Y35_N25 ; 65 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|rd_cnt[8]~35 ; LCCOMB_X45_Y30_N20 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|smpl_buff_valid ; FF_X48_Y34_N5 ; 70 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|valid_rdreq~0 ; LCCOMB_X55_Y34_N26 ; 19 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|valid_wrreq~0 ; LCCOMB_X57_Y35_N6 ; 21 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_reg:sync_reg1|sync_reg[1] ; FF_X36_Y34_N15 ; 205 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|txiqmux:txiqmux_inst3|test_data_dd:test_data_dd_inst1|iq_sel ; FF_X23_Y21_N25 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[1] ; FF_X9_Y4_N1 ; 21 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[2] ; FF_X10_Y4_N31 ; 21 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[3] ; FF_X10_Y4_N15 ; 21 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[0] ; FF_X9_Y4_N31 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[1] ; FF_X9_Y4_N23 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[2] ; FF_X10_Y4_N19 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[3] ; FF_X10_Y4_N7 ; 28 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst|int_do_col_req ; LCCOMB_X9_Y9_N24 ; 27 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst|max_local_burst_size[1] ; FF_X10_Y10_N17 ; 106 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|buf_col_addr[4]~0 ; LCCOMB_X21_Y12_N8 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|buf_size[2]~7 ; LCCOMB_X22_Y12_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|copy~1 ; LCCOMB_X21_Y12_N28 ; 39 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|generating ; FF_X21_Y12_N19 ; 34 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|int_queue_full~2 ; LCCOMB_X18_Y10_N14 ; 37 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|act_monitor_per_chip[0].act_trrd_cnt[3]~11 ; LCCOMB_X6_Y8_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|act_tfaw_cmd_cnt~0 ; LCCOMB_X8_Y11_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|always164~0 ; LCCOMB_X8_Y8_N22 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|int_can_read~1 ; LCCOMB_X9_Y12_N0 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|int_can_write~6 ; LCCOMB_X8_Y12_N0 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].int_do_read_diff_chip~4 ; LCCOMB_X10_Y13_N14 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].int_do_write_diff_chip~4 ; LCCOMB_X10_Y12_N14 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].read_cnt_diff_chip[1]~14 ; LCCOMB_X10_Y13_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].read_cnt_this_chip[1]~19 ; LCCOMB_X8_Y13_N30 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].write_cnt_diff_chip[5]~7 ; LCCOMB_X11_Y12_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].write_cnt_this_chip[4]~22 ; LCCOMB_X9_Y11_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|fifo_put~0 ; LCCOMB_X18_Y12_N2 ; 18 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|_~7 ; LCCOMB_X20_Y16_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|_~8 ; LCCOMB_X21_Y13_N24 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|pulse_ram_output~2 ; LCCOMB_X21_Y13_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|fifo_put ; LCCOMB_X19_Y14_N22 ; 15 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|_~10 ; LCCOMB_X19_Y14_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|_~8 ; LCCOMB_X20_Y14_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|pulse_ram_output~3 ; LCCOMB_X20_Y14_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[10][0]~50 ; LCCOMB_X16_Y13_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[11][2]~55 ; LCCOMB_X15_Y13_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[12][0]~60 ; LCCOMB_X16_Y15_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[13][0]~65 ; LCCOMB_X16_Y15_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[14][3]~70 ; LCCOMB_X16_Y16_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[15][3]~74 ; LCCOMB_X17_Y16_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[1][3]~5 ; LCCOMB_X18_Y16_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[2][0]~10 ; LCCOMB_X16_Y16_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[3][3]~15 ; LCCOMB_X15_Y16_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[4][3]~20 ; LCCOMB_X15_Y16_N20 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[5][1]~25 ; LCCOMB_X14_Y12_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[6][2]~30 ; LCCOMB_X14_Y12_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[7][0]~35 ; LCCOMB_X15_Y13_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[8][3]~40 ; LCCOMB_X17_Y13_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[9][3]~45 ; LCCOMB_X17_Y13_N16 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list_vector[13]~2 ; LCCOMB_X18_Y15_N20 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[0][0]~10 ; LCCOMB_X17_Y16_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[10][3]~63 ; LCCOMB_X18_Y17_N20 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[11][3]~68 ; LCCOMB_X17_Y17_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[12][2]~72 ; LCCOMB_X16_Y17_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[15][3]~82 ; LCCOMB_X15_Y18_N22 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[1][2]~17 ; LCCOMB_X16_Y18_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[2][3]~21 ; LCCOMB_X19_Y17_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[3][2]~27 ; LCCOMB_X17_Y17_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[4][3]~32 ; LCCOMB_X17_Y18_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[5][3]~38 ; LCCOMB_X17_Y18_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[6][3]~43 ; LCCOMB_X18_Y18_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[7][2]~48 ; LCCOMB_X19_Y16_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[8][1]~52 ; LCCOMB_X19_Y16_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[9][0]~58 ; LCCOMB_X19_Y17_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list_v~45 ; LCCOMB_X15_Y17_N28 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list_v~46 ; LCCOMB_X15_Y18_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|cmd_counter[3]~9 ; LCCOMB_X18_Y12_N26 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|inordr_read_data_valid_r ; FF_X23_Y16_N3 ; 237 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst|Equal17~1 ; LCCOMB_X7_Y9_N30 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst|doing_write_pipe_eq_afi_wlat_minus_2~21 ; LCCOMB_X7_Y9_N16 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|always20~0 ; LCCOMB_X4_Y7_N28 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|auto_refresh_logic_per_chip[0].refresh_cnt[0]~39 ; LCCOMB_X3_Y7_N6 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|power_saving_logic_per_chip[0].power_saving_cnt[5]~25 ; LCCOMB_X4_Y8_N26 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|rfsh_ack~0 ; LCCOMB_X4_Y7_N10 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|row_timer[0][4]~6 ; LCCOMB_X7_Y2_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|row_timer[1][4]~23 ; LCCOMB_X7_Y5_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|row_timer[2][0]~39 ; LCCOMB_X10_Y3_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|row_timer[3][5]~55 ; LCCOMB_X7_Y4_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[0]~0 ; LCCOMB_X14_Y8_N4 ; 67 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[1]~1 ; LCCOMB_X14_Y8_N16 ; 69 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[2]~2 ; LCCOMB_X14_Y8_N6 ; 71 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[3]~4 ; LCCOMB_X14_Y8_N26 ; 71 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[0][0]~36 ; LCCOMB_X4_Y2_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[1][3]~56 ; LCCOMB_X2_Y5_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[2][0]~76 ; LCCOMB_X5_Y3_N26 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[3][2]~96 ; LCCOMB_X2_Y4_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst|burst_counter[1]~0 ; LCCOMB_X22_Y10_N24 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[0][3]~4 ; LCCOMB_X19_Y10_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[1][2]~9 ; LCCOMB_X15_Y10_N28 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[2][1]~13 ; LCCOMB_X15_Y10_N16 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[3][1]~17 ; LCCOMB_X16_Y10_N16 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[4][2]~22 ; LCCOMB_X16_Y10_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[5][0]~27 ; LCCOMB_X16_Y10_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[6][3]~31 ; LCCOMB_X17_Y8_N24 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[7][0]~34 ; LCCOMB_X17_Y8_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always11~2 ; LCCOMB_X16_Y8_N6 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always13~2 ; LCCOMB_X16_Y8_N24 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always15~2 ; LCCOMB_X14_Y8_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always17~2 ; LCCOMB_X14_Y8_N24 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always3~2 ; LCCOMB_X16_Y8_N20 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always5~2 ; LCCOMB_X16_Y4_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always7~2 ; LCCOMB_X16_Y8_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always9~2 ; LCCOMB_X16_Y4_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|buffer_valid_counter[5]~10 ; LCCOMB_X22_Y10_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[0][1]~50 ; LCCOMB_X21_Y8_N8 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[6][5]~63 ; LCCOMB_X19_Y5_N24 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[7][1]~66 ; LCCOMB_X19_Y6_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[1][1]~0 ; LCCOMB_X16_Y6_N18 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[2][1]~1 ; LCCOMB_X16_Y6_N0 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[3][0]~2 ; LCCOMB_X16_Y5_N6 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[4][1]~3 ; LCCOMB_X18_Y5_N24 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[5][2]~4 ; LCCOMB_X18_Y5_N14 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|write_data_if_accepted~1 ; LCCOMB_X21_Y10_N6 ; 32 ; Clock enable, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[1][2]~2 ; LCCOMB_X16_Y3_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[2][2]~7 ; LCCOMB_X17_Y3_N28 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[3][1]~12 ; LCCOMB_X16_Y4_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[4][2]~17 ; LCCOMB_X16_Y6_N12 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[5][1]~21 ; LCCOMB_X16_Y6_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[6][0]~25 ; LCCOMB_X19_Y6_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[7][2]~29 ; LCCOMB_X19_Y6_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list_vector[7]~12 ; LCCOMB_X16_Y7_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[0][0]~2 ; LCCOMB_X17_Y2_N2 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[1][1]~8 ; LCCOMB_X17_Y2_N10 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[2][0]~11 ; LCCOMB_X18_Y2_N26 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[3][0]~16 ; LCCOMB_X19_Y2_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[4][0]~21 ; LCCOMB_X19_Y2_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[7][2]~33 ; LCCOMB_X18_Y4_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list_v~16 ; LCCOMB_X17_Y4_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list_v~24 ; LCCOMB_X18_Y4_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|init_done_reg ; FF_X18_Y10_N17 ; 46 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|always3~0 ; LCCOMB_X1_Y5_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|clk_div_reset_ams_n_r ; FF_X1_Y3_N15 ; 4 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|comb~0 ; LCCOMB_X11_Y3_N6 ; 4 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|_~0 ; LCCOMB_X2_Y1_N12 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[1] ; PLL_1 ; 3800 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[2] ; PLL_1 ; 80 ; Clock ; yes ; Global Clock ; GCLK4 ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[3] ; PLL_1 ; 119 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[4] ; PLL_1 ; 27 ; Clock ; yes ; Global Clock ; GCLK1 ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|phasedone_state ; FF_X1_Y1_N19 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|phasedone_state ; FF_X2_Y3_N13 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|pll1~LOCKED ; PLL_1 ; 2 ; Clock ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|pll_internal_phasestep_reg ; FF_X2_Y1_N23 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:ac_clk_pipe_2x|ams_pipe[1] ; FF_X1_Y2_N1 ; 2 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:measure_clk_pipe|ams_pipe[1] ; FF_X2_Y2_N15 ; 24 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe|ams_pipe[1] ; FF_X6_Y1_N13 ; 13 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:resync_clk_pipe|ams_pipe[1] ; FF_X7_Y1_N11 ; 52 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|global_pre_clear ; FF_X5_Y1_N1 ; 6 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|phy_internal_reset_n~0 ; LCCOMB_X8_Y5_N20 ; 6 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|pll_phase_auto_calibrate_pulse ; LCCOMB_X4_Y1_N8 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|pll_reconfig_reset_ams_n_r ; FF_X5_Y1_N7 ; 13 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|reset_phy_clk_1x_n ; FF_X6_Y1_N23 ; 2439 ; Async. clear, Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|scan_clk ; FF_X5_Y1_N17 ; 25 ; Clock ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|pll_internal_phasestep_reg ; FF_X1_Y1_N21 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:ac_clk_pipe_2x|ams_pipe[1] ; FF_X1_Y4_N31 ; 2 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:measure_clk_pipe|ams_pipe[1] ; FF_X1_Y15_N25 ; 24 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe|ams_pipe[1] ; FF_X12_Y3_N21 ; 13 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:resync_clk_pipe|ams_pipe[1] ; FF_X12_Y3_N31 ; 52 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|global_pre_clear ; FF_X1_Y3_N27 ; 6 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|phy_internal_reset_n~0 ; LCCOMB_X1_Y2_N0 ; 6 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|pll_phase_auto_calibrate_pulse ; LCCOMB_X1_Y1_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|pll_reconfig_reset_ams_n_r ; FF_X1_Y3_N17 ; 13 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|reset_phy_clk_1x_n ; FF_X10_Y7_N13 ; 2441 ; Async. clear, Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|scan_clk ; FF_X1_Y3_N25 ; 25 ; Clock ; no ; -- ; -- ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdata_oe_2x_r[0] ; DDIOOECELL_X22_Y0_N19 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdata_oe_2x_r[10] ; DDIOOECELL_X14_Y0_N19 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdata_oe_2x_r[11] ; DDIOOECELL_X16_Y0_N19 ; 1 ; Output enable ; no ; -- ; -- ; -- ; @@ -4977,316 +4835,285 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdata_oe_2x_r[9] ; DDIOOECELL_X9_Y0_N19 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdqs_oe_2x_r[0] ; DDIOOECELL_X27_Y0_N5 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdqs_oe_2x_r[1] ; DDIOOECELL_X20_Y0_N19 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_mimic:mmc|shift_reg_data_out[2]~1 ; LCCOMB_X4_Y18_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|always3~0 ; LCCOMB_X20_Y26_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|ctl_rdata_valid[0] ; FF_X12_Y14_N5 ; 15 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|\ac_mux:ctrl_broadcast_r.command_req ; FF_X8_Y17_N31 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|\ac_mux:seen_phy_init_complete ; FF_X4_Y14_N7 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|Add3~67 ; LCCOMB_X2_Y19_N2 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|ac_state~22 ; LCCOMB_X3_Y16_N28 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|finished_state ; FF_X2_Y17_N27 ; 12 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|process_12~3 ; LCCOMB_X2_Y19_N0 ; 27 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|refresh_count[2]~15 ; LCCOMB_X1_Y11_N30 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|stage_counter[13]~3 ; LCCOMB_X2_Y18_N12 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|Equal0~3 ; LCCOMB_X6_Y18_N0 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|\mtp_almt:dvw_size_a0[0]~0 ; LCCOMB_X7_Y18_N26 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|\mtp_almt:dvw_size_a1[0]~0 ; LCCOMB_X7_Y18_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|process_16~0 ; LCCOMB_X2_Y20_N6 ; 10 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state.s_operational ; FF_X3_Y19_N9 ; 21 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state~33 ; LCCOMB_X8_Y19_N10 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state~38 ; LCCOMB_X3_Y19_N24 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|timeout_counter[13]~17 ; LCCOMB_X5_Y19_N22 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|timeout_counter_enable~1 ; LCCOMB_X6_Y19_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|tracking_ms_counter[1]~10 ; LCCOMB_X2_Y20_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|Add11~27 ; LCCOMB_X8_Y13_N0 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|Selector70~3 ; LCCOMB_X8_Y13_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_addr_cmd_state.s_ac_read_poa_mtp ; FF_X5_Y14_N21 ; 19 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_count[0]~5 ; LCCOMB_X2_Y12_N20 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_count[7]~7 ; LCCOMB_X1_Y12_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_setup[4]~1 ; LCCOMB_X5_Y17_N4 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\dgrb_main_block:sig_count[7]~2 ; LCCOMB_X9_Y17_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\dgrb_main_block:sig_wd_lat[4]~0 ; LCCOMB_X12_Y14_N12 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_count[1]~4 ; LCCOMB_X10_Y14_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_count[6]~4 ; LCCOMB_X10_Y14_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_num_phase_shifts[1]~2 ; LCCOMB_X6_Y14_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_rsc_state.s_rsc_test_dq ; FF_X10_Y15_N1 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_mimic_cdv[5]~0 ; LCCOMB_X8_Y15_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_remaining_samples[5]~0 ; LCCOMB_X15_Y13_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_req_rsc_shift[4]~9 ; LCCOMB_X6_Y16_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_cdvw_drift ; FF_X7_Y15_N21 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_cdvw_wait ; FF_X7_Y15_N5 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_mimic_sample ; FF_X7_Y15_N25 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|btp_addr_array~0 ; LCCOMB_X2_Y14_N12 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_bit[1]~10 ; LCCOMB_X11_Y17_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_bit[1]~9 ; LCCOMB_X11_Y17_N8 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_centre[5]~20 ; LCCOMB_X11_Y18_N8 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_centre[5]~22 ; LCCOMB_X11_Y18_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_size[0]~18 ; LCCOMB_X9_Y18_N8 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_size[0]~20 ; LCCOMB_X9_Y18_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.first_good_edge[5]~0 ; LCCOMB_X12_Y18_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.largest_window_size[5]~0 ; LCCOMB_X10_Y18_N28 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.status.valid_result ; FF_X10_Y17_N15 ; 22 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.working_window[18]~4 ; LCCOMB_X11_Y15_N2 ; 47 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state.s_adv_rd_lat ; FF_X8_Y17_N7 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state.s_track ; FF_X7_Y17_N3 ; 115 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state.s_wait_admin ; FF_X8_Y17_N9 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state~46 ; LCCOMB_X7_Y17_N14 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dq_pin_ctr[2]~1 ; LCCOMB_X14_Y14_N24 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_rsc_result[0]~0 ; LCCOMB_X9_Y14_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|Selector67~4 ; LCCOMB_X15_Y8_N0 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|\ac_write_block:sig_count[6]~5 ; LCCOMB_X15_Y12_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_addr_cmd[0].addr[4]~9 ; LCCOMB_X14_Y12_N26 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_dgwb_state.s_write_1100_step ; FF_X11_Y12_N7 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_dgwb_state.s_write_wlat ; FF_X10_Y16_N23 ; 29 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|dgb_ac_access_gnt_r ; FF_X11_Y12_N27 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|process_4~1 ; LCCOMB_X4_Y13_N26 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|seq_mem_clk_disable ; FF_X3_Y1_N29 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp|wdp_wdqs_oe_2x[0] ; FF_X11_Y1_N25 ; 3 ; Output enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|get_next_addr ; LCCOMB_X32_Y16_N2 ; 76 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen_enable ; LCCOMB_X32_Y16_N30 ; 28 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|avl_addr~0 ; LCCOMB_X21_Y18_N2 ; 67 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|_~4 ; LCCOMB_X20_Y18_N20 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|_~7 ; LCCOMB_X22_Y18_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|write_req_reg ; FF_X26_Y18_N19 ; 16 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|Selector33~3 ; LCCOMB_X33_Y17_N12 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|loop_counter~55 ; LCCOMB_X34_Y17_N14 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|timeout_counter~99 ; LCCOMB_X34_Y17_N24 ; 33 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|a_fefifo_1cf:fifo_state|_~0 ; LCCOMB_X30_Y19_N30 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|write_req_reg ; FF_X30_Y18_N13 ; 14 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[10] ; FF_X30_Y18_N21 ; 151 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[3] ; FF_X27_Y18_N9 ; 32 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[4] ; FF_X33_Y18_N31 ; 145 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[5] ; FF_X27_Y18_N3 ; 32 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[7] ; FF_X30_Y18_N11 ; 42 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[8] ; FF_X33_Y18_N9 ; 120 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[9] ; FF_X27_Y18_N23 ; 202 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|_~3 ; LCCOMB_X32_Y17_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|_~4 ; LCCOMB_X28_Y17_N14 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|write_req_reg ; FF_X32_Y16_N29 ; 13 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|valid_rdreq~2 ; LCCOMB_X20_Y16_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|valid_wrreq~0 ; LCCOMB_X22_Y16_N8 ; 17 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|valid_rdreq~2 ; LCCOMB_X22_Y14_N24 ; 19 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|valid_wrreq~0 ; LCCOMB_X25_Y15_N6 ; 21 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|tst_rdata_valid~0 ; LCCOMB_X29_Y22_N4 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|ddr2_reset_n ; FF_X26_Y17_N25 ; 6 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|_~0 ; LCCOMB_X22_Y31_N6 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|a_graycounter_477:rdptr_g1p|cntr_cout[0]~1 ; LCCOMB_X21_Y31_N12 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|valid_wrreq~0 ; LCCOMB_X20_Y28_N20 ; 24 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_rcmd_fsm:wfm_rcmd_fsm_inst|rd_addr[21]~48 ; LCCOMB_X25_Y16_N26 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_rcmd_fsm:wfm_rcmd_fsm_inst|rd_addr~47 ; LCCOMB_X25_Y16_N28 ; 25 ; Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_rcmd_fsm:wfm_rcmd_fsm_inst|wcmd_last_addr_latch[19]~0 ; LCCOMB_X23_Y12_N0 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_wcmd_fsm:wfm_wcmd_fsm_inst|process_4~1 ; LCCOMB_X30_Y15_N14 ; 50 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_wcmd_fsm:wfm_wcmd_fsm_inst|wr_address[2]~27 ; LCCOMB_X30_Y15_N8 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player_rcmd_reset_n ; FF_X28_Y16_N15 ; 177 ; Async. clear ; no ; -- ; -- ; -- ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player_wcmd_reset_n ; FF_X26_Y17_N31 ; 189 ; Async. clear ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|clr_reg ; FF_X9_Y21_N3 ; 42 ; Async. clear ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0 ; LCCOMB_X7_Y20_N12 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena ; LCCOMB_X7_Y20_N24 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0 ; LCCOMB_X7_Y21_N20 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~1 ; LCCOMB_X7_Y20_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~5 ; LCCOMB_X11_Y23_N14 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~9 ; LCCOMB_X11_Y23_N0 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4] ; FF_X11_Y23_N25 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7] ; FF_X11_Y23_N11 ; 22 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~3 ; LCCOMB_X10_Y22_N18 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~11 ; LCCOMB_X10_Y20_N6 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~12 ; LCCOMB_X7_Y20_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena~4 ; LCCOMB_X9_Y21_N24 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2 ; LCCOMB_X11_Y23_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~6 ; LCCOMB_X11_Y23_N26 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR[1]~18 ; LCCOMB_X7_Y20_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[4]~22 ; LCCOMB_X6_Y20_N24 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[4]~23 ; LCCOMB_X7_Y20_N30 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[0] ; FF_X8_Y21_N25 ; 15 ; Async. clear ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[11] ; FF_X8_Y20_N19 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[3] ; FF_X9_Y21_N27 ; 51 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[4] ; FF_X9_Y21_N31 ; 35 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[8] ; FF_X9_Y21_N17 ; 16 ; Async. clear ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0 ; LCCOMB_X8_Y20_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg ; FF_X12_Y21_N25 ; 41 ; Async. clear ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[0]~0 ; LCCOMB_X7_Y20_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_decode:wdecoder|decode_dvf:auto_generated|eq_node[0]~1 ; LCCOMB_X11_Y28_N12 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_decode:wdecoder|decode_dvf:auto_generated|eq_node[1]~0 ; LCCOMB_X11_Y28_N4 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|buffer_write_enable_delayed ; FF_X12_Y26_N17 ; 6 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|collect_data ; LCCOMB_X11_Y26_N2 ; 19 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|process_0~0 ; LCCOMB_X10_Y24_N2 ; 32 ; Sync. clear ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|process_0~1 ; LCCOMB_X10_Y24_N12 ; 32 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all ; FF_X11_Y22_N15 ; 498 ; Async. clear ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|serial_crc_16:\tdo_crc_gen:tdo_crc_calc|lfsr[6]~1 ; LCCOMB_X8_Y28_N18 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|final_trigger_set~4 ; LCCOMB_X12_Y26_N26 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 ; LCCOMB_X12_Y26_N12 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 ; LCCOMB_X9_Y27_N28 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset ; LCCOMB_X12_Y25_N2 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:\adv_point_3_and_more:advance_pointer_counter|cntr_nii:auto_generated|counter_reg_bit[6]~0 ; LCCOMB_X12_Y25_N24 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_advance_pointer_counter|cntr_cgi:auto_generated|counter_reg_bit[3]~0 ; LCCOMB_X9_Y27_N2 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_read_pointer_counter|cntr_23j:auto_generated|counter_reg_bit[0]~0 ; LCCOMB_X12_Y23_N18 ; 1 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena ; LCCOMB_X12_Y25_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|WORD_SR[2]~8 ; LCCOMB_X10_Y25_N30 ; 4 ; Sync. clear ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|WORD_SR[2]~9 ; LCCOMB_X10_Y25_N22 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|word_counter[3]~5 ; LCCOMB_X10_Y25_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|status_shift_enable~1 ; LCCOMB_X10_Y26_N16 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|tdo_crc_len_reg[16]~34 ; LCCOMB_X11_Y24_N8 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|tdo_crc_val_shift_reg[0]~1 ; LCCOMB_X11_Y24_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|trigger_setup_ena ; LCCOMB_X10_Y24_N24 ; 326 ; Clock enable ; no ; -- ; -- ; -- ; -; sync_reg:sync_reg0|sync_reg[1] ; FF_X44_Y30_N11 ; 2348 ; Async. clear, Async. load ; no ; -- ; -- ; -- ; -; sync_reg:sync_reg1|sync_reg[1] ; FF_X32_Y15_N13 ; 34 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_no_ref_test:FX3_clk_test|current_state.idle ; FF_X35_Y19_N1 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk0_en_reg1 ; FF_X36_Y17_N1 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk1_en_reg1 ; FF_X38_Y17_N1 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk2_en_reg1 ; FF_X38_Y18_N13 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk3_en_reg1 ; FF_X38_Y18_N15 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk4_en_reg1 ; FF_X37_Y17_N9 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk5_en_reg1 ; FF_X37_Y17_N25 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk6_en_reg1 ; FF_X36_Y17_N5 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|current_state.count ; FF_X38_Y19_N27 ; 25 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|singl_clk_with_ref_test:LML_CLK_test|cnt_clk0_en_reg1 ; FF_X37_Y19_N5 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|singl_clk_with_ref_test:LML_CLK_test|current_state.count ; FF_X38_Y19_N23 ; 27 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|transition_count:ADF_muxout_test|test_en_reg[1] ; FF_X38_Y22_N31 ; 18 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|clock_test:clock_test_inst0|transition_count:ADF_muxout_test|trans_cnt[3]~48 ; LCCOMB_X38_Y22_N16 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[1] ; FF_X56_Y21_N7 ; 21 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[2] ; FF_X57_Y21_N25 ; 21 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[3] ; FF_X56_Y21_N15 ; 21 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[0] ; FF_X56_Y21_N27 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[1] ; FF_X56_Y21_N19 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[2] ; FF_X56_Y20_N13 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[3] ; FF_X56_Y21_N29 ; 28 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst|int_do_col_req ; LCCOMB_X60_Y20_N18 ; 27 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst|max_local_burst_size[1] ; FF_X54_Y19_N1 ; 105 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|buf_row_addr[2]~15 ; LCCOMB_X62_Y15_N16 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|copy~1 ; LCCOMB_X62_Y15_N12 ; 43 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|int_queue_full~2 ; LCCOMB_X61_Y13_N10 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|act_monitor_per_chip[0].act_trrd_cnt[5]~13 ; LCCOMB_X60_Y24_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|act_tfaw_cmd_cnt~1 ; LCCOMB_X59_Y20_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|always164~0 ; LCCOMB_X59_Y20_N16 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|int_can_read~1 ; LCCOMB_X62_Y19_N2 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|int_can_write~6 ; LCCOMB_X65_Y19_N2 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].int_do_read_diff_chip~4 ; LCCOMB_X61_Y18_N10 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].int_do_write_diff_chip~4 ; LCCOMB_X60_Y18_N0 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].read_cnt_diff_chip[1]~14 ; LCCOMB_X61_Y18_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].read_cnt_this_chip[0]~19 ; LCCOMB_X65_Y19_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].write_cnt_diff_chip[2]~7 ; LCCOMB_X60_Y18_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].write_cnt_this_chip[0]~22 ; LCCOMB_X63_Y18_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|fifo_put~0 ; LCCOMB_X62_Y22_N6 ; 18 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|_~10 ; LCCOMB_X61_Y23_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|_~6 ; LCCOMB_X62_Y22_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|pulse_ram_output~2 ; LCCOMB_X61_Y21_N6 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|fifo_put ; LCCOMB_X60_Y25_N8 ; 15 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|_~8 ; LCCOMB_X59_Y21_N2 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|_~9 ; LCCOMB_X59_Y25_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|pulse_ram_output~3 ; LCCOMB_X59_Y21_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[10][3]~50 ; LCCOMB_X62_Y24_N26 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[11][2]~56 ; LCCOMB_X61_Y24_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[12][0]~61 ; LCCOMB_X62_Y24_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[13][0]~67 ; LCCOMB_X65_Y24_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[14][0]~72 ; LCCOMB_X65_Y23_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[15][3]~76 ; LCCOMB_X65_Y23_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[1][2]~1 ; LCCOMB_X65_Y21_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[2][1]~6 ; LCCOMB_X66_Y24_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[3][2]~15 ; LCCOMB_X66_Y24_N20 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[4][2]~20 ; LCCOMB_X66_Y25_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[5][3]~25 ; LCCOMB_X65_Y25_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[6][3]~30 ; LCCOMB_X65_Y25_N20 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[7][2]~35 ; LCCOMB_X64_Y25_N24 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[8][2]~40 ; LCCOMB_X64_Y24_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[9][0]~45 ; LCCOMB_X63_Y24_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list_vector[3]~2 ; LCCOMB_X64_Y23_N30 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[0][2]~11 ; LCCOMB_X63_Y21_N24 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[10][1]~64 ; LCCOMB_X64_Y20_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[11][0]~69 ; LCCOMB_X63_Y20_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[12][2]~73 ; LCCOMB_X65_Y20_N20 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[15][3]~83 ; LCCOMB_X66_Y21_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[1][1]~18 ; LCCOMB_X63_Y22_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[2][0]~22 ; LCCOMB_X64_Y22_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[3][3]~28 ; LCCOMB_X63_Y20_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[4][0]~33 ; LCCOMB_X63_Y22_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[5][1]~39 ; LCCOMB_X66_Y22_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[6][0]~44 ; LCCOMB_X66_Y22_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[7][1]~49 ; LCCOMB_X65_Y22_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[8][1]~53 ; LCCOMB_X63_Y19_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[9][0]~59 ; LCCOMB_X64_Y19_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list_v~47 ; LCCOMB_X66_Y20_N14 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list_v~48 ; LCCOMB_X66_Y21_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|cmd_counter[3]~14 ; LCCOMB_X64_Y21_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|inordr_read_data_valid_r ; FF_X62_Y21_N23 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst|Equal17~1 ; LCCOMB_X60_Y2_N30 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst|doing_write_pipe_eq_afi_wlat_minus_2~21 ; LCCOMB_X60_Y2_N14 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|always20~0 ; LCCOMB_X50_Y20_N8 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|auto_refresh_logic_per_chip[0].refresh_cnt[7]~39 ; LCCOMB_X50_Y24_N30 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|power_saving_logic_per_chip[0].power_saving_cnt[5]~25 ; LCCOMB_X50_Y25_N26 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|rfsh_ack~0 ; LCCOMB_X49_Y20_N18 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[0]~0 ; LCCOMB_X63_Y17_N28 ; 67 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[1]~1 ; LCCOMB_X63_Y17_N4 ; 70 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[2]~2 ; LCCOMB_X63_Y17_N30 ; 71 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[3]~4 ; LCCOMB_X63_Y17_N16 ; 71 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[0][4]~36 ; LCCOMB_X55_Y23_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[1][4]~56 ; LCCOMB_X50_Y23_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[2][5]~76 ; LCCOMB_X52_Y24_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[3][5]~96 ; LCCOMB_X51_Y19_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst|burst_counter[5]~8 ; LCCOMB_X62_Y12_N20 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[0][0]~4 ; LCCOMB_X65_Y12_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[1][1]~8 ; LCCOMB_X65_Y12_N16 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[2][1]~12 ; LCCOMB_X65_Y8_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[3][3]~16 ; LCCOMB_X66_Y8_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[4][3]~20 ; LCCOMB_X66_Y8_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[5][1]~24 ; LCCOMB_X65_Y9_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[6][0]~28 ; LCCOMB_X65_Y13_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[7][0]~31 ; LCCOMB_X65_Y12_N24 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always11~2 ; LCCOMB_X66_Y15_N12 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always13~2 ; LCCOMB_X66_Y15_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always15~2 ; LCCOMB_X63_Y17_N6 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always17~2 ; LCCOMB_X64_Y13_N12 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always3~2 ; LCCOMB_X66_Y14_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always5~2 ; LCCOMB_X66_Y14_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always7~2 ; LCCOMB_X62_Y14_N30 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always9~2 ; LCCOMB_X62_Y14_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|buffer_valid_counter[5]~10 ; LCCOMB_X63_Y14_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[0][2]~50 ; LCCOMB_X63_Y10_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[1][5]~53 ; LCCOMB_X60_Y10_N0 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[2][2]~56 ; LCCOMB_X65_Y9_N6 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[3][4]~59 ; LCCOMB_X64_Y10_N22 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[4][2]~62 ; LCCOMB_X66_Y11_N26 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[6][5]~67 ; LCCOMB_X64_Y8_N20 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[7][4]~70 ; LCCOMB_X60_Y9_N28 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[5][1]~0 ; LCCOMB_X61_Y11_N28 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|write_data_if_accepted~2 ; LCCOMB_X63_Y14_N26 ; 39 ; Clock enable, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[1][1]~2 ; LCCOMB_X65_Y16_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[2][2]~7 ; LCCOMB_X65_Y16_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[3][0]~12 ; LCCOMB_X65_Y16_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[4][2]~17 ; LCCOMB_X65_Y14_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[5][0]~21 ; LCCOMB_X64_Y14_N2 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[6][2]~25 ; LCCOMB_X64_Y14_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[7][2]~29 ; LCCOMB_X64_Y15_N24 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list_vector[7]~12 ; LCCOMB_X65_Y13_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[0][1]~2 ; LCCOMB_X64_Y18_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[1][1]~8 ; LCCOMB_X65_Y18_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[2][0]~11 ; LCCOMB_X65_Y17_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[3][0]~16 ; LCCOMB_X66_Y17_N10 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[4][0]~21 ; LCCOMB_X66_Y17_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[7][2]~33 ; LCCOMB_X66_Y18_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list_v~24 ; LCCOMB_X65_Y18_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list_v~25 ; LCCOMB_X66_Y18_N12 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|init_done_reg ; FF_X63_Y14_N9 ; 17 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|always3~0 ; LCCOMB_X61_Y8_N24 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|clk_div_reset_ams_n_r ; FF_X60_Y1_N23 ; 4 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|comb~0 ; LCCOMB_X60_Y1_N18 ; 4 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|_~0 ; LCCOMB_X65_Y1_N26 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[1] ; PLL_4 ; 3316 ; Clock ; yes ; Global Clock ; GCLK18 ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_mimic:mmc|shift_reg_data_out[1]~1 ; LCCOMB_X3_Y19_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|always3~0 ; LCCOMB_X23_Y1_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|ctl_rdata_valid[0] ; FF_X14_Y14_N27 ; 15 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|\ac_mux:ctrl_broadcast_r.command_req ; FF_X11_Y14_N31 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|\ac_mux:seen_phy_init_complete ; FF_X7_Y14_N3 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|Add3~67 ; LCCOMB_X3_Y17_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|ac_state~22 ; LCCOMB_X2_Y17_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|finished_state ; FF_X3_Y15_N31 ; 12 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|process_12~1 ; LCCOMB_X3_Y18_N8 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|refresh_count[6]~15 ; LCCOMB_X8_Y14_N6 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|stage_counter[10]~2 ; LCCOMB_X3_Y17_N24 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|Equal0~3 ; LCCOMB_X11_Y19_N2 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|\mtp_almt:dvw_size_a0[0]~0 ; LCCOMB_X12_Y17_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|\mtp_almt:dvw_size_a1[0]~0 ; LCCOMB_X12_Y17_N26 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|process_16~0 ; LCCOMB_X15_Y19_N24 ; 10 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state.s_operational ; FF_X11_Y18_N9 ; 21 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state~33 ; LCCOMB_X12_Y17_N12 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state~36 ; LCCOMB_X12_Y18_N26 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|timeout_counter[9]~17 ; LCCOMB_X14_Y17_N24 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|timeout_counter_clear~3 ; LCCOMB_X12_Y17_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|tracking_ms_counter[6]~10 ; LCCOMB_X15_Y19_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|Add11~27 ; LCCOMB_X3_Y20_N8 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|Selector70~3 ; LCCOMB_X4_Y20_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_addr_cmd_state.s_ac_read_poa_mtp ; FF_X11_Y15_N27 ; 18 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_count[5]~4 ; LCCOMB_X6_Y15_N14 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_count[6]~8 ; LCCOMB_X6_Y15_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_setup[4]~1 ; LCCOMB_X12_Y15_N24 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\dgrb_main_block:sig_count[0]~4 ; LCCOMB_X12_Y14_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\dgrb_main_block:sig_wd_lat[4]~0 ; LCCOMB_X14_Y14_N12 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_count[5]~4 ; LCCOMB_X8_Y17_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_count[7]~4 ; LCCOMB_X8_Y17_N14 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_num_phase_shifts[2]~2 ; LCCOMB_X5_Y18_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_rsc_state.s_rsc_test_dq ; FF_X9_Y18_N17 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_mimic_cdv[5]~0 ; LCCOMB_X3_Y20_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_remaining_samples[5]~0 ; LCCOMB_X7_Y21_N10 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_req_rsc_shift[6]~9 ; LCCOMB_X5_Y21_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_cdvw_drift ; FF_X6_Y21_N3 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_cdvw_wait ; FF_X7_Y21_N31 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_mimic_sample ; FF_X6_Y21_N7 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|btp_addr_array~0 ; LCCOMB_X11_Y15_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_bit[1]~10 ; LCCOMB_X9_Y20_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_bit[1]~9 ; LCCOMB_X9_Y20_N10 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_centre[4]~20 ; LCCOMB_X9_Y19_N24 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_centre[4]~22 ; LCCOMB_X8_Y19_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_size[3]~10 ; LCCOMB_X9_Y19_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_size[3]~8 ; LCCOMB_X6_Y19_N30 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.first_good_edge[1]~0 ; LCCOMB_X8_Y18_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.largest_window_size[2]~0 ; LCCOMB_X7_Y19_N12 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.status.valid_result ; FF_X10_Y20_N13 ; 22 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.working_window[21]~4 ; LCCOMB_X8_Y18_N16 ; 47 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state.s_adv_rd_lat ; FF_X11_Y14_N21 ; 15 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state.s_track ; FF_X10_Y14_N31 ; 116 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state.s_wait_admin ; FF_X11_Y14_N11 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state~46 ; LCCOMB_X10_Y14_N24 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dq_pin_ctr[3]~1 ; LCCOMB_X22_Y14_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_rsc_result[0]~0 ; LCCOMB_X8_Y16_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|Selector67~4 ; LCCOMB_X19_Y9_N0 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|\ac_write_block:sig_count[3]~5 ; LCCOMB_X16_Y9_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_addr_cmd[0].addr[4]~8 ; LCCOMB_X16_Y12_N24 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_dgwb_state.s_write_1100_step ; FF_X12_Y12_N7 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_dgwb_state.s_write_wlat ; FF_X12_Y13_N15 ; 29 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|dgb_ac_access_gnt_r ; FF_X12_Y12_N15 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|process_4~1 ; LCCOMB_X6_Y14_N18 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|seq_mem_clk_disable ; FF_X4_Y16_N7 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp|wdp_wdqs_oe_2x[0] ; FF_X11_Y2_N21 ; 3 ; Output enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|get_next_addr ; LCCOMB_X29_Y4_N30 ; 76 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen_enable ; LCCOMB_X29_Y4_N28 ; 28 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|comb~1 ; LCCOMB_X25_Y10_N16 ; 72 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|_~2 ; LCCOMB_X25_Y10_N22 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|_~4 ; LCCOMB_X26_Y9_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|write_req_reg ; FF_X26_Y7_N17 ; 15 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|Selector33~3 ; LCCOMB_X28_Y6_N22 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|loop_counter~55 ; LCCOMB_X29_Y8_N30 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|timeout_counter~99 ; LCCOMB_X29_Y8_N24 ; 33 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|a_fefifo_1cf:fifo_state|_~0 ; LCCOMB_X27_Y15_N16 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|write_req_reg ; FF_X27_Y15_N21 ; 14 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[10] ; FF_X27_Y7_N19 ; 151 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[3] ; FF_X27_Y7_N9 ; 32 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[4] ; FF_X27_Y7_N15 ; 145 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[5] ; FF_X27_Y7_N29 ; 32 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[7] ; FF_X27_Y7_N23 ; 42 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[8] ; FF_X28_Y7_N21 ; 120 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[9] ; FF_X27_Y7_N11 ; 200 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|_~3 ; LCCOMB_X26_Y6_N26 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|_~4 ; LCCOMB_X26_Y6_N28 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|write_req_reg ; FF_X29_Y4_N9 ; 13 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|valid_rdreq~1 ; LCCOMB_X22_Y13_N16 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|valid_wrreq~0 ; LCCOMB_X27_Y11_N14 ; 18 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|valid_rdreq~1 ; LCCOMB_X25_Y12_N6 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|valid_wrreq~0 ; LCCOMB_X33_Y12_N10 ; 20 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|tst_rdata_valid~0 ; LCCOMB_X25_Y15_N2 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|ddr2_reset_n ; FF_X41_Y18_N5 ; 6 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|_~0 ; LCCOMB_X22_Y20_N28 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|a_graycounter_477:rdptr_g1p|cntr_cout[0]~1 ; LCCOMB_X21_Y19_N12 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|valid_wrreq~0 ; LCCOMB_X22_Y17_N0 ; 24 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_rcmd_fsm:wfm_rcmd_fsm_inst|rd_addr[13]~52 ; LCCOMB_X30_Y11_N2 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_rcmd_fsm:wfm_rcmd_fsm_inst|rd_addr~51 ; LCCOMB_X27_Y11_N16 ; 25 ; Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_rcmd_fsm:wfm_rcmd_fsm_inst|wcmd_last_addr_latch[14]~0 ; LCCOMB_X34_Y11_N2 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_wcmd_fsm:wfm_wcmd_fsm_inst|process_4~1 ; LCCOMB_X29_Y15_N4 ; 50 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player:wfm_player_inst|wfm_wcmd_fsm:wfm_wcmd_fsm_inst|wr_address[10]~51 ; LCCOMB_X29_Y15_N0 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player_rcmd_reset_n ; FF_X34_Y11_N25 ; 177 ; Async. clear ; no ; -- ; -- ; -- ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|wfm_player_wcmd_reset_n ; FF_X34_Y11_N3 ; 177 ; Async. clear ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|clr_reg ; FF_X9_Y27_N31 ; 11 ; Async. clear ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0 ; LCCOMB_X11_Y27_N8 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena ; LCCOMB_X11_Y27_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0 ; LCCOMB_X10_Y26_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~1 ; LCCOMB_X10_Y24_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~0 ; LCCOMB_X10_Y26_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~4 ; LCCOMB_X10_Y26_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~7 ; LCCOMB_X12_Y24_N10 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~8 ; LCCOMB_X11_Y27_N6 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR[0]~14 ; LCCOMB_X11_Y27_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[2]~22 ; LCCOMB_X11_Y27_N18 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[2]~23 ; LCCOMB_X11_Y27_N16 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[0] ; FF_X9_Y27_N25 ; 15 ; Async. clear ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[11] ; FF_X9_Y27_N13 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[8] ; FF_X11_Y27_N3 ; 10 ; Async. clear ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0 ; LCCOMB_X9_Y27_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg ; FF_X9_Y28_N11 ; 21 ; Async. clear ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[0]~0 ; LCCOMB_X10_Y24_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; sync_reg:sync_reg0|sync_reg[1] ; FF_X61_Y20_N27 ; 2348 ; Async. clear, Async. load ; no ; -- ; -- ; -- ; +; sync_reg:sync_reg1|sync_reg[1] ; FF_X41_Y22_N25 ; 34 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_no_ref_test:FX3_clk_test|current_state.idle ; FF_X32_Y17_N23 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk0_en_reg1 ; FF_X29_Y17_N29 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk1_en_reg1 ; FF_X36_Y1_N11 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk2_en_reg1 ; FF_X36_Y1_N5 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk3_en_reg1 ; FF_X38_Y17_N9 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk4_en_reg1 ; FF_X38_Y17_N13 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk5_en_reg1 ; FF_X35_Y1_N13 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk6_en_reg1 ; FF_X35_Y1_N11 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|current_state.count ; FF_X36_Y15_N7 ; 25 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|singl_clk_with_ref_test:LML_CLK_test|cnt_clk0_en_reg1 ; FF_X35_Y17_N7 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|singl_clk_with_ref_test:LML_CLK_test|current_state.count ; FF_X36_Y15_N25 ; 27 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|transition_count:ADF_muxout_test|test_en_reg[1] ; FF_X37_Y19_N1 ; 18 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|clock_test:clock_test_inst0|transition_count:ADF_muxout_test|trans_cnt[10]~48 ; LCCOMB_X37_Y20_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[1] ; FF_X60_Y12_N7 ; 21 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[2] ; FF_X60_Y12_N17 ; 21 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|act_grant[3] ; FF_X60_Y12_N27 ; 21 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[0] ; FF_X60_Y12_N15 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[1] ; FF_X60_Y12_N23 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[2] ; FF_X60_Y12_N3 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst|row_grant[3] ; FF_X60_Y12_N5 ; 28 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst|int_do_col_req ; LCCOMB_X55_Y10_N10 ; 27 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst|max_local_burst_size[1] ; FF_X60_Y8_N21 ; 105 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|buf_row_addr[11]~15 ; LCCOMB_X52_Y7_N0 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|copy~1 ; LCCOMB_X52_Y7_N22 ; 43 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst|int_queue_full~2 ; LCCOMB_X56_Y7_N26 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|act_monitor_per_chip[0].act_trrd_cnt[0]~13 ; LCCOMB_X57_Y12_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|act_tfaw_cmd_cnt~1 ; LCCOMB_X61_Y16_N14 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|always164~0 ; LCCOMB_X57_Y12_N28 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|int_can_read~1 ; LCCOMB_X55_Y13_N28 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|int_can_write~6 ; LCCOMB_X56_Y12_N18 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].int_do_read_diff_chip~4 ; LCCOMB_X54_Y13_N28 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].int_do_write_diff_chip~4 ; LCCOMB_X56_Y12_N0 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].read_cnt_diff_chip[5]~14 ; LCCOMB_X54_Y13_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].read_cnt_this_chip[1]~19 ; LCCOMB_X55_Y14_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].write_cnt_diff_chip[1]~7 ; LCCOMB_X56_Y13_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst|rdwr_monitor_per_chip[0].write_cnt_this_chip[1]~22 ; LCCOMB_X56_Y14_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|fifo_put~0 ; LCCOMB_X57_Y5_N6 ; 17 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|_~4 ; LCCOMB_X57_Y5_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|_~7 ; LCCOMB_X59_Y5_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|pulse_ram_output~2 ; LCCOMB_X61_Y5_N6 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|fifo_put ; LCCOMB_X55_Y7_N22 ; 15 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|_~10 ; LCCOMB_X60_Y3_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|_~12 ; LCCOMB_X55_Y7_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|pulse_ram_output~2 ; LCCOMB_X60_Y3_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[10][0]~53 ; LCCOMB_X62_Y4_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[11][2]~58 ; LCCOMB_X61_Y4_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[12][1]~63 ; LCCOMB_X61_Y4_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[13][3]~68 ; LCCOMB_X60_Y4_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[14][2]~73 ; LCCOMB_X59_Y4_N26 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[15][3]~77 ; LCCOMB_X62_Y5_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[2][0]~6 ; LCCOMB_X65_Y2_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[3][0]~16 ; LCCOMB_X64_Y2_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[4][2]~21 ; LCCOMB_X64_Y3_N26 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[5][2]~26 ; LCCOMB_X63_Y3_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[6][3]~31 ; LCCOMB_X64_Y3_N24 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[7][3]~37 ; LCCOMB_X64_Y4_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[8][1]~43 ; LCCOMB_X63_Y4_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list[9][1]~48 ; LCCOMB_X63_Y4_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst|list_vector[4]~2 ; LCCOMB_X60_Y2_N0 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[0][3]~11 ; LCCOMB_X62_Y5_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[10][1]~66 ; LCCOMB_X64_Y6_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[11][0]~71 ; LCCOMB_X64_Y6_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[12][3]~75 ; LCCOMB_X63_Y6_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[15][3]~85 ; LCCOMB_X65_Y5_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[1][3]~18 ; LCCOMB_X62_Y7_N24 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[2][2]~22 ; LCCOMB_X62_Y7_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[3][2]~28 ; LCCOMB_X63_Y7_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[4][0]~33 ; LCCOMB_X63_Y7_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[5][3]~40 ; LCCOMB_X65_Y7_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[6][3]~45 ; LCCOMB_X65_Y7_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[7][2]~50 ; LCCOMB_X66_Y6_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[8][1]~54 ; LCCOMB_X66_Y6_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list[9][0]~61 ; LCCOMB_X65_Y6_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list_v~47 ; LCCOMB_X64_Y5_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst|list_v~48 ; LCCOMB_X65_Y5_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|cmd_counter[3]~14 ; LCCOMB_X61_Y7_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|inordr_read_data_valid_r ; FF_X60_Y5_N1 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|rdata_burst_complete ; LCCOMB_X48_Y3_N14 ; 17 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst|Equal17~1 ; LCCOMB_X46_Y5_N30 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst|doing_write_pipe_eq_afi_wlat_minus_2~21 ; LCCOMB_X46_Y5_N2 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|always20~0 ; LCCOMB_X53_Y14_N0 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|auto_refresh_logic_per_chip[0].refresh_cnt[5]~39 ; LCCOMB_X53_Y14_N2 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|power_saving_logic_per_chip[0].power_saving_cnt[5]~19 ; LCCOMB_X53_Y15_N6 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst|rfsh_ack~0 ; LCCOMB_X57_Y13_N0 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[0]~0 ; LCCOMB_X57_Y7_N12 ; 66 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[1]~1 ; LCCOMB_X57_Y7_N20 ; 72 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[2]~2 ; LCCOMB_X56_Y7_N22 ; 73 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|tbp_load[3]~4 ; LCCOMB_X57_Y7_N10 ; 73 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[0][1]~36 ; LCCOMB_X59_Y14_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[1][0]~56 ; LCCOMB_X64_Y12_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[2][1]~76 ; LCCOMB_X65_Y13_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst|trc_timer[3][1]~96 ; LCCOMB_X66_Y15_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst|burst_counter[5]~8 ; LCCOMB_X57_Y4_N16 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[0][2]~4 ; LCCOMB_X56_Y2_N26 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[1][3]~8 ; LCCOMB_X56_Y1_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[2][0]~12 ; LCCOMB_X56_Y1_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[3][1]~16 ; LCCOMB_X56_Y1_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[4][1]~20 ; LCCOMB_X56_Y1_N16 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[5][1]~25 ; LCCOMB_X56_Y3_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[6][0]~29 ; LCCOMB_X56_Y3_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|alt_mem_ddrx_list:burstcount_list|list[7][0]~32 ; LCCOMB_X56_Y3_N16 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always11~2 ; LCCOMB_X54_Y5_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always13~2 ; LCCOMB_X54_Y5_N2 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always15~2 ; LCCOMB_X53_Y7_N16 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always17~2 ; LCCOMB_X56_Y5_N12 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always3~2 ; LCCOMB_X55_Y6_N10 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always5~2 ; LCCOMB_X55_Y6_N2 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always7~2 ; LCCOMB_X56_Y5_N0 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|always9~2 ; LCCOMB_X56_Y5_N4 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|buffer_valid_counter[5]~10 ; LCCOMB_X46_Y7_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[0][1]~50 ; LCCOMB_X53_Y6_N26 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[1][0]~53 ; LCCOMB_X52_Y5_N18 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[2][3]~56 ; LCCOMB_X51_Y6_N18 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[3][2]~59 ; LCCOMB_X50_Y5_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_address[4][0]~62 ; LCCOMB_X52_Y4_N2 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[5][0]~0 ; LCCOMB_X53_Y2_N6 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[6][1]~1 ; LCCOMB_X50_Y1_N0 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|dataid_array_burstcount[7][3]~2 ; LCCOMB_X50_Y1_N28 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst|write_data_if_accepted~1 ; LCCOMB_X52_Y7_N14 ; 39 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[1][0]~2 ; LCCOMB_X54_Y2_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[2][2]~7 ; LCCOMB_X54_Y2_N12 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[3][0]~12 ; LCCOMB_X54_Y2_N16 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[4][1]~17 ; LCCOMB_X55_Y2_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[5][0]~21 ; LCCOMB_X54_Y3_N12 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[6][1]~25 ; LCCOMB_X54_Y3_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list[7][2]~29 ; LCCOMB_X55_Y3_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst|list_vector[1]~12 ; LCCOMB_X54_Y6_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[0][2]~2 ; LCCOMB_X56_Y6_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[1][1]~8 ; LCCOMB_X57_Y6_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[2][0]~11 ; LCCOMB_X61_Y6_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[3][0]~16 ; LCCOMB_X61_Y6_N6 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[4][1]~21 ; LCCOMB_X61_Y6_N12 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list[7][2]~33 ; LCCOMB_X57_Y6_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list_v~15 ; LCCOMB_X59_Y6_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst|list_v~23 ; LCCOMB_X60_Y6_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|init_done_reg ; FF_X52_Y7_N29 ; 16 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|always3~0 ; LCCOMB_X64_Y8_N30 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|clk_div_reset_ams_n_r ; FF_X66_Y5_N21 ; 4 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|comb~0 ; LCCOMB_X66_Y5_N0 ; 4 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|_~0 ; LCCOMB_X63_Y1_N10 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[1] ; PLL_4 ; 3313 ; Clock ; yes ; Global Clock ; GCLK18 ; -- ; ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[2] ; PLL_4 ; 80 ; Clock ; yes ; Global Clock ; GCLK19 ; -- ; ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[3] ; PLL_4 ; 119 ; Clock ; yes ; Global Clock ; GCLK17 ; -- ; ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[4] ; PLL_4 ; 27 ; Clock ; yes ; Global Clock ; GCLK16 ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|phasedone_state ; FF_X66_Y1_N9 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|phasedone_state ; FF_X64_Y1_N19 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|pll1~LOCKED ; PLL_4 ; 2 ; Clock ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|pll_internal_phasestep_reg ; FF_X66_Y1_N1 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:ac_clk_pipe_2x|ams_pipe[1] ; FF_X32_Y2_N31 ; 2 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:measure_clk_pipe|ams_pipe[1] ; FF_X59_Y8_N17 ; 24 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe|ams_pipe[1] ; FF_X60_Y1_N3 ; 13 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:resync_clk_pipe|ams_pipe[1] ; FF_X60_Y1_N25 ; 52 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|global_pre_clear ; FF_X60_Y1_N5 ; 6 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|phy_internal_reset_n~0 ; LCCOMB_X62_Y1_N8 ; 6 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|pll_phase_auto_calibrate_pulse ; LCCOMB_X63_Y1_N16 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|pll_reconfig_reset_ams_n_r ; FF_X63_Y1_N7 ; 13 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|reset_phy_clk_1x_n ; FF_X59_Y8_N11 ; 2443 ; Async. clear, Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|scan_clk ; FF_X64_Y1_N7 ; 25 ; Clock ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|pll_internal_phasestep_reg ; FF_X63_Y1_N19 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:ac_clk_pipe_2x|ams_pipe[1] ; FF_X42_Y3_N27 ; 2 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:measure_clk_pipe|ams_pipe[1] ; FF_X42_Y20_N31 ; 24 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe|ams_pipe[1] ; FF_X66_Y5_N1 ; 13 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_reset_pipe:resync_clk_pipe|ams_pipe[1] ; FF_X61_Y1_N7 ; 52 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|global_pre_clear ; FF_X66_Y5_N29 ; 6 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|phy_internal_reset_n~0 ; LCCOMB_X66_Y3_N2 ; 6 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|pll_phase_auto_calibrate_pulse ; LCCOMB_X66_Y1_N24 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|pll_reconfig_reset_ams_n_r ; FF_X66_Y5_N23 ; 13 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|reset_phy_clk_1x_n ; FF_X46_Y11_N27 ; 2440 ; Async. clear, Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|scan_clk ; FF_X66_Y1_N11 ; 25 ; Clock ; no ; -- ; -- ; -- ; ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdata_oe_2x_r[0] ; DDIOOECELL_X52_Y0_N26 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdata_oe_2x_r[10] ; DDIOOECELL_X43_Y0_N19 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdata_oe_2x_r[11] ; DDIOOECELL_X38_Y0_N12 ; 1 ; Output enable ; no ; -- ; -- ; -- ; @@ -5305,90 +5132,90 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdata_oe_2x_r[9] ; DDIOOECELL_X38_Y0_N26 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdqs_oe_2x_r[0] ; DDIOOECELL_X48_Y0_N33 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|wdp_wdqs_oe_2x_r[1] ; DDIOOECELL_X43_Y0_N26 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_mimic:mmc|shift_reg_data_out[5]~1 ; LCCOMB_X60_Y4_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|always3~0 ; LCCOMB_X57_Y3_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|ctl_rdata_valid[0] ; FF_X57_Y7_N11 ; 16 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|\ac_mux:ctrl_broadcast_r.command_req ; FF_X49_Y6_N7 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|\ac_mux:seen_phy_init_complete ; FF_X50_Y4_N27 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|Add3~71 ; LCCOMB_X49_Y2_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|ac_state~22 ; LCCOMB_X44_Y3_N26 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|finished_state ; FF_X45_Y2_N11 ; 12 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|process_12~2 ; LCCOMB_X48_Y2_N10 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|refresh_count[1]~15 ; LCCOMB_X53_Y2_N6 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|stage_counter[12]~3 ; LCCOMB_X48_Y3_N22 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|Equal0~3 ; LCCOMB_X57_Y4_N2 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|\mtp_almt:dvw_size_a0[0]~0 ; LCCOMB_X53_Y6_N14 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|\mtp_almt:dvw_size_a1[0]~0 ; LCCOMB_X53_Y6_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|process_16~0 ; LCCOMB_X49_Y2_N12 ; 10 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state.s_operational ; FF_X49_Y2_N3 ; 21 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state~33 ; LCCOMB_X53_Y6_N10 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state~36 ; LCCOMB_X51_Y6_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|timeout_counter[12]~17 ; LCCOMB_X52_Y3_N2 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|timeout_counter_clear~3 ; LCCOMB_X49_Y6_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|tracking_ms_counter[0]~10 ; LCCOMB_X52_Y2_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|Add11~27 ; LCCOMB_X50_Y10_N4 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|Selector70~3 ; LCCOMB_X52_Y8_N14 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_addr_cmd_state.s_ac_read_poa_mtp ; FF_X54_Y6_N31 ; 19 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_count[5]~5 ; LCCOMB_X55_Y5_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_count[6]~7 ; LCCOMB_X55_Y5_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_setup[4]~1 ; LCCOMB_X56_Y6_N20 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\dgrb_main_block:sig_count[0]~4 ; LCCOMB_X51_Y7_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\dgrb_main_block:sig_wd_lat[4]~0 ; LCCOMB_X57_Y7_N18 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_count[3]~4 ; LCCOMB_X56_Y8_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_count[7]~4 ; LCCOMB_X56_Y8_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_num_phase_shifts[1]~2 ; LCCOMB_X55_Y8_N30 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_rsc_state.s_rsc_test_dq ; FF_X56_Y7_N31 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_mimic_cdv[5]~0 ; LCCOMB_X50_Y10_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_remaining_samples[5]~0 ; LCCOMB_X55_Y9_N6 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_req_rsc_shift[6]~9 ; LCCOMB_X50_Y11_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_cdvw_drift ; FF_X53_Y11_N21 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_cdvw_wait ; FF_X54_Y9_N3 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_mimic_sample ; FF_X54_Y9_N15 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|btp_addr_array~0 ; LCCOMB_X55_Y4_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_bit[0]~10 ; LCCOMB_X46_Y10_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_bit[0]~9 ; LCCOMB_X46_Y10_N4 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_centre[0]~20 ; LCCOMB_X46_Y9_N26 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_centre[0]~22 ; LCCOMB_X46_Y9_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_size[2]~18 ; LCCOMB_X49_Y9_N26 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_size[2]~20 ; LCCOMB_X49_Y9_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.first_good_edge[5]~0 ; LCCOMB_X48_Y10_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.largest_window_size[4]~0 ; LCCOMB_X49_Y9_N6 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.status.valid_result ; FF_X49_Y10_N7 ; 22 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.working_window[10]~4 ; LCCOMB_X48_Y9_N6 ; 47 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state.s_adv_rd_lat ; FF_X52_Y7_N23 ; 15 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state.s_track ; FF_X54_Y7_N25 ; 116 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dq_pin_ctr[0]~1 ; LCCOMB_X56_Y7_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_rsc_result[0]~0 ; LCCOMB_X53_Y9_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|Selector67~4 ; LCCOMB_X56_Y3_N2 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|\ac_write_block:sig_count[0]~5 ; LCCOMB_X56_Y3_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_addr_cmd[0].addr[5]~8 ; LCCOMB_X52_Y4_N10 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_dgwb_state.s_write_1100_step ; FF_X53_Y5_N27 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_dgwb_state.s_write_wlat ; FF_X50_Y5_N31 ; 29 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|dgb_ac_access_gnt_r ; FF_X52_Y5_N1 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|process_4~1 ; LCCOMB_X51_Y4_N28 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|seq_mem_clk_disable ; FF_X57_Y4_N7 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp|wdp_wdqs_oe_2x[0] ; FF_X57_Y2_N19 ; 3 ; Output enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|get_next_addr ; LCCOMB_X50_Y15_N26 ; 76 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|seq_addr_gen_enable ; LCCOMB_X50_Y15_N0 ; 28 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|comb~0 ; LCCOMB_X62_Y15_N10 ; 71 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|_~5 ; LCCOMB_X62_Y15_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|_~7 ; LCCOMB_X55_Y15_N12 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|write_req_reg ; FF_X53_Y16_N3 ; 15 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|Selector33~3 ; LCCOMB_X51_Y12_N0 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|loop_counter~55 ; LCCOMB_X48_Y11_N28 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|timeout_counter~99 ; LCCOMB_X48_Y11_N26 ; 33 ; Sync. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|a_fefifo_1cf:fifo_state|_~0 ; LCCOMB_X46_Y17_N30 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|write_req_reg ; FF_X46_Y17_N5 ; 14 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[10] ; FF_X49_Y17_N15 ; 151 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[3] ; FF_X49_Y17_N25 ; 32 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[4] ; FF_X49_Y17_N17 ; 145 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[5] ; FF_X49_Y17_N7 ; 32 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[7] ; FF_X49_Y17_N21 ; 42 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[8] ; FF_X49_Y17_N23 ; 120 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[9] ; FF_X53_Y16_N15 ; 200 ; Async. clear ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|_~3 ; LCCOMB_X52_Y17_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|_~4 ; LCCOMB_X51_Y12_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|write_req_reg ; FF_X50_Y15_N25 ; 13 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_mimic:mmc|shift_reg_data_out[3]~1 ; LCCOMB_X43_Y19_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|always3~0 ; LCCOMB_X41_Y2_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|ctl_rdata_valid[0] ; FF_X48_Y3_N31 ; 14 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|\ac_mux:ctrl_broadcast_r.command_req ; FF_X45_Y14_N1 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|\ac_mux:seen_phy_init_complete ; FF_X44_Y13_N3 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|Add3~71 ; LCCOMB_X39_Y14_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|ac_state~22 ; LCCOMB_X38_Y11_N0 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|finished_state ; FF_X42_Y12_N13 ; 12 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|process_12~2 ; LCCOMB_X38_Y14_N8 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|refresh_count[9]~15 ; LCCOMB_X42_Y15_N28 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_admin:admin|stage_counter[10]~3 ; LCCOMB_X39_Y12_N30 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|Equal0~3 ; LCCOMB_X55_Y17_N30 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|\mtp_almt:dvw_size_a0[0]~0 ; LCCOMB_X46_Y16_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|\mtp_almt:dvw_size_a1[0]~0 ; LCCOMB_X46_Y16_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|process_16~0 ; LCCOMB_X42_Y17_N4 ; 10 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state.s_operational ; FF_X42_Y17_N31 ; 21 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state~33 ; LCCOMB_X44_Y16_N28 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|state~36 ; LCCOMB_X43_Y16_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|timeout_counter[8]~17 ; LCCOMB_X44_Y18_N14 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|timeout_counter_clear~3 ; LCCOMB_X44_Y16_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_ctrl:ctrl|tracking_ms_counter[6]~10 ; LCCOMB_X42_Y17_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|Add11~27 ; LCCOMB_X48_Y18_N6 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|Selector70~3 ; LCCOMB_X49_Y15_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_addr_cmd_state.s_ac_read_poa_mtp ; FF_X46_Y12_N27 ; 18 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_count[0]~4 ; LCCOMB_X53_Y12_N20 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\ac_block:sig_setup[4]~1 ; LCCOMB_X45_Y12_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\dgrb_main_block:sig_count[0]~4 ; LCCOMB_X44_Y14_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\dgrb_main_block:sig_wd_lat[4]~0 ; LCCOMB_X44_Y12_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_count[3]~4 ; LCCOMB_X49_Y14_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_count[7]~4 ; LCCOMB_X48_Y15_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_num_phase_shifts[5]~2 ; LCCOMB_X49_Y16_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\rsc_block:sig_rsc_state.s_rsc_test_dq ; FF_X48_Y15_N9 ; 30 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_mimic_cdv[5]~0 ; LCCOMB_X49_Y18_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_remaining_samples[5]~0 ; LCCOMB_X45_Y17_N10 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_req_rsc_shift[4]~9 ; LCCOMB_X51_Y17_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_cdvw_drift ; FF_X48_Y18_N31 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_cdvw_wait ; FF_X48_Y17_N7 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|\trk_block:sig_trk_state.s_trk_mimic_sample ; FF_X48_Y17_N29 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|btp_addr_array~0 ; LCCOMB_X52_Y12_N22 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_bit[1]~10 ; LCCOMB_X51_Y14_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_bit[1]~9 ; LCCOMB_X51_Y14_N0 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_centre[3]~20 ; LCCOMB_X51_Y13_N10 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_centre[3]~22 ; LCCOMB_X50_Y13_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_size[2]~18 ; LCCOMB_X48_Y13_N4 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.current_window_size[2]~20 ; LCCOMB_X48_Y13_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.first_good_edge[0]~0 ; LCCOMB_X51_Y13_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.largest_window_size[3]~0 ; LCCOMB_X49_Y13_N28 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.status.valid_result ; FF_X50_Y14_N1 ; 22 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_cdvw_state.working_window[41]~4 ; LCCOMB_X50_Y15_N24 ; 47 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state.s_adv_rd_lat ; FF_X44_Y14_N7 ; 17 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state.s_track ; FF_X43_Y14_N1 ; 116 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state.s_wait_admin ; FF_X45_Y14_N5 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dgrb_state~45 ; LCCOMB_X43_Y14_N28 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_dq_pin_ctr[0]~1 ; LCCOMB_X45_Y15_N30 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgrb:dgrb|sig_rsc_result[0]~0 ; LCCOMB_X46_Y15_N26 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|Selector67~4 ; LCCOMB_X42_Y10_N24 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|\ac_write_block:sig_count[2]~5 ; LCCOMB_X44_Y10_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_addr_cmd[0].addr[3]~8 ; LCCOMB_X44_Y10_N10 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_dgwb_state.s_write_1100_step ; FF_X45_Y11_N29 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|ddr2_phy_alt_mem_phy_dgwb:dgwb|sig_dgwb_state.s_write_wlat ; FF_X44_Y11_N31 ; 29 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|dgb_ac_access_gnt_r ; FF_X46_Y11_N1 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|process_4~2 ; LCCOMB_X46_Y10_N2 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr2_phy_alt_mem_phy_seq:seq_inst|seq_mem_clk_disable ; FF_X65_Y6_N9 ; 3 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_write_dp_fr:full_rate_wdp_gen.wdp|wdp_wdqs_oe_2x[0] ; FF_X44_Y1_N27 ; 3 ; Output enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|addr_gen:addr_gen_inst|rand_seq_addr_gen:rand_seq_addr_gen_inst|get_next_addr ; LCCOMB_X36_Y4_N26 ; 76 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|avl_addr~0 ; LCCOMB_X45_Y7_N0 ; 71 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|_~2 ; LCCOMB_X45_Y7_N28 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|_~4 ; LCCOMB_X45_Y7_N14 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|write_req_reg ; FF_X37_Y7_N5 ; 16 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|Selector33~2 ; LCCOMB_X34_Y4_N22 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|loop_counter~55 ; LCCOMB_X34_Y8_N18 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|driver_fsm_avl_use_be_avl_use_burstbegin:real_driver.driver_fsm_inst|timeout_counter~99 ; LCCOMB_X34_Y8_N26 ; 33 ; Sync. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|a_fefifo_1cf:fifo_state|_~0 ; LCCOMB_X35_Y8_N20 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|write_req_reg ; FF_X38_Y8_N5 ; 14 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[10] ; FF_X35_Y7_N23 ; 151 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[3] ; FF_X35_Y7_N7 ; 32 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[4] ; FF_X35_Y7_N31 ; 145 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[5] ; FF_X35_Y7_N17 ; 32 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[7] ; FF_X35_Y7_N5 ; 42 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[8] ; FF_X35_Y7_N29 ; 120 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|reset_sync:ureset_driver_clk|reset_reg[9] ; FF_X35_Y7_N9 ; 200 ; Async. clear ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|_~3 ; LCCOMB_X37_Y6_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|_~4 ; LCCOMB_X37_Y2_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|write_req_reg ; FF_X35_Y4_N11 ; 13 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------+---------+----------------------------------------+--------+----------------------+------------------+--------------------------------------------------------------------------------+ @@ -5397,24 +5224,24 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------+---------+--------------------------------------+----------------------+------------------+--------------------------------------------------------------------------------+ ; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------+---------+--------------------------------------+----------------------+------------------+--------------------------------------------------------------------------------+ -; FX3_PCLK ; PIN_T21 ; 2064 ; 65 ; Global Clock ; GCLK6 ; -- ; +; FX3_PCLK ; PIN_T21 ; 2064 ; 56 ; Global Clock ; GCLK6 ; -- ; ; LMK_CLK ; PIN_B12 ; 1382 ; 0 ; Global Clock ; GCLK12 ; -- ; ; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|wire_pll1_clk[0] ; PLL_3 ; 1 ; 0 ; Global Clock ; GCLK14 ; -- ; ; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|wire_pll1_clk[1] ; PLL_3 ; 1 ; 0 ; Global Clock ; GCLK13 ; -- ; ; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|clkctrl:clkctrl_inst6|clkctrl_altclkctrl_0:altclkctrl_0|clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; CLKCTRL_G10 ; 2 ; 0 ; Global Clock ; GCLK10 ; VCC ; ; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|clkctrl:clkctrl_inst7|clkctrl_altclkctrl_0:altclkctrl_0|clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; CLKCTRL_G5 ; 1 ; 0 ; Global Clock ; GCLK5 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][2] ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|clkctrl:clkctrl_inst8|clkctrl_altclkctrl_0:altclkctrl_0|clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; CLKCTRL_G11 ; 2931 ; 47 ; Global Clock ; GCLK11 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][3] ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|clkctrl:clkctrl_inst8|clkctrl_altclkctrl_0:altclkctrl_0|clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; CLKCTRL_G11 ; 2932 ; 36 ; Global Clock ; GCLK11 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][3] ; ; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|wire_pll1_clk[0] ; PLL_2 ; 1 ; 0 ; Global Clock ; GCLK9 ; -- ; ; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|wire_pll1_clk[1] ; PLL_2 ; 1 ; 0 ; Global Clock ; GCLK8 ; -- ; ; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|clkctrl:clkctrl_inst6|clkctrl_altclkctrl_0:altclkctrl_0|clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; CLKCTRL_G7 ; 2 ; 0 ; Global Clock ; GCLK7 ; VCC ; ; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|clkctrl:clkctrl_inst7|clkctrl_altclkctrl_0:altclkctrl_0|clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; CLKCTRL_G15 ; 1 ; 0 ; Global Clock ; GCLK15 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][0] ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|clkctrl:clkctrl_inst8|clkctrl_altclkctrl_0:altclkctrl_0|clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; CLKCTRL_G0 ; 2743 ; 51 ; Global Clock ; GCLK0 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][1] ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[1] ; PLL_1 ; 4708 ; 171 ; Global Clock ; GCLK3 ; -- ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|clkctrl:clkctrl_inst8|clkctrl_altclkctrl_0:altclkctrl_0|clkctrl_altclkctrl_0_sub:clkctrl_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; CLKCTRL_G0 ; 2754 ; 42 ; Global Clock ; GCLK0 ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[29][1] ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[1] ; PLL_1 ; 3800 ; 55 ; Global Clock ; GCLK3 ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[2] ; PLL_1 ; 80 ; 0 ; Global Clock ; GCLK4 ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[3] ; PLL_1 ; 119 ; 0 ; Global Clock ; GCLK2 ; -- ; ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[4] ; PLL_1 ; 27 ; 0 ; Global Clock ; GCLK1 ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[1] ; PLL_4 ; 3316 ; 215 ; Global Clock ; GCLK18 ; -- ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[2] ; PLL_4 ; 80 ; 0 ; Global Clock ; GCLK19 ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[1] ; PLL_4 ; 3313 ; 202 ; Global Clock ; GCLK18 ; -- ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[2] ; PLL_4 ; 80 ; 9 ; Global Clock ; GCLK19 ; -- ; ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[3] ; PLL_4 ; 119 ; 0 ; Global Clock ; GCLK17 ; -- ; ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|clk[4] ; PLL_4 ; 27 ; 0 ; Global Clock ; GCLK16 ; -- ; +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------+---------+--------------------------------------+----------------------+------------------+--------------------------------------------------------------------------------+ @@ -5425,69 +5252,67 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|reset_phy_clk_1x_n ; 2443 ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|reset_phy_clk_1x_n ; 2439 ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|reset_phy_clk_1x_n ; 2441 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|reset_phy_clk_1x_n ; 2440 ; ; sync_reg:sync_reg0|sync_reg[1] ; 2348 ; ; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|SCLK_reg ; 2205 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg0|sync_reg[1] ; 2095 ; -; rxtx_top:inst6_rxtx_top|sync_reg:sync_reg0|sync_reg[1] ; 1553 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|altera_reset_controller:rst_controller|r_sync_rst ; 994 ; -; altera_internal_jtag~TCKUTAP ; 730 ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|sync_reg:sync_reg0|sync_reg[1] ; 2096 ; +; rxtx_top:inst6_rxtx_top|sync_reg:sync_reg0|sync_reg[1] ; 1565 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|altera_reset_controller:rst_controller|r_sync_rst ; 996 ; ; EXT_GND~input ; 686 ; +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter RAM Summary ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+------------------------+------------------------+---------------+ -; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; Fits in MLABs ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+------------------------+------------------------+---------------+ -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 32 ; 256 ; 128 ; yes ; no ; yes ; yes ; 32768 ; 1024 ; 32 ; 256 ; 128 ; 32768 ; 4 ; None ; M9K_X58_Y27_N0, M9K_X58_Y26_N0, M9K_X58_Y25_N0, M9K_X58_Y24_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 32 ; 1024 ; 32 ; yes ; no ; yes ; no ; 32768 ; 1024 ; 32 ; 1024 ; 32 ; 32768 ; 4 ; None ; M9K_X40_Y15_N0, M9K_X40_Y19_N0, M9K_X40_Y18_N0, M9K_X40_Y16_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 128 ; 32 ; 128 ; 32 ; yes ; no ; yes ; yes ; 4096 ; 128 ; 32 ; 128 ; 32 ; 4096 ; 1 ; None ; M9K_X40_Y11_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|altsyncram_9f11:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 2048 ; 64 ; 4096 ; 32 ; yes ; no ; yes ; no ; 131072 ; 2048 ; 64 ; 4096 ; 32 ; 131072 ; 16 ; None ; M9K_X40_Y24_N0, M9K_X40_Y32_N0, M9K_X40_Y27_N0, M9K_X40_Y33_N0, M9K_X58_Y23_N0, M9K_X40_Y28_N0, M9K_X40_Y25_N0, M9K_X40_Y34_N0, M9K_X40_Y30_N0, M9K_X40_Y26_N0, M9K_X40_Y22_N0, M9K_X58_Y22_N0, M9K_X40_Y31_N0, M9K_X40_Y23_N0, M9K_X40_Y21_N0, M9K_X40_Y29_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|altsyncram_9o41:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 32 ; 256 ; 32 ; yes ; no ; yes ; no ; 8192 ; 256 ; 32 ; 256 ; 32 ; 8192 ; 1 ; None ; M9K_X40_Y13_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem|lms_ctr_nios2_cpu_cpu_ociram_sp_ram_module:lms_ctr_nios2_cpu_cpu_ociram_sp_ram|altsyncram:the_altsyncram|altsyncram_4a31:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 256 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 8192 ; 256 ; 32 ; -- ; -- ; 8192 ; 1 ; None ; M9K_X24_Y6_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_register_bank_a_module:lms_ctr_nios2_cpu_cpu_register_bank_a|altsyncram:the_altsyncram|altsyncram_6mc1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 32 ; 32 ; 32 ; yes ; no ; yes ; no ; 1024 ; 32 ; 32 ; 32 ; 32 ; 1024 ; 1 ; None ; M9K_X40_Y3_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_register_bank_b_module:lms_ctr_nios2_cpu_cpu_register_bank_b|altsyncram:the_altsyncram|altsyncram_6mc1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 32 ; 32 ; 32 ; yes ; no ; yes ; no ; 1024 ; 32 ; 32 ; 32 ; 32 ; 1024 ; 1 ; None ; M9K_X40_Y4_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_oc_mem:oc_mem|altsyncram:the_altsyncram|altsyncram_75c1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 8192 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 262144 ; 8192 ; 32 ; -- ; -- ; 262144 ; 32 ; lms_ctr_oc_mem.hex ; M9K_X40_Y9_N0, M9K_X40_Y14_N0, M9K_X58_Y10_N0, M9K_X58_Y11_N0, M9K_X40_Y8_N0, M9K_X40_Y12_N0, M9K_X58_Y2_N0, M9K_X58_Y6_N0, M9K_X40_Y5_N0, M9K_X40_Y10_N0, M9K_X58_Y8_N0, M9K_X40_Y6_N0, M9K_X58_Y12_N0, M9K_X24_Y11_N0, M9K_X58_Y5_N0, M9K_X40_Y7_N0, M9K_X58_Y13_N0, M9K_X24_Y8_N0, M9K_X24_Y10_N0, M9K_X58_Y17_N0, M9K_X24_Y1_N0, M9K_X58_Y16_N0, M9K_X24_Y3_N0, M9K_X24_Y12_N0, M9K_X24_Y16_N0, M9K_X24_Y5_N0, M9K_X24_Y2_N0, M9K_X40_Y17_N0, M9K_X40_Y1_N0, M9K_X58_Y4_N0, M9K_X40_Y2_N0, M9K_X58_Y9_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|altsyncram:altsyncram4|altsyncram_v1k3:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 144 ; 1 ; -- ; -- ; yes ; no ; -- ; -- ; 144 ; 144 ; 1 ; -- ; -- ; 144 ; 1 ; None ; M9K_X24_Y41_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|altsyncram:altsyncram4|altsyncram_v1k3:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 144 ; 1 ; -- ; -- ; yes ; no ; -- ; -- ; 144 ; 144 ; 1 ; -- ; -- ; 144 ; 1 ; None ; M9K_X40_Y41_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 4 ; 64 ; 4 ; 64 ; yes ; no ; yes ; yes ; 256 ; 4 ; 64 ; 4 ; 64 ; 256 ; 2 ; None ; M9K_X24_Y34_N0, M9K_X24_Y33_N0 ; Old data ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|altsyncram_i271:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 1024 ; 48 ; 1024 ; 48 ; yes ; no ; yes ; yes ; 49152 ; 1024 ; 48 ; 1024 ; 48 ; 49152 ; 6 ; None ; M9K_X24_Y37_N0, M9K_X24_Y38_N0, M9K_X24_Y36_N0, M9K_X24_Y39_N0, M9K_X24_Y35_N0, M9K_X24_Y40_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 128 ; 512 ; 64 ; yes ; no ; yes ; yes ; 32768 ; 256 ; 96 ; 512 ; 48 ; 24576 ; 3 ; None ; M9K_X40_Y36_N0, M9K_X40_Y38_N0, M9K_X40_Y37_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 128 ; 512 ; 64 ; yes ; no ; yes ; yes ; 32768 ; 256 ; 128 ; 512 ; 64 ; 32768 ; 4 ; None ; M9K_X58_Y40_N0, M9K_X58_Y41_N0, M9K_X58_Y42_N0, M9K_X40_Y40_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 128 ; 512 ; 64 ; yes ; no ; yes ; yes ; 32768 ; 256 ; 128 ; 512 ; 64 ; 32768 ; 4 ; None ; M9K_X58_Y33_N0, M9K_X58_Y31_N0, M9K_X58_Y32_N0, M9K_X58_Y30_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 128 ; 512 ; 64 ; yes ; no ; yes ; yes ; 32768 ; 256 ; 128 ; 512 ; 64 ; 32768 ; 4 ; None ; M9K_X58_Y36_N0, M9K_X58_Y34_N0, M9K_X58_Y35_N0, M9K_X40_Y35_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 128 ; 512 ; 64 ; yes ; no ; yes ; yes ; 32768 ; 256 ; 128 ; 512 ; 64 ; 32768 ; 4 ; None ; M9K_X58_Y37_N0, M9K_X58_Y39_N0, M9K_X58_Y38_N0, M9K_X40_Y39_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|altsyncram_sv61:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 256 ; 64 ; 256 ; 64 ; yes ; no ; yes ; yes ; 16384 ; 256 ; 64 ; 256 ; 64 ; 16384 ; 2 ; None ; M9K_X58_Y29_N0, M9K_X58_Y28_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component|altsyncram_7pl1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 33 ; 256 ; 33 ; yes ; no ; yes ; no ; 8448 ; 256 ; 32 ; 256 ; 32 ; 8192 ; 1 ; None ; M9K_X24_Y26_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|altsyncram_9ah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 12 ; 16 ; 12 ; yes ; no ; yes ; yes ; 192 ; 16 ; 4 ; 16 ; 4 ; 64 ; 1 ; None ; M9K_X24_Y9_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|altsyncram_lah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 45 ; 16 ; 45 ; yes ; no ; yes ; yes ; 720 ; 16 ; 8 ; 16 ; 8 ; 128 ; 1 ; None ; M9K_X24_Y7_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component|altsyncram_lil1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 2 ; 64 ; 2 ; yes ; no ; yes ; yes ; 128 ; 64 ; 2 ; 64 ; 2 ; 128 ; 1 ; None ; M9K_X24_Y4_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_vll1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X24_Y4_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[1].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_vll1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X24_Y4_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|altsyncram:altsyncram_component|altsyncram_boi1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 1 ; 32 ; 1 ; yes ; no ; yes ; yes ; 32 ; 32 ; 1 ; 32 ; 1 ; 32 ; 1 ; None ; M9K_X24_Y21_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; yes ; 512 ; 16 ; 32 ; 16 ; 32 ; 512 ; 1 ; None ; M9K_X24_Y13_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 62 ; 8 ; 62 ; yes ; no ; yes ; no ; 496 ; 8 ; 62 ; 8 ; 62 ; 496 ; 2 ; None ; M9K_X24_Y18_N0, M9K_X24_Y20_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|altsyncram_8km1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 36 ; 32 ; 36 ; yes ; no ; yes ; no ; 1152 ; 32 ; 36 ; 32 ; 36 ; 1152 ; 1 ; None ; M9K_X24_Y22_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|altsyncram_1nb1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 27 ; 8 ; 27 ; yes ; no ; yes ; no ; 216 ; 8 ; 27 ; 8 ; 27 ; 216 ; 1 ; None ; M9K_X24_Y14_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|altsyncram_fo41:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 26 ; 256 ; 26 ; yes ; no ; yes ; no ; 6656 ; 256 ; 26 ; 256 ; 26 ; 6656 ; 1 ; None ; M9K_X24_Y17_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|altsyncram_po41:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 256 ; 58 ; 256 ; 58 ; yes ; no ; yes ; no ; 14848 ; 256 ; 58 ; 256 ; 58 ; 14848 ; 2 ; None ; M9K_X24_Y15_N0, M9K_X24_Y19_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|altsyncram_gf11:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 512 ; 128 ; 2048 ; 32 ; yes ; no ; yes ; no ; 65536 ; 512 ; 96 ; 2048 ; 24 ; 49152 ; 6 ; None ; M9K_X24_Y31_N0, M9K_X24_Y30_N0, M9K_X24_Y28_N0, M9K_X24_Y29_N0, M9K_X24_Y27_N0, M9K_X24_Y32_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; sld_signaltap:auto_signaltap_6|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_8124:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 64 ; 102 ; 64 ; 102 ; yes ; no ; yes ; no ; 6528 ; 64 ; 102 ; 64 ; 102 ; 6528 ; 3 ; None ; M9K_X24_Y24_N0, M9K_X24_Y25_N0, M9K_X24_Y23_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component|altsyncram_7pl1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 33 ; 256 ; 33 ; yes ; no ; yes ; no ; 8448 ; 256 ; 32 ; 256 ; 32 ; 8192 ; 1 ; None ; M9K_X58_Y20_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|altsyncram_9ah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 12 ; 16 ; 12 ; yes ; no ; yes ; yes ; 192 ; 16 ; 4 ; 16 ; 4 ; 64 ; 1 ; None ; M9K_X58_Y21_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|altsyncram_lah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 45 ; 16 ; 45 ; yes ; no ; yes ; yes ; 720 ; 16 ; 8 ; 16 ; 8 ; 128 ; 1 ; None ; M9K_X58_Y19_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component|altsyncram_lil1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 2 ; 64 ; 2 ; yes ; no ; yes ; yes ; 128 ; 64 ; 2 ; 64 ; 2 ; 128 ; 1 ; None ; M9K_X58_Y7_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_vll1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X58_Y7_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[1].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_vll1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X58_Y7_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|altsyncram:altsyncram_component|altsyncram_boi1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 1 ; 32 ; 1 ; yes ; no ; yes ; yes ; 32 ; 32 ; 1 ; 32 ; 1 ; 32 ; 1 ; None ; M9K_X58_Y3_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; yes ; 512 ; 16 ; 32 ; 16 ; 32 ; 512 ; 1 ; None ; M9K_X58_Y1_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 62 ; 8 ; 62 ; yes ; no ; yes ; no ; 496 ; 8 ; 61 ; 8 ; 61 ; 488 ; 2 ; None ; M9K_X58_Y15_N0, M9K_X58_Y18_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|altsyncram_8km1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 36 ; 32 ; 36 ; yes ; no ; yes ; no ; 1152 ; 32 ; 36 ; 32 ; 36 ; 1152 ; 1 ; None ; M9K_X40_Y20_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|altsyncram_1nb1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 27 ; 8 ; 27 ; yes ; no ; yes ; no ; 216 ; 8 ; 27 ; 8 ; 27 ; 216 ; 1 ; None ; M9K_X58_Y14_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+------------------------+------------------------+---------------+ ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter RAM Summary ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+------------------------+------------------------+---------------+ +; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; Fits in MLABs ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+------------------------+------------------------+---------------+ +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 32 ; 256 ; 128 ; yes ; no ; yes ; yes ; 32768 ; 1024 ; 32 ; 256 ; 128 ; 32768 ; 4 ; None ; M9K_X58_Y30_N0, M9K_X58_Y33_N0, M9K_X58_Y32_N0, M9K_X58_Y31_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 32 ; 1024 ; 32 ; yes ; no ; yes ; no ; 32768 ; 1024 ; 32 ; 1024 ; 32 ; 32768 ; 4 ; None ; M9K_X58_Y12_N0, M9K_X58_Y13_N0, M9K_X58_Y14_N0, M9K_X58_Y15_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 128 ; 32 ; 128 ; 32 ; yes ; no ; yes ; yes ; 4096 ; 128 ; 32 ; 128 ; 32 ; 4096 ; 1 ; None ; M9K_X58_Y21_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|altsyncram_9f11:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 2048 ; 64 ; 4096 ; 32 ; yes ; no ; yes ; no ; 131072 ; 2048 ; 64 ; 4096 ; 32 ; 131072 ; 16 ; None ; M9K_X58_Y22_N0, M9K_X40_Y22_N0, M9K_X58_Y20_N0, M9K_X58_Y17_N0, M9K_X58_Y16_N0, M9K_X40_Y14_N0, M9K_X40_Y18_N0, M9K_X40_Y16_N0, M9K_X58_Y18_N0, M9K_X40_Y15_N0, M9K_X40_Y20_N0, M9K_X40_Y19_N0, M9K_X40_Y21_N0, M9K_X58_Y23_N0, M9K_X40_Y23_N0, M9K_X40_Y17_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst7_EP8F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_fko1:auto_generated|altsyncram_9o41:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 32 ; 256 ; 32 ; yes ; no ; yes ; no ; 8192 ; 256 ; 32 ; 256 ; 32 ; 8192 ; 1 ; None ; M9K_X58_Y19_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_nios2_oci:the_lms_ctr_nios2_cpu_cpu_nios2_oci|lms_ctr_nios2_cpu_cpu_nios2_ocimem:the_lms_ctr_nios2_cpu_cpu_nios2_ocimem|lms_ctr_nios2_cpu_cpu_ociram_sp_ram_module:lms_ctr_nios2_cpu_cpu_ociram_sp_ram|altsyncram:the_altsyncram|altsyncram_4a31:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 256 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 8192 ; 256 ; 32 ; -- ; -- ; 8192 ; 1 ; None ; M9K_X40_Y25_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_register_bank_a_module:lms_ctr_nios2_cpu_cpu_register_bank_a|altsyncram:the_altsyncram|altsyncram_6mc1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 32 ; 32 ; 32 ; yes ; no ; yes ; no ; 1024 ; 32 ; 32 ; 32 ; 32 ; 1024 ; 1 ; None ; M9K_X58_Y28_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_nios2_cpu:nios2_cpu|lms_ctr_nios2_cpu_cpu:cpu|lms_ctr_nios2_cpu_cpu_register_bank_b_module:lms_ctr_nios2_cpu_cpu_register_bank_b|altsyncram:the_altsyncram|altsyncram_6mc1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 32 ; 32 ; 32 ; yes ; no ; yes ; no ; 1024 ; 32 ; 32 ; 32 ; 32 ; 1024 ; 1 ; None ; M9K_X58_Y27_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_oc_mem:oc_mem|altsyncram:the_altsyncram|altsyncram_75c1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 8192 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 262144 ; 8192 ; 32 ; -- ; -- ; 262144 ; 32 ; lms_ctr_oc_mem.hex ; M9K_X58_Y9_N0, M9K_X40_Y26_N0, M9K_X40_Y11_N0, M9K_X24_Y10_N0, M9K_X24_Y9_N0, M9K_X24_Y24_N0, M9K_X40_Y29_N0, M9K_X40_Y30_N0, M9K_X24_Y30_N0, M9K_X58_Y29_N0, M9K_X40_Y28_N0, M9K_X24_Y29_N0, M9K_X24_Y26_N0, M9K_X40_Y6_N0, M9K_X40_Y13_N0, M9K_X24_Y28_N0, M9K_X24_Y25_N0, M9K_X40_Y10_N0, M9K_X58_Y24_N0, M9K_X58_Y26_N0, M9K_X58_Y7_N0, M9K_X58_Y4_N0, M9K_X58_Y8_N0, M9K_X40_Y24_N0, M9K_X24_Y23_N0, M9K_X40_Y27_N0, M9K_X24_Y27_N0, M9K_X58_Y2_N0, M9K_X58_Y6_N0, M9K_X58_Y25_N0, M9K_X58_Y11_N0, M9K_X58_Y10_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|altsyncram:altsyncram4|altsyncram_v1k3:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 144 ; 1 ; -- ; -- ; yes ; no ; -- ; -- ; 144 ; 144 ; 1 ; -- ; -- ; 144 ; 1 ; None ; M9K_X24_Y31_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|altsyncram:altsyncram4|altsyncram_v1k3:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 144 ; 1 ; -- ; -- ; yes ; no ; -- ; -- ; 144 ; 144 ; 1 ; -- ; -- ; 144 ; 1 ; None ; M9K_X24_Y33_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 4 ; 64 ; 4 ; 64 ; yes ; no ; yes ; yes ; 256 ; 4 ; 64 ; 4 ; 64 ; 256 ; 2 ; None ; M9K_X24_Y40_N0, M9K_X24_Y41_N0 ; Old data ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|altsyncram_i271:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 1024 ; 48 ; 1024 ; 48 ; yes ; no ; yes ; yes ; 49152 ; 1024 ; 48 ; 1024 ; 48 ; 49152 ; 6 ; None ; M9K_X24_Y38_N0, M9K_X24_Y36_N0, M9K_X24_Y37_N0, M9K_X24_Y39_N0, M9K_X24_Y35_N0, M9K_X24_Y34_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|fifo_inst:fifo_inst_isnt2|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 128 ; 512 ; 64 ; yes ; no ; yes ; yes ; 32768 ; 256 ; 96 ; 512 ; 48 ; 24576 ; 3 ; None ; M9K_X40_Y32_N0, M9K_X40_Y31_N0, M9K_X40_Y33_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:0:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 128 ; 512 ; 64 ; yes ; no ; yes ; yes ; 32768 ; 256 ; 128 ; 512 ; 64 ; 32768 ; 4 ; None ; M9K_X58_Y38_N0, M9K_X40_Y37_N0, M9K_X40_Y38_N0, M9K_X40_Y41_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 128 ; 512 ; 64 ; yes ; no ; yes ; yes ; 32768 ; 256 ; 128 ; 512 ; 64 ; 32768 ; 4 ; None ; M9K_X58_Y36_N0, M9K_X40_Y34_N0, M9K_X40_Y36_N0, M9K_X40_Y35_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:2:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 128 ; 512 ; 64 ; yes ; no ; yes ; yes ; 32768 ; 256 ; 128 ; 512 ; 64 ; 32768 ; 4 ; None ; M9K_X58_Y41_N0, M9K_X58_Y37_N0, M9K_X58_Y39_N0, M9K_X58_Y42_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 128 ; 512 ; 64 ; yes ; no ; yes ; yes ; 32768 ; 256 ; 128 ; 512 ; 64 ; 32768 ; 4 ; None ; M9K_X58_Y40_N0, M9K_X40_Y40_N0, M9K_X40_Y39_N0, M9K_X40_Y42_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|sync_fifo_rw:sync_fifo_rw_inst|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nno1:auto_generated|altsyncram_sv61:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 256 ; 64 ; 256 ; 64 ; yes ; no ; yes ; yes ; 16384 ; 256 ; 64 ; 256 ; 64 ; 16384 ; 2 ; None ; M9K_X58_Y35_N0, M9K_X58_Y34_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component|altsyncram_7pl1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 33 ; 256 ; 33 ; yes ; no ; yes ; no ; 8448 ; 256 ; 32 ; 256 ; 32 ; 8192 ; 1 ; None ; M9K_X24_Y16_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|altsyncram_9ah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 12 ; 16 ; 12 ; yes ; no ; yes ; yes ; 192 ; 16 ; 4 ; 16 ; 4 ; 64 ; 1 ; None ; M9K_X24_Y13_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|altsyncram_lah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 45 ; 16 ; 45 ; yes ; no ; yes ; yes ; 720 ; 16 ; 8 ; 16 ; 8 ; 128 ; 1 ; None ; M9K_X24_Y14_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component|altsyncram_lil1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 2 ; 64 ; 2 ; yes ; no ; yes ; yes ; 128 ; 64 ; 2 ; 64 ; 2 ; 128 ; 1 ; None ; M9K_X24_Y6_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_vll1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X24_Y6_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[1].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_vll1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X24_Y6_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|altsyncram:altsyncram_component|altsyncram_boi1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 1 ; 32 ; 1 ; yes ; no ; yes ; yes ; 32 ; 32 ; 1 ; 32 ; 1 ; 32 ; 1 ; None ; M9K_X24_Y1_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; yes ; 512 ; 16 ; 32 ; 16 ; 32 ; 512 ; 1 ; None ; M9K_X24_Y5_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 62 ; 8 ; 62 ; yes ; no ; yes ; no ; 496 ; 8 ; 61 ; 8 ; 61 ; 488 ; 2 ; None ; M9K_X24_Y7_N0, M9K_X24_Y8_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|altsyncram_8km1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 36 ; 32 ; 36 ; yes ; no ; yes ; no ; 1152 ; 32 ; 36 ; 32 ; 36 ; 1152 ; 1 ; None ; M9K_X24_Y15_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|altsyncram_1nb1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 27 ; 8 ; 27 ; yes ; no ; yes ; no ; 216 ; 8 ; 27 ; 8 ; 27 ; 216 ; 1 ; None ; M9K_X24_Y3_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:rcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_lko1:auto_generated|altsyncram_fo41:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 26 ; 256 ; 26 ; yes ; no ; yes ; no ; 6656 ; 256 ; 26 ; 256 ; 26 ; 6656 ; 1 ; None ; M9K_X24_Y11_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|fifo_inst:wcmdfifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_vko1:auto_generated|altsyncram_po41:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 256 ; 58 ; 256 ; 58 ; yes ; no ; yes ; no ; 14848 ; 256 ; 58 ; 256 ; 58 ; 14848 ; 2 ; None ; M9K_X24_Y12_N0, M9K_X40_Y12_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|decompress:dcmpr|fifo_inst:fifo|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_ioo1:auto_generated|altsyncram_gf11:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 512 ; 128 ; 2048 ; 32 ; yes ; no ; yes ; no ; 65536 ; 512 ; 96 ; 2048 ; 24 ; 49152 ; 6 ; None ; M9K_X24_Y21_N0, M9K_X24_Y22_N0, M9K_X24_Y20_N0, M9K_X24_Y19_N0, M9K_X24_Y17_N0, M9K_X24_Y18_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component|altsyncram_7pl1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 33 ; 256 ; 33 ; yes ; no ; yes ; no ; 8448 ; 256 ; 32 ; 256 ; 32 ; 8192 ; 1 ; None ; M9K_X40_Y5_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_j941:auto_generated|a_dpfifo_sk31:dpfifo|altsyncram_9ah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 12 ; 16 ; 12 ; yes ; no ; yes ; yes ; 192 ; 16 ; 4 ; 16 ; 4 ; 64 ; 1 ; None ; M9K_X58_Y5_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|altsyncram_lah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 45 ; 16 ; 45 ; yes ; no ; yes ; yes ; 720 ; 16 ; 8 ; 16 ; 8 ; 128 ; 1 ; None ; M9K_X58_Y3_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component|altsyncram_lil1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 2 ; 64 ; 2 ; yes ; no ; yes ; yes ; 128 ; 64 ; 2 ; 64 ; 2 ; 128 ; 1 ; None ; M9K_X40_Y4_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_vll1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X40_Y4_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[1].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_vll1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X40_Y4_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_rdata_valid:rdv_pipe|altsyncram:altsyncram_component|altsyncram_boi1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 1 ; 32 ; 1 ; yes ; no ; yes ; yes ; 32 ; 32 ; 1 ; 32 ; 1 ; 32 ; 1 ; None ; M9K_X40_Y2_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; yes ; 512 ; 16 ; 32 ; 16 ; 32 ; 512 ; 1 ; None ; M9K_X40_Y1_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 62 ; 8 ; 62 ; yes ; no ; yes ; no ; 496 ; 8 ; 61 ; 8 ; 61 ; 488 ; 2 ; None ; M9K_X40_Y7_N0, M9K_X40_Y8_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|read_compare_avl_use_be_avl_use_burstbegin:read_compare_inst|scfifo_wrapper:written_data_fifo|scfifo:scfifo_inst|scfifo_vr41:auto_generated|a_dpfifo_gk11:dpfifo|altsyncram_8km1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 36 ; 32 ; 36 ; yes ; no ; yes ; no ; 1152 ; 32 ; 36 ; 32 ; 36 ; 1152 ; 1 ; None ; M9K_X40_Y9_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|altsyncram_1nb1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 27 ; 8 ; 27 ; yes ; no ; yes ; no ; 216 ; 8 ; 27 ; 8 ; 27 ; 216 ; 1 ; None ; M9K_X40_Y3_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; No - Unknown ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+------------------------+------------------------+---------------+ Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. @@ -6528,182 +6353,176 @@ RAM content values are presented in the following format: (Binary) (Octal) (Deci +-----------------------+---------------------------+ ; Routing Resource Type ; Usage ; +-----------------------+---------------------------+ -; Block interconnects ; 42,058 / 116,715 ( 36 % ) ; -; C16 interconnects ; 494 / 3,886 ( 13 % ) ; -; C4 interconnects ; 17,986 / 73,752 ( 24 % ) ; -; Direct links ; 9,019 / 116,715 ( 8 % ) ; +; Block interconnects ; 41,122 / 116,715 ( 35 % ) ; +; C16 interconnects ; 543 / 3,886 ( 14 % ) ; +; C4 interconnects ; 17,498 / 73,752 ( 24 % ) ; +; Direct links ; 8,774 / 116,715 ( 8 % ) ; ; Global clocks ; 20 / 20 ( 100 % ) ; -; Local interconnects ; 16,099 / 39,600 ( 41 % ) ; -; R24 interconnects ; 781 / 3,777 ( 21 % ) ; -; R4 interconnects ; 22,364 / 99,858 ( 22 % ) ; +; Local interconnects ; 14,738 / 39,600 ( 37 % ) ; +; R24 interconnects ; 836 / 3,777 ( 22 % ) ; +; R4 interconnects ; 22,417 / 99,858 ( 22 % ) ; +-----------------------+---------------------------+ +------------------------------------------------------------------------------+ ; LAB Logic Elements ; +---------------------------------------------+--------------------------------+ -; Number of Logic Elements (Average = 13.62) ; Number of LABs (Total = 2187) ; +; Number of Logic Elements (Average = 13.46) ; Number of LABs (Total = 2095) ; +---------------------------------------------+--------------------------------+ -; 1 ; 24 ; -; 2 ; 33 ; -; 3 ; 35 ; -; 4 ; 34 ; -; 5 ; 40 ; -; 6 ; 33 ; -; 7 ; 41 ; -; 8 ; 40 ; +; 1 ; 37 ; +; 2 ; 21 ; +; 3 ; 40 ; +; 4 ; 38 ; +; 5 ; 49 ; +; 6 ; 39 ; +; 7 ; 38 ; +; 8 ; 38 ; ; 9 ; 51 ; -; 10 ; 61 ; -; 11 ; 62 ; -; 12 ; 84 ; -; 13 ; 95 ; +; 10 ; 50 ; +; 11 ; 63 ; +; 12 ; 70 ; +; 13 ; 92 ; ; 14 ; 116 ; -; 15 ; 172 ; -; 16 ; 1266 ; +; 15 ; 169 ; +; 16 ; 1184 ; +---------------------------------------------+--------------------------------+ +---------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+--------------------------------+ -; LAB-wide Signals (Average = 2.33) ; Number of LABs (Total = 2187) ; +; LAB-wide Signals (Average = 2.32) ; Number of LABs (Total = 2095) ; +------------------------------------+--------------------------------+ -; 1 Async. clear ; 1781 ; -; 1 Clock ; 1887 ; -; 1 Clock enable ; 774 ; -; 1 Sync. clear ; 65 ; -; 1 Sync. load ; 110 ; -; 2 Async. clears ; 119 ; -; 2 Clock enables ; 158 ; -; 2 Clocks ; 199 ; +; 1 Async. clear ; 1694 ; +; 1 Clock ; 1845 ; +; 1 Clock enable ; 743 ; +; 1 Sync. clear ; 72 ; +; 1 Sync. load ; 96 ; +; 2 Async. clears ; 126 ; +; 2 Clock enables ; 161 ; +; 2 Clocks ; 123 ; +------------------------------------+--------------------------------+ +-------------------------------------------------------------------------------+ ; LAB Signals Sourced ; +----------------------------------------------+--------------------------------+ -; Number of Signals Sourced (Average = 22.18) ; Number of LABs (Total = 2187) ; +; Number of Signals Sourced (Average = 21.76) ; Number of LABs (Total = 2095) ; +----------------------------------------------+--------------------------------+ ; 0 ; 1 ; -; 1 ; 7 ; +; 1 ; 15 ; ; 2 ; 26 ; -; 3 ; 15 ; -; 4 ; 28 ; -; 5 ; 16 ; -; 6 ; 33 ; -; 7 ; 16 ; -; 8 ; 24 ; +; 3 ; 6 ; +; 4 ; 32 ; +; 5 ; 22 ; +; 6 ; 38 ; +; 7 ; 18 ; +; 8 ; 33 ; ; 9 ; 24 ; -; 10 ; 29 ; -; 11 ; 19 ; -; 12 ; 31 ; -; 13 ; 29 ; -; 14 ; 41 ; -; 15 ; 40 ; -; 16 ; 83 ; -; 17 ; 64 ; -; 18 ; 74 ; -; 19 ; 81 ; -; 20 ; 99 ; +; 10 ; 24 ; +; 11 ; 18 ; +; 12 ; 37 ; +; 13 ; 33 ; +; 14 ; 39 ; +; 15 ; 41 ; +; 16 ; 91 ; +; 17 ; 63 ; +; 18 ; 57 ; +; 19 ; 58 ; +; 20 ; 92 ; ; 21 ; 110 ; -; 22 ; 106 ; -; 23 ; 92 ; -; 24 ; 119 ; -; 25 ; 88 ; -; 26 ; 131 ; -; 27 ; 110 ; -; 28 ; 126 ; -; 29 ; 146 ; -; 30 ; 112 ; -; 31 ; 54 ; -; 32 ; 213 ; +; 22 ; 111 ; +; 23 ; 108 ; +; 24 ; 120 ; +; 25 ; 76 ; +; 26 ; 109 ; +; 27 ; 95 ; +; 28 ; 123 ; +; 29 ; 107 ; +; 30 ; 108 ; +; 31 ; 50 ; +; 32 ; 210 ; +----------------------------------------------+--------------------------------+ +----------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+--------------------------------+ -; Number of Signals Sourced Out (Average = 8.52) ; Number of LABs (Total = 2187) ; +; Number of Signals Sourced Out (Average = 8.65) ; Number of LABs (Total = 2095) ; +-------------------------------------------------+--------------------------------+ -; 0 ; 4 ; -; 1 ; 87 ; -; 2 ; 122 ; -; 3 ; 111 ; -; 4 ; 163 ; -; 5 ; 176 ; -; 6 ; 153 ; -; 7 ; 185 ; -; 8 ; 173 ; -; 9 ; 130 ; -; 10 ; 154 ; -; 11 ; 130 ; -; 12 ; 130 ; -; 13 ; 86 ; -; 14 ; 92 ; -; 15 ; 67 ; -; 16 ; 175 ; -; 17 ; 21 ; -; 18 ; 10 ; +; 0 ; 5 ; +; 1 ; 86 ; +; 2 ; 104 ; +; 3 ; 98 ; +; 4 ; 155 ; +; 5 ; 130 ; +; 6 ; 151 ; +; 7 ; 158 ; +; 8 ; 198 ; +; 9 ; 154 ; +; 10 ; 175 ; +; 11 ; 109 ; +; 12 ; 113 ; +; 13 ; 96 ; +; 14 ; 71 ; +; 15 ; 78 ; +; 16 ; 159 ; +; 17 ; 26 ; +; 18 ; 9 ; ; 19 ; 4 ; -; 20 ; 3 ; +; 20 ; 8 ; ; 21 ; 4 ; ; 22 ; 2 ; -; 23 ; 4 ; +; 23 ; 1 ; ; 24 ; 0 ; ; 25 ; 0 ; -; 26 ; 0 ; -; 27 ; 0 ; -; 28 ; 0 ; -; 29 ; 0 ; -; 30 ; 0 ; -; 31 ; 0 ; -; 32 ; 1 ; +; 26 ; 1 ; +-------------------------------------------------+--------------------------------+ +-------------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+--------------------------------+ -; Number of Distinct Inputs (Average = 16.32) ; Number of LABs (Total = 2187) ; +; Number of Distinct Inputs (Average = 16.58) ; Number of LABs (Total = 2095) ; +----------------------------------------------+--------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 20 ; -; 3 ; 66 ; -; 4 ; 60 ; -; 5 ; 70 ; -; 6 ; 81 ; -; 7 ; 73 ; -; 8 ; 71 ; -; 9 ; 84 ; -; 10 ; 79 ; -; 11 ; 74 ; +; 2 ; 32 ; +; 3 ; 55 ; +; 4 ; 65 ; +; 5 ; 66 ; +; 6 ; 69 ; +; 7 ; 62 ; +; 8 ; 74 ; +; 9 ; 66 ; +; 10 ; 51 ; +; 11 ; 69 ; ; 12 ; 84 ; -; 13 ; 103 ; -; 14 ; 94 ; -; 15 ; 108 ; -; 16 ; 106 ; -; 17 ; 85 ; -; 18 ; 101 ; -; 19 ; 95 ; -; 20 ; 78 ; -; 21 ; 95 ; -; 22 ; 75 ; -; 23 ; 53 ; -; 24 ; 51 ; -; 25 ; 64 ; -; 26 ; 41 ; -; 27 ; 37 ; -; 28 ; 27 ; -; 29 ; 37 ; -; 30 ; 29 ; -; 31 ; 25 ; -; 32 ; 26 ; -; 33 ; 21 ; -; 34 ; 22 ; -; 35 ; 14 ; -; 36 ; 29 ; -; 37 ; 8 ; +; 13 ; 73 ; +; 14 ; 92 ; +; 15 ; 98 ; +; 16 ; 131 ; +; 17 ; 93 ; +; 18 ; 94 ; +; 19 ; 110 ; +; 20 ; 74 ; +; 21 ; 80 ; +; 22 ; 62 ; +; 23 ; 64 ; +; 24 ; 55 ; +; 25 ; 55 ; +; 26 ; 38 ; +; 27 ; 52 ; +; 28 ; 34 ; +; 29 ; 35 ; +; 30 ; 18 ; +; 31 ; 18 ; +; 32 ; 28 ; +; 33 ; 24 ; +; 34 ; 23 ; +; 35 ; 18 ; +; 36 ; 28 ; +; 37 ; 5 ; +----------------------------------------------+--------------------------------+ @@ -7041,125 +6860,127 @@ RAM content values are presented in the following format: (Binary) (Octal) (Deci +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ ; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; FX3_PCLK ; FPGA_SPI0_SCLK_reg ; 287.2 ; -; LMS_MCLK1,LMS_MCLK1_5MHZ,TX_PLLCLK_C1 ; LMS_MCLK1,LMS_MCLK1_5MHZ,TX_PLLCLK_C1 ; 77.0 ; -; LMS_MCLK2,LMS_MCLK2_5MHZ,RX_PLLCLK_C1 ; LMS_MCLK2,LMS_MCLK2_5MHZ,RX_PLLCLK_C1 ; 71.3 ; -; I/O ; altera_reserved_tck ; 24.5 ; -; I/O ; FX3_PCLK ; 16.0 ; -; FX3_PCLK ; FX3_PCLK ; 8.3 ; -; altera_reserved_tck ; altera_reserved_tck ; 7.1 ; -; inst6_rxtx_top|wfm_player_top_inst2|DDR2_ctrl_top_inst|ddr2_inst|ddr2_controller_phy_inst|ddr2_phy_inst|ddr2_phy_alt_mem_phy_inst|clk|pll|altpll_component|auto_generated|pll1|clk[1] ; inst6_rxtx_top|wfm_player_top_inst2|DDR2_ctrl_top_inst|ddr2_inst|ddr2_controller_phy_inst|ddr2_phy_inst|ddr2_phy_alt_mem_phy_inst|clk|pll|altpll_component|auto_generated|pll1|clk[1] ; 5.7 ; +; FX3_PCLK ; FPGA_SPI0_SCLK_reg ; 132.4 ; +; LMS_MCLK1,LMS_MCLK1_5MHZ,TX_PLLCLK_C1 ; LMS_MCLK1,LMS_MCLK1_5MHZ,TX_PLLCLK_C1 ; 79.6 ; +; LMS_MCLK2,LMS_MCLK2_5MHZ,RX_PLLCLK_C1 ; LMS_MCLK2,LMS_MCLK2_5MHZ,RX_PLLCLK_C1 ; 65.1 ; +; I/O ; FX3_PCLK ; 34.2 ; +; inst3_tst_top|ddr2_tester_inst2|ddr2_inst|ddr2_controller_phy_inst|ddr2_phy_inst|ddr2_phy_alt_mem_phy_inst|clk|pll|altpll_component|auto_generated|pll1|clk[1] ; inst3_tst_top|ddr2_tester_inst2|ddr2_inst|ddr2_controller_phy_inst|ddr2_phy_inst|ddr2_phy_alt_mem_phy_inst|clk|pll|altpll_component|auto_generated|pll1|clk[1] ; 9.5 ; +; I/O ; altera_reserved_tck ; 9.2 ; +; inst6_rxtx_top|wfm_player_top_inst2|DDR2_ctrl_top_inst|ddr2_inst|ddr2_controller_phy_inst|ddr2_phy_inst|ddr2_phy_alt_mem_phy_inst|clk|pll|altpll_component|auto_generated|pll1|clk[1] ; inst6_rxtx_top|wfm_player_top_inst2|DDR2_ctrl_top_inst|ddr2_inst|ddr2_controller_phy_inst|ddr2_phy_inst|ddr2_phy_alt_mem_phy_inst|clk|pll|altpll_component|auto_generated|pll1|clk[1] ; 6.3 ; +; FX3_PCLK ; FX3_PCLK ; 5.8 ; +; inst3_tst_top|ddr2_tester_inst2|ddr2_inst|ddr2_controller_phy_inst|ddr2_phy_inst|ddr2_phy_alt_mem_phy_inst|clk|pll|altpll_component|auto_generated|pll1|clk[3] ; inst3_tst_top|ddr2_tester_inst2|ddr2_inst|ddr2_controller_phy_inst|ddr2_phy_inst|ddr2_phy_alt_mem_phy_inst|clk|pll|altpll_component|auto_generated|pll1|clk[3] ; 4.3 ; +; inst6_rxtx_top|wfm_player_top_inst2|DDR2_ctrl_top_inst|ddr2_inst|ddr2_controller_phy_inst|ddr2_phy_inst|ddr2_phy_alt_mem_phy_inst|clk|pll|altpll_component|auto_generated|pll1|clk[3] ; inst6_rxtx_top|wfm_player_top_inst2|DDR2_ctrl_top_inst|ddr2_inst|ddr2_controller_phy_inst|ddr2_phy_inst|ddr2_phy_alt_mem_phy_inst|clk|pll|altpll_component|auto_generated|pll1|clk[3] ; 3.3 ; +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. This will disable optimization of problematic paths and expose them for further analysis using the TimeQuest Timing Analyzer. -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; sync_reg:sync_reg0|sync_reg[1] ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|fpgacfg:fpgacfg_inst0|mem[23][13] ; 2.176 ; -; sync_reg:sync_reg1|sync_reg[1] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.968 ; -; FX3_CTL5 ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.947 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|spi_slave_select_reg[0] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.803 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|SSO_reg ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.803 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|delayCounter[2] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.803 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|delayCounter[1] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.803 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|delayCounter[0] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.803 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|transmitting ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.803 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|shift_reg[7] ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[24][0] ; 1.629 ; -; altera_reserved_tck ; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo ; 1.606 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[15] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[14] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[13] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[12] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[11] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[10] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[9] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[8] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[7] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[6] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[5] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[4] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[3] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[1] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[2] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[0] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|faddr_reg[0]~_Duplicate_1 ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_write ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.535 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|SSO_reg ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.432 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|spi_slave_select_reg[0] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.407 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|delayCounter[1] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.209 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|delayCounter[0] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.199 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|delayCounter[2] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.199 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|transmitting ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.199 ; -; FX3_CTL8 ; busy_delay:inst5_busy_delay|busy_in_reg[0] ; 0.691 ; -; FX3_CTL4 ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|flaga_d ; 0.625 ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|scan_clk ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|pll_reconfig_reset_ams_n ; 0.624 ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[2] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a2~porta_datain_reg0 ; 0.524 ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[3] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a3~porta_datain_reg0 ; 0.524 ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_l_2x[2] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a10~porta_datain_reg0 ; 0.524 ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_l_2x[0] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a8~porta_datain_reg0 ; 0.524 ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_l_2x[3] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a11~porta_datain_reg0 ; 0.524 ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[6] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a6~porta_datain_reg0 ; 0.497 ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_l_2x[6] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a14~porta_datain_reg0 ; 0.497 ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_l_2x[4] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a12~porta_datain_reg0 ; 0.497 ; -; FX3_DQ[9] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a9~porta_datain_reg0 ; 0.483 ; -; FX3_DQ[10] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a10~porta_datain_reg0 ; 0.483 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|pct_buff_wrdata_reg[11] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|altsyncram_9f11:fifo_ram|ram_block11a11~porta_datain_reg0 ; 0.464 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|pct_buff_wrdata_reg[43] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|altsyncram_9f11:fifo_ram|ram_block11a11~porta_datain_reg0 ; 0.464 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|data2packets_top:data2packets_top_inst2|pct_buff_wrdata_reg[10] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst6_EP81_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_qoo1:auto_generated|altsyncram_9f11:fifo_ram|ram_block11a10~porta_datain_reg0 ; 0.464 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[38] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a38~porta_datain_reg0 ; 0.460 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[93] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a29~porta_datain_reg0 ; 0.460 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|oe_delay_cnt[1] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.idle ; 0.457 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_out_read_oe_delay ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.idle ; 0.457 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|oe_delay_cnt[0] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.idle ; 0.457 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.idle ; 0.457 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|flaga_d ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.idle ; 0.457 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.wait_flagA ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.idle ; 0.457 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[19] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a19~porta_datain_reg0 ; 0.433 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[59] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a59~porta_datain_reg0 ; 0.433 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[58] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a58~porta_datain_reg0 ; 0.433 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[32] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a32~porta_datain_reg0 ; 0.433 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[60] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a60~porta_datain_reg0 ; 0.433 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[116] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a52~porta_datain_reg0 ; 0.433 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[55] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a47~porta_datain_reg0 ; 0.414 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[54] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a45~porta_datain_reg0 ; 0.414 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[51] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a39~porta_datain_reg0 ; 0.414 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[50] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a37~porta_datain_reg0 ; 0.414 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[46] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a29~porta_datain_reg0 ; 0.414 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[42] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a21~porta_datain_reg0 ; 0.414 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[41] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a19~porta_datain_reg0 ; 0.414 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p|counter7a[6] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a36~portb_address_reg0 ; 0.412 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p|counter7a[4] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a36~portb_address_reg0 ; 0.412 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|a_graycounter_s57:rdptr_g1p|counter7a[1] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a36~portb_address_reg0 ; 0.412 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|a_graycounter_t57:rdptr_g1p|counter5a6 ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a28~portb_address_reg0 ; 0.412 ; -; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|a_graycounter_t57:rdptr_g1p|counter5a2 ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst2_EP01_0_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_mro1:auto_generated|altsyncram_nm31:fifo_ram|ram_block5a28~portb_address_reg0 ; 0.412 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|wrptr_g[4] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|altsyncram_i271:fifo_ram|ram_block11a26~porta_address_reg0 ; 0.412 ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[10] ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a18~porta_datain_reg0 ; 0.409 ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[0] ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a0~porta_datain_reg0 ; 0.409 ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_l_2x[13] ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a29~porta_datain_reg0 ; 0.409 ; -; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|wrptr_g[5] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a8~porta_address_reg0 ; 0.407 ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst|bg_dataid[0] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|altsyncram_lah1:FIFOram|ram_block1a0~porta_datain_reg0 ; 0.390 ; -; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst|bg_dataid[3] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_alt_mem_ddrx_controller_top:ddr2_alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller_st_top:controller_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_p941:auto_generated|a_dpfifo_2l31:dpfifo|altsyncram_lah1:FIFOram|ram_block1a3~porta_datain_reg0 ; 0.390 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[53] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a43~porta_datain_reg0 ; 0.387 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[52] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a41~porta_datain_reg0 ; 0.387 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[47] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a31~porta_datain_reg0 ; 0.387 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[45] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a27~porta_datain_reg0 ; 0.387 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[44] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a25~porta_datain_reg0 ; 0.387 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[43] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a23~porta_datain_reg0 ; 0.387 ; -; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|smpl_cnt:smpl_cnt_inst3|cnt_mux[40] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a17~porta_datain_reg0 ; 0.387 ; -; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_l_2x[6] ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a14~porta_datain_reg0 ; 0.382 ; -; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|tx_holding_primed ; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|transmitting ; 0.378 ; -; SI_CLK0 ; sync_reg:sync_reg1|sync_reg[0] ; 0.369 ; -; SI_CLK2 ; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk2_en_reg0 ; 0.358 ; -; SI_CLK7 ; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk6_en_reg0 ; 0.347 ; -; pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|ps_status_reg ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[1][0] ; 0.341 ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_ps_top:pll_ps_top_inst2|pll_ps_fsm:pll_ps_fsm_inst1|ps_status_reg ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[1][0] ; 0.341 ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|idle_state ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[1][0] ; 0.341 ; -; pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|pll_reconfig_module:pll_reconfig_module_inst1|pll_reconfig_module_pllrcfg_ok11:pll_reconfig_module_pllrcfg_ok11_component|areset_state ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|pllcfg:pllcfg_inst1|mem[1][0] ; 0.341 ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Details ; ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; Source Register ; Destination Register ; Delay Added in ns ; ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; sync_reg:sync_reg1|sync_reg[1] ; general_periph_top:inst4_general_periph_top|alive:alive_inst0|cnt[12] ; 2.964 ; +; FX3_CTL5 ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 2.357 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[15] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[14] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[13] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[12] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[11] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[10] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[9] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[8] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[7] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[6] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[5] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[4] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[3] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[2] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[1] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|slwr_cnt[0] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|faddr_reg[0]~_Duplicate_1 ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_write ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|current_state.stream_in_pktend~_Duplicate_1 ; 1.945 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|spi_slave_select_reg[0] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.786 ; +; altera_reserved_tck ; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[3] ; 1.679 ; +; FX3_PCLK ; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|spi_slave_select_reg[0] ; 1.539 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_lms:spi_lms|shift_reg[7] ; nios_cpu:inst0_nios_cpu|cfg_top:cfg_top_inst1|tstcfg:tstcfg_inst3|mem[5][0] ; 1.524 ; +; FX3_CTL8 ; busy_delay:inst5_busy_delay|busy_in_reg[0] ; 1.349 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|SSO_reg ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.315 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|delayCounter[2] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.315 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|delayCounter[1] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.315 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|delayCounter[0] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.315 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_DAC:spi_1_dac|transmitting ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.315 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|spi_slave_select_reg[0] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.294 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|SSO_reg ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.294 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|delayCounter[1] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.294 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|delayCounter[0] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.294 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|delayCounter[2] ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.294 ; +; nios_cpu:inst0_nios_cpu|lms_ctr:lms_ctr_inst0|lms_ctr_spi_1_ADF:spi_1_adf|transmitting ; general_periph_top:inst4_general_periph_top|FPGA_LED2_ctrl:FPGA_LED2_ctrl_inst3|last_val[1] ; 1.294 ; +; sync_reg:sync_reg0|sync_reg[1] ; tst_top:inst3_tst_top|clock_test:clock_test_inst0|transition_count:ADF_muxout_test|test_en_reg[0] ; 1.077 ; +; FX3_CTL4 ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|slaveFIFO5b:inst1|flaga_d ; 0.816 ; +; SI_CLK2 ; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk2_en_reg0 ; 0.723 ; +; FX3_DQ[19] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a19~porta_datain_reg0 ; 0.720 ; +; FX3_DQ[18] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a18~porta_datain_reg0 ; 0.697 ; +; SI_CLK6 ; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk5_en_reg0 ; 0.669 ; +; FX3_DQ[28] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a28~porta_datain_reg0 ; 0.662 ; +; FX3_DQ[27] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a27~porta_datain_reg0 ; 0.650 ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|scan_clk ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|pll_reconfig_reset_ams_n ; 0.648 ; +; FX3_DQ[30] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a30~porta_datain_reg0 ; 0.644 ; +; FX3_DQ[29] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a29~porta_datain_reg0 ; 0.627 ; +; FX3_DQ[17] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a17~porta_datain_reg0 ; 0.615 ; +; FX3_DQ[0] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a0~porta_datain_reg0 ; 0.615 ; +; FX3_DQ[22] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a22~porta_datain_reg0 ; 0.615 ; +; FX3_DQ[31] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a31~porta_datain_reg0 ; 0.614 ; +; FX3_DQ[9] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a9~porta_datain_reg0 ; 0.603 ; +; FX3_DQ[10] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a10~porta_datain_reg0 ; 0.603 ; +; FX3_DQ[20] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a20~porta_datain_reg0 ; 0.596 ; +; FX3_DQ[23] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a23~porta_datain_reg0 ; 0.593 ; +; FX3_DQ[14] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a14~porta_datain_reg0 ; 0.589 ; +; FX3_DQ[21] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a21~porta_datain_reg0 ; 0.588 ; +; FX3_DQ[16] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a16~porta_datain_reg0 ; 0.587 ; +; FX3_DQ[26] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst5_EP0F_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_9no1:auto_generated|altsyncram_gv61:fifo_ram|ram_block8a26~porta_datain_reg0 ; 0.586 ; +; FX3_DQ[25] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a25~porta_datain_reg0 ; 0.584 ; +; FX3_DQ[15] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a15~porta_datain_reg0 ; 0.583 ; +; FX3_DQ[7] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a7~porta_datain_reg0 ; 0.581 ; +; FX3_DQ[13] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a13~porta_datain_reg0 ; 0.578 ; +; FX3_DQ[24] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a24~porta_datain_reg0 ; 0.573 ; +; SI_CLK7 ; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk6_en_reg0 ; 0.565 ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[86] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a22~porta_datain_reg0 ; 0.529 ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[21] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a21~porta_datain_reg0 ; 0.529 ; +; FX3_DQ[8] ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a8~porta_datain_reg0 ; 0.521 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|scan_clk ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_clk_reset:clk|ddr2_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_5ik3:auto_generated|cntr_8ge:pll_internal_phasestep|counter_reg_bit[0] ; 0.509 ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[23] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a23~porta_datain_reg0 ; 0.502 ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[87] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a23~porta_datain_reg0 ; 0.502 ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[90] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a26~porta_datain_reg0 ; 0.502 ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[25] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a25~porta_datain_reg0 ; 0.502 ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[84] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a20~porta_datain_reg0 ; 0.502 ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[24] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a24~porta_datain_reg0 ; 0.502 ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|p2d_wr_fsm:p2d_wr_fsm_inst0|pct_data[88] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a24~porta_datain_reg0 ; 0.502 ; +; SI_CLK1 ; tst_top:inst3_tst_top|clock_test:clock_test_inst0|clk_with_ref_test:Si5351C_test|cnt_clk1_en_reg0 ; 0.452 ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|cntr_7pf:cntr1|counter_reg_bit[0] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a1~portb_address_reg0 ; 0.414 ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|cntr_7pf:cntr1|counter_reg_bit[1] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|altshift_taps:delay_chain_rtl_0|shift_taps_kkm:auto_generated|altsyncram_82b1:altsyncram2|ram_block5a1~portb_address_reg0 ; 0.414 ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|wrptr_g[5] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:3:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a36~porta_address_reg0 ; 0.414 ; +; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|wrptr_g[1] ; rxtx_top:inst6_rxtx_top|tx_path_top:tx_path_top_inst1|packets2data_top:packets2data_top_inst0|packets2data:packets2data_inst0|fifo_inst:\gen_fifo:1:fifo_inst_isntx|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_gqo1:auto_generated|altsyncram_kl31:fifo_ram|ram_block8a60~porta_address_reg0 ; 0.414 ; +; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|wrptr_g[3] ; rxtx_top:inst6_rxtx_top|rx_path_top:rx_path_top_inst5|fifo_inst:smpl_fifo_inst1|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_nro1:auto_generated|altsyncram_i271:fifo_ram|ram_block11a26~porta_address_reg0 ; 0.413 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[11] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a19~porta_datain_reg0 ; 0.410 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[12] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a20~porta_datain_reg0 ; 0.410 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_l_2x[12] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a28~porta_datain_reg0 ; 0.410 ; +; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|a_graycounter_777:rdptr_g1p|counter5a1 ; FX3_slaveFIFO5b_top:inst2_FX3_slaveFIFO5b_top|fifo_inst:inst4_EP01_1_FIFO|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_boo1:auto_generated|altsyncram_rq41:fifo_ram|ram_block5a9~portb_address_reg0 ; 0.409 ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[1] ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a1~porta_datain_reg0 ; 0.407 ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[2] ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a2~porta_datain_reg0 ; 0.407 ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[0] ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a0~porta_datain_reg0 ; 0.407 ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[13] ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a21~porta_datain_reg0 ; 0.407 ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_l_2x[1] ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a9~porta_datain_reg0 ; 0.407 ; +; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_l_2x[0] ; rxtx_top:inst6_rxtx_top|wfm_player_top:wfm_player_top_inst2|DDR2_ctrl_top:DDR2_ctrl_top_inst|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a8~porta_datain_reg0 ; 0.407 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|data_in_reg[6] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram|ram_block1a6~porta_datain_reg0 ; 0.383 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|data_in_reg[5] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram|ram_block1a5~porta_datain_reg0 ; 0.383 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|data_in_reg[4] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram|ram_block1a4~porta_datain_reg0 ; 0.383 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|data_in_reg[3] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram|ram_block1a3~porta_datain_reg0 ; 0.383 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|data_in_reg[1] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|avalon_traffic_gen_avl_use_be_avl_use_burstbegin:avalon_traffic_gen_inst|scfifo_wrapper:avalon_traffic_fifo|scfifo:scfifo_inst|scfifo_4m41:auto_generated|a_dpfifo_2g11:dpfifo|altsyncram_vmb1:FIFOram|ram_block1a1~porta_datain_reg0 ; 0.383 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|data_in_reg[21] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|altsyncram_1nb1:FIFOram|ram_block1a21~porta_datain_reg0 ; 0.383 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|data_in_reg[16] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2_traffic_gen:traffic_gen_inst|ddr2_traffic_gen_mm_traffic_generator_0:mm_traffic_generator_0|driver_avl_use_be_avl_use_burstbegin:traffic_generator_0|scfifo_wrapper:addr_burstcount_fifo|scfifo:scfifo_inst|scfifo_5m41:auto_generated|a_dpfifo_3g11:dpfifo|altsyncram_1nb1:FIFOram|ram_block1a16~porta_datain_reg0 ; 0.383 ; +; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_dp_io:dpio|dio_rdata_h_2x[9] ; tst_top:inst3_tst_top|ddr2_tester:ddr2_tester_inst2|ddr2:ddr2_inst|ddr2_controller_phy:ddr2_controller_phy_inst|ddr2_phy:ddr2_phy_inst|ddr2_phy_alt_mem_phy:ddr2_phy_alt_mem_phy_inst|ddr2_phy_alt_mem_phy_read_dp:rdp|altsyncram:full_rate_ram_gen.altsyncram_component|altsyncram_reh1:auto_generated|ram_block1a17~porta_datain_reg0 ; 0.383 ; ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ Note: This table only shows the top 100 path(s) that have the largest delay added for hold. @@ -7741,29 +7562,29 @@ Info (165008): altmemphy pin placement was successful Warning (15062): PLL "pll_top:inst1_pll_top|tx_pll_top:tx_pll_top_inst0|altpll:altpll_inst3|pll_altpll2:auto_generated|pll1" in Source Synchronous mode with compensated output clock set to clk[1] is not fully compensated because it does not feed an I/O input register File: H:/working_dir/altera/LimeSDR-USB/lms7_trx/db/pll_altpll2.v Line: 504 Warning (15062): PLL "pll_top:inst1_pll_top|rx_pll_top:rx_pll_top_inst0|altpll:altpll_inst3|pll_altpll1:auto_generated|pll1" in Source Synchronous mode with compensated output clock set to clk[1] is not fully compensated because it does not feed an I/O input register File: H:/working_dir/altera/LimeSDR-USB/lms7_trx/db/pll_altpll1.v Line: 504 Info (128000): Starting physical synthesis optimizations for speed -Info (128001): Physical synthesis optimizations for speed complete: elapsed time is 00:00:21 +Info (128001): Physical synthesis optimizations for speed complete: elapsed time is 00:00:24 Warning (15705): Ignored locations or region assignments to the following nodes Warning (15706): Node "FX3_CTL6" is assigned to location or region, but does not exist in design Warning (15706): Node "FX3_LED_G_LS" is assigned to location or region, but does not exist in design Warning (15706): Node "FX3_LED_R_LS" is assigned to location or region, but does not exist in design -Info (171121): Fitter preparation operations ending: elapsed time is 00:01:09 +Info (171121): Fitter preparation operations ending: elapsed time is 00:01:19 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:14 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:22 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:02:23 +Info (170192): Fitter placement operations ending: elapsed time is 00:03:07 Info (170193): Fitter routing operations beginning -Info (170239): Router is attempting to preserve 0.14 percent of routes from an earlier compilation, a user specified Routing Constraints File, or internal routing requirements. +Info (170239): Router is attempting to preserve 0.15 percent of routes from an earlier compilation, a user specified Routing Constraints File, or internal routing requirements. Info (170195): Router estimated average interconnect usage is 20% of the available device resources - Info (170196): Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X34_Y22 to location X44_Y32 -Info (170194): Fitter routing operations ending: elapsed time is 00:01:50 -Info (11888): Total time spent on timing analysis during the Fitter is 97.10 seconds. + Info (170196): Router estimated peak interconnect usage is 29% of the available device resources in the region that extends from location X34_Y33 to location X44_Y43 +Info (170194): Fitter routing operations ending: elapsed time is 00:01:59 +Info (11888): Total time spent on timing analysis during the Fitter is 114.24 seconds. Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:21 +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:23 Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Warning (169177): 16 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems. Info (169178): Pin BRDG_SPI_SCLK uses I/O standard 3.3-V LVCMOS at B2 File: H:/working_dir/altera/LimeSDR-USB/lms7_trx/src/top/synth/lms7_trx_top.vhd Line: 151 @@ -7789,10 +7610,10 @@ Warning (169064): Following 4 pins have no output enable or a GND or VCC output Info (169065): Pin DDR2_2_CLK_N[0] has a permanently enabled output enable File: H:/working_dir/altera/LimeSDR-USB/lms7_trx/src/top/synth/lms7_trx_top.vhd Line: 126 Info (144001): Generated suppressed messages file H:/working_dir/altera/LimeSDR-USB/lms7_trx/output_files/LimeSDR-USB_lms7_trx.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 14 warnings - Info: Peak virtual memory: 6311 megabytes - Info: Processing ended: Mon Jun 04 17:06:01 2018 - Info: Elapsed time: 00:06:42 - Info: Total CPU time (on all processors): 00:11:50 + Info: Peak virtual memory: 6258 megabytes + Info: Processing ended: Thu Jun 07 14:10:15 2018 + Info: Elapsed time: 00:08:00 + Info: Total CPU time (on all processors): 00:12:18 +----------------------------+ diff --git a/output_files/LimeSDR-USB_lms7_trx.pof b/output_files/LimeSDR-USB_lms7_trx.pof index df2c2b11ead8c1bbc4ec68f825d8d1a79c340c22..075bb2fbe0a34009ba4b843f4ec7b7ddd9eaec9e 100644 GIT binary patch literal 2097355 zcmeFa3zVhVb=P;kugYT^9JpOGh?jv~CE+|E_)g0R0|EAw#xf8HC0RJU^Jyf7CBUW_ zWLU`x178n*w|E}-s=h6% z^6`&<&&NOZv5$T9V;}v9^mXg>z#L+?b-MKFy4z^? zyUo^h|El^hKj!vI^L!?u(Wj^ zeIEnb^-H?6|Fib#@s?v8=5*+Ty<^#roR{)#{Gm^e(ElNMtwb?m(k#sXc2rsmP@ZFzMadxTsW zbD0~uWe$fqu@2a~o_J({OioZ@fIe2|kwF+=p&`yPk3;_LLf>QE#yrRY+N*-x!JGlM zXL6mgB+G z56XU_=H~*s`$BE_9YW6oKY)(7-RDYRO&ODT@PA2oNx%nRz#OoHpDFx9;cpArdaS-K z%#nJ2pyBrle^B`S!oMN>6oGw%A94P$@W%w~Um<*&@WTXZ!I}^s{%eHK5U@ugYWn1vX1bn)V7=S(2^ZFGH=m2(X0RE2(1zbW0*t|$_PS69c<=)RY{HZyVxwAg3 z?{fk=@}!;{f!b1=C9uugBr~ijMP& ze(=9YpjH4Kpg%s;hcTGbTLgTmTaORL4nAE#W=)ad0dckhb)_buRP%b_wL+Jn{r(B% z9=?>9{BLXcQUSjXe0sdk6d03wGcNUdD0DlN`FC`EAE(RIuIr%7v5-GiK=&gT=swUN zDnO|TAP?|EgkGy(AW$d3wSs!#1D{&=U*53Paf$!10OeZ8TKE0MDT>^IojuiMDCZJ6 zP^-*twPKy&-zq@4mVHS0HsQ+zu2}#bc``nG5+3{}fqHW-#h-YbW4W`k?epe*?ECun z2>A6q$NU+;=W!|g5~1t=HGw_>nlk+y#)y17HV?e@A$;03U-d8qSS{xXLVcdC;ei$+$nb0lw$ebqU0Fgkpw z2jgTGU-LDbKggUDfU%IlA&>ms!v84{2e2QxFMhee-s9W_@EypUJN?>)%(?l60@pNb z?-#f}L=tNBhVjRc0sHY!2|Xv)spr;n;Q9`@u5u3teS9cmQUmJ69_#fY_n$3ry<-m0 ze^>aQgg+y2P3Jn*fxh$D{zm~C#aJ}#GtNoQ6Ye+gT}M8Q^`8mkMJ}u*WYqYgY&iLNPO0;UvuFB*9+b+;@m*yeBmC%b%SRP zt_9$K7W%cMU*ox+{BQx8^P~g0=S2?W(q-~wPW^sE9RPEM_BCal{%rvrK+k=Vz5Xde zAB(*K&@(Q;2IX0>`~7Z#>-;hga$yg04?~aK&jHpY7GKShXODcbu+(uq=-|4P8SPqf zV;wke0dt3v8_yZ^WqzRN(Z}XIB`@UVnEm|iI`%B@uk?P@YB_oevQC20v+Q)k;(0!HX#3o22{w@fpdv_GhjZ{ zf;9y16_$CxvsPWlx-eFk>H9W;=fK}5kT<#g9}S$p$lyx^){(sc|NjU)6QIk{cWplp zI$d5T82i5vsAuAXjbYp*0R^LuVY_de4Z7sqk{)s?sM;X^f9_j4%}~+_D0bpq&FgAkhBYtwTgZ*t5t;%mMJ z9(D$2y_|dSiw)ezkUOw1b-a)EjY79GPsYipU-1<@xAbwL z++VuPnB;@p{dry_kG=+6letE6PwdxGuARFpY;vp-W8nkn!?V|aF7)+dtPalQ&i6c! z;X9DY1LUf_{q23vGS8kvf4_pfev81E*pPLb{DS{o$UEuReI56rC0H-cHvs?DLg$ft z?u@rY&x1X|+GFcFo@E)IdnP%+e~Z8~Be`-9#fRK@y+)0B-HQx(e=%q6&ixv6UB8MD z3m?t_#_oH(=fU$H&rz%`&lZe_%>KC+{-nUQ|LcW*J^N1K{}Ol)_GO{-T*G)yLS}7v zZQS!99(nZpIOC85==Ff_dGvisAL8)3oBiA08|M9-KO*$p$dCIDdO+SC_)&l6+RwwD z2hSkr$P*no0G&tqfV>|%gL0!<1L$3ikWc1`kU3wl|BRaT`lUvUV%5{oo4|tx- z*k_s5?mfkR9`+m<3!v{h_BZbng1)c2j=jd7>)>U2=b`K`C^-Q3IQM6+y{TEPkXe`I znCMuquH!v2t`+FW3q9w`pBH$ajCnE^`xNjxj&ae0{u~XDp4Ys*w(L1Tc`oIdj`f2F z{W+d%Ir|Iwn*`=hEzx%$UK8=!0$o3UI0y97KJY+5z770ttG_mZ2YS2i@>dC*bIg~# zcoyVZ#(BXr2QuRUbWn8g9bDQ;fO7!wUfwbX#^OEnuH*MT|BZm$^Z1*>A8+{Y1bjHp z0c*d1@N(AXJ|bAk252M`0fgT2ss_5{}^WcZG5hhhgj+b{<40Ou2O2iKA1 zdXg7=g?^y>5tDl}?@^F1ad-~{ii|JUDD2$78Jk*h9wYbbd1kR%u?Ns~`8Nx!>vBBq z;phO*Du8D^+#CKk0UhA?n~d499Gml6MAfrD7 zdS1Wq9F7gS?^D(eFdu5v!S(Y|JT~6L;oR>&P@dy?#wR{G0eHskI-c`?vXItipZx~{ zuK|8qL$6b(+(SFhd%C>WNUr^ShSzBpSnANTHvxMZ8Kfp#?#+PL0R6Q&GWU7jhhl8- zlMcW*tT8y`kx8c4G)K)A{W6E8je9olQ!MY@{dp1n;XR!DqHKK>s;4CS$oE0 zuR+m4SvzXP^%anN&y{Nk;C(#qg}fiYUIM&t#cNz{LHzFi-NJt&{9}Q2W=XMePvqXi zcwA4o_d&VNpkvNG4`>HDkW0Uo@r==P{2eLL79+%sn0sTf@F5w`Cq(hn{Ch_Bs3#c^2+|2hAF?@6p4ve*JlXIiP3HqeJFC zjZepN4X_h~{Q(cS|8q}44;YKrjl>09uaG-<-hk)*?am`F-&0)P2YMb{d#F*4nk_Qm zT;}x%dkY@$Y{7dD=-7AIIT!f-Dd1c{rv86J;5`JQB6IBk^k2%v?D;^M+cF<&x0D&1 z{e})3uRZ>Xz-tD;eHNK}Ga!$@D!`K??;jv%HrZk$4t4I}J^SUjhdl2+^LwJMr)FGx zS$Fm)@9F+RfpZCvH|ySgm_z5Wfj+Mu^ON+G75HQYK3Rc(Su4Ob@7sl2^NT0a*<#{u zYD%3QcFg=MoSo{ByVYfNGg>|D_$Ybr7IWzIicxME`5=br!RkO&_HMgjyx|g^Y}s+? z^7QXmTE1WT#R5FGbWfbrqoTw>`x{3w4@zf;ih0iMxQ3)e^P7`rvXfwK4R^uSye11(H9cf2pG!KPxg zoxW*y3USgsYUDk?ec>~I%D((b>HDbyKmBLDs)6i=_f5?Wmsh8_acaG~?w^47Ki`pU zKeM+l&cg%KzGF%^T~gy|eq8$mF46s#r;Lih+5sQ1MxT__S907N*>8BKrtb8q*vb9c zx^QVmG}d?#FSUH{Put0_n)6rg@dPd{NWC?f9cphV4jo>-Tz6f3$2KlCb*E3oPJVp3 zmwqbu41d$lFV=4jmd{vTnxdZ!Zq4PGW#gvQT-?PsMenrJj6D0}l22-4&{R2?ju{os z_}&r>FBqy?E9IVuTSO^wgB(JkD4-{&TpA)f@v|bL5H`~lgG)pqmqZ)cC23@b2E5Z? zGRo{?VGK)Ar{@u?j#ihJoir}x4ppqD=${?W@m$@GQfpRIeH(9Q~~8bA}tb&u*dUCegisv&-x-YsOT?Zvs~H zuaL?&|5i4Evg22&>qz(5EERfl5%*&$W|!!XW_BU&@$vLH7q;_(ORW)ij*>2IpsjL= zQ=kvD)fz>1d$~MOx{HA#%HBXs`sP46Y0U`CE~TUv3BYhMuE{&;1PJG@zet9#S-xY^GZg7P+L&l6a7s0bow{r*lYD!(zcj4 zMk@Eju^fL@-8sWmWXs(Jn=pe8{Ul7~q8%5!*cv|zU0fYfR*<8*lE;j}+nic%UZeq) zK(WWw>BiVzwnHVSB|>C0+i7dEUdpF@B9D{v$u>uB`OWez%p$!uyIY*T&#Hs6E6x+7 zv>VNLY~yB4y!fB8$g@TAn9)KPx*RrtP2*H>5d+Y5VZts;-x z{U4oTeY9z|>}UKBzjrpg8pd9Y^C#;2{WRFkQ{mRcAH7<8?uTxNlX%Ss_uOw6>h0=R zseQG(XfOOO1>4i#6!tgPO?zl|x$KvF;G(gI!vZ$r6OAI@{qUvEv4{5Iq(=v;#b)nd zc1iE+L)skZYRK8bF6Lp#9m7F}U0*V>!}OHHQdMX7{mZpC{nB^gx?h$>YU#7DSW0`( z{&MCir`dye1h8nc_!cSDnktdMch;;+VoPC?hU49aC!4zS-%HM$z856LUFCNimSt|O|BE<9_29RLB}@4jkq_5F8U?ULFW*E z^TXS;Po%Tv^$IyYQLI;V`e!ys&-xWd{O9cO4ZrTO11!^YEnYa+Xv=YZYVZTfBNu~=yINY!ri$F&d3Z#bb|tti?&GcM z5hY;MM7{CC=9U^oFK<0|M15Z+mOFme^}X?p5T501r(ET;owBK^Y-Hyl?m%bza+jkiSK1{!%yZt#HL=MvxhEs+Kt|s9ktGGIx|NbLQ`k`EsQmt=_3`vh9Vk?owhl+RAr^M z)g@$fz@u%A?aQ-mW||+Q2A?gg(=6(lS=2=~;Si0@tbWJ;fB)z>?N%-ARWq*fQ7LLE z8*y!(;_%bYa;y-S3OQ6y3Sa%ul_t13`LX~

O5i+OZzWN&y3eq}MOGKO1ezsHcWV<;DlB&cy{yTtRM z4c9i(7=2%uY{xiw25lY4q{BKBw4OI{4l$cO9cH<>A{*kD5uVWeD-*o%XX1CR71n5k z(;eF~NqtYZNjp+^>?1gnp5uvO&C~aKtdx09dEC?Q3%!r+t5d32Yx3Df<-5+87I-^U z%yON%Wc)zxB6^O7snH+u`=in+d6GC+8=sn6T077k%KPRh)Z<;$!S_4eCPi+y85X+P z#}>-QhRP502l8-Wp2a$IuZHQpV#CiJzx{i}Mp;DXjA!#cV~9?(gQ2j~o#JtvJ=&PF zm4o9G4a_0xzT#epwp1z*GIMbq!1=wi9@RXgFduTrmdeMr=gs;E-?|vI95<)<+@6;O zl;azIX2?dntUC&hj@m^r0ZTI?huS2;&pIS)ss(FJw@=bTOb!R%2e!)swqWVHg{!p`!ckGTU<++*(mw2Ah4X8cW#3EQp$Y+6& zC;&_d5NrQL(lXoR^`x-oI4Oz;A8oR|6pVBOj4BvSchvs?{+Q~8qs?99xeb+jki*6f z6*uJcsHx8+LzS$XV^K3f%dh)E8hVq%+q~rslVH*I#yit#rMS^pS3N0xLAiF#&_(3tI1DI<&8dyxXI$}5P9Wl zhf`}P?G2bT9Q$o3)HrHG^776<(_gsqocjc*^5JNxK}{{k9_pUd1?$X`G6@;N@JmhS8(oT>FE@aNL$G#0X1+>|0F0mS{o&%S z5NEIe95!o$sr#a&LybwtfhB1Oq4dTsNnHxJ^CmUN44b(Frp~g@T^_ZSZ1%l{kagiHn*%I7nz8#}sWdlW zv&LsGQmy?A2=&zGSS7l3iRsN-z-MhQt4SpnPdD+%phmlFd?^I)&AT>9#Di_}RtYW? z(js+@!@T%o)FiAkWj%8}`<)^=+bJsSMn~0g6V_ETv#3G0Ehugr`*16+tg5sowvV;h zbIlFz_;A21VF*4qFpolA8az8i>r2B8-dj4huq59!jSRAXx;|x4ul8@QeOkSi&0XF( zrC_3|jAU)Nrs-B$ZpVS4i#5C@bw$Wos@nKgf{@(15^5>bBy*cz(b%DG;UQa@ruO*dP>jwrj07e|k+@N#Fj8ZX-YS_-@y~5S>#jF zCaD#IDBB`emqEOKgkT#dVySmD(QM%aZ;emEZ%|C)C%S-1o!weImy>ueU;RR>G}jkp z4m5`fQ3b^&4UHO;E^M@6>wYS|sq0Q3-dIhC!!$_dUt&q&`yo~!U!~y`hoO~^iAJHO z96%G>L@#;PYk7;x$HaKNSM0&dAXFV8%SSA}4dV1ud{VOyKX5~oj#&B;JlZX->Bq~p za>`MVb;R0AT<>Z0#{Y}EWQ3OUbO0CRa%&&KFgcXGmseDY{cxSZr35s z-WpRd8iJW6Ltnw7)v1$FT>pP?Y9MmpFYeCaAuQ z7kaZTR$=R|wx!@xGOqt~uu83{Smm`%YT=C`Bj1o(Kj(!^kdZvuTfX2@T(i>rfxO_sP93> zt5&Glb50bwh$^;;6Rnl6^li@6RO+5lCLwcS`|&>$X2CBnGm83c$z^+81nl>f%jV24 zh;qH57tx5K^o}#i^)*^5)}xTiG$|W_|Lc(`+wnA8@nWL# zJ9pAYJC{&0A$D?0Uyk_6D60@{_tG3S)G}%@`6x(#^-DH$3k=gBq*LBM1rAk0VQ`UyxBKNVH8CAgBn7fga%dvYjYu?K0 zdS)}G|A#*#G^@3xrJW)vP&&9?LKcDnB&!9Pm`WH@-K2jBaqeXYg>SRDS@_nwctp!) zajCgLZ>d&^uD6+sPmN;U?f5zwaxZ)qlD+KGDkT#UELsie!T6Kycu#Ldrx#LkhKTIY zK1ScbU8{xh@A&I-IDDICtur7xDqIFE6`28&vqmKg)-D&Dn)B$rT3-vNx!Fy6Ry8II zKUvo^9zxx!(KLu>`hj|>n`M0I1C!eXv#Ac5(R`78R+imZbsHY7WV7Mc-S*j*wa_I}8rY1de=isd`qGPgbT#Jsf@u8G#=n)I=-F5t=QylGs@ zDP=50nflnXCf#~F=szw0@ujvaJKFp;NUM6WdCDZ!(72`v$%<{TTKtIF=m0g^gGR~N zfvTpQF})iNhNkcMCQndT;h};>6Hi76=E$2$tG*Uwo=Hk-9WEM}9lH%_Z%k=y=_+S$ z^Y`@jplS@+b@hYJu;kK9cP;%F9N+Zy%kuE%Jjgb^^Yb;^F4WHOtJ>Lbq2>9jiF?{X z9bLyEh*DG8CS!(pymN1Qv_xqcFSPM%;~J*kH0zwpW;T0|g6l*xV>zRWR(B`J@tjMD zsD#WVSdX^NZ!DKhPHnL?9OuMP4&@v5pTX(9npVMM9xE3}fdRa0Yhy&CWFc=9I{`he z`%%)ei-OM%i^8`k9!5Pr8;qLjjUi*r0x?vm!=sF*<*NvuCKIn6)T4yd8dnqraKvb4 zW|_-ukyTOfIY2}?(3#{>zjEyBjNCgPI|3;Vga5d1Xo5jicu4mQK3CQo--tn%X{J8Z zH_}Y)BF$7}m)oLDm^4|3F5r<%SKGp}jMDY;UX-kBQD)(TGYirdKqd2Uzxs?WewPz20uk-YZ(Sr>F0I@8zZb z>-p2Cg6fZFKgG+u*M9vo&+w4^^_?n;9$W2wt@ryBjVMov66L9rzVAWf*$zR-fTLQJ13@Wjz1P&tzsVo&T`UR9(uO-t@Vj`@s*EZ~w6+ z&{w`mNs1+{LA)T>@Du~pPf{_P%}$3}hrlC<1@+KHFDXRBfQ*A}8l8B>q{9mr1Jec& zW1{l%wQXxeoN7F#>$R1Ptq1hF@tcgG!P(1JI20wahX$aGB~le%P&857iWRLU3Ow3f zQ^o3V5y7KXQ!9;*8*onp6o`K4W68dXcD|z?^cfH2Ph33y#6vuB1b<@B$2mTElzZP1 zTSmcLi&Z0NtE@>%#g4K&0yT*xL29hHx-Tv)du|dEW>AaQ2EigO;Nrcu5lq4!49RI4 z9O{LA;441Dsz-;xrO|mK;Q($p^Yf&*&9&wgzlYFVU~9BIU01|}L9G0uUz$G4k*#72 z=j1}%Z|az`L8=bZv4qXSjtdoH4X!sWO@*k|bjRJ+s6&nBa5M|uGJQ{tS~w0qyyxof zh5$TT8iREj!J~a#BafZaj;s5H@423q4mo(|p=Ymo+fGqiLHf1CcAmAf(se#)_YJ#6 zEW&gjm@#a(G{aJ7OJR@qlxh-7^5`}lyp6j1atl3<54xrAULFS?`=a;R*M0rIG_P;> z+AQsstMXa#|4v#w$Wui9;iP=$0HLaCmBNVukzoz?pm9U3!$dl&n6@z1bfWEvRSHMB zH){)}TES^nhTdXajE^MYZA%YVl*=ck%m?+0S z+->n42-!wu`W_3f_B4AHn+*Ys^PnO*+` z6@Bi9!gPR%#+#cVR2qw03Y<(91a9sodn)Zpv?kx$u~DN#!XxEYx{?m#fBZ4onVgK6 zXtnF5;HN5T9pX2aMv&8}WKY24Qrj#hF>?wgUZ{kXQhvc9$(?Sk7fh}zoD=vWOmcAv zN?~;dDJzwI&jy(=9XJv#%=A|z$C&Q@Lk}*BBR#_2UbkecWAw((> zRn9?CicP^BPWmeauH|@xRAc@oZ8e&kPLT)c*y6c%<)o61z&G@z4HPP3H4 zDXd8<7n_)x^Cn@3Co`GoCL)F+a_>IkS5`>^QIb+t261D5S^kac#LcQjwU znUSoeyqYw}bNHr^=a{CZbEIfsdMzykk5)QQIYe9VX>V)xm|rj`^a#qK` z9jD|hHI~w?t?$jN-s*F9pxsCwFDlw-JjXE<30G=)Cl^;Z${%_|Ug6#D7hNi zC44C~e$a?`gPMU;cx>ypSljKua|&|M*@@eYg=$I@bw}tJq=$G>M zsh`y;M(dp)JF}ydXnmaVnVUq=N zc3w#V$(u`|p(VMw)o)gmy%!nUcjr zCF+$}2#wJMOuSXGroE=LYS>+h$X?n#9LmjzIyxdd%?)@wwxs$B;)^UKRUL9!XMaf% z(V2UMzAVblq~!pn@|mdTfXTw!>;ey*#=3+6ss+K|$xXXSNLBT38wQ!@*=Z*a<>Nn_ zv}QEp!0pv$EzF`@*V~Oex}{M$1iVp^WGxiFKZG%a3Wv+21KNSswWlHrv)c7!Pzf_u zHA1x4u@NGYOUDtG&UA`;2}9T}qc>|9k~f8`uoI1dLcOY*g2hB^Of6T+8MSj(v`PN) zPnCE_#UGlw7Z`flbV-bpsk=pdiFN5B`;6q(da5Rf(d0P^cM}#WwXwOCL!^^ArLC#t z=Q=>dGfmM#jK=%sZmMpAx{fGr71N@G9Cbq{Xg#ehU-yyL<1n=xt+n=?k_%Et3{FF9 z3L3{YFV+N=x}1IIyVPPHaz<^gl7!G{>&px*+HA5GJyk3mV2V}^3)S61XYy&WTJg<1 z(hE1ImAor0d_xA(^*Wi_^-0GdbyZGWD-bTtg9TJzwe8G?3z*s(|CFk?w5KqsaTu?v zl5CPHve5);PVFjlbvfX2A)CjKxtzu7RES=&kF25)TxY^x{83_t>~An2Czrh1riI3M zws33D+;+3q+#TDKA;u;9NjZ4-k+}Cbj~2xU<22la49fkE>DHDf#N{nHyyYT<4(CU~ zBdqP2T~cVK&WHw_HWJq#k}(7g=8e{xJ1k1>a;uE%rpf(~?-GNX(Ikc>>QluLRG;x{ z(YvYnq^P#GFeoCr!2xS!N$IvW(q1NTn^U=v+g!is;_UzItU)73vUGK4*>*O^t2?&* ztZZdK(e?%P_3e=CX+Nu+uD@F-kOLIah$%S;Hi^? zQ~`_D$CTza)j6X0=$89$_KYEOT&r2N?Bx<%ng$D6TV1PVeItV!X}C<%%7gK$F7UOw zjb#M}Uz_SHRVBOgdmp z#6n|LEMGJcj7G3%r6t@fHKnDZkyKXcyxWt>cIVa2<$JD`#)kb^sDjee!@9OOQ?}DA zgAFET(1g|EqTOzCZ=2ubxvG-1kotABZ^I*AMocI>@M9eFrqQSwO|m1bF}Ti))zqsQ zFMnKDO)nP~cQ18W)fmF%t%#Bp)U@ApiMY@J`jxmiSu|AKj`e9FjUC|?XKS)n9rCHn zUhiM=OZ2K9F1JY@zA4v+xypOe=#>uFx;*m_OO>m7%U^iG)l&|W*9)!Q=;fSPSAI2a zF3PrLd!q9~9o)+0`T8&Xn6h??9eGjK)j&D!gl~G6v}C84|oyDj9-0M~gRwvi)Gj(yRFVu_6`k*{e1Swa3Rj%~G zmg1%gzVOZetNymzpb41i^;GPy9X-`2VeYAyKE$fe(+r{yRO_K=PieQ`A)u)ABq7x6 zDX3mfRP|EGK%?Fiv%WNYdMSqqZgt_xUUcPQoSZ14m-RmSX+kO&^d2>X&9&>%eYM(di(r@f%qJ)TOLtK%*mF=` zr$MLHWdW<{QUQ4DNZV4oUE#CN`6U{E-Y3aA1@f5 z$4`ceR!?8LRgl zEy!j=$sWo=-&Jv$bls2yy_}$H<3uUtVm&4Gl-*t0Rk_qw7uC>dFC`3Wv+zWrgqlaC z@ZEYDrP{j2R^c!GT9egzqf5#-p6JAI^}2g^QWOoNW%n&JFodGQXNC1yC>U>JZ>MzF zZrhgM(kptaU9Gc#>-DCR(_7y1a0|Wr;>(*Njn;7*1$}u!TKzS;n6Wm6wvepl;lomY z-;XTXx6dHoPNIj#O8;H6F>?K0Qd z#^f)Q%W}tazLcUC_d?-=PTI?Yh7O7kxZWn0xx|&n(QmgDIM2~>yj*b|qwt%T(Yxw{ zyj>w_v`sFbM%yCS&DQ_PSL+)8TUA0_2kmJLOqcdlg{~DF7kgRbb9(8EbHmEkEQlt* zE+(?7S5bLWK90BUn^4_TWX0_=DEH5VtCP4%6nM0h0VdnL#_x9g`S~iWy0K2_Wg;p< z(M2P7yycEB-gZ20x>#&$%%Cze&)^z43yxh*MLq`A>2n=)n~rg@mg3>Vs#a&d($;8` zW-NO4!sSM4bu$Zn-cL%kNwRuHJ#yJTqK|103K2i5H}fxdq+uDJT|+TlDR8f_tb`zrM0Zcw%*$OS+FtjReHnw%^gzUj4ET}2ep zjaPhpa>uSsC%RUiB0Q)=L@y?&7Qp4j%@xWO#L6wW=-R0nFQBH1iSWe)L1P&^UV6(> zbm6PWa&)ws!#1pHp;M_aQ9$J)GtyME*Cr%clcBpa%GDKy)%xlJ|4ZJGNqIv**QE=& zMk~h9>VhvwB7|Rmt{CNRaPxpbtPBumYv(p3wQVzetg3z8%E!BAwY zD4XES)S+TgX{(m6xz+3y4-GON%ST@F4rs>6no$)r>RRuBLfP?EdmOuv*5uSaV;j6J z6mR}I2qi;)M$d~PLd#8wpbOD&|$Ls9fHC1hP+gGP{Yyqb{I%b;qZgO177gjf~Q zHq2!%nRt_#RdW%RPeh&M3e}Z?!du}vlJg`gVY);~&y;JBDw)C8L7&O>&>d4wzwDtb zcm~nSr=Yu15@(QZE2=y+fq>EWG*!_Ja-W@|II<;y6$Zuj;LL(>st7%b{8O!o!1P?? z6tdv1u^v3q}m;5SDe*NX8vWl<4ytG>JC7Sy$4R7Y_ zFZb)4-<)3yYG0zcdZT)O_`|Ce^ct#MUJ`xZ=dafUMape|e^TnWoKX zmqX@q^rb7lT(tWtj-oNM9wmFvGiqPx=~4Puan2WC#Zm8Pe!R|~O)00Rtf#=n)Ls?Q z@){!Yz$%Lt9cl*22&bnhi#>!?`k4{D8sjLp!(HcqYissHZn0<1;|8$ubKS3XUX9wA zRi?O2$ftS96g|)No``JnUWu%9Mk%U#aYh1w^Hk9LHnMw>cV&jTX(952LK z-s(Dm2I?6R(cxbz|LEHg{>8)o#i#HIXK?&#B22%l3>$U0qix)F1l`5*2@fB1Bd`!_ z84L%GwT?Ioq zHWBJ0g3);Y3Em}273RoGeFHh9)s)nF>o2zI2za!nR-8x`5i?{+z0#`+w>?uMW8C?? zO&jX^H;Snmcq6+!#(VOu=q7bEMf~z-BVI3h%T8YT!Bust5qw7pBv=_BYJzf0LAk@s zH+#33(t0c~E5#1P1}R^cEQ2wz7R!=jzT$vq8-7{%)P%Y>CdRt}ttq%>BG%Gs5(5@b zH8uC0CM;P$JJil953ydYDf9u5EP}W3CZ+TTbmT01@zY0rj2(}y*=K$&-K~&glq@^6 z)Fs$r$Gb!w0dJ99q20*JrH|f{=+qv!q>X4nYqZgfJ9fc&_T6brY|m+mJyfOH!5DfU zdva~kbGg4R%&InS4`~YRgooa-JdZ=6cMT$H)gujOfDWUhQux}xp)GqNPUTj=6Pj`& z0j5vOBxl&6CMA+<_ z3ez@IELKv0yMf5mWvV;VSVn#;y~BN3E7!DY+T7L*JFbOxjpS+sr_e5MuZ(@t>b^3K zH0g-&Rf)U!B1QbH?0(E@WTm8{`ii+asek`_i{IatXTx7t&h;L-tMslN@4%@S-ujx)r$(FJwm(ra=5%MNd%%SE> zK=ZR{ak6W?Kvg?2IO7;9W~6y-+hC#OR4ZpX61CBJuzsSZBCU4JOAmF^wjx({EYjhRl{jH7LXJN+b&} zJ3R?S7nJ2L?1ehH)sb6lOfs$?M2s`;1D@JJR6lDgf-Zfge{8F?s$;l=zaYqK+0NC+N2O1n zRruMt*x#$#UKM*mE|NwciMXm?rT-t|_(kd7U(QgBy1km-Yxs>nk%X_9$z4|maN%sh zp}0(Pb#0Gvu!>15WI=gTs=^KKc$cPklRF}XhPkUF_?OO*PwFIMC1BCXrM8pu+ft|Z zI+&5r7T(1Url#uXILmWorOBuc(weD>jvGy_+*i8NOiFUBQ3M+mVM3{&df(1!DV{GS zH;%Kp)=Gy;u|1a0`RZsYMLL~0tNTh)y+;MnrXjatlbSQJ8Z8tui?Wa&_9{O;4X}$! zamDjN^OhGpf!(b&v6LY>70V~uYy^;Ie<5Jnc9JuD14q=kTN zW3w;`yiJGtMBAFW6q09B3$>xGp%H5lq)OvbBW$3dEn_upDP4L)m91Ep)k2kN1nZgq zq)0uXC{+lnvHNGb>(+ZF$Bn~Vho@+NWd6Sl%q?{U)ldT@V@qQ_Ys(dkT<`$lnyd$D z3K5+#Y1oROo^+Uwgrc=nYA8a?LEGRVG;fh~Bd^-GUL<7U!dT+;)4Fm0IfxLBixk+? z6wkD?MFO=2uoO$JJ~VbF7REEl=h`hA#HC=YO>r?2Di`*(G<#?p`P-E?>2lCZjY9-4 zHuL2ub@TAyi!LrTqJ|)N-zweJ*wDTFWiFk#C05;i7b(qK5!tMVO|9h2pf(wY2F+kp zsU-QV$;o;5!aC1j;TZlZ#q&nz*Sh3!qb8hd>vHBzA@Vp-`vBBEFX1`8p_Fg@T9qyq zD|~21(qqRfHC?fEg_rDFIepSX4^*SB*oI4Mt2kgZ@w5PQlGj5mxY6AP=}e5aRqlND zLoIgy)YVg~A5oi)6brd{mBh%>UqA3GxT|KAPG+eE+*YLD*FZ)!5H{1f1 z6VNI?l{6x(IQ@tZBCf%rqjfPTS#0n#H5TiP-b%{|VF%5;Qb)m~jZu=VP0{G2d&LG- z%o9d{*Sc#~W%6!F8MD(g7@}N`Ltg#%{6ER`!6Z+&=uM!QR5)d0L9$H^;~v5G5rgrN z)e37b#NB9av4zv~Ew0(hVeor6>C!Odo^tHzD1D6|NE^V!$$N$+S5YS4G^t;<<0Pkz z|z=%k-Rm=tY2@v6vyO?Yp2 zeJ)T>O}5e)*F{x=A)3y=(jYM}?5^3Az31n|@RpMtc2nJPil#oR@`kBG`ln#d;|G-XX&Imk~JWR%gUQ_iLmH|8r-4CP#N zs{W~ysw)DlU5aMcaH)B#-pYYNVq_)nVqO29SHEK$%k%zJ4ELcCi;;4bc_Tp|UU0P= zF7#jWdzHZUG&~4kG9(;wVWBx{detU^YFti=_8QaVm@^ybBYrA(OuUJgA#IXssBmGZ zD;F^vA-AD*i++{99lMZush&q@4s_fyxQpQc8r?RQt@ z;#D)KOC6iQ6eALD22W~I=G09-O&~z8hs;2x(Sj?*@5(xr=}PiSvGvbLPpzviUe?qm zB7_ybu2D>?n(IwBBVa96I>8hY3KQ)|^gY)~bb-JLaa?r<)kta$0kgOcbw90AXW0Ob zptRbVFepV(TWB|=kfUE%9gP~V`DBB#UmDbNjxV)F$XjstNs1**olqprJwnFi%vuE|QZJqlXrYY#TXhapI<2M_t&;iJ{jKIdBQL;Wt!Ifd`?lHIc zAVZa=l(jl4U)QP3?c~IZk3QN(d2X#=Oxs_$9yHThPtD8AtAP(gT-Neir&YZ(4QJ)o zl=blN)c9BypN@G@t!kTJDIskNqh+e9%SNpN>8L@ih*!$0MgH5!%dXaSfA?NlV7v7)jQ7Ks{WtzCQ~=lzs;(AKwjis-gB+Oyzb%I z^W{ZtpN&3Js1MJ5>AIME)b5UEp{e8(`g3pN4Y+kVv%&3hbS7}NF2#zLPH!UP8Otb* z`RVF8yHnh?06q+p4{O&oWb)0`WZM-jr|VF*icsoBeY1Z1I9zK08>uY_#sd{|Qb-T6gnd_=~t`9rAl@GrV z4+E2mzSdb{EB~C;IBv>V_)p5tD^E(M4KPlMRF&_={p0iPIIAPGAOklhda76D%+zY6~}f_h?c!=Jt@jCtk>5c zREHP%96wR?%^*=_a=E!LYA;Myj)v9x`dVJ&s(so&cBPhquK^W7pA?0TYYH!1C<$TI z*14}V>X{+sLW$^WFs@Ge{L)r>zQD6}MPKtx9cUZn^73Joe15s6ugW}OU0o<8=xS0F zC{gIOqtc(K90x7*ViVh4_956jGieZ9U{vK^03P98kCcdzJ^hnw}idv^wP zULSqwo#DX){jHr*)=jNXjdxG3-d`oFLXxBIn?dx2*I(7@rTFTB72)je)fH4jueBY= z`e0+s_2I+q^|AEZ5BaqUuQ(c8*j{{4^u^Z}eRx&$1%1-Jj^p{+g9k*}I$xqWIhiia z_;kH0>B?pF_iwMsO<$r>uW@{+uO{)eppDh7aypx?OMU98H@#s!)p~sV{F@X-b9ncA z%0#be8z0v`dHA92^;6{ypLPB6cKdri6opsar(t;dh>w{LN3TE4!fPpA+2|AZw&Hst zFTSYjhYD{-LCa8rs_+je{K?a%C|a%|qKvPN6Gz?FmzPZL0Gj zNsE0n+*#{m&7`SI8{XrUE<6-oC8=3>k#hG;&jMm}CO=?7PE=&538cEIrYNOcJ)ERf zDdQ9FC))B`qMm3AJ6~_mIV|rN&K^4S#kV}z$hl08RsEg9$5sw`tIG}Y=Gw!5)pwrQ@urd~vgs&8ka3KKh|*1l{V11jI6cj)!urm` z3*Yjsj0coV{a>D-uKmRx)@n^hI-6g+CUIjK6|)$2?_s>Uu4bpq*cP2SbDNbrgI7JU(pSh{z( zO%&X#yLyci1=qSgye?bi8e2(GG^Tu4$%H=3YIzyVB$sd6d#AeBbdVA59*s+>@d3x=0_D!4!qUuP!j2 zo~~jt!}`I??4y&rRizqKf|swMy7X#xJyXzTC*|Facia?%k}1v_a&pp2covp%7H zmO*{g)d$st_~ZEcr&)28=Fa5o{QSP&I4YYW{ctwYGN8_nBPNIb<^0I)Wq0 zpn^S+LEEU>y?gBGtE+qWhGA7UTi?9LlS__@WA|OO1bF?D*n}!dTbqdM$HVU*&~stM3gw_0-wf<#jE; z(bd_R9Bx7|>K%P*O~OOX z`CD2HomX+G@nVErnHrVMRP$g&2$tWzP)X5-CKyuLgN4F$ts%t>}Y6*= z^f(Og(1D#!Vybgcl~OZd`E+5@a7sgdnxeF#gi%p0calX`;|Cuk5;rKG2S*t`}>T&vbXvZx{6^=cs&fd8<#LeG}(skh-`^ zrwxR8AB0{)h0PMRC`(%`fEIIKmy)z~q3>Sfv;Q)}#+u zsiMbnf|?GYeIrLv9%NM8ggyqX!A7G#AzkH;SCo{ajU6xZpyx7$XVLRX>zMVa<5TMk zX$3vDnc9`MlH_Ne$(gKmx|Q+=e{rF&O6G+$%|v>dEGc5K*zm!ooUF||K4tHP&nUY% zR>PrM`t^3Bv6c1(X$j3MZ=O9D!>3}7Tn14`Xf0gTF=`4X3Tm`dw8vY6Mw1LV4rcKg zdPSKnSlPrCMlc$uQC+G*Lg~;-GnBEqi?MQn%=J3xSx^m>^@vx}QDW`*u6)-o#I+Y# zpL#;%s^CFmXszrj#26TTVotMl&tX`;$enE@L-n9?3DqlBwNML2 zGuk3Y;Y4{Bi5;(7vqUXl4XPAHRToRK*TD)nZuK+7KdQg=$KSJ=rm}jizPRF({wmJ< z^z7w&5vnh&Y`5$F_iUccube#F=xP5dj(YRDfxoRI8d0{_tKNGZQC`X@_g~7thI7B} z{Z*V7%T@ILa7OtsG`~cXy|+D={M$PBx4Yitq-cvT^w7J14d(4{T+LsidFds6q32um zm7JGs^`|v6<#Ok}^uGV*UlX*(7kXYSmEJ^o<55t3u_wOJQ$Cg6+f{jA)TLN{73Z}7 zRh&lO*1n2EZ|ZW^{wmJ$t2iJ0VEJobre&D);!BHQuGP|_YXQr6#H#AxD`3>FG@MupxO&8D2k1hKDIQ zqQDcSw6ViWa;T*4&I(1YI*qrboR2zZbVA@)WzysKRKpvufT*8G&uH9r z#><;-^sYFat6bdgPXLDhUh43GBv?mwY5D5edd9klY6rmpH<&a}gx>pce>2GjaZddfLF7FSIF z${;3p0PN7#A7#h0a*Iq`x_XIPhFZq@oa16d7?eVhUND*sjr^;Kj$XSubLl2)z@qgC zvSkOFSash(G?A)b?8-%kfNSUWE5Ba$hTGm;&SGdT`6r`WoDqs}p&h#($t9A# zPb#Ahb*SYevXs@2?@vY1#HoE=Z&bP!4Y!sgM{hHcu72Z}h2#80fWtt1S5Q6%1)?1o zgn&ai7K0$jmr(;QVQl=aT$ai_C6lL9_L5N{vhd8sLTy!QMS=(|-5NqR2B|a&xp;6j zj=u-M59(c67#y4e1X)M(uNx2w~Y6Q=$>hls^ za@7$qvH$eTHL(ri*@P$kS=Cd~QAN=KErU^^awfK%i5Ie!S$cuiW@XBXHfT-5p?Z$2 zv#ApDxr@1*nBx#=7;T5K?)bI@CJkxM*jTbI;$&z#6lVj`C^dehCA50_R*Gs8K#Xj0 zPDD>bS$V9KtEszw9migEJ=2WwJ5bk~1#;*+-r)tJKYxGJh>%;M3WT4-;{9Y{}KPF1Vw3RQ31F@-1wJ|@1>HV#=mn5{65 zdJR4LRdETX)`GUP;7s1<8)<4rxgeISIHMxelkx$X?(^p}<4|q#xk6ei$NCY&IK1m1 zVZBx7GL-YGQPpky=HDU*G-}$`tz~tp7iRH>?F8foWY)EkZ`x*2M=MIK|-bK;3PamszC@Rp@x2cMr8 zuU=eP$tyazcD%T0yBalycCL@dtCwD<-ty6?vF!dHm(s`b zo-)2PJSd-Ws+6VBF^sFxNq99(C*^eYg4{0@=~AKYtA6dH1)7}=G6|=yp7xx&sD^`- zrXMfT3JeQeA!IsNd0IEeAhmF18rOO1M@iCzQc&fScs>+oN=zQ33L|qNw^1EVP|9Jg zE~0AA^*~T9E=}a3%d>tiSvNW8zn;`-zGlKKfqu)s*4kaY?VP?+MeAjR>M{g1jiBqr z30l47qVk-aZKYQTuca16tJ(}Nl#}{lsh_e*ZG>3So*kFhmFnzwVYvWk!-{Sk8B(RoM1XSKgvl*gLh_0QKk#6>1c3KW2R}f zputyJqLa><>LJLuDq<;Zi?XVkmEbx?Q`4Y&YX0qXX}TJ2hSVz1c#i?m6Ey{=l8L&r zYayD_MW5344}~KUbxWfy`;Wgnl8i)8TD~$!9?az#^EENyA9T^21~314w4Kp+>=3MG z4Z~1Jv#oN4DMy-2I>{i_0t9a*gh{a!hn3J}Y=Yxj#c+UCa&@HUdIhQ;I>^Cv&gk-^ zOVpjd&7@mI9AnFub2_lqhgJn@mVq&9HT|XPoTbgjA`mEouqFde%3_g8DqOk{d?Trvo*p zOuHJ{#pYHA(-D}GSd!=g+hzDFNnH~)$QDPzqHWf?Q=~dZ)?&4DS21t_HQOMghoGhv zP)l3RxzrrsFTEKTg=^#Tw7MEfq~gBkj1-!wOk$Id)^veErG6c5$@xwzO_j1TLFZ9Y zRHI4Aj|%#UwV2W^SP2(+nPaYNwN$(vo0H(TXY6Kv_fTtILaua?WeACJD1x5p?n{&R z3G^Es9zRv_YH-n*49yRwR=rzeeJfg3^<`B}r3<%Fgz>$eAOHXCy??A_S$5yG&c0_} zONj)hZap_3IcU9GmF9=Q+%7kRm4C9{opRfRAHLJ>So!nxo6(>|5S}~32t-6@R~ zSweSOLll7mhxP~~A)w;;FBHM4a+6pDq~~D(3yAbQnaF=ch!R)`B!u{U*FN`Eb8?8Kdl>% z6{PboWnSR~-mU|9(+42L)g`VfoKww3U_4QvP~~Q)EOI-)NuN|mH6c`@^MT{J=YThqJJ6@SlCMKa~sR>PEmG;IIkSJv`P@fUP!9!3IeD*A0 zPT&wVlEVVP7C7Y%CNP4}yg36~_+lVRdh|4@=m$rhOU=+Yza&QMASCNBb&WDlU)l4= zN>15ZL0ozYd&*Ho2nPE@8qjdJopkolY609JaOewtmjOJ-A`|8a;e_r)EHXm6gRT&S z+)I|3+MepDu@ds-_<}HXOoCaoEciP`?l=jtfUB5abqR_tgrq>t5+tHAgIX?Wh?`gP zrl3T44Jw?3>yztNDnP}gQr5ggr%1)NFbcky*S<=E}pGI_c}@9 zyMGiZ!=q46pxLkdU~B$F#D!?M*Fmg|LKPjv9YmuVqU3K??F5m-d*Xyce_Msai>ga_ zj_^~U5v!80hzJ!GC#Xp%W)^l&rYSESNlB=oJHVdzW~16k9~{)`Ah|WQlR*2N7!B#11#EL#EX5SRFu0&ecugvm%%pN2cWpyW&4=1zwNx}H>ON?Y7XdX`EiU1b>IH66v@ za@1;5nIN3wHK?)V0z1f^>snTc#3V_!vVM7Ga zw3Bp%oQ0t%7J*Q-4iFYpgFHo1TJ&1y{4ak?7wVHNO6odga0m-Jrw}-8k0Gi-+^L)- z4R_Hk0tdT_97K|lO@*Y4znfN;+QC1k;sxRi96o`VH=K+>OM>R_(zDkvBkNd=?z9_0KF|0S5{riNc)xL4ZM`Dm2y(8Ax0jI)t+J6p}Yd z6V|LMFaS4OfhkI7;qg5XWs+n)cl zlI>D;$A*gcNPVzMaZ|;X0j(V)&4;4yDBSFW5*SH_R9!2Pi5ZQo1$tv=9kkgc)oP#{ zd79TvskOqmeCMaoj;ylMcgo)I+iZ{TTL@vYjZJ-+zpK3Hyq_ z%R^1pJbOIwg7Dhshijft+Ed#6^0)ZU4gW0v@8s|-hS9$eCDun8k1d;iY(ItDWhjZX zpo5+!5f1inL?8>_!CWF6Q?pIo2x^IvK0DF+FY1p)81OC_JO@;Z_jbq)i}n% zUf|1TvOMi>ZT1?Z8&S2I|Gm*<`wa@wE^vB3;kc`Ah_oslBkDHxm6vt)w0ppgzEWY1 z$9Yq|5=7m2q2keuRA+aE$hhXHxJRXk+f*k2es_gdp|hmM&;t_{Z$=~kAJhX`t@Zi4)0eFfnvAPdo`wK zS02y7e&I+vE90oN78^$|5OxK>D(3LKR&Oyz>JfTk7hXMZpD)eI#$D2~xgHZ2Udb(Z zO*6%CJEK+1X1pa9zhUEw32!xPtz5ZJ!W&PXByQ^g1xJ7tUT(oQuoqxN%Z7P|clX;l zsMr1kcx@07-d#7$ODlLGP2(sni`(18mfi|6$&1>0-TLH(jU$quy>&g#==Oc{(&xLY z+LCkO)~iPqFTLK%d2WC0*LmOf$?BR-0op_pywSwZt=zxP%s*I-`5OO7+}&l@U0sdT zmNEjo!`Iu2-wVXxCZ*ttw~5ZT_yd;%pwx&(!BfkLLP>`px}klg*`EeK;T0+ufSCiJ6YK z?puC|X3Yztx5_`eFy=G7&EK8jjl2^B@969IY)X0Wer^k5Cy1>1cH~3e%E#MW*kgC# zkq>(}uv+sgHk$*%uBTx8(An3%a@^N?Zntm6zSQ%x z$M275zgfUe2y1)aZhL$57LSqU=}mSqeZAX`L8|WGi{J@Cw_=9~6qA(|cQ&Sz64`Z| zD`}MyBXiQa&cURt5DhqIJk}iss_kryAn^|_lz3*}X7VS9nI7?^!))_1wam1Er!!Jf zOJQ2D0%huICVca(W@k4RN=9J|K@QNm(n%KR_cR%SQCj@+%=I+M`kHk@DY}^@XBcni zN|Wr)kQJ|GGo0)M`LHGa!|N><3bP1OL=60>5!z*l8%=rRS5M|@xZlF4mFY&fYzAv$ zoy4Vl?Q5gsz3(H!%9}mQwxfOalkclXWgSBe>p#CalGLC17cf|{c}AVTf1kXQI)2ng zE$|+l(^*mOna@$@1Kn^Ole7^~;u8~YlUrt9`r^TlL3@JD)(bXbMX+ zo>+L3{Wv0BuWx2`X1%G;GNq4>Oa|5nZKtf{e{-asoWwp;=`oIlCFYnfPx1}(bUWj< z#6ElAw-GZjZ01{8p~*@`xt=C+#~4+HlUU40s@aXDwuV9*;5BEV27T*ntdbSuDs48( z9f`%ZkMw@<+1Y!WIao{j$B*U1)=Xzmf6ndeh*8;dO7;Ec-{OX7{{4TSmBZIpTh|6K z5|1XST{Gh`Sv@d~APLlr2V0x*HjdtAykn(KFu*Ge#f9uO`}6Z2+zm{U8YKQ7ICjtNxBr+@wBBBau2s%8Kiqv^!(2c6N`e>pUxE^_a2P&8$># z`{pupgM(-H#U$**OvdTnnnJvz!W_JvePVcqo2}0VRQ~80)j(MflCVZ>a7Wb@iPHdG zU5QitbV84#_P|yUC1_9&t~oQ*pgTh&24;)#m?Sj z0D^1l&_Av4Lt(;KE`JaCvb_G&f1M=o96qqnkY>^z++&ad`Vtl*oPuQSQVlw#gc+ix zHBygSOMEjDuiCCdJw#bm0bO+kD4UpsC1SPfxDB3_vc331aic1Xo~5XG18Dz{0u?Xf6vc1h%m!{2~_%TLx z{f6G5&3%9Kvd?5}d4E2TU+-tu8jMqFC0_jy*Q5^3%mM?d3fh{MMw`T$d=m3H%+-pE z0XTI>LMgKtV&KDQfY*C1y0O z!Bl_Nw`+?h1K*@-AjF(zGM#Za8ff$8Bwy56##AkcEGa67*AU{nH%}BxdIrspq$6<% z+4=I5CZ`lK$kkqTHVqIc6p&&8p*&eav^IO5#MLHgWwKo8>?V-!Tf1B_>a(mLb4ptr z#i5nbp|80TY}>`)lNQk;ZR2QoROnu>^{(ym51=0{^5(oixPLx*aQDX_EHn}ReGmOS^M z+y3%vb8dfmoBuwGttLPJ^M^xsq3tiVYAenMf6A&h(R}ZF-1L8G*^`f|Y^EE{?h!|m;0U;3rbc#-vs=IzCcWh>5$wiQQPa1_gdb*w)dKV%cl zDt{Hnaw`rowM{gye$OU_T#ro{)Q2JS7Djh=f&DN`NnDWfZ1S@v9AUW8zK& znm;Q-6)MEyAv|%5jn7NSIB&+L9btlDr&DIcXh&U0WLQ$}+5%CKi=`(Z-Rg{D!6AOf z0p5vb&Xyt`yjyU}ErZM1U38@ieW9&c&TTphm~&4FvEv@bVY#QI1cNHLFaA&coCKeR zJgYw|{Qr4|^!E&Y<4@SVnHi-A`OIfr7fm3on;u%8G`37FSdKC>KL<1>1Kc_x8yQh? zfLl=N5QOT{58S&1l!&}^5R;q_ITJ2b8~B)Q!xITziUvDy@X>{XO4?wqh)6&+VHeb} zTQLM$)AKON|AFI^JR&VE9Bkc8c0Qqd0}6C$Fr5Tl`NO1VhdLV*mM*|34n2uaP~tP~ zZpCp1r^HDs0THr=5kldIfJ;W=o!)n%ZJUa*xC2wKAxy}Qwx;390N^Tsla5m%{!_3* zP5%O^4l-nH!+-j14L=msuC=D}*Ia+HyRr^+fZPh+m=EiT?|L%$T6li>$i6H2*#O4(Qqz*w)@7>yNUmH`& zbC@Pk=PDKhyv*rxU0d9WVQ9V`GSeY^P@y2GX)wcj3Vzxw(y)){5abd+9HgcEk6mYf;eSkp zF8$t3awSYyx2>R8!%Us$#4SSud&KNm>Mye<6}pCN|(v9fC;hR>p<^YjuW8k#g$mi5snK zltI3e3>ZPymLQ``R&1B@gVMI4euj0UdVw?Wob=f~tQQqQ3vtGM=owU%OQ7g)oA@{W z7UE-)uN*N!xsLPNzp_&F3L)% z4z4HObWXb5nV3cDiAsG=)l>CJ-I55x3$!XZfnLrBbVr1lxnro+nL{49EMx^Nf+`WP zgC=9Twh6{c;V7$GYjV!4z+X)}q)|HL*Z@%zsldQ38e6qQ?o;SZGYlDN?d-q(FVSlM z*CE<&olKN?(?w3~Mh7+AsdI3P$Ui;gqm3`>fBsNgj1CQA6^@Jb2dW)9tlP}&I1ew% z3xvOfWbRf@<9v5swk252Jlm0nu<{;S)P@IEG{YbMDMG}?~q{){QF1RONE4$MGm%S(l z&d5euuY5|#2^&N66IMR4;qk-oP*dqePmm?hd6eFM>rW7Ic2ZwW6~zw(Ddd-Ma4wEU z)|Hf-UpjU4O>6drDs(05XwOswy|uA$witnI5iC%_&Y3=Rb%TD`1%C5+t{eenilzIty$=cJKnWkWG**oR$QS^x#;JT zAz4;X6=F`+%c&3>ruM!Wb(|};E-mv4m4%eEz)Wr@VbgqX%T+~~-#tKt6LS8e@^ts% zYTRXWP;mzeF~o({ky$54H{zR=nB6w2R6YgcsU~2W2m+0}Gf%>?+7nR88dW+JT0mRU%%7fW{;DFNV1VGpLegNN-kw8L?skDfJ963ckRklQ$pcM47I)%UXyXd z!nv*LXeCiES!Bt<<@8EWsmkc;Zc$MTfmB67GmsMu+|kbVs%X~NCsG(yZVQBahOs~L zm<-yHJY+B)ssd2j(^x*~j^6i@>NlZQ8Jw| zR3{uQFBe%swHjqrsk9VT(e}2ZsJ1|w5-R#rimFN#y$EbefHIo8{Fj1tJ%>vuDb6AO zwQOqO8#S1Y1D^Tr`a~*_$QKNf;f3+#xTLOH-E}rtuxHB)lc;&Ju9`k7R&i!bl%b1Y?$W8g*`0 zvgWc<=`E{O-*^PP8m=CurT-`zfiSKD1&0WvVLepk;ElFgE$t#qij%r7<1gtC?$brM_Ut6R|iYt|x>H6RIK&VSEMBEv-PXe6q5-san zMS~0DvR)81td#Tm8@XtO4T&_#pl0DI?Ik`{r zj#o+rEcOi|jh-wVh}deV{enk68J%zaIg0wUQZI-7LR_ELuv7BmXsZH<&2L=FKVwIi`IKWH3@iW?|AmBJozH;!VP{Wd{ zvhwgt1cmjhaT0NQ=8O@)bowh4aKcHqX4nGn|+Z(aM|8LnWSzdxGUI- zVrEHF^AA+glC#W_astsr4 zq06CN`A&`Pq#r7>nO@kBDU!q2VA7h?quV>ZqD^$uI>V#A;9tU|Xsf+{X8T&v?NW+~ zI?(`8C&3Beb=ErkosJ$cr7hCPKWa1SwA%$~HoJ6-H0a1<1N;VF_<#F_Ww+GC#tNNt zWT~P`k)C=^mG}j!u&ek%2w4Fwlcor28zgF6D>|%A9H|D*2t3=N2qe1r)$?hUm3Fazg_(59t-<5jgfbr9FHCM z0GE93;Umb;hL!6>_l&z5&gcDau~B{eW|@9GhRa)Zo|q~h0(SJQ?#uBzyu^D9xc6-P zE4-{u;QP=jH!(Tu(MOgo`r>qVAU9Z(KIiY)dO?@ngQU!X-)DS=%D2y^8l2NX1T;r& zDYqj@saJfjaf9rpS{cCv>o17M=HbQmFw~uWxYKLzJSnS$K@0~4>@)ulyFR&H(uJEN z6MjD5*V~?VW^C{KKA!kvwPfX9KcDR(ti5mZ-b?;}%75}>@;3N|1~)GrJcTFvdmG&` z^T^h$*ds60ueU3`Z{xhTW{--~W$)a}Mq^EmQRn2M``2-A%}l=+wz}Nfg?-UF=TUIQ z>!T;J-afx6QR}7siht*L`5h&F9O)9t+|%XVL`LUAzG~g)yz-zXo|PI$o^5hZISse6 zs=U_qu^T}GRn6(;N(9EFZmFk;eX1L02Ah0ng_Oh$* zRr0j0f!1u}H|?pm``FVxk$)|GucofI_1?sbY!m-e(|(u^G||VNA0zs}#@?>Nzs*_~ z-#!wYJ>fOuq3+K$Oxh{sga7t#^2}s4Y@L`^UuPZx;MK;m!hMO%| zn`j)6Hs2&R93%MtkZ&3yzowJoujl9Tq92#Qx?Hok$qV?<-Lq*Nvvz+wCuTE^OFLg4 zrp<{Hm{r8|3ct)AK0S9ss(U|s+4YNU2`{!Z&j zzNN!f9C}pcg;=WnneQrdD>BOO*<7rw*L(8o{KbS-@dv~LeE8$;PoA&3?IU+Gw%Rbw zJC>Pg!|&Pf#yiHo>hAMvM)LCG+R&4HIC<}Eb$dI{rR?uN^Cy=pS;rHs9z8nVu*i45 z(e@W!uzn^jUULE1ic@aB%d4Ke8ciRow&Ju+G#|F#)}a@5s>nB+;mCSnZq8O5{Rqp1 zl+k5U>bG_5sa~{R^W|)MUr+VPY_@w)k2aTbwVwCnsKhWmBZn4OBXevhH@BJ%YvJ2s z_g6Qw`Ptc(F68~(AkMu%<`Kcq_Tb6S35?AoYL0V-UF@x!k zYqENoj;go!Vy}8iylJ(uAQn~zVHT`8!pi-XR-&`B&z~J9COnD1nQP#5H8J>ayz$c;D$v z$6ReQ{!#vB%u2tOBPstMnyz#hI*UBN4FV!%>orRp!^iu)`#_KVC2pZJu$`n!jHF9ux+?VQ1M~832E=S93Wj1Ka^*dt*&oxVlKA27e{HG zeg1m1I6G4h6+_W)gw(#jD%w%Szqys2%41PJ>J_kc&Lir z;zhVll9P(s5--d|sWp(V+l)6QnwW+iQ?#1x?b(!Gm<5EuE5^5Yp@C<_R=WGPrw8S& zH~9*Y1fw4qF^s&3ZGB&^7y#OQ>uY=P=RVgz4!ETj;;nLXna4hYskxacF&f5EnDkGT@Of9= z#cMGUcE(SMo+jF+c3#GZsw&Cxnaa&0?lEVm4MG~Z-nm2YdN1Cqyr@ljOrjC59c#Rm zIp&$I9Cmw*=sK<=lVT+XhHJHAsbwkdEykM>EAt5Ok9_W{-<_~NJ9{=wleF>4`LQmu z*ZPp}yqR6C`O_NT-AkTTTy7L5?N zwc@mG-9b`wwp4lBv&WPxhm-^)q>>8G-KqtQFD|OY+Y*`i3|j(4LU-72u@$#o>-z@4 zTjJf#iO(W5^A$~{L_!E!at2r1#_6UK;kBle1q}k>P#7Vd@ooC*gZvnIC-H;Nw^}@D z!?ecdN@8*n7FrI1d*V(6|AG~)qg*EEZ$+n{uPwyLVv=?;E$ z4B2hDq=W35TO-wP9ELtk8R@`4sYk;(w?H%6!>D_E+9vi{kx!$n#=UQqQVYCb?(|o% z1Zdlb;>e*3)3eFypZ+Nl)L6=Xp@usNMAu;uDbb(_vLxlEW@YXinfHL`7cEEu$~W@T zDMgi;z9%cHpoSBbnUJh}R%Cj@E!@LaE9mTJcyS;as~L=DvIHqlJhgIaQtpMQvwlA> zvDX^#{4f_KUY1t3TBRkw-YVgbwzreG>3Ved;n}S)iJl_B+X~l!R=TZX29PJtWwKEz zph$eqq~dER{VGoKiv554aYT!~67LRw2Y#Z`lKgtA`eNbo(9EStU4TgZr@cs)fR_rP zJ^*)K8Y@v%vE;M2UDZo^qXH9hO5L&2$PG3TbS^(q_$sX{62eAtlc7<+zd8P(!$Fu?8~6H zDNM?m7)&1%gbQIb_9TsDdj`M&CR^gISyhj0@d}^78UoI{pX6H%#*Lp?Vo6L7XYuWH zk(W8;v9$f!ywt2^{kz3T6SDDJ+wB=!PuPlc_iH$9 z7+)aA^2Y-)>uRjt^G36^BhlC!D`$ld-&*+0e7Zw8XM(!TBIJ^zi)X zvd>L4+R&pL@Y9wYZrjeEf1j;5uo{x`nIe}K!c;(YIWX8Ki}7vJNzbl&}mpZK-sR{v|Jj`JfzjG{9tTzo;gsptB^ z^>3wTA>6}oWo1t2b^~p@IN?oN+iJsw1Nnx<$HN9f-P$F$JS(KZEf3acUNmdFDCI(4 z79KSCXqe{})XJ3>3dLe*>1M^Z5!|fkdYm!j;>t6cw#Y8ajJ)7P^YL|zIM2L-sbX1T zz`F+w&nQRPaL`5rNyYED&lf@UN<6sVrJUf}8A^=AWS7Q&l_HHZPBF1MNpu5v-g>g@ zoA;f0gfR&A#edHJj-bf<|3~n@t$qKu)mRjMH&=xDS@Cg|FB{lt#nBI$y|kinAKjN)2{9$$|4|S71U~& zFc0gk-$m(t@q~oGA^R{F7%aLq&b&Ya|NGBUWTRxJ;DW!71JR){L9~I0>!UJ0rh32Q~yHTD;T;hHJ*P+ zqYXit5EkKjrw%R9Stn|^hXu<{l>z{k9liEOi+2@rm{4(97rHqK_$NqR;=%w-t=jWW zz_uf-H&M==^p$~SCN$`FaA(o*Q!B+j!l@jOw!!IQj^Y^7R#7-=l&(?H!}gpl;7#@= zhy7|2DXjI(GRlNeYEaH#{5mw6X;xS|k`F z`~n9jUCH`ZCMgGvNVS!$@<=I`h0$6%agjAo7WD;DFACq9oETUnFIn@flEWnylFqo~ zu`cRTXyiI*ZO0^_DyIT9q3TF4kqK;fM}h31*do`Dfs2&AE54Gt-p6+DZSrIIbot`{ZIS{QC?1JnXKZzj*i?Mx@y^~NirK!}8X-L&tswBg3jVx$1nY_I0(nKm zFn!KxhcOxW4E*`~^Bc-~jq?Cp6^&Mfk8sH{AM!oMhZ zus{C$D85cT(>6>S-1YNRFTK*I9eIpoS#e$jgAx&~2CV;%CGqG-z= zPKFBSI943czCuXXuu}RMmkwFQrN^Y?4#ik$>4lzL_4q^hF`bYPO^0R!3#cE|d-gP- zeQ~QE*)WLe~^b4lB)*^o#DZ9f@1UvT;db7Z!jeIl=xJANv<6q z0-^(GR!9Ct!_bZt5T%$~8k%e=^$Zp8^raia)Rr#7R)mnXGRdqIg;UhCsN!W&$$S`4 zIl?JU;1Ckr3Hz)mvNCIoS`V>Iy{M!|&PRL+3mQ)43ahy-d{-}89^z;IafqWhJ1w@M zv;)y~sqfIP6+=Wi_7ge@k|&H738i2`BJtNEI-1QAybh5}uDTUcM?zh&5Z28>%R&vBS#a!nFdTiQ}ZKYGxlNPZ#RX}aZ z$Q}{*o@fC$$U}52bOk4@t^b)X;;*i7X0}_ziM-91lPKqn7*98gxLXm%MeCtUrsjSK zG;vMj)c-Iw$%8|c;UX4D<$$5Hb&-LHSemZ{P_MW&LQ-amlRQM9K&ykaVmWeDpi?Le zRbQJu&12y@Q-V5ytLPbu9|W{@O$P4#LNjEsG*JF5yxze$LGz#Y*t?SAkt)Aah>cxH zz##}6>)+A<3w1)r5--A&Yi(6%37tb3OjU5^P$+K;Jiw<9Jd$A`&F0vv_)(Lkbtr;+ z^Kg5fe!V@_-PN)*P=b}9#@%U_R#Tuglt zfR=~xd@ne|$?&iPY34@{5xj#}!Mbax5L_{!_kZxGIgci&;8-9!5uRM^tR#U3L<%KH z6|f_P4;4QY)Ji)_w0wK6i@>7CVV#LS4}})Dn<8|&kw0=mmkJyH6~w3l*(P$U8AB6_+X+c=H}5OhDY#6EL%~9PDPc%)SlP3i!Qv(eMvhfTvZ1vg5ao)ZA#PxB8eAluV9Y| zW$yWe@VMtJNZ&9A4na_#Sm>!j0eDt$N^;#vfF5B~5qhvt7cYZ7M&c6a_%| z3B_X2_!fJ?QVKejv_h$lnjZjK?IcvXstAE+`I%zTj71#GEy!ez%x{=Pe#Fi&^zM6%l#5(*feih(A?HcN#QjsBo{v(Gp)%db-9eny;Z~=Ae`2Yn8M- z;t7ck0zfS|*&NLOc^wt8-i|eOVCY3mBDEw|*808{7?#NWQT^Z15zX&c0CUI>2fW{m zkz9mQUbCzA3;&W-Lj@pT*0HLnccL4(Dm>|nMFigkQBv^;Rkbi+)RgXYPK0;uv}_GD zX%!;6;vxWFj=S(H0zu8A28hfYCPd@i@d0$(}hEO2}&)fiA7t%9pDWqCwWlREn;4p(B*ZR zsa~kB-XutwPffj<$ue)TmNmWNAP|91fU$Z`2cYb=IGO@-%bZu{9cp-Nf2!twgW7gW zh_p~uvz`*@akmb+JU%^H;tO5}2KLxWOFp#p2lTd3RcvbQU4DU@cWt_<*>;2d*sqhc z3#F**DDGJoCdEiy(K-d(>95F8!9xON+R6iQfOLJ)5TD@90{Y0IAWvV(@dlsrY{#x@ zNz6&6VW`e5Vxm;O4sRySYGO`Q1P5xAn$vAavGt(6z%+6B070rDw%n&11D0li&mnL1hEUNFCDhpL(J(J}2d za8WK*jE63%cc0Sj~W1YhFjt1ZNeUFo&*u#T;JM5j9->SPUsUq7&Nno?wc^r zdk39Y=#ux9qHO0gw(+upwIH~y6OtDT|oO8WFz^*BDgCeGu{!zm8K_Y3X+>O9o< z>!bgL&%*OyZ;qvsoDu{QAMalf0kdN`oD=@j{eg4l&1a>W3rWX^9mokQk z#G`OQ9+%)uaK|eiF=|lCIF4Sr&RkZ7cktlRW)1gdWk7eag#+_aMtvf^x3^QpdZSGn zUD~k~hrDmlQ`^&s77JE-T^25Qz5XNbR-em@yV9vxQqC{Zm|~#^oaq^hw219CalZ73 z)pnlY*)Vdm;Ke$g>(!^-Tqz>5ZWfpRt|2 ztN-#>^1Zg=;6*(+l=gN`S)ZRz6)(j0$(^kFG`g8q;>w8xPb1-fY$*QE}6?Pb)4m96ui|CR^2p{MKKzHle+9m1*BQm!`B z#D<>LhMghWn4s?`o;=|!a5nUOeYL85NlM1ZU}!529l$F*nVuC3pYY}7!89qhU72ph zm#f<&zvI(YMuM$4yyoH~QZlSqe0}pirx`#7jdvr z`~H_XcMfN7e*0G=c+dCEPrjSp^xHbbwBEcVh5RJN=OdoaXJ_yK6Y_G_?|<&uOZ`U3 zyKi2D&-?Fxg{?T&pPjbi{OUW|_IjSHpPjw)*7fx~e``k=q}0OMJCb`A^0z|V&^3z) z>NjMNEjX(Zi9rl$*O8NPq>ttM>g}XNllKs&X-i(t@ye{5F~UnGypZ==N|ZXcwq$j(V=|0}+1jGc_*t)CJ~P!n zx*;}g=-~tcU+u=1B)+`09=8bkhYzK7w*LOFO6%;+?|)Z44 zUhAz5!WcQj$Ej0CNi}@JTs-hK*tieO*TWpO(w1g{+9)8fZ#1}O(PA0)qf#{xLxk=u zXDZa`7^S@~L5hkZ^a|#@fj>K2pB*bHLOh~(-v7=gScA`d8>JmL%xEINon-a!ov?_r zvvb~jXCRgtZ}#rl%j-&Nzf9sWDlf17wv_X;HsjZt@wDZeYZC8&8AlycH|}P<=7+fj zODBQQe%ZjX3^PlW_^!Zu_Eri1%#24J-345jye8gmNj0r$gIL$8rlpKpm(*%ZBwSl; zoGM-kSIRU53SwXb0yCa`v-DK8A|=7GHg97#?H++pFO(OUhNT9`&Vx6PcrU&(99z3lzR!El z=13heyvY^8!Rs0tRhb{3SRhgsRb+wLjwm3Q31V5{eK@F9w?&b#V!X!v*D|@7+ za0542>@^E8($y{)6;g0JD*v_Ohx5r@UJ|O3KmoYUC!l|9Tj7Tfm3S`2FT=Ho#s=AG zkq8q0@FDwJ!DpLoo{dZ;x`Opr<%NNWWC=ZzfBYCs=Ic@C3Fq`pg+Du^+x5r(S5y>$ z{(x4VaAzUQP4Q5|VE6;P>1~39qYz-E^jakn7v8o$A!VNnxiPB~*qj-!ddWekD|lTK zY!sfOP7Tkqw8q&IM>PI;1B-mhIm^9$+Q&X5w%ajg?m^iINmNXR{T9SM>5bX~B1^71 zF;{T|f}Z*#oRt_@EZB$ea+D(0R^0%_t!^>+!oxh(8KQr7quBWgIv0*Y0qigX6^@yL zSfKR5XM11InJ&q{_(mVK6|qI5}htCQeUSobCSs2Sc~HEqU2eA)|w${|oE1vSeP zZo?%}q`cNtI4Av8f_(&7E52qneHdn>d}hk-xEuY*GbALPo-sURn!brY$1D7nPi4&; zrnuq6SX#rRDwW40HNZGh3@={>i1FC^#6XO<@g#-gwR4|Nh4vm_gdw{)__;K`E>K(Peac{l?S4zrGXno%q{aFwi;^1^_PGkDk& zj4&UYXA#lVET-0WjlhfZSsRSgC8851FBNOqQGJ3wG>Sm&%zpikB-v7Bgc-?ulVS-$ zn2Y!%ZJkn>wTZ@4jWAwbXQ3+xjh(!>ctPdhBTr(gCs={2;6^Tlv6_WidWj`tYLQ9q ziryZDDwYXO;8~vX(%K@HB$SuAN`X($iT8<$#a=AK zMhPr4hOIcs62f!){Ex8P;S1;IS62ggINyP_VPzLXZatZ2t{QAP(WjKQ1*dH}VG|8o zPDUEkmJ@v}d;tC}VQpObt@b-M&!0Cuzi|J?FKpjFytv@EW3+MQqWi|#_0O|$rF(id z{wchCT^m>Q=m2kthw~Zy25l>bH~wnF@BYFP%Qy5f{qhnoiCZiWzL2pDt1k)DqhG@d zIIUj{!%yMm1^8XO9$s{9^UR_BD$W6K#PT7r5Q8?){MxTIth~JV-Z1>aPhmZu^3uGJ zm&?q{)9ym}>C>OTz{_?2^uaGFhW`9&qhH18hmQ~ZD$e(9`wh0@eDcZm&-@!qr65F} zl~^z@8y;4C_Sl*3G$|05hOLws%`Pt5%({?t!%X3D&sm*wWYr0!fCAu#hM9Gd*!+SU zoHj+Msx;D&oF$xy*YV6XYsWmUIFr0#vCPwmM0rY4%ZILk?FaN|9@tFNaDa90h+ou4 z{Be7p)^^rMI=3EQR(95Fz-baCFrSqw_%Ra_wC&&BrWbB}!b;egx=XLl`fIP4_r1>F zvg^-B2XD0TjAu#T(1vNIvTc9)tM+>W8SN`iIR8C?w!ZwJEB`@JN}{DLewXSW5Z51& zcutrf5dR$uez(ZeQ8D}d8oN%nrC!-h!Nhe-V9qh@*Y9KkNlDaY; zgGx7Gb`Mbny38Z@J)_x+7~qeHtkm7)^N3maXuApB$?9Z}D$lD+#kw!vu1>p<^Y9&P z-B}&%J61UV`%tPX6V;D);BtI$XYKE~`5zL`2Ef)3!EG*$LzXyye5}SDZpCrsA28Iv z_#D)Qb!gm!3=Tkj&U@q{4dcn$Ip_|E!m~w^AhlP5J@fO);L5}Vx*OIC`5xROQS*!|A0hAkptfQ3~g<|hh&5RGu7b%Bh z$WiqdEWG}aa__yl^;iKjqmV>jtvuzNam`=wWh?Zb11>r(JI2we9=SZVp<99NDw7>bSVBV)wxHk|lRGv9U6XGX0fN6k1nIj$tKi-h z6Nx}XQMaay9F(UD3DB!54D4BPO3#&84CyDkLm|zKHDkgjH7UbG9Hk(qe0XhPNG`y0 z)>X_GpdFd*U@L!36QN1vZ~O=&jF>b;mX(qrupLPkxOMK0$W(PaKj=6w0*^yIRkS-3 z>#z;UZ~%0<$4vJ#Jmfl5wJ8k{ZWFXJ(T+H$rL5X8{W1d%>v7 zAiRwFuUH7bbaJBLgD-bK*yF^965Y^_u$~k%tJI=mKI2 z&Z@!tiY!ArQxiKotFqc6tExcL{&VaUgrQKPkU_H8;j^O;nH(n*YJ?djp*k$(1^iJa zzB3x_93P$)_sS0CLPk9bE$`^?Mm1e@<+3m-pJaW$UEr#~R6fewt;7|$+A*FPYPpy> z`T5V&qHeUk{la$zN7f!vlx~m*EsVQdjn@H3BxM8(hNHqLJC@ls|J0ED>N{?nloC}z zA_U5o)Q74!JG-`#uV7oMy<-)%vMqBlfphQt$ zRsJVXYJ2+w2US)Tf=)#&L^Fi(r2-NN6_<@jnm|rEg;Y~RQza-lM<_#N&`nx~N)Z@{ zEPuCR#W3-0f~vc!+-e!#fbb9!rsz{nBv!Kxe8I~AC6_5R&n`zwnAv&TdP$46B#S#kdGe*MZ%gfb}r21YiY~5>eL2*$e4gttth=vI@^&79D`&u05AY^b-`~Z z#tx03T5{QCJK#tT0-!F>BGn(geYOZygskd9M01c)Ie64^4^P7bCucE z#4KHEqd^~Pxsq{Ksf3S4q0|U)3_FDe&<(dpQiT>gzvEsJzDQC3l)?%($QU-{L=>kW z;v$gXt**d+3KM#P%TqA$Efnm7;|?$^zJsmE?*P(a1{5KybihebQ?+=}xdYWwS-_Dl za7VzONml$ovn3)I`;=6bz^*Bmhr%ui@HDW=PgF2>ejP^r2w`*T^DyA+eTINQAnss43}ND>P<+QRETXE)95-=iMyY|Qg|OR6|>4c?<=kMizX?N z5oTNmtKvAur7(d&S}--y(8Y{IUbJK)D1ic){i}2|sI(m|&MvCm7X@0xP$j6|Zo?qC zXRtJo19#T5!5^Yp3-V!$Mhhvl(y1i{Wj#mTI+9^S*}xekJW$sMcvFY5-#)Bdafcr3 zHCm6IVga1_wp#tM|0`Q?i_&Ei(G&;>4gQUdC&~~X4v#TUAvrc8fKDS*Xc_(9`sB%?xSq2W3LCaBxskqK|o4P9=;2tPa8>Ts9^;KOJpU7rm z^6;^~`DKupgwoLq1QJE9wCAyRcKIHT%QQaP)_F82!6BYZ`z=rN4 zyNkTO2}!ll{Ga~`P}1U4E$l)x`i?6nU^lgvYrJi>lEU;HA^%A55)>G}f#NqHkZHC>g-%uB zbOKv;Y8b$~ns>;~?En04z+Vq&U#=hEMbj=*1-^l|bav6iPH-K5@RD!|eFxDfJ(8t0 zbp&k=Ruz~OcnT^)pvp_-Uqb7GS&Y^>63&FZ6f!lH{s#vYmA-@lnK@Q+@|n;k)`V88 z3$@&$;N#d9OVub_O%o)S!8u&15Ul%6Y*SkD5?ttsr~jJO*`MXiz_KbHK0~MuKrv`V zWaX@>+7VKaeJiW@?0NM^*eQY*3F6?R=0Iu`L4(Mavd?miWvJB1nFat~HC3=i)L?xg z)V$~4D~GBZD@AZ5-~+u$G~`{GWNS2Ueqy!`MIG(F<=Av9o(MeP)a^=s7?c^UJv^*! zGP#aeEwQpVdY%iAa9$W%%g~JR9aL}RI_^ZgHWQpbwnrj=W^bwpwKF+Ma9n~l5$bErp0>`=ETV)OPb)+_ zyxN~9NvH-gfoGY8D-GgDBU^$<)_n9 z77fCggOJ+87OL0Jp@YLYs^=<2P?vC_sH_Ba%e9gPp*dod1e=Di4bD?vxDo%Y<;ci! z3a=I_r5Y3VJAG$FTU{ws2yj@14;|kUhxi0gUrmsT6p59@=39iQS=ipoFg>qUDab&^ z#akEFWsVmwFuT+Wm7b+2X*nl$-n*w%v<3ed4SoL9QdSiNzYS5_so+vsR$g8Hj@0fs z04in<-ClOS#W%OWJ|pnw2WM=y8=g!tkg5PG>fuEsFTlsrQKYc3oK#4Ud5J(O|LHU} z`5vUOO@V4R3y9g9+`&4i2GHvqtgLcUx=I1dxvd&G<6Kvk!9#+r7M7hTD+T%1?p(VxrNUXny^5SF zpLDb7*T*fQY7fzR8W=8X7f;uFMD#)~LQZ-W>ANPe6mr`@w_Ciuq*i*qc?(9MA945! zx|O~E=g{)q*u^$-M{aP-@qxZ=Abn%!s%tCFy|KH9eBUR6Ze0xi#a-v5!HI~&uj$xc zgGIAJa{}&a#(4DJq&o>dzO3z|;SkXC+ULy;v2EiSrUmL=HT#ug*l+N~+McxB99MC? zF7rM9?|^S!9PM&=j@y?s`1ZCN`J{zywYTxjhKM@u+y~!pn2*=GCXD)>B)jGn<71Ry zY90329IZ^{xS4raPzi*e@n(3AL^cj_?47e_y5g$B&2iAJe%?GD#y8o~!9(V9Q@02D z6Mt}eyyF?zqiWZir-z3hJ$&!~Chq-XEX%h0u66c3b-OY=S*L2s9U}r-b-U8l z_nbaI*PZQ8u5Nb6gW0bwsvGd`XI6%dx0||H4&Bh**nPSN*)deJ8s9zO(^zz~Jh$4} z`8n%oEM2QUm$3dOgTVzSHcjapIkf2f99i#;$0^>?&r!~dcTm1s^MazS>x{58#uQwY zifO%uTm4fHr6L^-?jP|((SynkxssYK*lgJH3qe!1D~sXyngA~C+K=jfc3QjN_>Xkk zxZicV=GW+Gds&IEuL&&XD}5IqeCs>@Z&T)e*l@`^I5DeBykddd^D!e`=RDe8Mpr*z zx<1na$h}GCVp*@O>y1b|9@a}{qT2GAab{$@llXLNvElz@3XE1%|5#nerxwilB2Kv6 zx#@UtgP2BLR(nFou+h~=b~7xx&F$ir7lgE~Y)P={^lshHcmDvxnQirEf$Dq!V)lRl zi&lM3+7_oBk7qCX-i^BS{jM}e=9dWa!H}_D_Pwlh>lmnCU9Kl9-S8x^^4hXiYs%{C zYM0iiHx1#YD}LzUVaNe3>is^_>L4o*_!`bsrP+^g@x9=6~6 zRFAZ*lrmaTeMjSqVdW}oJ)N^*WoSn$C*6KmX?61Me#dfw)j_^ZuizZ;O3r?w)q378 z)5`qfiV-$P?Zu^I`}m~Y?WtvDkCg>h(AGo8)c?U3jIqoJoZXu}n8k(dX3gmXC-Sq| z>oeW9DCP2XUtSMowzym_^=8Q8gW*}zShMr-B^oB!d8OmkC6Skv^}EA2XHv_&rcu;qG#^}KV z9+9s2GTZI=ueX}%{gUPb{kp*n)Y30Gf|TJI3x-kmdKG6;;v)J25V_!q-A8ftWGLkW z=GUMfJ-WKm2)}y2Cw9C<^H47r@g&k?-|@Ki{Px$?=kj>{uB_a@Z)E1d!5a_vds+F3 zFVpuhpLZvkuX+3KyF8$DeQ+?ejHuq9w=I3z{rkh`ea|3|hl{#v+mn;^y4Iim8^%|0 zj;}n7*N}cTfM&K>PJw^@Dg%Gae_+o`G`Pu)c-3S) z0e0M;LVWVzhl{$ z&s(~vJokR~{*rfG4i3(GM|*z1J?m*UzyE&E#PFE*z=-s)_i%3Z*Z&})AbaVS6vln! zsIt#!`ufah$^TZsOf*(z$B(=p1K%-t8n`=S;})>fO5b3b0>93{XU21tHB-iOV!hby zv^rd7#?NLpWjq}K3IZ9A54o4)&OqdGh=YHA2PPO<;2wpCgW@B5PZv-i)8*7xTF2Me_A@#j0Z-EpvL zV!Ln{sx9(o`+?TS_sw`Af!7+=z3PjLEsJ>5T(sC^A}lk$ls|nlW&B!wv~aB+To9P1 z;jp0;?qEUl1saz-vq$0Llw;Qt!=SmV&@lK9oTa&GP;%`r5U#-AWxSTeyNowGJvGA! zIyhcZ58^s(Dnwaoae8^&0%QWz7>9+bO`Hz&+xl^Jh^OhM8a?ROvr^N|cn0?v4<>QZIZB6g1@z5mc1w!Qy81vxqlm64f!zO6d~%uy>O25Jyd zCZ-N%Z#?1c2PJn)tf`5j zTw*%#Kj1GtFK-);mSD<+x?}JSLT9#gQUaf&?F0dU;)JHr3uhifAxUw&7K_5M26%KF zy=sBhc6=Y?1b5%P;cINemaM|CyR0qME1JutEjj6GI=|g&VtRNZFgSGhq~A`pjyK`vQhz{(@F!}@V>stwW=LPagez;6d* zse#2B-xR9@eHLbO$+XRwU)(lxO+dvrK3b@nH;e{Z=)+ycpDlMH(N)O!nLA{?v#Wjp zbEa`XEKK~x;&wk;WkLy&TaK4$+wDkYl2+1ED1$ZrejgfVVO*pTizCM$qn!@}W$6i% z0{=d|=fM7RR-uS4;_O4RKl+ml1Ghm*VIw4fH!787>#LyVTXKQ4VMlUxyyj?%$_zIu zW8{98_z6KNikdwEAkho&Q4B_i#8olu<%cVsN2pm|F-93gEP} zzDX=7OHrBam@c85tL;^z)~gP$K~<`2oJ%dD12lr5=+kZiBhb}s<^8_WHYRJmJ6t+= ztg0&!H8^Ea%)%Obh=DrChQ=x@TaCE{4K`@m&B)bjK;*!&(!l_HuzMeY&{a@1c9ONR z)$9IgWFro&8?I_s; zGz6V1K~~8HKd{GkRrdka zTJO~U+$;PA@FsioDMnaE%Zg7uPTosZz_%?uk0zON{YnkM*-O6kC?zvRkp*J z!ZaQrT@F?d%l(?Vj-(APwvTuDNnIZxjhkhv+e|NzAyR#5JNOO58fmUKD^i*#IG3Ct z40wX5p5$Yy)QYTF+k8W9&JkR;e>^v;(llQo$kUpRJ4jplW% zOm(s7M!IH2l(HrXOpq@8pDZ={5>4Mz^Ab(;=6{%1PGaS*ufRNg$^{_x_!13Yec8PC z-uz>I{Uu+;`GD`)Jm7_u-{8k@to(**UR?R5-!RvUE5G!F54=)88Qk^y3*XkE^-ukj zzJ{Z3$-MMc9ISkIKl-AR9{Ivz?c=LB`0`C%?7w717y0%1_iRqvd@bniJ2v_%j;zQR zE%_P=txY2v2Fnrg63u(li#$!fOjA$wD$bSFum956`lG3S^Ulhz(E7>US8?3#Ug$|H zn|z^%5$T1V_lS#p`Qi%>8+TvDdCFID@a3)V{O}Jyd1C+CUm$lNPfy1*ZaCt_x!apX zndaWGnNgup?G#*7)S&I}!Gm_T2daDOhB}PaEJC4^d!*qGDQhgEXkli9bu^Q&dfb`? z*5Bixy`pZYX%-DWVCDSUtqEU-`KguDKK&?t6ytP*F0ddp$hQSDQ{KUYwiSFT`X1$2 zMIwd1CO=YF+SOt~>!49FPODgi!k($N!E{en;-_!falW)t7M4+_%1;_5Tayf0th4h5RM-1@m{q z>hC6eSvCdshu*Qq&ucU*8=C9Foz_*%nCN1q+N(_F+Kyen5Nk;jxRCI$)9bJRAODg4 z2vIEO(KV^BW6E?|vrcIl@#nv^Ho* z21{p}G)$0-FCZxyH3FWyrD6q$mw`Vm%)k7aOmvOsj5Z68(&(I!(-zEBTVx*%w^+RC z&3X(qK|xDrWVQiamj#dQ)nbgwDRlY~iS$M_qH2>odk(EIW+FB;WMY8A0kS1Ks|bx_ zsi2PyH%l??0DG5-p)JsFJYY4zNgB$H$@HdDY;SS3DFGTyV}nw(0e@{-n%edGF$MKQ zGuVRkih-ge?j3}XHHr-X4uY0=!=%dOnDIoQ5vyv;oC|VG^A3bMl35Tqg%ZE3s?mwG zCUP|ndJ_Tq(peg|wiZh?oB?_bDWhQaj@xd{89!)<#a{-at=1N zqi|jtC(NEnr^SQ*QbSrnBxROJ=0-)nvJZ%Xw3Bw&QRM=ZtW2d?!&;k$Y}K&wM0hs~ zXl+cEWyeuO)yM--PGFT^C{>h(6j7wn4BBcYq5jO-01panG>A||=;&;fRINc6`7tT^ zR%7bc@ ziJ%YEnd6`rqbkP9@U^%gg<=(+OzWXS0jQylJXoDLt+61A5``gaXty9Ws01Opvccd_ zSe0zWHj?b(iLb>LtaNXyg(_;HKv=+4y=q~puak*QDpiD~jm>BmC7^h=8ESTTnaatD zwwiefJFHK0&sdqjt+wH_e}jb80u(a~rubaGHqN2w*c1vRM+j`QP?Hj@d#zJ8{3-Cm zMMJa*$qYHba~d+kw;j06-~}7az^}Ucdn#!y{K)#mn@#7ZCBuU*@xq`i#LQ#RYBiJy zoQX{tEaI4j{Y@L7Eowe!5cW>kn;0N+{4;)pH94R0U8yc*2-^v>O%O>f*0qL};J44U z*yy|zcrS#c+rjl&bjYHt+$;$E606iIxie>F6E|KL)Sblk#o}{9ar5)Yomi0Z)mjO( za&sjepJ1kIi;LA(&!qq3|0sP|x;bw(csr+I_Qs5xJ!PvNVsYlp2n**dmJ5T6X@zd$ zG${Qz3OiaDsfitKRrbi|)?9JN?((WsDI*vjaE^}I>yB_1`lQ!D7AF=Cb}bWTp|RVW zL0aH6DEAqg;H{>DZ8HyhdM>@o-0u+gvcb>eE6W#h7GYgH%fu|~J(ULC%Fubr0i3v8O5ZTcNjR}-7p!L8Wtus!@AZG#RxDX~%uy8{g)2YJWHI>r^*@0fkVpw!L_ zAz|rA0pb{sG9YE0<`HmyNN*~(qaI3uMV00>xt239jV9E>CX2#|$Oyhs!iP_!S`!kL zXm?clt57v@2eT3s8@91P(M3#9;yET{OQ^=UWcNxOLgx%JZ9|2Dj(;0Sq1LJx*le<0 z!Fm;ryh=xfAKE|9|4AmkAf~?%28?B*6rM;#R?mwvVGT6~bLcEDOyPQLaZY>@E+iKC z(~)oI6NkwLN{&p}Z-W(_nB}Lu;?ByQQWT|9QZ74NR7Xk^kY_iLUh2qAQO<>v()C!` z3X}-Swq_&>4cEfLfEx`;A;LPy3VdiOlxbBfSsC?UjliT!j^Oi=(N_8|;Bs>mfs}(k zNfuS{I7r@sh5(G}HBma?26{6a+lwWL463kVONbXR1_QR^pIpu&4M(;c2l2w|ZgLl) zw4zcN-8CC(`K_IQwAx@Y#2M)nCaD4nS5-M!O;Y^G{a~XS-UO9;(Uo*7t;HzF_B?ST zxptrIH?RL-_~g$EGh?r~49hi+P%*|2btzUcfNH%{wDw=-e~smnZ5Y$w!kW==v}<-C z6c9xA3JQ`Nm9sq$f8rQvPjOeF^gQ=Xzggk8jbo*?+gxb6`Zf6!*&M{JQG0GVA5#?@ z91nBXHV}tL-Aw&zkm0O06VwpWmD-g+w<4=*RylU}NKmH>yipu&G!p7U2~c%qwF4b! z+Kb@G)ddIp1o2Nai}C^;4fX6hY7!}E^#3I+LFp?&YrdLCRJ*JleU4w|S;IRYC$@%KQ#5Sq z+zLw4db( z*}ssGu?XGdkmd_**d@CV!X}7m6ArI+I26D(%k)L$9dHG9)YyO4-qDkG8fqh}vB(Sj zJbCz&b2q^9?juM+@8ORpz`NJtWhCH#YF4Ps$34y z0z&BuD&15@${cLKL6x|L)N~6NK$X!=Hif}<;evU zW5mk^A!re298?Q2op$6N;te-NlVhINN8FD5s1NW8H~TZmvm*=ys96Wip-i6%CF1w~+izGiwPsey^fmp)sKpEI zV?K}MRaTSKy`!DH8e!~mBIHnvxHmc-*(wI;A8|4){@OeHH5j*JY(@`?nr-V*RUT*= z3EB6_yNVDPUPRN>Aori?ccMVLiP4llyCIUsqO9hVSu(y9c|*$CcAO@4s(rY<5| zL%M=4Y0GxPhDkvkWilJd(6N`lJL7PZ$^x$Hmd(?AwLkLHG=y+#-~v7$y9~6Xm@QNT zo~4S}gk6+mp3&Mb(}f_5f~F2tz^Dbt3sn^zYyg*JVn}I(Yj7asnojAK+80x_5j(R? z`Hq5*{d!6pRtQ>-gbtPyTpovfm;gMVZ+Ep%fRifv+*Fj7!1zcRDWCtFhv%mQ3cbE@gbS97zgR7_tO9Le_a0dySPb8|jvx`(S z)Uvf`IS*Z$Y_pY=CBio@nn3qqLEyrX|IlHe6`iys7@(Li%5WV zuM%U&EZ{f{YEG{pwD^nYZ|W#D8ZsQE(-;2&`wwRgXp^?b>S%=HteUo949iR~2YHJJ z;=`l8Q=LjH#-A0ydRr;?;GCy`h7k0#>bi4mxJWj#U8Ui`3sA$R(p_Vt+ZvI>3^vsa zFS1Q7jU0Abz*Ylp1Ll+S!;p^qa%~TTHaGK??jJPoSipfW#*hdv>O1 zD*TZBS4 zjw=ZKVl}`XunBP_{AK4;*5@(|k9L&kpb&LJ#m1C#9KaaTD*sXorWG-h6tWYlIZnWY z4k;5A6*KvJlZ3TZ=|nYsjU=q)WDc&nYvXv|LYN(@u>bJ?pxAw_Z(L^qaiK%ukF@1V z&T2U4?fJU+eaC@gZc?q~T!Ss1iQ^GZuG1cN_EY_d{h)WJamHu6k#zRsJbVUgwLE=i zX?q@aj9=WYyhFIN{*8Lg|G#r}z47ikwlxMXTnIIF<%89(9(BJ_&TDzbY*u{YPtPn%okdg@#8ZTq$p_`!hnH34Xj!x}Cwp!*|VbIP;4 z_PXsE`06nWCGEU;JuW;?`jN+LzVh3hHM`^Q+U^OxFVNY#@qY<*iy&@(d)(JG&eZk7 zjvo{0wNA}@u;n(Y4h8+Nq+@3t`ktP@+1tv!L|f^yURwK%188skNo=)X4cwdFh5tIc z&rQ@o+xfMtlCEym?PSkIAFfw!hT_F=wzFO9s~u6P7eR;m1*sbIxbA51ufJ#YqkAXI ztKQq$>s+pfwLHtRp6Yy#Wd3l;YQhhMmwJ7I|LS%{&8s;27DgEFBb>b7bD}fjX_S%f zE@*!5%gd{E=tYz9X%rqhUe=10d?JRrT&?S-IWl%;ek_^k*=||s*84s6qlu=~Eqq%0 z@Pzu($t|OG-)F6tX*Ny6i2Eg4dPo?pMXKjm+Zb@!bNj2b zmR1U{!@o5Vq`t^bEKK$Xw zwEmlanz3$XyzesFuM z=j3-Kx>@t;tIuv@;931y*AK(JraNBW@RLM&v|u-+H4k+MwH?2@t#xRc4<7BR9@AcZ z@a*dP`luOJgl)s)*u${r!D@~?M5-)~>y4gpf3(o6HsVJ#0sT5zK>g0;RVe;no!<^5 zwN+M{vbjIM8pvB7({@+n$UAmsKFTtG{J7RRA8Cp8^-#*6_(RLb`f|cM_$K}zyT9yf zZQIW;IIY3TZ+%Y>d*8qR{+VNqz6aLk_ThZJ9)_P^SlN7pn@(!ZUbTy``RvPI4xpJm zc=+tuFz|(duj+*aD_0+=rX%)a1wO*9(VHNB9}0YZ-1nlpmfzg(9ly3F@COHrM{C@n z;{|C($UnHe+FwI|aCuu5cyrt$;kVEB)U%_WP+(nmMkD1@7yx2$lucR>fwxx1zyv~7n~l;YJ70J){6?HCN9$RK6=i&iq{%B*s4~K7QQ6k zXgv%D|Is7q6VkQzN=_5rz-m?46{7YeZtI@9^fBJmiJ20e7^k zVXAwFbAK}aSjSfaPg7dK?;Y&^$pvp&vAz;`**tlp56y5X3I)vxVnzS0xAuEE#PYh+ zqVGX(4X*-31Q~BWDiZ>)!oPOHMhyT@M7_4g>v*Iictp4(_fU6HXko_kJ&{ zG`ZRx5sR3&yzNJh%^D};h2e050?Lo!M?FC(<>=_~V{&@d@uGr)aQZq1aljinV#V>5 zht&x9K)9PGt{y*2GZM16;MO49%joxXP#6V$WrzlH_?RPlRmbCkRTewO*fj5468H*R zzH#~2m-zf!`j}0Ju8Ijq;hwO~QFaPft zY&u(trO1c12lwt#u{s|SKz0rmuW60%ITQer3i{|nyZ182z;Cy#@lDgMB`n8B3LS^b zZXGrh#>Ddkjhc12IDByVY$rtxmP~KDEeHRGwcM-5j-wXJs-088U~rTYXt)cO8Yag4 z9oBwmAebJ$%GXl^{gCzgmbUy`veb|Sk^r0t;v1618eu1RvGd|H^&U6<6 z!y`R!so}n8io`{q^(qnOAgnOc&O{tg1|9w(Wj;e`v}fd9eJEb@$KExyFSlFKPHxf_ z=ML@)B@g9!)2KHmqwy>!U^+jv*OnX>QKPydS8cuGZYGRz4Yv}33nYWA8n8|+t-cBNddSk#F5k>P(TD-u3jUKeTEk``4=jSwOKbzn&9MQ z?6B!>**AHiXY%&sef&bc)EQqTldrJf6x*_35qHgmfH%)~1AY1!1KN<;O>^qEgo;de z1&?NHBrITf-shM@#?|v4+S+vnw!qY&-RcgsaUTXqtl#F6bJdT&26i>hDO74xQPUc) zO6_B;DH~w|;yzkgZ}BNC7wXNB0cnK_LKjZIO9~{CX<#Vet$p6YfPz3NQG## zKaLa=cb#>6;Uj&gUp0TU&arD}QqOW%6{4}0Jn54> z9IS`fHfoddJ+5JeQ*5!j!BqjFg8Lm+^DU1*eaXFEt*?XqsC zcbrBo*h{H3AXGq-)MFA9F%omkB@&U&`UK+W))NxQ>#Tj2USp}!ynRylGdmQ>c{^1|~&gb?wevvHi&EE5Ie#(I@FM@fWFTEW& zwJEL?agKdxHQad9qN+NaMBo}DIDgVxwNjgLUoH&!J@W|bI3tCEUYSKUgQzqg$)8}<=l)v9?2ui152Y7hM-30)X`HPu|QB8iRP|5Ejp&7y-`=N*`=U6 ziOIY?-s2klOxv*1d+OnnYHMSl?5*YK+!ggHYafr+?5$kVc;E5Ti#UOtfR@_`B;mhIgC#GgUyWoTa}6swmY2mBKK{pjCGTBYVH{&w)co$>z( z9se(_)Vp@S&A@MC+-W|q|Lz*kRVU<+{)ly#cg!(8nPSqz>X^HnF*AM2o1;`~tO=5> z54Z5JbC`u=k!maFw2QLlzISPCPTJINMr+Q}Hp;P@cDmKmQ`-b5*L6)dv<00JwH|jAxcKpBPVRhrQII+!dZts4xM!4pT;?*VM(Dg6OTr;?-tgu8p(<^+1zm%LfX;Pns|t=D%5Vm)MbHUN6vFa^sALV zH6kRz1Uek>QC&bgEi3EvT1BLs!r2xuOQm4WN-*h*s_7~9Pu>}#mkEMeTLz~Qj(df6 zt#JLf1~YpPtKtiSpRL+Sc?P}Cz)0fU#$T{ddiNO})4ztv`q*0uY*rctGZSrt=otJ> zJcMJLW~N&s^k{ZNq$Y?W#M!D&7?;X;RK}e&ETx(yVQo#tKv|i+rVsT)^H;tiOX=z=B63Lq8@JcyvMUz$_e$=x`ve z#*a7f$fCLdujh6;YDp7V#dsR0_%kS5Y(^`rYhop0@dCHZg> zNG51A928i(_)5f~%~L`z(_}uZ<+py*LfonXi-5c!VA0T*T3jpIxz4;sZ8KcUMF)1x zz+^u;1G6mef-%*nRTdwxsWyu&osyq55~pxv*QpRIW5AJ?5$vRY-j(-iXKz*)mAz6Y zs4h~kjh3F2Sy9MRJWz&4Q_-o%3Y1}bC{#iPMA9QOHc3QXn?fl8Ild|^V26;*z-BZd zv5$kB2NKwHk<(Es^yo-!D{NrJ)-?9_|0&~Tu(FAuhDFXK6#KDnm6h)4T62TINwf?5 zY6+%;nTG@Gq*6EJeY&R3^tuQVHVnDbnj4Zhe!A#hpwgXjn=>4u*0Ttuo`=mrmGR|-(KI&%f~CMPda<&E zD9GEIrxvKj%~wcxSS(#-hnY?t+C$rX_OsMjKVplrPMxr`#tt}iO2>`^yKZ(S`>1A1 zPF5NyhDym_@KZU0#y$tlX|YGqprE2iv<(XOlvT5wHT-0K{Di3-0Tm|`nYKRjV5YPdum6+1<7(Ug{_W=095PxE|Vw-LZ|X?v zggSc;cj$4G{MYb<3yY@1BjRGVYi|8K`N^yuL7bXD=r-l~UP5c-UfOB@rXu zk5z@NU7<{<>QU;+JRF~? zk@V`9Ra&E-Rbx4_KDGD6cnMtil<{}^FFH+WL&x#lkMoA!8U*6R5@bt!144kMpqjw% zkjW9qdPH;h4l73SICf3b0H*<|BJdi>vZ{Spsk8$VH8y6pg;y2GN=YFlkY#H(Nfxd$lFr6s6)z9Gj^C6a9EuJ0G4~oNR4joK7UYNy52<4#8el&A&y!?}YMD+-v40sOh zgp~m4w9>o;2wfv-Cf+P2q)xT=gqNVmF8~Lbjb@@?gt#NH%=oe2QMSTY{LRoMlfE*Z ztTYm2+en=hixtqUU@mFOIij$OC#5#HJ;G?ULX@>mV*~AxmrWtHTf#)c)>;u@loHf& zQ7HwJy{HU|JtSb=#RF8Nz*QVdNiD=a)PnStFWIqGjtAn?ESKtRk49=z9t)+3hMtR< zEQ~D5373MiX>ZIMIxLLVo*S#g6g-*}dhhBuDLo=rtI-4#5{*Oj$qSX$p`1Y0f2S~4BITHX!||&GJYd7-LNfTGfF^Y3Phn;~ClZB&=Inp+yB09z>jy<~Q7f?a9&TJ-D^JHsn!=&Obthusr1g9aFZ6ZLY z0)Kc{Kbo+B=|*=xMRi2Tw&2DZ`W$Vu)tM2cDF?;3Aa1x%KUHKFLNK;tJ59W1nW1J? zR#%bhlyeEALlW0l0`*<-K!%+SDi01-95Ibxmff1V#EVfws^uNrRIufs-6`EsENU({ z>IJSQ1*qZNO-zhIRUz$Yh^|_ZtFh1Qt%{M&=ZDe?QN*6QH~WR({4>fJ&03?TjRX{p zVdCIyG}|r}PPl*JbaXuvvu>c{$=gkcmxAK}}A0DI~X z1qx|41q8_$mu8F}sdk;%d9_r}mZ0dbxN@gf(brja&~w@4mZrC|8`+6Ci;+v&Y$!(1 z&8Lh{lEF#MiE`VuW+508s37z)x@REE9e9NSt}|4D%9^=5dJU-q@J-f4oS0)phu0NV z`2k9=L@4+-nV@RNc_`{jL1>V5O>l;%YtSl&!+rq^&`RF0X=TD~)h@dU=ohFJ#2D0U zPm(nfV^Ria#8IMg+DwKt0hYbWfGEb;Be#hYmKNsu-jos2E<2sFDE+h%BX02mYX&f9INUS32XUXiBx(Cyjwg{XL8_livJHvj$=;ZZR}N1 zyOAR_BdJxUABl97q*tGje>ThzaaCW@9n_*abdAxk3XXzubZo=DN#RFmcBvWS6aKD{ zzi3Vg~PGvIk^*XTeUJY{p)F0 zV6lZnC3NG$m*F?IfEGlS&kNY|JCnM6iN8sCpaOo=7Z_nGPxW# zS?-&=dA7z4;D8g>g)-)pw&W=q(w9XR`mu83K!v-CGO{B1Lh@P}EYGLX1YZ)FxGOjV3blR0W3v ziDb`lUV_uD=s3q7^lt0c$U@KLUDKkfO(PY+9Yz6J$`mzF<%~>Y#F)bf`3bqA47SR~ z*(d+DyzM~OE@pL8Jwmxdc#N`fZnjygwk`Hzx24=bYJUk7wXNAIGgYW@%WkqBi#k^A znS{Z%#+3x3a4_$?r$c!}_8vFN`rwS& zcAqhv&lo}e6c-DeEOxv2SwG!W!oJ#VdVSn)gWWHP+PBUAJT5J_Z`!A?)w(Q?SKo>f z{2+L`D)sc!_{G7)ZLvZxmap#BuyW!+*kYw0-jp59Y4+pJH^XDvwO zF_7bRy*ceJ?CRamF1GcnkJ{b4_IR`8m%*mnY}>&Jji1dhabdgQ#l6zn8;iExTd_sK z$WxXLj5$MitF8={m04Tc!>8f)Uno$lI#1#EulRp|VaJXk%u(S-6A z0=%uRe-^riN<3;XuB7PaYTak?Nof%>@E_4+ga^xIag z@yc&M&7%5M**52oi=URlAp7h0wsszBSkt;&$;6w%)9M?rh*R zw>A4Kt*tzqRn^)$Hyps~yf=7(1K;5R{kK~_x5JwAqvh?vK8d%BedDlh|0|YMoIu)Z6uxIun#Q7cTI-4F9W_s9KikV% z*If)wy}ZI&$yaf%&GM@_>|}-36)RH z5_q%@fB5Zc-}-5r*{iSez_Hyc<)e=OT=?F-hhLT$xZs>4Iy`~A)jng_GtbH1%Zt(eWqg9o>_5$uQ$cyjrA)_m~6 zZmCDd`^%0Gq8v5*Rjg+=oAnG{pUv3sd1BobWqT3(Qv6ZBuDH7>WLPi{GvZFYUIo4# z9Q?#jkg3fN9_)Xj@5^RBA8v4%Z)Sb?q53?XtuMmo^D-Zp)_b#ubH;^yb%7^c}m+}Lz{3Cx+*8YIb_51n!OJDk#Z`Aq+|6!c{+1be(sE`2b zcO&lIJ2)^`vYnoCXrK*`D--xa2p(^F`@$YwZV6dqL!f5IEJ}ooo{V!<5BTr-YXn*ZaTgWRJ`x8Vx`lQ%B8H< zw`&Fd!w=Q>!NL1|54UY^Xv47QZ@gh3v)Ovhd3@Vi-Lb$NxML%Dh-rqjat@Bg)L*H_ z%9#Q_=Mg#8W&U$#OtUinP_Hk1=}X`EnPK>`$C>dw{m9_0;YXP`Xy*4a@J;i3WoXX% z<(TojbVlgzF>D|<&*X= zj3$&gGe-eeh|7G=$XRKu5DDZL(6Z`hy&Dn#@N9<A`yGlrNvEG8*LjMzeP~W#@F7m6GErq4!>;U{~Q5x|?9AEIrGRHT1Vz zX58GB@n);lc6oh`fY--FGX-{cLz?Nhb{F_rXl~m8u2rax9i`QMRkA+yfjTy}gs#P4n)%LtL}OpW!8X^P551 zeAwnhr0iLkz$N8i8SDY`vokoA6l7zJEqtB4xVJ=Dy?X_L;15 zNykOSta;~HzRa3_G43}#X)t3^7&r^b{b$(k8N3Zc4256SuaxP~&twI_Az)Q>(lVca z@PU>!Yu6pp@0hUGZAsv1%uA z5h9h|$^EzaPin|`dmG9MOU=Jn>+$CV2ptZ@#6oKsYt+Gkx+nX_B*T_FS~#*JciAtz z?nKiDL&ta|ed6(W$Dn5oaffw!>Xc-DUdMx)S6PkqHfz=%c7NH?v{8qnL(p6`KuF+v zhZduo)_B+37pUnOTjaBa^R}$Cdlj=;MBmR~L<|E`E{A z%;&Mn;|YQ9>530*-&CXud>^vP!bD9ZfrEo|Ftj9zW&+Y>79Jw6z=wx(4UD?_nzvoR zF5D76tW<6Ljc*Lm|1#O6gzla82VK`kYiGZoTt&q>I@5w%M;7$ZyrK79;ag!MU?|oz zDjZR3BXm8&`?jP*UIgp=5Et8(2rv0x1VoYX2|eBkf>S>^UpbFVtGG=~$R%}C#)}@; z_-Q#L)6K7IDZR5gS9)FgUUSSEKl)<4-~-J(1ZIw}c)EMmEJzl7!SQabdTkx4WPB|r z2CC-r%60_2s{kY5HA~xJrEEEGoVRClH$iuwBIJ~&o8YY6!Jk8a*4L5Hq_c`_!%BGt zUqk@l!cbzI)=*}2l1vk5)I5xQd{Ez?yA&=#21f{WLyx7Y$Rlk z%0?Y&+!-+@L{`STNVFd$cMvMPNbvoxCPI#~2Q-oc$GB3fuzbC&Fb^)uiL~lnj;K2! zHbG#0`HVaONq+O|xO80nl=9F9MOHPCAPe2vE= z$Wr1e0tBd868Au@y?e+YzCn)vPbsoBl5wz!fZx@iOY=U-ZV3gXA!*q#en7~R;+s!Kc#1jJpWkBo6Z=(~@;rBDhJEJt6) zxDcFik|!&N7E7!DzFsh9-x>`gifrpyPDMi%H^jC!?^|jO1>tra^L(2wHs%BY&w@8th;KtvrITN?Dj5)h}`&DSVkm^ddJ@c3il2c8^y~BJ@6oF4wzGFRt7SM_-%ss!6>$I{H|3eeJx}OElZr11_w;Yu%sc z#g+YcyY3@iqIvS&F26wYKEMVcoA`{%voYjt>ymuU1goaU#-`iNI?-utP;s&B}A zci(jn@MXW};-8D?f%*@p595nH16JOGu0wM=`f&98m7MgY>g5?)cfaxV(aP6 zS;-N}mpdQsYF-O^?`r&7kgR;R$Xf>X8L#4KL?6%dt2jUTOJAKQ%)9{+oj_uy52H1)oxcZW4^)4h+jKoA{0s2GIAhdl zk$d5cU{!T3zhn>OwHQub>R2N`GOUF9;G~3Fm#-TGV6UiVb9{o&sf!Qcstr=LDjg3K zsCu*0!d~w%Htm;o)H;XXK~gK&hh={F7Y68t?M0P%$#N{(m+Pv zJdWmfZ#jAWcT#$J1iz!sp}6roiheuu?^W-&w-o1h@a4&0Ho{jww@pL*ql*=p*xBxY zNhO=V-ZF&>2IuwQKfcmS4d{#+@DXd%um~;z`1wIxs1x( zADab1*MktnE#sH0K!R~?l7+9b#-FiV7_}91g z;2#_t*o?uHU;t+Pr8)sDJy-k+zcRjokCLS2yyvzY*g0F6rZte$zzYhJ==W7_5TCN{ z`_u=L$q18X$j_0et59GSQ>PH`%+L)nIL^{Kg9=Id)d~-3vIu3BB1P&q8_ZnpnD{qg z3`%e_=Ce{+4K|YhrHpq@DNLCt|Eu=tmcJA9^`BK5%rrf~tn%frSTew!bN&kgfxe*k zYC#kTMbc_agO%*P0s@_XnqQDZ`&a)Vgu5_>4LD~D%0NgABDDx-g>M&9aW;ekXA?_s zbovg7*1awKob8$ks;SvHI@zL9Y2NtzF1?1$q@5-dMVUghQbPWl;MFW%fnd*w;N&ho~6lUzT*as0f|AY_YBuX=3szYHPCTCJ*6jL@xMksN(Dxs+l?e&-|HRRq3 zjbpC3)H`w~0pO^|+|s#^?VuH{VvHGM2^b|HL9+8hd*w^^=l&hTsA0mRN^ox1;B*V_ zEIX~Af+Ghm$6QtmhlHt0&}TUYPnk;-2qJ>&2(x638qb@>YLbSvIoTmP3(sBC>F^@>(JayQL_&2_LQMsvHuet1Eny}@6KpCTjW(7_QB;lj zw_;@@BGB9Fcj1(CJTejk0x#ENksYfGS zJN1=?Iz57SWGn5inCcr!&-RqIK;zrg!17!fZ^t-h&DzNzYcSu;LdR^iXi8*5y5+CF zhfVF}FuQA5Ccv0Vu2CwzMkersofR(Npm<ZP%I3z z!*RE27g56C#Va~|A+ZHgTEZ@GmpDGGhmOV?-e8Uje@#TO)!*j}^8GNPT7(4?jz?T1 zys|_es972fn4l4AQaIB6!YJ-b2{3O?G=wnjV65OM3oQJTJmzy&QXT76oxhC?y}ot} z%|>502m{|@!$m2;OJVFpfodLyOPi0ZBKXxWZPao=`t{ct%UMXBz!NzB=s|R-00IUU z^1w$}fj-rUe25~(DW_4kgTW%xA-p5iIvoCn4XHyT%M_^Mkow6H{v5(WV_yXvB9ehn z1!T(-U}Zrea5mW0m|}2|F91Q@1zx@eg>y4v)S#0(wLl>G2qcJu4P$>5RC;FLP+_II zL+2Gyz3VUitk$N-f!3UDV?eO`!t&CQF zkDI;C>LfybWDjyji;p?m_d!g9P3G80C~V+J3xkLy@zfs>Y=tcY>hd2B5>TMCwTMs3m&6K+pM?2Y1$hDG0-Q_d%x-Q5`U?@*ICAm@NJoSv!p|(i zpM%0EpVF0u*gkDR=khMs;21p>%?^!3g@n(ctE1;zT7|=wMzB5C3aIf<+=7>Ef~^WH z_Em^8rK)TGjR@L4DNB?PWku~lQ8;^YbW)`9|QA)~VR z{8NA2;;!clc<@un7htUB@PI}>ds{B-YkVp$xExJ3MetYdi1P^vO%HzvnIRW3l(j_eXQ);APy;M~Q*-CP zr?Q<6oG9j@k&2afyzfL?v{64q!8`lQRzmw-MQ8k99wwiv7g+WQn~$RetBObFwPe5{ zjcI@?uOt7BY+sVKeDNrJE1k`Iu zGH<^~nR3YazdyCqNcYf(snsW(F2hOpQBqWpP{a>yHK}gUDk^_Y0f`NlsBⅅ4pSX zIUs88_EFFxz?3VBm1w<~dnuxU&r^1YZ89mwwmT^Dc8K zkrePd%c(mVhkqJshNpO3@9e-AC^`b{_(X-sX-+|D1*ZxVcpBh1T}4&NS}P-7$LcP3 zM)qixTL%AY+6f+7lG+Ps(&#!vDQ-+6w{TEx09r2~`_rIeHzg@n#&Dq%FdSvo zkC25or+RSAf7}A`7=@IsETp7-$QnxbHWL_+PcA`2bq#gM!Eu<>HN-3V$DPjJxCD`o z!{KzWx@5n4H`t;u)hXQ>;shI(yP&6$Q`NpeSGF`viK*2We=ymfCZO2_vAX4V{54AR%TV478*j8mq5MO*fAm*bEciDx(M-ryzQUZg3?{bCp$-B5YyQ<@C2@+EGbyx zalgJ2;*2xIUY!Z@oFS3=_%Q;YdbfMt^fX6rdWKYUNZ8eHhnwb#mftQZ zW<&wTd2gGm)_P^X-B7~H5R$tiT3#r$(7O%{+7axU=0<7>w9;W>c>jo@ryq`qByctn z;>bae++8v8$`+6@0tk$gAT7#&2(S_}1_BF)faLQ%bzgVS&Pt&WiGS_szEyQjojP^u z)Tz2ZUe&$(JdNmiO!KTApyz8&ceI)g$tx;MKm*)0iH#4qcR>+B69U~xagvpYj9+lFDir5^;qLkr>eCADPIy+If@h4EA#c0O@YnCej~2l zNylhW*CT$HP$lBsw2>YpTRKz@H3f(}wG=2aA8}-Wkb|{{X&wSpn_cb{hpRK;q?ktDh%-Km?`PNU& z{7oMCeBe7~n7P^f{-YzNZ5F~G9eJ!W75C_7ockY&mt>6URvg6>pHnTHXfS+Z3tuWu zsq1=4iM4jrJ?YNiV(RWX;_2>g-xF``@AgR3Vhr%H;*;Xzt!L}9sI4t+#i7H-QHt$A zXJ>GaZm_Y(Q=)es^qJB*hm@VWtcW51h1m=#mKLubmHQ3L)mXiKOw(Sz*FmL@v$G6! zclYaxLziOd_T9Txr}2J~ZquAkijC2slVPu4KXi1l?QM5PV`{g1sEOsllxn$!8msi? zb;aeM{U2E73!{TJXExDj{c3k5Y=T8cSN@gmsPDJZL7I&9HJs^m+12eYGgi9a;NiC~ zwMk$}hp{N;rcvJ&w%#Bmj}qTb$ddBp$Cndb2t4)i$?pAL7GFlGl(dgTTXFcd&hco( z7ZK2MXNRWyX1aa*_5ZLxOX-OxzWP;MHcfYy{rzJ0>P@Yo+)9g%b-rb5>)B^d9~ktv z>4|il(t`&)bV14z%kx#7)PJQ1PYHV?Wrw<*7VWTvN42>}f2GC3u^p>wyDH_VQ{A_> zzWm!Oq}<+GVi%U3KJoJBC1t!-%9}{(9xIGJ$WP?VV(+y1rwsV;_OD)N{$)qVbI+-c z>(|@o;Ie7*FY;|2@VDRY`@i%R)(`!}2+Luj5x;^sYnqZt9Sr}i>Pkf1Vt!^3_q}_w z3Cl)D3)h--oYmYx!{tZmCQVT(x}e657JbuLeL91LK4o&BQfaYV-bZRmxCyvB*Dfq= z*YY))%spqb+qb{^)k5hfrmuh1DZTU5*^x&0WcJ2gx-soPtL1DdVfZ(YZij~*rP(xe zTEJxZI)J1tkPi%eu z5LMC^TWaKxc>KO}dE$u`h97Tl$6CGZ?QIN>YqRM_+&2Ez78EfjLEdirw|oDU|6Og@ z9)_$;4rfzMGB8ZE=>Ql*8IRw9X9kBk9iV)rM|2v7_3(IbxFyB7TEXMhF*npP(Dl)l zrYH}OXWQ6xx;mq3@QjqEVVdn^GOC0dkAFQ(w)NY;ZRoJ`R2L@Nc}lxe%oePR50B?G zCmh3fG8yaNi@sN~WM_#qH`DkI9>-)`r<(Ga&rWrgPYdQCjX|8?3@@-Q=T4&0B%{_=049F06V(KyOj9wbeFq{Hxd{B`OvCV8>o@rQ@1BQ|z8W=URp$KyBO zKJ5Gd?k6$emC>E9lL(|c1`E4G-^2*WusfI=6O9Z{7yd%TVKW(?Gm%^MctiC1oAGrIyvyYy9~Zt z=w$Nk?L*38CwV-j=^pVvyS3_Zg6pjnV*1Bn#pAWvOm#)q6Bnh}I(7IfjlJ(bMoeO% zV9uH(;CFrj0dx9hW24J`&;8g`>$9PMa(N_Q?e57>V2ujG^v>;*O4C6%Z;;_5{K2wD z#AA<%PQ6JlnUQ}n4#+@}FuZ#woDOi82|8C}RHhJ5ryX>|>%3P3Y7Xa8Q1NJPj@Waj zxRgv?OfAJaN$WA0;v$Rbuq`^>#Dl&0ykqS!OLn}>;@+;3_qGq9R@Wz*c4^e z;XvI8Ht>`3>vaU!0t7w9kDJZ^~1ofs2PnF3*1X- zh}9@enVpzs<*ru@ICZsomC0UjUtvwa%8E6%A6F2ti!q?cw3I#@(B8N`2yWU?Yayp7 z7in3#Xvhe5QVyLtO+`E&esCG=E?S{|w{j*@uR0NsSG}Xl7~R1bA3YIDYmUc%RW4Cm zZK3s%+wyx~UqqM8@BJKcYX^jp2tnCA&>VHy1{Ju&1wex63IT3vBK9b-{)J$f>$>xKeu!^0+t<4zq^wIL?UEF>14U^z z_CzdQB<1-*$}2T~5?v(a>Bnm69||e8MbafozeS7w{@uH8y=Aj!eqwg__^rKHei~hl zkLS-{|7qefmy}o87WB*djtyVKLCV*@writb=6g0@o9zCCO7o;fcIo@r7Ie3~-87QI z+&Nc#LL9mjl|DP88|vFT?5(%zR-DcMfzof;NB$EAC4G&?6{Kq6~N)sIiu)U|#&8J;51 zhsh3!xEr#!9C9HoI`D#gnOKL-VSy1gm|(~(N+1Gtu_KgYn#FsZGSBCfm5)KkBnt70 zad5{~&^&|ARPoOpnxl%tW2`ag!FKcy z?DeOUZzt<%8=g+^T45ag-C}TOg5(4dA7ZX%{QZ)d;SH z$OG{63Cmd+fm1~6*a8tKUcyA;%ihKK-) zOQ4rUokip_VMjti23bTZ>I;{bq2P|@DF0UEpHj2An^VX!UsB;zU>Ii%$OG#Nxs;q+ zRw@?oY&j=gyPT+8sBjCTlOr5@3Ih_C#Dgk4KKU_j<2Eh_*vJ!H0I**M_n_2_?N7?IXZ zEphZBrPD~XR11ZL9(aP{GRFWT8y{1p@Wf-UXsWK&)#Sv1&I*ZhL=ZhPgl209-C8H@ zBrZW(29m}LVzsF&(kkhHJj*bH2^ACtbcAPEnN~Q4)4axz?&ZW-iY=Igl)7|uE0eGE zkbu-G@S#99@fI^zkR9}I|C-U$ByI@nI2ftu*X%8*zR8f9U{up6XH(QqB*D|VZ-lyt zYFch|J5L{|(xs?{^iGslvEg5Z$;~ca4e~wDpvdEOLdjHWd@^pytU=ZK=k$th zilOuz{&;En#I*rwAhiV8J*g;Dm?oHeaDj02X8*;1E#)?}W-Q6{%>jLnY1{19&D;_+ zV6$P72T62V+I&YocmuLG3B8~T{8lqLOgm&XsN8W^%T@n)j>--C(=OV9wzLa_Ez!z6 zeMY9`%rLb@kqE75=LL-|sjpn+3!9s#gtpL$KZgL6a!4pQwgWT+pDwhchJ8KMbc`NPZJlae zgLU~!ZVOwSCd5EGFW3S$jAmz8BbcM9-AM4fQj|N4SR?PQ9zJZvK-etRYK z5Jni16kUMK3;kpwqOXTbnK0~C@q!~VFHiP zQh_bU`Au8Ox&_hiRKLMDA2hKhVmUtUuR3z-f8>SRZj)vsOE~hQXN6XN1{4% zCd@DAC6s*u2bA`TV!uftnV7oahAIr$svIGK`T&$l9&HdNUi|^7aO+kgrQi}vpbF~c zc_EeU5X?ypkkoA+$hz75gjTXxQ*D#xEdWx0;ue>HUG_>j9lQL~2c0b)qc;CpS2VRO zeBu|}uhDs!Ja~p~HR(bbF`rtqn|}5`MNmFa?QIxVwgs_0=u{#HyY)lhpzS2zQN^RG zw=}Tfw+*f)#ll<@^D_&*T!gsQ$s{KDrKv) zDdz%WXb9a6H4v&s$ztP0Zun_u*L5W*0_Hr}hf*)ciXEf*iRb|mPT?#I`9NC?vFavS z)cp9rYRwi(soKf`#ZhfGsH&_Idi*CR;;VWdmeu zWw`<=M?$qGMZn=?t+}*-$qDcmB6!gbw1RwU4Y%lB%nnObX52ny)I`5=!+q^6=8qBz zV%s{rGM723V@V8i09q6uGdlsj7e&z)(UF+U!97CiQ)W>o^-7V;#XwOia$t0hll!2m zD3ig|KG*|9pjj!bQOjO694(^w`7*i=n|G6&8t~lYj!F%LMue=(k z^5fq}26k%c4Jv4?I3NbG#K1 z2-U7q|9Le_JU19K-=)JHiY%yJRmewSEaJ3@Gg*Q0@9_`q9gtBC$YF&HV@8-J4)h2b zBnUI;DKpJ!VlOilaZ0YN$L*0{z{R4Bb6A|GJW`swa-`rd$jNo&DJ>`wD#)!kGZnP8 zdxlOTI^hrFoZd5gt@7XM?9-$uf)Y|+TI5PVduw8I!VHr&U~8DTQp%4xnR{$JT%Rn! zXo(xD^BRLiY-%EB#U+UwL$1*QHG@np!&S;H6tHv{S?575Qh$oYU39H1zdQ)8 zhD#PLSwkP75%fgcQmRC4gxN)dpfvF%=#C-#1)-zUcrK%|0!m7U8k80?0Z=%+SZjx+ zUiOGcPXAZG$7ar5Q#EGm=z~V{Dk%h+i&vy7*&)zIxsYng4Hli4Pxi&2^QW3sB8syq z%Pvi?HdzxXLXW814<(u*KOSa|YLFmSZV0zY4Q@pX7~T}U1g=)ZnMuV4KmnR&R7uq| z>HItlaff+LdZToDjmzi)Eg;R3v=)%33yU&2&4?;KW z5tIlaNhu(r_WElT$<=dft+#>(qm$UvxXD2wIIz)qr4Ft`Kr1UyWAbpD3(9ifQn zr)6c2qtp{HZFG8~(4EAzkb|depn=H_0Mv5}LUKs;YA2*-Y_yF@AfqmBM0+$#9qYE- zXscW*$MxE2G;&(3DaeqCGmMvDF%??Ylyr)oKpqIIOX=*Q77jt-$lll1Q)l4P+@rLl zlzQoq?Z5xOz~Zsg9dhI38A=>bZX(OaAV^ld3R zq02IQJ+~zrvrpT{xhLfnZFu}?WR%>52Dv>jpY25&qf$tE1u$kUlOj{G>y)G``iAq? zT*aa7YqJVT%sGQ=Dzoa?N{SZ7eS?DnMS&9qx0Bth7tlnlAzU1xz-5vOR;eLcNXxOL zzW9fCqLb=`!b!9VPibJN!a|~|FX~)onk)p!?usT!5l4)+0uORh=`IFaB2Ag15K!}6 z323hB47+3ROyC^A9dY$3=(tQ44O{+~hs3ju4e*(s4Zr`OdEV}j-DEq$EvVRU{W%-8 zw{WG+ytp;B>GFoE$oa-7XIql&CYiTlWu78#HU7b!m+bIU&6w_>bx-qi^^=RS|CC_{ zhS~m&@jtL*Kfe0HN1wg_1uZIU=)RGCkFGWo-BVC=Y+oAN1KWLpHxG?>CgUxK^rBk; zxBlY=yxcTsIF4_1JQwcT?aUmLz0KqCzt)cJh0pBIZ}IZ?Q?3Y}pKSlx-LBp2?34D` z%oAUVvFX(^*_^ek2mBHbK9`=;EH7vMLS4Z6ph=oO;QL_s&M^BkP3r_6g_M*PLYDFZ-{J?FarkT{3BA zEhF_amT%c7=0|#ZnmxBS>;*kF$ZgZkHhhCAple@h7PjY;#gqP&gmK|{KS81 zr~hhr7&Ez_jvr`Tr!B6PCM*cn2^g)H97&f?OhB4~bTaz(ZkrQS-tv~vpX$bTry2W4 z{FZL==H-^RH||)+v(>~?;@N@`u(IPf7W-eAZ`vnTw^qnQ(qeLtA1YV4S>AEJ2*t|| z*-VAu3U{89x2&-2pgVa=mV|q%5@+L*PwXx5VwSBh`G6?*xb16?dpS^7}g3uwvCU>=y$f_@G94{IrmLJJey&(X7h)& zX@ljyY+T_d?;CV_=d8wNIfdfU?kgguJ=eC-Q7JzLFg~`Z(^`CnY><_hD|g} zea~iV>*#^LiZed+ZfT>m`}zY)rJc!(4|<1t_r(Y3pjf)_K$(b(nq+`$0WY%A?c1p` z(Vydcow#hj>#~imefS4o?x_3P?(!?}UHRj8JMr^{9=5ocrDwDiXU1AskO5eveWj* z&d$801t)L!;E(UW-1q){e-F#Nzxf0&6>b_HIpRYb8{e@(zxtIcAOH9pZ?Lszb2^&r zHuEc^>1NJaroBiL}a&H?LjWVv+OxvNd|*Q>T;rW%ud{`j3up-!4F6>1%)DwrNk?p0wU+Mf;(< zCzjVQIa{Z+xT)W`x3(6ymkf!`&BdS5LRud9?K@j>He)ZxXtedA=YCKN&A;uMFZG?D zKmJ`9p3*z49-jAGe4ydf_}omoch8pvtzUih>r0*b&+c^{&%)Bt*NNFm@%GzY-o#jb zzUv`WcIx zo5mfTSd@Ll6u?&^KD5h#X+|IX;ERq4NBg_`N9K^f>$krielfLt|NgaW`%gSLB_7XS zoe*E;I|7)54+x!<(&Os*4Iq}vO z`$T*2>DwoL`RXSi-D+9r>M;JTr#xTk7$YlTMxBd}OdSG<3oGL*XN^Nf5y7k6NwdywN0@4m#MYzl3nb>*< zNom&6G9}Ey@ENMGu2L~Pxs+n$XqS!B|9#Fvk6+Jy7Fr+)&sw z?HyG)N+0=-)32i*Hq-XX&9uX;%ej>SHd`VSn_*arEthIEJMr#{$fXFwcw`^Crm2T> z>+Nzv#rW*(l#wv%?!_E`?e=Y^oHD*T#$*kRd;4vv#|O9yvN6r_0oq`AD)GcnaFJy% zfXbK#FnD|LgTnE$j|n&X>}R_UU2cDl5y1G`?F*CW#{S(7F&p$-e=2Em0~!9_J%6D3 zj&`ber%6=BR8zTLd=cNJx+;73E>lg9E@&J+KDDgU_ol+ky72rK866m3jmlPZ8}mBtmtwSfH!`+%@?EKan~%t$7&a|48UBzj4Bl@>w)?F7MvpPoJve?C-t57t znz54}sB_VKNj}40Y3GOp!jdX!+kfk`&`9iC*Qo^^mo=mGN0{R~Z<^h4>2Ow(u*(VZ1jY9S5RoLGjpLOxsYd;6_lrtC&*;qgrqUB%o-8-2v*c+6omPP(<-2P$s1 z;N(igoTJ&9?8xQDh8+@(p2m(dSDEq)cTQZBybq)8jq}%;BdmL(*!?2EQs6u_DfAJM@)bg^i3(FjiO+U~&9ndu2v5QLsS%K*;Xhsqj=SA~j zyooD9V9v&zcDEy2dQ+Nswx7vvn%RmN%L7FL`7$(mRybWJiW0Y8SFr$BNKX(plid&i zD%lu54Bgs~eI~CcG`ZDEm0K-#r%r2MD+b$>Onz!!)2Qh%7}=CI*}cd)p;TSK&`;E; zQ&R1gE~_muvyek7i^H1mCs5%5>o{5_N>R|3RYYx-+S<4`Ti%DpxwTc6wQ(*{ItwE) zQ!HaSiHZ{Op_@Qs?L4UGidLIcSx`KvVl7IthhGu&5}^XYvX10|z0#!1{yD|hM5B9a zZ$jdqx!tmI6G!1#!&UoSB)5H)xk7#)3w?;_Yq&AlNA|zWH|F@_)mm5YYH_YEqg)NR zJnKoxI$4xl){PRqv3=_uGKV7huZkz1a32e*ssx9n=9g|2xX@4hhLWh&1&N|Ec&-R^ z_oyHfkqX!fPK}8yd^-vnnO%4NjV2!u2PLxo(VzRB$ydGgkpq3nFG>14|r=(M%EX zN)ffJ`bb1elv2y2OJ9WLT1-iRxhkYwA{NnO<|oe9oBgr>mT$=X{D(i>b#)VscXjg& zf^*#llXKlf)9lr4FHN(K>z0$ciN?m-lA{~!r(-J)F9(SYE93DMHn8;luD-1kn`nNT zZ7*!-X(B#(e*Q&mqIv!4FVsym+6Kc`oY)q`CYnjzL{m4@y!BSy{&M_QGnWp>$5p4} zvKO0ZtUUcg+B{?9ABuQ9{vkFOz4bNQmz1}9eOo6s^yFbfPe>`{l_8F;I7di%I&>Hh zv5k;&p$lK?p+(YVGdA?F6^9m~%S#cLy**m=s+Fn(i(C0B4m#PrpCYs(gneNV& z;5PPYMLc6T#w$nMFR6P+2}dlM931UfTXFEbvez7spZ8*OR!(+>H^ks7eZgZ?X>XIo z!i@h(a&Ulj0Qrvpi!U>ba$ zH-sXk%c#{Qnn}DMx+kwiCs#HzB{W@DEh45g^x>>v$H&=<*erKMhAx@N^&%QDwjc;k zi|}?9L^J9=+cTd3=KluU9~?B_ps4Z<@}0A#^VB;N?_Q-&ERVuNyzQg;7nEAxFrTf- z4;5b%&*O_K%Q@{`5<^RV=jZghj5i%8*pb*$lliXf&CWJ$F*mo#rac8&mzbM&#rAy0 ztq=sq%iYpT%5qS@dN^%ZP&emt(s5lMzhL}4Zax{$bv5erzTGHSDau0ncm=lIq+sFd z6Q5!T@o<@Ewp(By#NXf2J9!se$vHBL>1kg+B!Vv`r0L&|I$Zg{GAuHRlb&)WYIt5S zZZeuxIDr+Qj>*X}BO@35bdUIWY|}!yDSB8(Qyl5EYA(;`5j?t1ZCn}&prBZA?VeO` zW%@v8f^5kXsF!=BO3S16=utO+ZBg{rE=PDtjvUU_q)*^Jv48QWjH$ysZyN8mB1I|m z&Fa2H3KHqIK;vSpUe8B+2v9SU@FWbN(lgW*X6A7uyJ@mDBuDidSBsEyP}QhIX^Jcm z?~%ntfdr^T*tY~V<%pzHf}SnSP>ymUOBGe^kwZeO^xII6%IVu|JnzNuYCEUQ6`_?3 zHw{isR)8ld>IE7&$1XiHZVG)yVX`3h1aOp83MmLqU~SpVZY>w^d zm%_(|iuR{lVh|GX{xUrG;#`7Uk(i~r- z6qW3_`V=8-Neb^-S2AuAOPSCpIcf&gPcgnu7wjt;)+!w9z5pbo6CHC|CPbv@4n_D; zVo4;1tr%$#j`puw6RfV@Twz$6b37#GDP)w z6yW7$)|7gcl!_}SS&@HY{Wt^K;v|<21nJhv>In0)&)Kt#fAgPP>~9 z)h#AbW8Xve4OO4X#-k{+CvE4UBlw|A!DPdIKUz!{{4hwGai0(n@R&j$kEbP2se~wg zqRJF#iu2ZDJmj$j6ZW_SaB@hqN&rdZx5sOQXSmX#S!M<5^h^5^nsPxKex=3HU@b2& zRww~v8{Zd$+i0>^NKs*v4e7EC;5fJ6A(1Ib@Sw@W=KJ=N9b}Bh=QWyr^^wct88{6h z5YuExcdWTjY?~){_Yg zBm=+8C5x_Smi+Q36}SqfCCzd_G1JU>z$g046I{=d^AmMO3tD&t<~z=_l9cHAKD9-5W9}Z0 zV)+AB|4I7_1@LB;3wE$b2iq(Xfj=~dHzh7l$(idq%9E4thh|?=MpKw3Md2xFPG}P6 zAl00*on|6Cnfc_n(0-m_Lg&6EtM_wq9_o(yEwwx@vpdW({Hei9v48ntdXbdC70_g2 zZ%A@a&;LHCl$9PyWk-RmgUm%=?Mayi9$=vWA;MgRnJ`Egjiy(U=k=sdJ{)`Uw3~uruWiejm?EGHWvC0xRx%9< zGK8j~uvfVnn>43zTAru@7@>%5>?QbfODxv(S~WSW)liTp_QV&7GV@xC_o|9uYFa9B zP_$_iw6>uOYB6P?gODh&R2ku8O2@-nvY59$$YYzCb1e^&B`Ba#M_BSi$Ui}ZBr?YU zi*+L6F52)ANywHV^f1(}d7!H4Fgej4>H8>;GC>FBE1t|JF~KtdnM!63r3`FdYa6m6UuA$CA)P~q76#V;`70v%nyg$s!xJO4p^%a~GTu%H z1T<1LlxT!E=%JqSz{nZcg7;i`us9PxoC%elq=RGOtNH{{=PW)nH&FvBD9kqXTx)WW z3g|-8Gx|G}^YY4t&}d^^79z-NmBw*~1Z(V2?Q!KsSb}Rnh?4&KIhJHVj&ShxFvYTF zRI4lylB5JaNa*~9)z^ys`8U_v`1{EjfFDNS#q5pQ!S1L1DiXLpNb^A6XT~hF zz{f);732{)p)(JvQt9#{_@O1|d`b$)%83(cozNL6X$vU=en0Wl>}1snONFeyMXqx# zEAR+0q3A=pc8!|Z@t}7+rFy3-YK0xC@x`n;C)f_rqN4FQ5xRZ59TZY~BI)3ylILlJ z21V$70wN5ar(UO_#v*H7eIZ|hWMUu}g{i(RO1O)=vkc1`YSGmkK|SgK+;KPYvjS-* z8%*H@g5ae}7M5kbT)whP|u{A<0vn+%+ z?o_JO1xODa0lsHUiw%=vi1Q$Zx{+*x3h_>4V2PL(qD5SCm0e z0t&vs5l|y0tcqr$OiU+)N2mULyD-SJi$jXDVN}q!e z52j4&!V<{J)TKXU0=Y&IxL?gNm#_QJxqq!dsYB0E@i}40B0@wSFjp+jpb(5ZEKvJT zIbsgT%v07V>2c!8L5;wJ-tE_`f^4fyp$%^28P!D=L7o+tGZl#oDUe9&h%ULnvO@+k z*YuIFo@hu?yPBA7hwuXYJef&RQo*-;PF2C)1kLarrOCr9I0-6_JO#?Dk{ipUbwDB7 z10lzS50KTMnoDYi7gU@u*W{2$^fhI>i9N<7^+AW54wL;Fv$=vuDIt*9tb}u#1n#JE zO^udw$;xxWtG1DcVqOJc+Gtk;4&(^L(yUXNGnJ6!1dKQhqA{293$Qpp!{hH(Zic6_j z?=`NW5M5^ip?{==U54NJJ7BF#rw!3FiD=0}q?)YixU2IJ<%F0h(xhtdg3&2CSV$ks z*75+%gryZW$W|$_I0w(-Vj^F7T&Rj<+%GZY6RT{5t)bSx0+pO-zOfeHjD-c9?z!TX zTHNKKKuelw#EIwuRjJnpFs$tp9CviQCXP0dJE{^9RjWXT?y8JiJ+s1J17Z^5{1=*D zDq34X`T{5_wv#Hd(%07Ll#`K{OJq3VKfW%xYfv_DfYNR44^zxFI(J~AWl(rj3x;&$ zsm(;{j~ryDKsi?E&SO^MOljhxVr37))#QW$ zjThyGGMq-0dKBF3E%;Wxiitmo<5c6dkb}EUeo+*Fit3StRk%UKg=@oki|g{HmRV;v(P8%5UR=Fcmjqk;8F#_TrX^u6_9d7%G$|Jn4VlvY65>|`iZmK+$K|% z7j(5QQduaFBV3c`T90)#Xi+35ve(@al*aBau$MFCGq`NTeVA~}ob=A7b10U4Od}o2 zxX7!Dy){&$Nq7!jP)$%g9=yko`0MH-J0zBDIk0u`PkSV+tq0LL27$WWWWpdbaiAU$ zvjPP!OL4=i;SlKpr`^CwLaMztq=1rXCMKXD+j$^HRg% ziDmY?nFe@%!Ct|AZF*!(53_M*^>wEletu`$$TE4Jq>u8Pa`I93QEh$jUi5V1kNHRa z#*(`B4hgcX#E;miAvNb#;lQ?=z1H_Wos&IwtKlAr%^-(7xXE`M>o#+)Wv}{t`(ysO zW@CC_d*o2*ooV~yxt;psQx?&W{AUmEcXp2%rN7r)v!`=v%BYO^lmtIz^jqqLa_vW$ z$rkE`Zq{JtFY`!7?WCJlJQkbvW?t;@WM*4974yR>o#C``LJ3!$XUzX4JJZ0+&XeX( z{S}qgQa#SDbCOM_OF( z?Y$p>a+NO0^H*%XYp;AE=cd!J&mXnJJ$re-8Nc*f&7JulW9N-MzTvsuuzsVRf2{HM z+4pXDmNzoZ+4J$Ywe8DS>4-gRJNsMzj^8OPZMDCa+h6}$voW5x)A63okzR6lKij^g zZtoZ?V5R#QuXvd@)1ukQd}U~rt(WR=7ULⅅ*}rz*%m^o-SbNrrWDNom!XJ&|sKl z(Pwf_MYoPty!@;GkSiqaS35`K9%mmc*=(2tc7^4>%Q+8G7Zau(mJ4q#kEpFluf|t# z_;|IpyRb%;_4-^Q+?>oh#Ef=W-Pl7yhz62v!WGsVql_VSq;Yrk*bV$yOvi|1TZ|mNIr$M5INZN+^N@isvaM@J zpYJ-h;%qriFtSg5{0Pf8&8I%z_YKA*ZeAO7d~9^)Z_=XaV})Nru55hn`s&QsrLtIb$e!riI!k7l zbk?~iEWN*Pv?!0>e$M;Ew;xsoEt+hvf4=W&Ov5H4m78JNqBrt-&*j+oZCWDy!Go?# z03X%x&9pn2{0Ep7OSrjz^cQLR#)b@!-OUduLYHDeOnGff&%IdfpK!Hi#2z12I~uL` zPv{IxCESRw{F~fNqmg zZ0y?YHIeh@ebL;=X^seV+^u$v%4^9X78#_gv{mqmB3Z zXW?j?28YLm(zno78lN`p%=^vFe5afg+qm-WcZwAoZQWb+ourJt%d`+?bYyoC-w5f1 zQ@6ACrSZ;tnP+w?ZcNUaS1??=pzHEQxi))?4xP))#IrJO=n2CM+kO3YY0YZyF>5D3 zjJYcyuu%pPv9qw=*xUglAnNGK2R^{K#PCl|@puYhSNLt~Xi3k~?1^a;8lH^d8EChU zs>g5LeNj@RAl$~bzYd%g@YUn>@Q^9zrsF3GkME8$o__VJe0pS0eHVV@yfODvw2e3e z4V9CN;orBxGuZ_Dmv*Joy)+m>^%kPB7=933~)Xv;g5md7*GY)+>o%i}-x%-ISP zUG=LMl%5uV3L`ewD*{I%nZ%ig=BJ>l6isrlwMq}4NoEPwa1H#iD+_q z3bX2%&+XSfLvh-nYXgg$^I~?`Lr%4KT}A4ex&L@vdC1KUa#%YE_8Fj9I7QQ|bOY zpGF=pDgcn%AcN{!p-ey@Y|NF?Y{0k}c3;RC?1_TzyYi}W(nWj%4+kbjieGsAWELma z^X&b@PNiVNqyTRb$ea<9zF32lm=w5>+Z}_C8e=1@)ZP2&;Xc|>tr{J)tSz47{nZ(| zvOV53C zILW518QHQtz|_LcW_L!CsVa+L-hmOT^Dzrb4Yd{MoSFl}p3Y}Xvp8z-2I8+@oc+DbyxL7h8j`6EK97<~;MWN;NG*#!7JA38_~jWbi&`9fcZ=07q&A zifD#JrxqQGO#|9QqzcF76h-{YKpE51VNw>`2!pnr8TpP4nci6=zRdamFW)jbaNb%+8W)*V^h)b`a3_byd=BSFZAf! zI@7P!Z|qEyq)cYdY(`67dYva^-7Q@%PWYebNdWy}bDYU}$_5RLT-LyGej)NBypx1? z8ufS{QJUf^nM@>fPQ;5xdY&XLNO}V01WFRfl}@TU4`3qZ z$&=+DKcNe^_FUYFHkYwKO{3mqo}ZdMcE<4-HwwLUGOii9KeRk~)1^Hps6t#=%28yb zMyxw_Z7d9=h|gyZfWX_}~3?*xwDBcO$+VZLr!p3*o>}x?NNM zK;n1QRAtus{s81ZtZS1W5gC-V4j1ORNS&7`=c#v1WO>@pJWJ;cQxzhHSr|V&%+{$E zdNo;=bdC;ZyR{t6Nc$dLXw}yu2N9HMYlXY>!LvnEZ zL?GMY#{5W4Dh6C~@#FG^O2>0%@`XxMW&s_@Ne()m%(W+-98RB#ocHHT)|3UEO^g)E zdr}4w(vzPs?T0et&>9-ZiMLr;v$_u=4JaEbjqI3mg^~vJi9i=K;K$Uhi>OqDTA!h< z#EHWj;&qvDxJkM=2Qg6MSRzG&M&s}QH;4kzomk@qG0v?-4xU1o^8GN9Ljs-C7tLp{ zD(U7#0w3=X48~;Y%CSFHnuM5Ss!xWW9um7sS7xWJTsM%PU9^x$DhwYw9pV^qy-kw_ z!((|FSj-q6X!2azx#{sdd02gIcydKY!zL%&FPJ7#R;3sECxPK@Z?EW=Rh3O1mY1`m$m$4_SM|=Blb0N-B=bWU1gS=i-USz3YBJ(D zU~nJOne@7bLCO|c==Tn(K^&|2hI&JF5*_TWs48APe&8;u`y5dFyunO)=k!p( z_47EoWCBT2Jgo+*rxS32@?41u<67&w_8{W8$LG$x+pJmFd4mqngJ~@Q3k6<+?@#}m zL|iur1_|}N6O;%jIMf0|7D$sE3@+qQJV?c{bEI!FtUx7rVURQdg{*YftHH=0OUHmCw0$-=>_T zER>l@^KVQuYf05GMY&v~*Qkax0>~Uo^IAR%%lZZCo4-cz5Jc4vQKlDIj%3I@Csx`y zdY@ploG!yRJYGV z{_=vakmNXucNrW8oeG=;PhuaMqpEL)ipmzO$8in}Jv{5d%_S!e-QH3@bEFepm@r!w z{t$DNu1#!QIwS0o1G)Gg2JQw{10_@&^t&(y11)H^QI}f&kR0j>s5Y${$gIM>W<|J` z8}YgjIgzY*jM7U*NEVqm?v0|{ASBp-<{J5ff)|DSBKc4X#v$vGA`fXE67xevA4Zht zYm$(2a8iSU=d^bwj@N|yk#N5x42pDnL_cKawT@A02-M5L?E~Q=N~vk%8fzeFs(Cli z#nmHli5C}mB->8!JW6|y@=dV7pZK0{LM882@u&sfGyJ1A`KD0bGyFHj%#T*`p5Y(0 z$v1`ap5ebKW`4Ai_YD82O};6V_YD6{G4rF9yl41FZSqZ_TsC}b`CaPN;{kscy6*DJ zyU^d0@(tPLKd*P)?_$9BNPnmnxa{$Ns1>~@{9y~cXZVNh@lC_tGyFHr)DKrA!|M_1 zrKgzhKpy5_V-N8^`Ve-_7SFZMk#{C8J=a#&Bad?5$^Q`UVEG}+?;m-%6+`)WQ2hIs z^?R3iM^nwN{U!yPOaS<$Fk^;_2l1hvxvX#SI3}pf&XOAnG+>GaPY*vATrO2}cs?FK zsc_kpE9Fw?@ACBAFXh){zD#i;{Kj`)6Nw>BR#x_$gZP+8zxH@hd~w<4=bln&LuoOc zi>ky^va{il~Fj>3`%oB_-FooqA-z*@VfvE>vE59_&X^f4dOaJFS#aMF0lSB z>oU!JU0r^Ne1X95yTW;Oa9mD5RA8M^M0Ex4farmDxao25_yy-$$~)=c7exoA_zm{b zVEW1b8?GEB30#K)7FH?n!F*ZBB|sSe7vPy6p9A%pA&+0fJk-E{A1b2UwNH;HT`+^M zNz{Fjj7$Z7o?0jJI-%Grl50gxV38h6txWd)1yaRUIBa+{+nmiziWT|+Bk>;(ET4g|Uwcbsd`o(IiOGY3gpD*9YVEoDo1&Dx{ zHSl$H0`ETn$UaewTC{W=YtjO*0m~AyQnWbC%g&i(jVLbSkRYn-OezJL4}ovD!q0E; zsw9;W=NQ*PBB=q?LQ6ubxJV?wghD~0Ymzw>^M0aDFvOCDS`@0Bxxe&lAJrjnrq^G< zJ3!P^z2094TJj-l5cH$JgmHR%lcH3ZRdrN`ED)HrSnVA23Ur`R9xy4W?ZF0Z?1wTm z=stlpdXw$IC=_8T#||7Y-h1k<_+dagV?}?!A^b9n5TB6VLk90yFr;Wjs&*F~>J1z@ zi6cHR)VK?wY=x{yh$`1V3wa17vo1Qz5~NqYj~5AIcn$f$Si^xmIPj9tF&=CC;KcM* zHC|ab;f;!PoNvvi~R61>qBv9@S^6MHOb$I@;}u(ovwqV+T2>W4nd%V`?^-jz0}wNrdL5QEr#F&f0F5j%^5^25diJe*FTZb4J?1q-R;@p-e;SqJ>+QC*;jJ zhBc^}q;)i^qdN9!${y4P!W#h^T8k894H^?ykdC+)AuYCj)#*^wZ{T3+1xOL-^+bXb zrwp|pPF$!Omf=IX3%eEl$6z?jm5{faLz`ox5wE1wl+&Y$pc;WdI@(~tz+zx}46t6j(d!dj7xq-7ZAFBDD04?(JSZy?G7fU7OS zEh~V0NXVz5hkWcI4p(;uln7fj%0oeHMc;4;2iAi%1y<+2{b2*U3Ou8lcH(`&(V&5^ zn!J`#7&WK_6|3BY4qk|;fQ5it{ zB*W19&_@*)ZCMZ6AJsZQ-taBRs-nscoxCcxh)hb6djSIRgBtHTIa|MC>KdmYl#mLrJ{>6NaZyCMX)SV%>V(*mb+>>Wn_G-DoYsk ziaPL1ptFKE4+K{h;MQr9n^$rJCC7H_I^hNjq%0>&F&6Ebcrft_Scaa%E4k*5n8ZEJ{p<2&&Hn@gNOQ!TK5{PPslRP`w-ww*T<2Qc8GeC-%K_Ju6K%iP@aI z5_w&4yH0=LD>gzIZI zTDw>29{EF%*A^ges9Z(va1iH#E2J>aJn$Myr*%p~4wW~EQ18Tbx@ZAyiKrBLe1g5s zM`f&ZbcA9J*}xP6ng5k5g^lg@-+*xJj6p#Ztd~vAdBw_ztx3|ZDMD7F87zPYV_B;i zG%H92e6U0y!5^9_pjDNsxHhrwg^jes0gMj_{$JMK2gb4^yU#oK_I*9Kn{S4cd%_jB843$S})|r(l>vZ!i^_Hd@VKI-fxL+s7zSiRNrsy>yfJKJ0}F`~!%4p1xvzU>y(=d& za=PEGs#B*Fpij{ z9LSX)>hX?~LP~*BTHyrswqu7XTk7)PA;t-I4Df{wI=5wYwWbJx>qkUKsyt_b0x^q@ z_$+nD6!LEk%6N_xopM9zIWI^%oRw4#7+?His<=xxLq5CUc5L?_;GFOSyfo;6HhR+V zazRT;{(H4bffnGY^YG9|pNI7YS_%0726&thcIx+t?vGAgplhC8K%-gI2l@oVaADsX zBuz>Dnz{%Q1sz@a2KW$KwGbX$LHIlG;<`{5ZKv=+$@c#+7?e2_(6OPP&mz{ZtNBu% z9EQ&|Z2SO2mtT%me5&EglS2qnxQfo`f4 zsbHccz=Gl|MWht_ReW^hVq^>coj(xWjOHwH;81e5?3RN(P@b{jY$bsl&jK>uF+^F> zjHQV%{_JLd{kw(BXL_D02;{g%KNG$}R}XKv3&W6&b!F?~Qb*yPd*7Z8;e$sIIAze7 z6_B_l{<^GF;J#>aU?-v?A^+b53%(ekKvKCpXY~xm7Y)`!AS?5ay~z&oxrjp9 zWG)c{yh~q1Kx$F}L7s9Ad|Xm!h}e_~6T%yMvl@gai4u^yw7R`nIirKtyJ(V~)>S&g zpltB6?ErXnKJUVcTtHg5r7t|4GY*HL6D|uTh`~X3T{PJXdm0nM-%~GoUSx1a9?5d3 z>ol^Q%jc)25J_~$Y908(?PlJHu~yh${D;~w-KMFNZdbQtiDdg6FIJehY^*1oid5@l zsd08xlsQH37*=k3Q`sWVf2`Td7;H)gwSezIb~75O^KYQWaEmPsLE~;l+ewwGTz&QHoY&K` z(b!Y=UGvox%{y^^TY|{i{E9kE#+*cmdrwNEzruhPsqd2Oo-&@^8Jf=%x_53q5<26S z^w_Co*RwgK2UHf1wlj8~*N^ND%(=&%C6r#@E^cTrX`w;frk`wfRjQvBoc832^If<@ zaBdhqOq z%$L0u-tgU1EKhipN+&P1cOl}j_T9U#yMyU;-f|@KXfT}Xq3%%PnWe#|QfuMu{OVm7APM43#w>ekgannSC%% z#@Ribi0j|=chURW+VwY5s_ab;S0dkHSPX6>PB9#MJI{J`LC>?ZvNF2Ofz$gu7#Yp; zQn9jfQl`CPpX0rnlM@ubLOydkS(^Yd1& z560s+_b;z}@yFX)vA?!9!uX5gp@*pZ)GJ2y%>hlJ7;MG~lf5U;>yXj9+P=nJJ2t@a z%X^aX_U*kroyPa>7Wn;@l@=(=^D7SY3Y|ghZLfDhu2=TJCr~VAU19GWa@U(k(MbM7 zpQRCVishF->&SBcp%-2dzN&cBLaNb#-lrwBi8XW9@WT(+_4~eB-nfXqeRcH*f6(WQ z%&Y6`%`qorS6`%rOK(2>@a;Ri5aYqk>%TwewAZKCXCE?UFQ2|N;}oI)?rDw~{Mg3^ z*HfE0w~@jk00kRxm>`;W&zZba86PcPp#sVITU}Fnl+v08;W0< zS}1<4LGkclfR^IXfGhRv=H7T8RZX!?s%MRZTHyB3MR&P0S9*h9@7i_I_li$_YP=^U zj8lUw{p#h{X@1r#-q>qfaZB4uwRZW|tv0bw@_a>mDT*ssqS`ot^)_s*`uKxf;bJ_3 zLGPG6f}!{e7evk3+*o>1T;?$Dh2m?u>hP6%v#$DSREcM-!Y$5^G@Xqqg_9;R{tQy0QP4|p*7x0rAqk1ZY|a}p6tD1jae5YA zyp!chGiXpe2eG19dKx->scv&~GBI4*cPM`IdV5=nA3iP#Q9Nar;@=pI2^Yons8mkX z4z4|HI8n&De~XTq)6T=vaWBO2q1E~Gae`H)$A{!m-MFFf%F1Uy+stI~FT9{s{r<}@ zOW_-UTsk+n%l`2rgDidyeq$r&Obh5Vi{5vC(i|pL)pa%2 z-%ew-h^tAe>+#JBS^mZ7sLGOo5RIK$e6P36A+=Iju|lWr%v6v?ir?6fPZmF;>*+Dz zC{a%rkBBYHD-YkE&T*S&`n7sZWGolHl$4K_G<8h2T*jU09Xv=7>ayq(K~{pezPYhVN4samgoRb3S) zPpOYrdZ`jOOO-y8#Y?p+5i%323UI&xZ?c@IL}HT_=Fd}!$_c5j38=2NgsX~H}J`|>J}4qVH0A* zEU;aasDnmi;Sm?h9nL$ayK?I5eL;w`f<>1KU#A{&5E|$dO{WqHC!cVYopY(?+47&| zCOT)@hUm$^qfCoF9sPqXn8>!cOn`L_aIPgkrB%L;qu9i6aT0HBv#={L0xW)n>N>J; zq|rEgx;c>#9?n(wu5x9gxbgH-XyP4HIU6EG1MulcA^}s;4#O4`~CIE64P*)oXFDeT}cNLd@*CUs-cSK0v| z&=_p)yfPT+B;K8!(MYEPzdx_-w{)KGo#F7p1VKCd5yD$NqzTj zUH_%u+}!-=F3aJc9c;e;Q9b%?rGCF|IxgdJoC-W&dr{~39v#UQx7T)p%ki^Ir2c3y z(AmNtebfx%gyN5y=A6#)eZQ%{H)KgVq4-mb+&kU*#; zdbyr|XD!Yae(S9l<-YdT(NjZCDE{gC9i2@4m9NyzS2$bv?pK=TE4zcuFMXvMFMp16 zj(>CfSJ$n6^`N##XoQK1=$i5<$z_n_SKD0XhELU)nPlK)?njuLznJWasy@lx%UY;w zQjg}~)K*9Ya1Z6ShRf}1v!;6uap72ZKT>M7DAv5`o=J1JW{}ibaGz5#k)V6ma#38K zYcLn*M_1_<9i#G~cj~z5X#lGUIZ>N?{he+~LI+Y;lR8b9Uc;sCF$7f-iC(9!clePv zFXJeY9+A23k}B|U;0c9V9xh4hdZILZz@$(AS0OMhCqqFE2;k9bLcMEZN=X!nl_=(ZbI z?jG98D4mhvkPm}(n@{Z zl$IqCnj)28XZ1_<8m!i#>TcE_=Nn9B(+1n;cHNFAB}JGqnpIir48(oFVgu)1NyJi(sK+VKe!qpirKuHqPmaeXatNsAG zF4)NzL$#NLJwiFITn>y04mYdhM9;a996Vkrl~bWhhZ|p!cQ7X<7t1CiMRk@Zs(@Gi z4FuMd&7nwKtX_kLb7G5nEuapOBGRJOWFeBqp$ zPaug`xn%Jkq3F?nLdk$)MV=99@kIGMCrC-Jds|7yr%y`6flxrwEx9BRmnlEzC-AtI z(9k#ICv!j&uSfl%3M}_B5z-LV2#nZoAVg>JnKnfN-u*j+3|+p~HgV*B$WiWM6usa~ z!d!YcnaSQ22QK_c*?J-o*+QnE@pZ<({0^90lt+Y)5S}&|^nE%LdIHA7#pqZhN}iyi zbRH)p;)gdcFo+1LiFzEQ%ary>n{dBQp?5GTg7NR5)U9Hk!#DzLJ&}TYr)yvg($p%9*OJ>g(Jg1{` zi@bKNpBu_$qzizJH_WOMuf<$p)jYK!Yc67y1u3jICG9F5aoB|h4a@u@I|2$z zO}JTJpvk*xSLmKrK*ia!V!Q0jwvuX*#{eSBNyXBw_Ipq__O#h{qb_2~tnQddPyhEX z*|4!;hRb1HP+BPsvO2BEBi_Fhq9@cktjl_cJ*wzH(LA2HtjNCt4rPe>E`sle=Hc)M z`k1K=>84OT%tS*L1>^aIF+`20DU$4WNqU{a%6d!oY3?*>I|Z*>Q7-!9?Z*lTL8EDXQs!&h6)C6`7G5gQ+X8(_jsIwN2W2l*m>#z?V0TNhada zkKpdZMb@^`Sv*5Sqvr)jss={cfvv7F+WNYe>J6BMmd9)L?neEXKgKs?ckL5Ph(qBX zVG3N@OJgR^>)zjL@ZyEDyH7{NupXpu&Z~rnmXT# zh-@Cxj1=l zEC0*tIT%Pa6K`Ctw6;gBV%HcdZgR05eL7{RnbZsp&3>K|l6ikjebumm5zUV(LoIwc zmg?Iv*ic3duMH~-nBAmYcx~s4`iA+jZKmfK9e7t-R$QrDVMB8*bd3QhTK#RzNY$(D|y03h9Vz%Ib4N+`~t zNO)6nSAN3tQ(qQAI*ANQ$V3KN-ZM~sLX&Z=3D0SELXl@D&=AE_H^DAU1uv<{WJ4a! z45PzUppOw(a5+*S@tsV$k+J3QB2__E26N0_IhKhlolCNRk6Nk7$uqi2YS9>1X><^x zgFzKMBC)dc2>Orzsv zN~F#BvCe?xPAG;svqOhXlIh9=la(aFn7u&a{0bjgk??Fgh{q{JLRPr4FBY{EA*fKw zZHEAAgfV(tLh3j=KqF4+X;bvP{IGaSHq@G#Q=nAQbC5U&odFL2A-B`qEu&;QNOF&e z6J@2lTw{>A;kOcM^gClTT@4Nu~#U%+W; zho^{$ON3x{2BKnkgqL|6iG2|VRfjjibWc=RDqK5OY-xSK?6D+)*@0!!a#68)2j;7U@?LkXKGjpG@uqyiUFFeh>^jKZ&_BEd3%PAF+6 z65vxgg(XEJhbwqnwGk4(*kQU^K+NJ8bG;W9XGPLz3WZk=2j#>QmSVu_tBoOe#K2(1 zcmavg8H{uqdi5>}zmkH*SwzVrO>2l>iO2H*Om2 zHrh;l=MeIMA|V@^@kPBRShWS%1xR=e_R^Uzq$fB`Tw+#vCeuYVT!F@(9SZDDss+e{ ziYB}W$LIV4Zg8a`GxB3!vD9J_!9eC69s8~sKGiu`QlzSzg-Ey+pXY?{A`dXoXli&r zh)NLGxD2~!hfuW6-)R~L#SAKg26c$jCFVPu*HjuypwL0yh|Vp{A3NK!k|k65Z~qo? zzoaxGh98beG+LK;^q+;MxF~{m%7Xa2F!4a%MwL^)ONT`O3+K&7I zN-mrNBeGApdM1*FcqaUarXi@Iy{65JpaK=YNOp=qfikgkf@p;dO!jdG+5I5pixFm>+2A&`Y|ey2)T zc)=Za1cg|QJ0e{pvUA3zm7-7lX#d`Y@SZXt3IZ2ViHXVe#ZT2jnYFHj3$j7{>260yh_^%IyXB$RB8N(u2mbOSL2toDuQ`!8aW!!~0w zQmIC=C2in@O}0;4GX0-{M;Ule09zDj%UKCiKRpI_A(Tf(y>nb3vLq3JfTiO?w(HgZ zbtvy=D2L0_HE-qR8ZFO2B@;F{B+c9J6UP?;Xrwt-7DFr0cyX2_FdC|%x{ouW@wfP5 z4RI2_yL3tG$eF2ry`gv>x`+cC=jLD7w~xkpSvm|C0MSS`hd0z zQ!eW&>Ln#AQYod38?`bZrqtv(!W(@(>_h!;l1D+sp2g4kp=t%G-$x|d2VgnkKH1~7`Xe?Ve>pZfw zGFzlWh4j6k6CSg_@)}L>NKq9;Nho;Koe)ULQ&Pza(0m!5DFTrxen^K4NbJt1E!HvG z3B7{~Q^DZs3IWYd|V*#dm?C6hh z@x*41D3>;=xQH=oSN}qJrDvf$C|7Y^icY(zlZcdvOS$zgl7U`zF=E-(mv7tM9Nh_%+#;+kM54t~z{W}l0wz;BcS`B3C zEq)@7WU5x$YVD!4a>Gf~f2vBeo>#H4X9p>FeuQU$6Lj|(yGg1(i|R z^#Log>t2x*RDVFxiU!0TeWs8$HF>*p|Cg-iXjRt+bQ+IT_w2aqXE zyw`lN^ye)t|Lx)ahCi0vi%&O~*n@%Cvvz+i@eJ>}4}JWUjf;)cq-`6tPG`a`(r~RE zArFd3Eo$B48RyuN+mQ9v6baSS8VYY-@n$$nYUC|ejBGe4*GKkLq7W7z?&d-B6zq)7 z`%{QEezDrAS}Lz@`>!}@CU$YUy=Ld@HK)U)Z2eiEmqIIaVvV-(@JaMF=NV^H@w~gb zSu~SsjW;+y!fFPV-Ls-$G$_`1M%f!%5mwI24l7t!z%y-iONj?X*sgC?Tgx+zJymJ#wl&MIa;tQK zr~T=3#}it~2pvqH=LUW?`SSCXFaN#o=S$ZQZeF|9@&<2cH{ILv+>_VY4L}Pl#@D~L zgIU}fk7MJ?GuPP+pv^OH>|xrMYu14$6@~3Dgo{DlD$G*|Cv{Pbf=e;%Hq-FwUAS-q z)b1(u3LASwMJ2YhD#A1GxNP1gdGUCC^94s0=SS0!<$Qccob`R$(8D^K{)}cp-f)Au z*N;6M#pTUSieSaXjZH0nD=rUMF(NM4wQ_`2-89yHJkxeW8DIcFehM)c zbWm<=zHySRytMJv&z%_4#Lhg1Uz7yN{)oO*H3ku)tcY@Y^@E5n*M;r!>Lh$tO`f zGM#_&_8TmAsm9}E^dr5SD7XOHcDA=<7qyATi~P_-fwzljzD1T#T^{hht|*>)Qi?B% zM<2C8&OD5`n2#k3i)dJ*-#_2)pS<^G-aKDjP)X^=Z_ek?+sS)QCDFsYtgSes>v!(R zrUnn+q))rBytOnQYbVIR{X=pu^fzDr@WUlGl;2{dY*}8q^fNDK#@O{sU;Z+wZE$7E z#-8QnXa2;^L7xA^|HO(n-@I@Qtt_DhF|4YK&-hKpJl59kkUe_=w!3XHSGU`Qnpb!? z;z(5|^)?{Fbc>bCqmgR%a?J(wN_{)x**m5CCE6MmRs50>bQY`6;dB5MjW%F*)!5+fL4Eeg!Xd7tFS0)hB%-d5mk@}}gZl6gHNGzK zd3lvOj3zwraiy+%>I#sOu@x(04$VHSq|V|teLQ?>_10DI>bCm&o}J%N2Uk@oX+ux{ z{LLsVgKLt+EO2~5ZgKf0?Sl5Rwjqm$r|xysLX;;J(cRy6>ZZ?9rDFtWT$awZAY7=q zE227pwi8l|(tuIJPAcb+pYPzd0^3aqOQ(tJ!yNG|6{ zL4(sNSc(oPOob4nxWZ>_Xud@q*sN(<05pF6e9vK19cD~=a+pH+xc6$!r9xLJ7zaPSMa~QuqP4IABT5{|a`12q02a3j%e8g@-NuyyQxEK!#dq02pz1jVbYZhS zE`TbaV2`Qeua@Z%=LD-sq+@Gom!2(l#D`yW+ldRwsZe|(S9k%)f-eVm?{JY2>+3=n z$*}`@)cr{WDmQFF5(Pooy@623L-Al;ijUYuc`ELaG`JRpGKC5ESRI57Axb$(fpC|s zXK#qR5z@HthU<6y7peq$4NtI97fP5rv`EM$JV~R0V3c&F2b9aZm)cJ70KT{;xlDXp zoD|QK z1K)>n*YGRGnXZED2D3{<<+MDwVVBu)m}ZW`k-^*l`FrwjoK}Xi5u~^pV~4{ZMdJt- zj8nKwlspHzP2nt(p?Fsqs&M5O7e>eMjZ-*_hH{Tf7mJ$1vv|Cyo(6IX8_lh#2B8Dg zI0FrIL&b96XIa6nugB@~*4>Ygo#0>tDAE0*EwD1dCgljFxN78=r{fZ+xTN4tiGs^n z?1KG0E(?V$Y~kJ(HcI%n#@5$XoVMMtB{tFct-*C|#d&TrFu5OmFw*vy>xUl{Lv4R~ z`{)%munmYwtD9PJJ!6ur$x8EKXY@*q#-(Gr_65Xxs%{Rr3c{X~P z-=##qjXvh}pwr8s3BBBTYVGsV%UihGqICG;P({?DAN-xaY5jKCuO5+&07Y*w*nM_XpX+f3%%P2XmAaQipURYki91`uv>24>0#;KO z!`g-gsboS*o$A5R<>#J>0G-qIBC1_&CV{5gVYw9>rdw_bxLYzd%`l|J&uz0RcegIETGYMC2@Wgf|W{;5U}d@isV z?yV!<63{sXsEM(joM{u(U-HdCTSCTzgL0Rq?t>rrZ`8p4nb5V;e`7 z3jSo}xn#wDN-PB3Fw2y`V2~=3?m)QMc&ZV;7(ogQ`L#pW(q3lYD~tE1Bj6*w3cJ|Hoz!?~3dZ~|UTpT##5K?2)Oc<8K7ImQeGLY|%OH^Prql4zP- zjbeuquv)g_$piut;MPxrC4M+D8`PGaYyd$XsML9dCvSSOU$iR|lRJrW&e_{z*#3Dz4h1j$k6JHy0*9VVIP8kY6@)Gs5b4=oQ;3W+gye91qsvb%c zBZqhlndFJI#E3!NFIrFvjHpg{yMmz(2U~Vo1h2&H#d!#YXJ!wh&?5?^lUWSlFHpq} zjTkbUkb;VYS3;-eNS?%xSZn~GC>RN*#+*c9%Gq&p;`ADh4lbGQB1Dmch$ySJVTb;( zsa48Bf3;HG=3u!ugQIU|v01TQB?GWLuFh>P)1b1M$ZsX6{HH!b3p}n@)-A&^I7wJ+ z7j}rp(|B3QAsShs2<|MTNm;y9MpTk9wKgQusY$Y2>vHX;Euz~Arc0!mLfe5{iWNYw zTcm{7NnJ3LBZ0Z(R6@6UuAB-Q=7)B{yuNQxn$7ljb>E{NKv6ai z(8o^*+jX$+r$kQwQ? zQk{ifr%5FYQlj!eQ$fxCz_#nPZ4s72TBf300~;+W5hevsL3>VY$pT644uk26?Uyy1 zC#cIvw+?AkxLmWJ<>zG778GeE*FPVLO6`gh`EF^&mdpUqW&{+=0>UU;Co*CnfE6cP z5L`p($m;Dy5+McY#VA%*DP6z%Z8YEqZQgCx<30AWZNZTKWA2Z{D`qO#=C;kN!bx4; zBu$w-WG9zhX2zwh>xL@t{>q!=Dj7fxaw^K~WCO1#Zn{B}-QRUlq);-epFWKc`r94O za*?))qBasN5=h+LeJ)@VjMJ*g{z}`5wFYDSi^45KL}1wtRbl+Xiij8NlA0NM_{GXv zC@MRr`3s?pgm6bpWi2Qv?vjT$E=iHhOKfGA{9tGFgb`!qFk9)?ZN|fGjuNfK;WRHT z?I-Ix0Bm0{@Xw#88x9R%tdfOJ1H;k%YRMhHgwfiV+}OK_k;*2m2sgI1v_*AG%}>+I zkRX*@>?oJ2iMPLMTg6b7RUY2lAMM(lHgj#om+fP0;;BBU>-683E=4xlrbb~(`#!>8 zws!Wi6{$yPc`#H~4jE@CV`37J7j)y_Al+5a)g%;E>_SbSXK?#7+vxv_0Kp{z+^LY(?hSJ%W%gw@9)%~^hRB;gW}D(2M}d&`QoZ9etVkB-cuQjIvi>ickQzM7Oktr>-=uo`CQq}gZ6M& z#l1+X=kgZoXD0c^i@)5@JC>&9+R2W(sn^*r)?Q%(UcOYNbz29wWu=$L*nG9_2S4+D zHb(0$oXHBw_@A(HjY>r>e{O||r&no-lGrvAibVbhv4a<;_>=97s$w*uPEyMeI%Uc; zy1t5c&(O(^=hYIuh(o!Q`MQqt{(h%;+O70H=R`tdOE#sl3{~tFbTwp5*L4i0w&y9_ zDw>Ab&$i;Y&Gv@IQpJ`p1STR8*QyUEYllZ|2YfN5$UIs|J2NV=%nUMG{K5B8G*x$MmCNx0Sg#b1e@Q@o=oI;kp>WZEf+611@da02$T@qyyIDkOF(Jt5XGFiXo%5Y011j9BAbLq z167U~k84~OWw58Lq_~kFnJPlyakV;!i+J+Tk`;46;dx=Haq%Sy9@JaJ9nlQ{RE}mr z!oQ-N1R;eVG(GNvtN z|4J4P$>P#=V!>Uhc#h3zE#c^{5eqXv1uPg51xXhL>3}08HL8wbb}9PWE%{n6E|dLCM!9iVd^Y5QKSi}9a|?DaZoPw?63%~A^_AL#ML3pLQu(q z0w*gq7lHFTlB2|$x7`oIrG*|vStx|TgT`Ukl%(JxFV&&>K+`{j$gUQ2)ex6lfc9KsYL>;-YXgJB7$7N~uN@ z>2Wec-dc%AQ?Mj#>6RpJDM3Q;h>k+0MNdQYDRgPYI)KD-wPCY0YR}&cbo3}5-WU)U zKAh1#@4`3oPFjM}KN{}qdF@HC?63W)HOwul*w@^#D_u{$a!+&9V?`2CKDnH*iKTg4}p?U>-GqES|t^v^*v|6 zeML0q&K96tR!*(r5r|PAn-_TMvcdb3!oi=MbmNeg3Dd!+3}@vTzkQMqMG36Ur_w`7 zuR=(Z)+zcDlSCMucIKlf5Tdamo~rF`6%__T?D_~#CPYQ4&^UG(<*b1)RAGU1O&gqEj(eO{CyLe5-uAzNEl+A0#J0B_uC>QER7(6eDd> z7^ZbVXva`9A^-`NXFkH-QNhlJiU*WTwE!-|1vg7d%en+Ss&EQIF(j>(xX7zI#FvyR zWiBUbIJFIF=kE~|2)}D(p$7>biL0Do&wBV>auzorG1D+FzwIC4hZJ!AQsP=V7f8}X zlU8MxK0}!KsSA(1fUEo0EpenKq;bF%W9r|{PomSuCj!X0YaWzPl&FBbll+OO(!or3 zv5V3PVcCRBet=W9&Q&QBoR}1PiWrQULjxcc0hFguqoO+tEV#1MV;3uKSzcPOgbcqV ztm^IRMB~1Oz9RG9MCut4RuX2nOx@?2zTYxdb8@F2-+)?X?uMillQx=NO_k6N&Or5BC4$axR@zd0 zB?Uhnjtt!r>4k)=!lIeHj^KdcD)a@tAN#dg=^ zmd?V9bm;aJm|S(n65x#u#U=7a=m8Np5&5NpnFS9=5~7{4_UX8XZ%}2*#8mNu2<;h8j0d)nBZCC7tFI{iYn_KqO4X*Fu-io_4R2* z+pw1zoypTe!1o0r8Th24(QY+B)RXZpcrE4#hb!rWee_QXs}zCp(-=^J&;@=oDhjVN zk}o1Gt>UG0E=N#UzF$4e!c-(p?V(ayi-O6I#wkK7@ulETpGF{M;^+JbrEu{ksD#X1lph{I679-^ z1~R)PGoIWTv2gW)uBkLTkw<9?%dL`wiFHP>i~jy37?vlJdTjN|m_%dLF7wK3u*Whq zxe^crlJN+zP-#|O;b3nE$IOFVq3xJdDqa;BqU!Ruz6Wzn%BBcaC_0{&u+0rostBsp zAl6h9N^7>L&lHn2NkCe1rX&v9b5x$W9~KFuH))82t1FO-g^L&7DMHSb-!4wsAt};n ziUm=b*fFZkE*Rv`hImV%%yk?+@E9_FTg>I@J$r1{12wPS+zMK60KIjKharmWk8f9(HJu#St$PnU?u_^_I0wyJoFh z+0Jb1U*4@>E|Cvk4)a8_4(&-HOISNrrkWVlUzPVm+ST?f1X2|JaHi9PF`Q%sqC@Bo^F>X zJnn0F?BCy;V`uLh+P}dpiZ-9ebz2SkiI33~mHlM%fo=c7B})w6Cy0Df&=g;s6?c0R zyH)u1SdVYV6y}vwjh7(!Ov}lCo~qf?Rh8OeygRf>nVRQdUb?(_# zjLPq>&Y!Sfo1{-4ER*e*inQ882K#RAl?Ia|`f^_VTwAdxpR>c!UvBO^cDPOnj%@yA zV<4}$t$cytPt!7rFI;O|w&Xmbk>sR2_wBzva?jSg_6*0%Qg)VqA7u`L?eFcSTs$B* z9~5g<|7wf%oV&Dfa#vh7I8~In&ai3bbE|qizW(CO-f`U-Z6==_V}g8TBDUhN3Kh3x zc_6D+NxiZeC+=(UWZQbRwAC%!tonmQYF77;uiq@l?FZP3lf7ELGUHPxwD1OzN|v9V zw22^(2)=eAZhWDql}u)`@nWglGf_T1s#PMhVLHmrZB(01vX*w-ru;=wXK`6t8VtU8 zl5Ob|m*4q=mhc}R41RB(Z0Xysk7v%-nFmKB?buj;ZhUf--!Dq7qajbWD_nlo}l;M@4tI; za*l88%)cpXDlN86V9EBu%BP>E)hWFGA&OsJ{i(eZ^1jR~zceoM z(%K)qkx!P2PpsYjdfT2W9^p0P{O%H8=$XEu`n!Dj&XnGVv*t>!Dk-+@I!s)=LKXg4<7pXwPzB|=*pE}|NVJhM%LebS#P;UPuyhblor-Yh&h>ho;`{aQ9_6fs#qS-V_;Z^t>^X`r>lf~RD8;{>xLYgFef;oH zCg0n5_xDdu%CcG0caJ!caqT^@Sbmkp@a5!pbrw?mUQ4FuHvX8m1vXjHffY-=2d}-} zDgO1>TR+ERKCMCKV15?4!G%EqqXgEs(ugKq4(hoq-hQf;;`N0D74l=n?XO{~#RDtv zwJGtvA6BROe2H)B+>EDu4=mmMT`Hj5+8Vz@b6Nea?_yR#>gT@8XG{Cq4n(S@^D9SR zl%g+P`3uu8`u?)HV^MfYrV)4al{R5nFT-Z|Yv2E;xVpq2e6+OIh3kxsp;}^H9JzGfpZE==zIgG* zjYNj~YZ9(^Zh4(Tpx7$iIkotV;;WY@Gc2Cb>{=+gx(XGgymWY&TIj38FJSov%9+hG zN@LL<*WRPsiHvzPw9Gh7^S-pfXp4zoV(U#0g^AF7XYXEZ;N?V4e`^zk`s^Gw?Q`Ux2DDM^KTilDyT z(_X^PD{tc=9+pacxwJA)w2+b$ls&a&X{98PO4V+FX7+}Pv%L}A_=4N98m$KRd>JE z`cnA-@6z+UD6W5dVxF+Pyjr%mm3(VU=8Do7m7rgK>^pqU=*mJJ$=E-auI3YF=F4~A zb7U#Y50B-tp#-)4XMfF#LQGW5avcu@#V2W(gsXTl2a5KMSoDB0lyeWhFg?j+Q1pZP z9Ev}gqf_V-sm(O(RmG#jldpqgrA@-2cP55KvAw6q^!a4-5;JyHg;7}C6>PKx&6Z(Z zMchHB_{MI|6yDfYYPv1U$Iz9tT`9g08=&|Yf+5*pUGfvAbKpw*QHXCd$wq}H$`%Bd9d2PdXjl9UNP3er7Vv>B%Uog=4SuHe}yD`v8$0-P4uTsbQkY_X)>w+ z?!naTX#oRzOg}TB9OxUMq(tIIF*2fb8W!)y zK`*K=!lFZ_j1zf#=aMTe;OTI}0B*6Ol=syg*`?xL$qD;8cE1oWIUfD+8Lc5SDC}@2HS9p3B8}_9& zG6B=h8FUW0sCNLmE1PI6V+E`q4lHSpiL6vMfKj(|IKMX0Ff>XM!B}?N6@4n>RqjIp z;)Px?=WU`wnO}p2k<2@Ko@*%r?cSBs!T&y!+nJTBC@IJ@u#OZtT*lf3St3hFDq@-f zl>KqaxQkR2qU(;kyZj2n@3`Q&COxAu4sco#dr6^^(KO4`=psnEusklgJg4ZC6hf9H z@=5_fMa%q3+Y2{71Vs2W8C(=PD9kZYNIkvxip!<#B zV!`Fh+Wzv|Ylo!PHkiZA2z{Y_t$I5)^4zT}ZG-s;F4~GS|Ek6JY>=V*I?e}+?Jpk` zYf7E-i?89vmwNar4w`@Dhn1S`K$J+XN~CQ;--`_I&qv|@=9fn4IZAZ!J3}_|@Ex1C zVrQ-{Cv zRD9>>?ax2;l(>EV?H5&|pYHz!$)GRdY`uzmceCErS8>=Dq^&r=`TpR?{t-1meGHj) z-=#X1mNAh@q9aN?tV=WxjXL2*mvnd``4Q?;z2&~11lU1EpR-XF`y^+}EX<--4;OAY z6+Oo?Xy!pon&8SPTLzh;i)xc688y~iZS4|dfgq9Q90jgnj1EwZ70;j{+mNX`1XDJ9 zO3ee~#QT1dq{MMlC0$zL6BJA8+G_S@)g!19udYX4j?xl84GHCHN(U@KSY1tauv++P z-zDEtzrTfxL2Txa`u(M1bKn`-&JC_Js@ADV-f85lor0Q!~ zOR?1793n$uY{hw1o#SS78oREeQz)4YuKgK=`on-ys-W{fEdE>KDbxMXb#teftS%s( zhW`I{CG0oDKPAvN69`j$YxFl^?_-v}De{kk_|E@L)F%aowHPrMLQGN67;(moEW{K^ z`f9x7Af~0Na4WPp2wYPyZ5L&RfVP3n&Qt_f3{!b62|-j(RA9Gxx7yk<+r<=Cdpz-K zheVz?=y>_U%LXPluBrjM%B5m;BbgLKTXoLC$4hT(rU@Gy=H@wHhR(t1N=~~tCuTpc z4H&`=4s0s?fvpmgXxRjslrxdy%=nkd{fHpc+4~L_Xwvi4-Ib{~kDtjJhx7O_Hkp>BWfyw3klRqJG z5+YDcfSd>mYP+?MlUSIY(5PZb1eYFth0)nSQ6?_ja-$3!19u8c=);Q`kxqfgzzL&+ zcwyLfDd@5EZV5isYYe*}Z9pOu- ziZmrBA&?Q6IsYjjt$?I>{6BgxLR5&1J9LByfRm6MQ$te=o}U6$hN5NR%f24gDkgdkoOF*%2~2{@gi?3i3$u zI#2P?vXrp)A_;rA+G5o^)Sg(p3EvSc51={nq?39uwZ!K~oJ3zl$BB$RU9pyTZr)k^ z0aORC-{P8;bL9UltT8GE2+~cr_&`;yNoEN~kTZk!)i9T^PGdqgrKCu zF-vt(av+hf?m*7`dJVsuDZ>@3jG#*Vs2SVmYCF5s3uqW$^XS_o;{=` zSEl@jbVZSlMOg?_xYW00G}NU}qZcPc-mW|2LOv^+jS`7=%$sA-7~L#f zB|Rp0J{b#BrI#Di*#j<`W8$CH-6hnVzk`;;fNLy#PIOsZLG&xP%3g2P*m8Qfnu_Ky zLA6Q+)#(Fdc#fPVmU8J{*=Hpy_E)uU9m3ekU8)zO_xwE4?!qi!H(AExwp7mP!W=*E zQZX)xS?n$pufj#~zz3jNv0(nkPdV9P7f~i(S;AtMlT+edbw9Qv{+-FQqXX+*0y(pK z{WkAdC95(2WxZY!3&v&LVQ=w!Lmfs*7eYjdLNL@wD2-Hq|kn@Ss@Fj$X3T53I_*>^#t~HIJ_dwg#+PUdjiQ=A@Q{ z-wrBUOWU_JJvpLCWwtqsJP*MX{5*M{ko^h%Qz-cg8>Ouv%EF;xFLP0TUjd#(y>hw> z`oMTRQ0>UxPK+3nM$`_el*cE^TE#V5sxA2S0lEyL5C0kkvUIdeqX z`#T|^K=5CF#z0s08vyOel|6@l0>v()tzl03|hcy#J*WiL1kCc>RT!sm5#GB_IP z4jt-6d^KOp?C_K@7dn^Otvu>P=~ph?y-c%$5M?gKw!B-qU>$r*?&bm~tvJMp>gZ zF#FlC-GtwH3CIG}jLnrnYVcnhN5Q>or3IV!CeAYhEYTZa*xQnqTDMpDZmM;_&?-&Gw2DMxh`23#sA^J z{#EF_qusw6gp>QoiGgBEHWp^3QLkYcHIKMi`veYvgEB-V`V3oy0?`RkQZ!#>JOvnq zURa33A=0sg+dt++a9Q;X3s1TyNKlSV5A^*pV(A=bOp{I}VP{}7Xl7&;1`pA0)nv=-CZ&N+T(YG(P5{C% z7ea;uk8otty|hSyp>Bv+CQTCGMIjfoIAQ=xMM6Pz>T;^U!uB2raSt5t=`XNHab-9Y zpup^NScR~#aeX&ckUN4%EDX!vReHTI{L1&Cuq>o2FL?kGQ-Y|FW#OXdLotD|9b!R3 z#iA8<+Ttfco0%z1O#U-t@eg?Hvx6!)0~vo8jYfL^T;&URq3a`t94{<7P}WH)DC@ z(8Ar(XgyXKWmYC^La>wS-qx^1jp&w4*hC^IkE@luur~-3@DCwjlDo^{dXa1Z21sNf zP?9FKg87GFFtLEZF(Bmgt^0a+Nb8q@AV5y{tskdOojP^u)Q@{_)w>HzRVF1fv>ZSu z6)ubEGM3t_bRFmw)0c2;i_9)9(|#1@pq2!8ZxD4sDrbOS+8MxrzV- zP%hRw8C8&lrYpNvheD!`rlbbtL=bTLA^S`c#RH>|icfTEp)ghIR7jVzB=lUu#Ubd( z4>(sn&Ei^k5>4g*#N5?bIe-SBDl=S(o%=ePYZ>gbLi0NDVwPYs9oxc!bD^hV1a+D&V-u zDmnwWv}6jd18sZm8ucwql|=@0Zs5^u7hM!jLr~`Gh9Z2mK&fa*6G`H>y>Lql>hIYW zP-JwGwpvjVQ+E#N)^RM!5yP+;wJzW8t#i`GDDD)#2AG~t0s}1;_|LW_+TZ@a5OE5{cbbvTo1`BnwS<%T%y*9jL7$vS|g%lYrGOEVmM8T`EbGdf&E$EOsTF zPcY`Fsp9gOGiwd-J29XXK~-ES*pYMBSQQZAARe{cB_|Rq;n3llM@=_Ei-N%>ZKU7+ zAE|P#%5>-R=t-qZd~rM*#ej0aX1<5+kuPky&;fU^ zBL=PRFz2XXA}Luk08g4%xE_stCS2CU07+E&KvV-k;k;@f2g!m=v;-{6+X5r01)=l0 zgf3haugWMRFEL3u1gW_AUYhnWWqh|l)FKx#NE5!U8(flQVs=NNgaRrr#Gz3TSD$DwAF_QNv7JDT?E z`8G3O;)VIPrC+qgM}CiH_`K)q8`JHBaBGN)=e&pr7yK9K+KkYXDNlNU+2`%rMIY`= z_^mCQ@2=Uk(R|GrFn0NopKt+T>KzDILp@LD&HmHchx=2Dew%g0Jj{EDXMy36w%H9& zU+}fvCSD8niEobgZ%+8_%v|O3yvW<2b3bg)&gvK3rsu}9E$c64i$T5xD~$c1sa`zf zZ2SJr><4h<#wG1HD6+9F9^$qr@(1R<ol>I&7dB)MQp&lqh`z-w9)tv0)wg41HxBf)*5^j4ZrjW|12%|Ivq5;Q z$P}I$ZGQ=}^@n$FAK|Z^-@VPqT*Z6?z3_(W;%6I`b@Ae^YV@HCU;3?_Y;b=2v7jxgFhu-vhr`|8!tgNiOgOY45OxgUu_sYu3@YB-R>C+5u6cFRx zA;RU;s~3kz4;(OFuw{DHueZk>SUGce$aemI_g$Mcn;QLb=TtSVb#Ud(=?{(FwL>?} zc(qlnRKNfGNXdqtH$K(0IzO@dKS|$b&Kw`N?O?FJj%M5SuoV}J>XkT%&t`xOAyM|( z%BN}D_SppP&2a@*`+fUtanF&(H1Az3q1U+bUQP1Us7GE0GhXDqJw`OFeLru|o{Yaa z4%{-rtgTVKw(32)c6irP?60oQ=k9~SyWZ9MEA1LPFc(L;T|e_d3r*{`^P3c6=Pd!w-MzQ;UX;v}?b0k#i(`E$2&E=70SRivLgElOihWz3W|K zT=m|+`dJ>nt@M862l+~lvzOKomoreGy(pEPKFt{(V92+Zg#9`K|m4+ii8(0dg1AR>5X@Z_e2u1hDa>zr5E7cbLaS$XIo+CyB< z9qEYD`X_$uh!JdU?dss0#tDF!5=(p({I#*TJ^b)*zmXQy=%LzLYBcyEKBr;7@&X<1 z%$b`r$H(I9qWDwWh}mCx@1H3L6T%j&OZLaFT;Urs8Y3LDP&J0KcsqS|V`FLY_tYc! zT!goob0q_+hQ)t4IeIsgp;s_<*j-~FWYA~^LSv9KOX$7#nd>m4(r?_=Hf=2ao1Mky zg?tX7F}w~qA}bpm#Bei<+@_c^dZ)~kg01uuI_ZIDnU^`9B+CXaT}rqsgZHkOWt2fW zz!(z~%_hYQFSWxntn}NQ;DNf~CciamGMI3fhK67SZV_Gko%xznb!PqHhex~Y6k7Yy z^T*p#JWO1Q|LRAZMvDLCUp`L7;^|E~!1MHPWxMny7XP=;*n*$X>pk!;LuZJ)$<#|r zzk8)g2x8~hcfGf_vU*|CVDT|Nz3aQEjPHd}gjIaRr?dEb2U5H&KDR6V_4Ur;#}g(c zxLD9YNFZp6i0d0qx#wkV=?E(`u+(PbOJ72TC_d}0@ZkOB{)a}Mn6+dZn92$|RzYTV zAS8Mtg<|nZinp}L6k#tNX1Gc5_#B_1eP}*Q3150o$}Y@;p@pG_7(S$=_g6@QY>k(T z(l9>Mv44tPflT3pcfQjgkj10Pynf~rKQ^M`r%ti89IGgbCzTIyy{O*x@WU7R00uRB zNS$oJ37!k9JO1DQpOkRn!sx>)344SwB$Hi?5;LG{;b=1hoMTwKBC%Ij=>WtFHOUWO z(07d}2?Xi%Zf9uWcXN6gVs!d#J~tPeqY)aacF*Yq)#~c_*~a_m$;%cjX`oV?qLdO9M*E71ozVF6I=5D>5ppLX9Jk(*iXKWfE#cvUJ$@IzYTTeg zuNheZ@?_B-UZD912v=Q}Yf0T4kgDjVYuF^3-{L!aAj7tjSZHpSPim~5;%TrKR1st2 z!n}<6YBUYBrtdyD_ce%u7vAxJ1EFNl7(d$86x^$}X>1g~+Kd@mj4kM&rYPGOY2cFL zbE~mF#^d8s8naLfRk|!)Fhnp=l}d-EQMDQ?l?+`o)N3V;{--gX`X#vxIT~uR;yLBq zvqT4}_5#9z1s@|aCnzbw?z_89R&a#2!B-nbh_Y;@Sls;$SiZ!7V}v!V(%?SA+) zxTe3beokYyR3*XiA{K85tNug$p@$R;6mK3cUbF6GVB`iKnQ_iGQXfCOiG@b_9zF;dVpE)}?I79{_XqZ)HNa`<) zKx}u)m?Z;KoPm~q2}iCaGPZU2nT-VKTwKs+R#xgpP#}*%v-yPZ*NPb_(?AQhiF>_dip7) za&L-G7@z^6~btAbhKC)%SyPD zy<}QCEq(x<@wTP~$%$1b5O|PEF0m1yS!`N?0Yg4Mb>f0bo*DpEsqBQs8$ObBK0^nm z{wkrw%lYf|A{|XkK}y9{@#P>3%}^w&_7qPvOYyQ|nq~w!XGgmc51+ZpE&pWUf}JE` zj1s(v(?zy*xXCJ32n_{CMec(Tb~~Xv&EghIFE5WfGB4i1epx8tn-7zs1HOEl zRq~vBh$2A*4a6j;xF<2g;;~?d+MVo zWk4&DAh+Bhv;6RVvRJ41Xp4@>TvSUq(aT9(zPPCQ@PK8rEn}tMfK5vJC!2Or$6$W^ z$Kyy}e|h|`bYGzP*vB{#$N`pr^lDv>oZPv0mg6taJ$Lu+SskXieQ;2YoZKG%^5c)| z*vm#NhiSeQ>6si{`JH9%Z`2ob6z3bSVSAt3{>YuzIxhQnKDjAw`+4UX9e?2v&9Ca% z3)|w5<=e+~{rvM6_=e1=d=2O8_fq{ixU_BRzOB=4B7=_Nlmk6{6^EM(9mP2)G91UD ze7@Av$#Pt?^zLMk|5}SI9L2fwYB?5k`wkBr#BKjTT$-O|YLE=y?!NQ$?cdRv5)RSe zEBDvG-qq;qua;v$AKCx!x*C1{^Jh7V^W1Zvzx%;WWclg(mvzAD+uy!$af?R=-~RUK z!WLh}d6k1bd`S1P*Ipa-{vG2`GfPEmL#EW@3Cm!hdkwQ02`h}`k)#1%ggc<4>!^tl zj}c;kyD5*xPj|%q47%bHV5n{81QXwoF>I98x0cLYJPsRFnmM_w3dWAJ$XsSy`!V*g zw=mTcN%~4##Hr&=rCj~N zvuhm4<1-wgMyvG&h7SEAz{sZhjvgN`4Ep_u3!{}dy76limbsSTCjorQdwUSM4}X8K zllFeh9~#boDE9viBtrgSps{ud)k)xc{qK?dkKppXIsTZ~Ng4MQ`^Qi#>9>AYYTvdx zZ|$Jwl5s4BPq0FsI5_*SkD-$(#XgQx2FBs$b72E-ldiH$0K4juk6D3-0(wY1>gsYf zEXe9p+xIZaYRq+Z7w_9_hrRb4D$^DnOL}j`vu*Z^&!4bBnQPl_4_M6Poke&F9@jJagzld_7L1*;2KhyWkX466bOhkV#qVQ5rZea$w=Qq!U28bZQGe<^|Ru zU^fqPc&EZcd}Q9z+JQw3z}O|$1z;lXNz~$fKafB@1gTtISk-Z^Iva@0kFXF|PzlEF zZA0;^8=1=GEevnC8|zgL#NOs}ue5qq>DLHj>W#W|;XEpBFKmTnT_a3VpLFUDkKd9od z4NTU(iMy>5B`LoSag8r^sX`JoGPUx1d~34FpM`*_w>_&)H!;ga}3KRhk!|dZRIE2(G!Xas#qiMPLCWQyP zBqgF>mc?m+k*v7=xcUb-pJD+>FedV3RWA`m2RY&+BqekK_(fRr$4_aYo;0?&&{?a6 z`Cc{`)hY?pG?f{BfERhxn2{&*zNvo3g8iahjfq;NzUZ;4%n&%GY(x%$O=)e&@Cr=~ zmjA_%76~{bD`LttieJJn7K5=J4OZ2 zcF2|I%06##uq#J~vHE{09$5o)h-*9a2D-h2O8hyoWO$L6-8`@M*FMVE6}BtqBfkB) zh61E-s%S5=e>rzDd@{M&UXT9#0JD$2&PMIrW@UqEG#y#FWnZ=x5{7$40M4;w8|NK5 zU1jmrijj5e5teJe9>$AF%Z%Y0+AH!fqg@Ra-6nA1-LWms7CKs_wlM>bzM| z9sUc0xx4=|`Y|qf)UL^cJ z8|1S*TSx;-k%`&jl!~`~>*xypkew#ipG&Pi!PEXP;D;p^Yb18d(!|p|8kP(Wk?l5?NbI z9L9L&OWzt*9||-H%b`6oQr!yf3-^7Eiq-aDZU+X&?2e65+>>@!vGtWh2fd$IdBco{ zZq^_R|KYEaJ#j~nv>3Z~xnlRU-tBsD>>R<7`_LATR(u#C#(ZcQdKVOPydnb zi&$D|LiI?=+{MS<7|Y0y!sJzSb?gU5UHXKRxkq zB$*&Fu7^1ZIkuxxei*YwK;Bd<(W_hqw%d)`CA|(7!hjB7^?`lKzt}p3A|Nc1#zeIvo)2t#vQWh(67L! zRzg}Gj1rDPeE?nDBsGhK5^l{)po{o23~hnyf776KCu^vZmU!?l+^bZoUZ_lqmw;>l z11xT}t2U*)>O!mt(yf(~XU$49UQ>x`Ub+fArLJud-Q%Jl{lO_iNv2Xx#?wa6#C&^T zsSv0a*<`LJW*EyVIWPijs<4tP;ik%-v6@iTXsyc4cEYVlvB2ut6|l^85-xcufle(q z+s++QBckMvnhp&jC+kb0A@eXkWH1PYm%UqTtFns)Xn;z1VXNPKCN{Is2(SH2sZ(_z zCxcJS_}MPofBe&8)5+oxqBzOjp@JjM36w6OCN7Eap{m#o0bk}XRUz7yM0z$-VTQZJ zA)(gWTA?dQf?yygwI$B_?rqpF!KDnvQ!c4MS~G`%;{$maNF4zgm$|g!^+LjtLwwS6 zR(wy6dIJu$__?^MJWxE1X%ynb%R-}&D*|MP6^|Xtz)T?=>{GmhW#JYQasBv6E)4Us z43N+N3{I6;)TFX*92@MU7hsM+Jn^V`{8{2KFSj6RieGOhMwZK#g;n|vSAiZ1WX@&% zMe*Igjk9y_R0Z4Om*WhIqU5EHCnc7NLA`7ujcq0|$9T$63RgXO)@h7$MMUhe5EX~a z1&Q1pq@^FT0*77QPgdUa5lL<4#kJ&hP*+dzN#?$+xbvk?A}}ru&k-iVscSvT z_q3x#F_4tZn@Z*4d{U~0dJEGcz?1?$f-{FRvYyCSYiTS$m2A%I4?e_pYy zXb!<%DNWK6n+mxoDS_rrF{=b{xMDw

Sj|f_5XIwr~lIc!|F{W{e`)rcwe3NlEHX zM=bL11zJ~f7dXIG5bFUNXR0(yr4$vRu&ya?E_@Uh%Y}OhB}SRHBeRr+3a(7qV*Z<7 zAfk1?AYp%&oS8^kJ%_JG+3nFmT@>@OQs7p6gWU(*m`isJOMgDrs|HA6Y-uNT%+#r) zGea+LW=g?}16%MWd<^&MD7)E5)U2`2iR^X{7TG8pq>A4Tjs-=#2g`Z+O$8ouY?5km zkM8FO3RdE z#7S0VMN7s^l46vN?)K^9Jc9(0GMEh4CFH;wn(#|pX^HE+WWVqqE)m!RWUg4UAjw!M z!lx@sqmLq-LC9MW@H$AuEUENEK+<|~c9xPca&?eokqzMt&EBZy0Yyg*v++Bh*DM66 zu$0f)7#&q?9hODXTjCK#cUmH z-UT_uCm!vUAre>VcHsC}6UN=)GybY&5M-2>x}1z;Uq)s;9yJQDr*p}RXhgViO%*4+ zvg)%$>PUPjY1mm3`W;WRYCKAPjGo^rNb!@FrrQ^*(GOgxcGu3@Iq&mm^Fd?pi#={r z6nAm@;b4EA^CRouVqTp!r z@9qVEU?V;C^46Yh{c9N+wlRuOz3^(X*T#F>cdV)sv+NXsXsguPqREL@&9rr6)s}5e z>(s1MZT=vu%5Xo)b4+E&Vs&7suT&xD zln2@N8`M@EpG$7X&4I_At?6cL!i;C1acwhH+^kr(zQNgF&{Cqir}8}yKWD}mxsw?$ zb5nB+!u5-CO_+?UJf9^}tAMknCHxMT#aAag#$20b<97U{Ju}YMq}qRtGd<&^Sjzhu?SHyfTt#pyZ^&tI(;vAL5iEcTkMy;s8ctR3F2X4!r{j}Myg@`9$f zXKjJqn!!8|1}~-X8*ftc-WNB<$@cjw4n~$k@66X8QeCk4PM%N_W~XoKRDJ#CaE1$C znJVAbsqbD%D5HuSZ!YAIX2-{HMV48MKNvTyxSZiAj-%qMn+aJ?ug4iNaz$^NeeSIz zQQ|gyz4&Z9Y3O2lIIQ@}WYWS7F6CHI7*ufC;TsdAW@=P74F`Ho5AQY&Lt-xuZyr-N zr;nOrYV-&dQSSaYwWUUzscl>IGUg}_w|;}0jcPOq;<8soxQy(1p3c7smk@@--;=*( zhsY2IgYjgM^LO5j##UCwe0*Z1_rTxT-S9=P_iy}2GjYl}^B&*b@%3+&&`<@+2zm{R5I zgTdvzsCLerv6(psc~)ju&CT9@x}ExN^?cR4`vyAbojx;T!%x+F->LaEZ`ko)HH~{8 z@VR5=j%DZwh0&PWGOpCU>cRf_S-1dkxMSJ+L8NR`k0xZh7$mOI+I+~&QEEGu-< zonCJ|u*BD9`ZsPsz4u`M$_I3yso#5ZOg{+g>({Pv1c%V;Z}{;bgv*mj&bR&m#LCKN z8dTQ%5TlJ-)%)Q`Z%Oeh?^rJu9|z~OA7{`Xe8NY2dG*X-cGM!Sy_~d{b}-1_$+^cl ziW!0pWbv3hHo7jw4+cN-F87=RV=0HvGCvSET4eNIee??5PshaQZO})~JS{-mn{Q(B z)w$AqaKG%waN(0dn4P2d!QznSJcX%~YY=Bwod7X_z2Chz?#l143}doBpU0_Dv=r~& zW=?hW=CC279@$X^1^JNBsRm0YP7M_2vn()06wY3xM88pPnk|kc!%A-ub7p!N4B}C} zN9tvII*K1mT_)A%%uHfST(2J+YG^2ae(b0@%#j6!7>c)l`tQ-B?wtS3ZQ82$KyS2* zSmB4>JGli#Jo9d6kRRCjAaPb!u3Z~(l;BkF#sIs(;w{05@?U?6FE{o2?}#&5e6ON5 zj1j#R%nFNt=!X>#@W2*xAB)$cjHD}bN0=H1}p3J*qNNM z_(8M42;!ypQac~S%hVECdd1@Dl~=KReZ?W7vOrxrUq;ZyRoPzk-b-d259+5e)Xmw(9 zFetY`11cwr@0|(Lkz=biOioqLpI@|CemGA$^JS0f0I~PzqpDpPyywrs1dG?{2~54u zD-jfK)kPGa_g3o!$Yzfhiv{#8i1 z8{Lq&Yy~t_*n21IN2&7cLx^pIpj76NYWn1^SFFCSUtP59gs!e37F8ShXR!|(opWzQ z*E2UdB=^1zl~ps8>VD`$N!$4Q8&{dX_E<-rYjvcpO1#~KsJHzY#N_iTjmcglOY1BH z#u@BN?*l0%_y%!fcPTGVz2z(iDxucueL&U2;m`~+n77GK=2ozQ-4&Uh!QcWDnVN~} z8nbK8Lsp57OpNunpv4HH9Uu3~U}uXS^d!><(^xXB^iq(dA*84e!!T$++cczC$(p9x zi`ID4@VswuGqq6hRgi;}gB8fMR;0h!<-dUdd#sOy)|WF8zk2XN-DOlfbJ)e|qH)tB zm2sHBcOeMV-J`FNzM3R299br2eq?OqQjV$iK-e6cIkvhzYN(vqhd!jAv0gD7eK#|d z7`+QSVeLnz0Q(u4G)%R}bj&hOw5>{|3~n#`JtstAwh*&O0I0&$8|OZ!c0j7knOul~ zjWT$0t0n5NwuOiU^ldYQLba-=g{w1zAP%ij{PbzM6$GTtTDXbJqEx8J5G!nit(QZSYI-1Mk ztMWX4z#Aw{msl5%W{{@d;M>CZ;o7w(i`ng`skDAw2qjQ?&e3ZMdf%m{p`gk(5yY+9 zs<97pJvBXQ_p*f>d>5%yUlz|XjH55YD)b&mRHU-1U%u6d5^`39Xw3<5pa(afVRB{M z%GUE~hM?>IL5FCt_&{Yr;@f(Ai(!sY!Kl((z!)Nt&`fmKXnl(rK;?kMjgjVWr6eG6 z6{-+6FG1rNY|li^+Ev3R{pZ=X#fMb+vH0DzAQ!!+MG~_Y73tXJh%lCEtaq8bs04=0 z+qat%DpK*l1btIi+GDq%u~zxz>p1AJjMa*7o0ng8vedvU?kY-wjeOfo$>{!e_8i|q z+6&?8NZ*MHVe0uvVqavHqvJ&fS=e(dB3tef#JvRrcyWtEgHXAgC2;E*J%{Onln`du zy_Ld6jvF$nWGOc|L`A6PvSl$CC%G(FitS2PGYvJ?z*G53pBJ_O3Qq)}Zp4i;v+%Nb z777+51DGbcsU3p+%yF)!P!Vojq0-w>_+lYy*ih)Be*-6BKbFCq_q&8@1p#7E8=P4p){EheHm1S8N$Re+u5t^nqusXnIT0_V%RA9-%0zzn+tQdq@_9}!|3o^% z&edlluY3rK;WIR(66J+=CQy1o@jboG1?nX*PY~kw&bM29%x77i(d43M=m-BcERGdM z7MFhllO>nh+7r}$K3qdC$=o|+Ey*z!Dwq|(#N|={R<3%4 z^g__NP_;a*tvasMD1)Gj3*M3?F4}^KizL63WvTw>cy{&m=gUzXrtJ$Cr+gW-oc-J#Tp1p8M^2*sDhF7;^{rUAy!x$rA};MWQt|KI z<85R2Z5M7~%5!j0?qg1c+{fjWH(AcW<=!_sS>RG+Ie0_4`KHc6;d1*R zZx$}yQJmv%4WquTbNnsqzOD1S-Latd8#dARZ0@yYSCNI$uRG9lu%jB?KH#f3cabHY z$ihv(Wa4`*I$R`KKG)@z{|&g@`P}wn2lvX+r0@C8kGKr;vPPUKL6+lTy}!@lqBraM z4o7kBwe|o06Y56n!G0u;rcP_ESbcRiNdsPL`%bM@Co>SOH7E_tchq)v4|3&!UdP5! zK4fPt9Lfh8uz@N<#%u7Zp2!}mKHI&?-CuGLw>zw0!urU*fWJOW%yWE0Cib3Zx;x`5F$-ZNP>e4uR>%sDa{r z9)pStVO>k`4#BX`aC4XEH^m~kTa8+$P@`_dtoqQCtq(5l(FksKZ(wIRT;y@E!_Of& zw=6ubv4)goE^36VjMO$|uvY)LD#fE!n_PT134aoV`v{h!;aiyd>hKosdjt39{@#@D zi{MBCU%MN{;FYBNLuk>4|Wk`=G5fkhRun1`7_=%eZ3x- zCvznBi8=`Dd?I03#qja88AyY`cpc!}f>mx#g5%G(XCefm79wjI$1VPVgCJPAfC%J> zS_CnGx3V{2o9@*Sj|~D&2|$ch29n< zLIF=aX4=4Nj>I+FVvQNZXsUFBU-7Cb8W>%La<*A8Zq*mv(7IHUSEt-IkWKu~+#JP}qN_ zBlWQ%q=|mbhM7`q>NZg^++=OV1O=8Vw+2}p#TUESN{E6)!D%vS>&=9>5JM7*1 zXKl>=mzP$IRYq@!x60ckOegn>a<*#S6>+l7ewJ0$d%$Vq5~UsB9N4)XJPN)+g|ga~ zdk}6ltENhlwW!UFj!00oB)>>#Z6+DFcu07RoSC=`mv38Ukpk{kYBZw}8VYpkEVN1) z@X9tgGYBX$aTSv_O&|^{JOGw@E$S-VxiiL#r4SaK7jj^}v_m&~`xeFkni)TOIbT4}JiSp9QgyZI3Z&^}HSM@|eomrc8U&>&OE4m4 zKFt~tZ1lg}RE(X@0}WN%)Xgs-Qmx``!KH#c#j|YoPYS~@Mbs&y8vQK#V$5aZGM`3L z3z5h*@g3cgF;F`{+@0L2EvtT=1gnyQ33FSbr8%8|EqUmsOFtG?c|^2xZ2? zlML|{$ONR|xZHSbG1oH%Dc7orXG)zo>@=mMwXRu6WbjCMhbk3`1zK@nXsugTb41~6 zs4}xUceFk5c334`$tyvod4&80c-$lfcZSj3=_JMy3?!G9h5@W7j3Q_Oir^62IlBH_R{P~F0*CCI6{eU9|u3awKa{_<`#k56)mJZ46tMGsE&p&0k)eup@E87U8iY`mOSwTgkF;Z4|8!=5!4criq z+@#?Oh${hr7yoYAY)k`5jP4=^G7t}h3M7YNj)W6AfC_oPyv(Dx`Y+T84FH#52LiJ3 zaQ_m_lOmWBkggGe6G|#`hts6>rUncNQSW;u9qn|W2qE-Nh5{72gbqRPAx?Ya3WMe? z?hJ8U+fH&PHWF5&bXcEN_Wo$x!Zk7JP!D59I08#Rz$0^c(i7R?`@j7yg1Ml|kD)lW zNl~uF(k7Y9oUO8%0%jz-vciW0SN8Eaq1iUs10z$Hpe(Xhr1L{+1|ZBqnWPeIN;O>A zX~ylKkC&BGv`bLd%qoQo=*+rQBN4@#82#`D7@IP7`OvydK2u2QuF`H=zg4tdEh^^{ zjObrjvZb7<(ZcdI2?s(%T)A%<4anlVOcf{HttFAt>9uIk-S#u)NXqTu$y?Y*+#l-9gR9fa#Tk&N%Cm$jC)EPP z*jY@0&0M8Xkfj#48*0Cl7o)SH3gC;%`^83UZgs!qlxgHY~;xRm@v3NT)$T(F7wl1tG_ zmtNfL8b^StaLj}{NRb@M?q!Gq2|rv~8uZengxO+%K$z0ceQZ@074lnKbeoKzFj)(F zT3r#qi`!zJAM6yESimJ1bP$ydM>4#yhPMh&#RHdowAiS4Mf6+&`W+lJpe5&9z-^{g zCDxsPBI=N)LIYi^!aXf5x{@6N37QTvuWsyhLkf&foLahGwoIv+@|mM#uH53B)ChlX zTueBL%@zqXKF?tY2pX7#19B^(Z16mR&;=-{0Q)uNGmwYW%}%-Cmw6_ZmeJ%TbYLCT z0(4??#$4XAXyNFET^lY-FS-MN0!Rgbm0QxMB z7jx)DAcf3?6WI!+&MU5H#JgkdRqG1xz^2K1?UAz9d_cdrWm&f83Rf9H0PmbCH3|S? zNq#xZQ<+YH9jXjnJUGjEz;Z_N<&Z8^48#I|BHtGVn8L5H6XWTB(ealOT&Wt!Nlprj z%<+m?T@+r4>jVN3VrZ&^q%3sMIcD!FOsaq9}BFyjG7EnES(lv*SxN`=4`l*?a26`Zo>6kxH14AC71CASA74i1X9fiT?>H*rn5 zbi5psj*4oSVe;ng-IQx?TllcutR%}qxU{Ub5?l`8mQ(SH;80FQDPC&8|M{<$v{>dm z(6pW_xj<=#A}$Tvp{tVMR+Yd^F$r9hk-ejh-S*&R8Bp-Jsq!ij0{YSiBFGV>GUHLI zB;wMFTdItj2nlTAB!vp7+)`h~Tu##eTb3rwTlD$FFv*mJpLYUgf~gG2Nk@(ZDm*D5 z@Zzu#5Efv8@HRm25;54<;ine|9i)t}OMvEb3D_9k-!8#a+)^nPD8KR!l`}ciez{D_ zrlJ}pMteoM5{pOOJr2#BlvV7C+2CZ08 zhE_YNAamhMFi$GNwwiEQ?LZ}8oU{4DOSJGk@WQqry3FE8w2%tTsymG{0qD0ZXvvU> zg@VZnIZlBK|IMF=N=Zwmnntu*p-r5|AS8&yGwSWsPt-ehVyTs?z-hFui=@u3z%f!` ziwGv+h+b{mY*0WWW(pj}tvYVrAMtt9l7q;u>!nK^PkE(Iaxsd_Nrd=MT zGQ+84QLU~n7FNG7PrqlkVxHI3D~xCRadJ3L_w0&PGu%te6U^M32K!fWs9%2(62z9s zo${)U5s*UW37m9GJ+kjuH8KBn?mO66rY1@W$x=W_dl9GKycBP$6HF*zr%GuKLp9*e zrkf+BkL_jSIVt%VEm+6H_U4$!1Zle8BqXpNmokmmIufXp)chnYvb6_c4);Mt}F zKM0uSL1v6EFYrvyQtaph6DpYP9{W-uQ%?+jf!ov1eu*Vvcw%PIu_jCWa=Cpl9oX5Y zj4BK$aAj^k884p=G45M>3?Z96-=+cFnwgzn^~cW6R9U;?v5Dz%^Y^0oaXoCB+BZ1@ z_6$NETg?6ers;S%Q9*nAGfM}lZt@i*sbhXUUFq>#PEyzcQK|+sVrzM5IVG*aS=FRW zTP915iLN5W?3Kjc!E0WjuxBy~d(`*Rmwh#bIr)5+#x!ECHm|WWV8Zut?0r_eW_E4^aewy>MQ_s4Gg&XX$eQ4P^FcR~}LQk`ORiyRj6gd5Ry`-)W0vG*o7{>Zb) zGkE)0{_>~6R*V&G%hm<k2KU!rp+>gJQJkICIy8%%ZFRUl-^RCiFq2+-HatGgZ2A}u z@tDadu}xYu@O2#fH~%6OfBVd*uQ+w9D!=Zj*L%-91mG}SIt@G$d+%Jmb;WM?dZ$k}-|ttw#e&(E z6KITbJE!|suS#S6z77dIzjwA;Z1KQxZ)JrVI+|O#`RT^l@67S?_E_I5na?v@E!R%X z=X<#h&#vTzG}W^|)g<=EuioTW>3bZ-dHJW(Z8lmDu3TAUT66v7H_*79DhGNZ=M?61 zw&Sj>uOGMGt`9sdw)eEVe!76$>C>P11aIl}ZJhxY^n9=P^2>Itqd2#QIY+)B;WIC1g+`ac zXVEg}y-pvnmXQ&ZPFKC}k}SU8f25M)wKbXvr&>7!H@KWRGmgn1t|kn!oJzq&7=n8% z{o%}-0iV?{Mu0(oJf6?nHrT37YWXPfkntg0QAgn@&iYIy#us{+TR8p`>#-$%uz&c{ zON|eLK0^fcV4ddEfUy37_E;xGl5PKncGY7GIp;o#-)4CMZ-!=0|IiJO6I;aBuT0bt zP`uOqP&^-J$t$;1&=)4#Uc|>-sNBQr6NwSe^-#P=fK8Iws_WO=j5Wpa*c++t)-@E* z=CiwBB^zQNWol8;M~dRloN3_7euJJ=r_)P#cKQsHSIMoIX_x&KRdR^98O5WjNnWtL zW30hW;v;=oycC|m7R4tioWTO0$|u9;tOpICpV*I#L44w%AFo+cxFMR(hZI|7M~_Ag z8m~Z46C|?mSrr{oUy+z^cZ`@UJHHw!uW$}E$n7u;Je_`;|rNvuT<<>v&SVCj{ zmGdWxPYhn_4@XB#Kr!BIXs6TtYuBiD)f;^AuEV(BUwjuuqIhG1Us*ZT>sFW5DJ!ar zW2IE97)?=CF%w%Ya~UcdGSV#6oCmSZ;!`_~ZT;0mE-D!o1h6IzHT1U2m!<2#gH10{Gg8C*szS$4siG-* z)s>-V+fyH<*&t|`@yWp|v&H2bNN==Wdf)3(=wRI!ibMZ;@$4&K$<)MyWHAX^z-P=e zRS1*sC^Vuc?uvfxzapyfw9C{fzN((y1zXNUx#&5HpvuGzoq?*V8`B~_ zm1hD&x3cU~MGY;4bbbUYefap;8RN~8qK0${g$}%n)(D&T=syfK0QnIwAU;|oR=PKe zH#QS$+^se3NN}(vaY2??o@PKgC6|Tt`8`@AM6s$2`Wy_LN zG8cE0$0!Q9vs~zrYiy{DtqkMF3%5GM9l@X$9M+vG;gWeX(@+eO*Qyh#tX8S1*>@&Q zG9-SnU`Y@}F1USsUB2yp%JD0Z4K3R#4upspa@42_q0geyQvHhZuA>4aNH@UpPB%WS zk;)$8#}UM$UUww6Dsix#_@G`|ysH{{I6(2)5W*vsGy3}7OuUX#5dy@{C^SO0IrP#R zn#^JC#*IZIW!$*z4QD!rvoW#&2L~FRt@l!!799Q5Du-Ub72H^l4Br2U22bK2$e#1s z+VpDM>Xgbnv<;fFCZ$B}*d5V2{`%xvUhrM4^~2Y75`Hjf=}#mFbB8Oxh<7`qoM~7r zvT9J2K_xc41U*B>xOR|@WR{;PXN%lD@uS)|*;fO|!U0CDq;ZrV^;eVzAZMTWvMH8c zm?|{{bISyH@6>_o%?J5!moo+DI8{R&b|8U}inoj*CZj?oxx8y6$(b7Pu!fAUY{ge= zIo3JB$$>&qnXS=%j*sC*mPbjf>t>TNt(=^ny)bEC1;Z*)ufoU zwXwBugP#77>0NA;ATEXpYp__LGDS07pc3TbcE0DVsCrU|KVFC`+oJesQBvbF zhl%<(SZ~p0v$;6|jJ->gi4yH15TGR>EfJ~2>P}20uril-0Ji+DN_S;p zAT)rONXu8*7x-2rD1p>SCOsizc-&HEYQaBF_h^m?GY07_Gzy-8o+cGl!ACwaV4F5m z{S|jqYHRSwBxtV^E?Fc+6$&IdsBFn4cCuMq6#RW$yda8GYLrW`$WW?FG+@3R+MAO4{an;+ zzkA-wH)L+yxM=08IM3RJm5)94*wrWagb0rgzHv`Iv>ZA4q`vxcc=*ZgFwNl(%a!K2 z`rz?$c;>=ehiD$r!Ij^&lKbo5*zUe1^J=Z5I3Kz5>gJBP+`U_Eu5+O04o7h~xboLx zcl_md;_&(B`8v+GV)+uyE5F-)&*qhHSh`P^k93DE3q~=Ud0rh@&{) zImQ?Bf0|HZH7hg~9V$I{3NFztBOcLZ3 zFU2#t=o2-wYt1wS);0$La~*O%lqs~n1GRkRQYo?G{wHhoRcWkZg@>mExJeeMlKhI8 zKcm;dqmaJfxKAd(K>SpX8=9-BcTfK+%&JK_5JQm+wKc!M+gTs)aZYa9K z9FH&bz=gXhapeaIDBI4);mAd$GmeyL>x=^6dvPQ2(z!c|!|p`Y27;*<|H3R@_|5+a zMCSTegz&FO|NlL?|0#+@TWy4cx7SFac{j8md_1>s zZlRS08x~h7wqz(&R=4&fzpJ0}1-k06iQ~XGmncZ@U!fyPY@!5`vs3<@ zRKgtz<#j?6&DC7O7_>k^FNf9IP@W3@I0;^(_#zC5x4;ScLQ(O~T)PT*cb$|$^dxBc zfBkPQEHWfWjPj|rRf$Yvu0+0+>KUG;NwCJ&*=tIKc3tVgm!)CDbAm{HMdQg&F`<)_ ze*)U#p8_rCiK!q%fq5k-ajI!SIb3diIuO*z>_tU5Nq8)NZ4-MWLqOy$TpGn*B!vt# z5d<$6rlmragb&o@RpX=wOdzm*E{Q|Qwswv&`uP&^z$!k4%EhIY#RIyvPomB-;|tsl zxoEROQBRc!`rS|pAEWqMJe;#8HqSWPG|6!Y0{CXXlz1b+k+-~S;SiF;&f;x!`VxGC zNRSbCpFqwye>w>Fk#*twG500@|2F7+7EPF?+XaaORp)qF3g8m`+Iu*$LaPW^ z_*b(Dh7Hj6tD(H!#<26ZLU*X1#Y}Ed?SX~S=7(v^cy2*9{*U6G+TJknv|HWNU;>DX z+2at5M$x`y^)Cs{8fV{g=_VYyoY)Oc&u8$wW{*6%D&t(R+ts}jStOvJ69F8*9O@&7 zTC(^)n9(__4qmdKrmzg22Nf}^SZW*SL&&mAuUpDOhvs(%k>z{2a_adDGi7d^0;-^)820&9JrZU9G6z z^@<)*G+i@n(aqH!)1ql-Ehxqly{Bvh!dc0}VSy+{&1giAWr7&d1a1$}A?<)cXh%U9 z#5fSRIB8NeMfh|Mfl~klF_N*Q_~Zl$gi8VlxC4^Uw_Yzv`E&;d$e*d1epU6WU;XM= zzxvg$>eZ`yZ-*h5p0tL@et(~ur;2@j`1I0X?RABBE%jBYc6H9ap|Rq#efm9iSxclhz!e?h@E0UNxrZ?6F+KJyio-{IVxTTJA8%CiWf9y zZ@R-O0-Xy!Q98T?`&<^;z}kpRl{Kc5yAhFDqgUM+9yfc^3N=>z>r~bycs~#?K3U(^ zehQUnOfriw&Ki)aT^}z}u}B*rix*HOxZ~~2FCE%0Q;tLo%NWuo&~;6zC-#P6IuSLz z{MFyJ_qs$R>GJ=(|BoerCj5l^ciFPAw5ti%P7%$@7z>`P(JX_4#gE4qP*7SF=l;O_ ziCN_Chqvk!IvrtA#ENz?24UdIY()UF6uHC>CS}M13a_PA0{A}@NM%~;oZ;!IXdg*N~s>_(JHw#Oq_kyz~Q>qWR~Gf6A6-HCw+ z_nQ(k+4!kWg?wVFS&MF6vGPB*5MW>cD9>O!wL(6+hz$6t4XR>9VYsEllp8+!PjBlpte~xXy%(4-xnfFWfD(12Le1f=MQvgdw>ILBXU8X;m@H z0dSEbTH#3}Jn>kWU=E76ozQ`Ygq7K~7#ezsO-rls_#dL7;g?z^h5xM(JE$>I+C35C z{i`f-<*X=p`OXP>@?V)q1x2xDf{Xw&l*cgLhzB5TdU6u;qe0+ zFfUMD=rCv{G~6~>$wT2KPNrNp?5ScC7!$j5OA!Lc9lt5;Xs#|U*cR$sJjwD;w6G7n z^CF{B8`ysoYzAxN;%C+U_!dU!K1u_joN8Jo0h?$wL?VoCy94{f;18IN3%Gy|I7|A| z_`^yGrkSF7<*!bX+pk{s)1|^OZ+-!4 z#t~D0=-RzRK4kC$t?_zw1NAq*pQIa4j?QO|YDP-hv;bOD2A%0TeNydA>(h*s5~!le zW3XUdGf>~G1inVPNpjna3{P8NSg~u{Ll4_L359(j2Kdey+{D)S7^Jd|LZO{=g^Q(q z+@eaS9Y@yv4CPY89Vjo-d&*6255&vsHibBo<`GieLQ9!X00^wBL=>hj-J4wL^ zj2uavTgk&57GjcBze6z%kP@cok5uj}yvC0%lgLUjk+zvFhnEcmE%m;ZK>c0gx_3~P zBc=+>SL}9{iUWx!-XP%la@Eb?G+LyB@Tp^9p*qZ|sA&R=@99CPYaf;1i84iLoN^J; zJEZ&^L^I`yWthh!$pt(bmi8T#BJ7Y66tO~jb6hG@1-WrKNkNogJ>usK*le2f4o;*$ zP;d}+`I4g;ffD~NCQ{52zn}}ZKnjW!h~*v;iUX!R5_U!y^-lEWm^t1;@lj-tt*@NweBsidYrR9--S0g^Egz-97t)}--%^WDk`nY?)&)GUEb zS(fU z5EkHfe~F7MwH%ZM3mG#8QBW}QYp|`OP$Sa-JbDY@3)nbMY%cO(gD(TD`DJ>bF^Ce= zIIY$UFr~zu>_x6xIm?bCj?Bj;SFuY%)o= za}o1$%0GTBa|Xv!pfZLi;#?U#5gj!Pk^V!jEy#FvuZCG-xuLM1z1-veURjEn(4G{K(NHM4#Uu!>xvFUdX7B(oPa}jb z$D9CbQb{OlCX^W7$3UWEB_Qjgd0_gd`&62OL?ScGF6hdwh-lzk{6*9jKHM1b4LO~C|yJy{A0v|xG8MJb7zC5-)$5Caq4y=*C$B0nsCrt$w7*I z+EaeU(CgZwV|O31G5aUBT9%Ag5`@1RYB;=OCW%jT_QcpgqTOX?{d+ka|QMuCjx*{ zV=00i5UktpMPk;EJQC(p6$J4ehwe*z5d(g9(a<5>JZf=B{L1gD+eb`EfoabJ7PK5c zWTgt{x@K-`$_V~&o!g$Z$XtsKZ6K6ZLvd!9Fw|4%xqyh5-zK8DbZ!<>gx%aS@TNFA zQ6{eL#Wc4JodQmB?()gpw6tl+dCG`~gVpTxV9$2QCl_p#r^-zvQmG`q-YX{EcqSnE zPBbTlreZ>xKL^27H=`jS;TD>q%B3s|c{ujFMd_gVBtxE>WRdXz5Bm6o@3QS1YWEMJnD7|#?{F!r+8Axo6bY7FGvJXu!=+9toe{z1eEK;D$O zAmpWUMIfJ;7e$RG2cA-T3Mhb56i(x?N zF^O=P3@ri+%mL*ywz=HQfHHMpG8o}-&O{);aKyP>gbKfRTuM2pYZL|o6>@fzfu9)5 z8q%dwX29@gDi9YM>@2+~aPT5S?Sc`1=t9N|yqO6oDS!hZc&0azH!?g~QPgyug1h`e zDO9(LLb4)6u2MY}qaV7<#)(9Tcr2u=jAs#|PJ|Z>KvNS)<**PSLD-E+gNaAEMtWS7 zEf-OKQLBW}S{RZ;)me_GLTKe4gt(xr*2u8#Sa&~cU1>h520Wp&XMqpBFw52|orxrrvPw6|Pie*P{h{X9^ zqnxA-E^e2fIPxwM%5_mrvDzSv2x&&b(9u_WD}^a^zBU({qT0&A+Mda|l1djDVv#HK z<(Ldi@nE!ZDnQ)h=wb=#S|Kxd%Py#&;1YwJoSyIE9A(d7KN3#-xXQ~q7w?n>>6ArX zV>koJ0f>I@anvbLe(cV9K#{|xPg{AZ+_Z;_^=Z$}j94Kf+kF05ZdISN8^f`&pgg*C zcD3Z2XrafK#QL((yepaCj+LLOU0i~l)dhORCbCcf#op_di#^w>~?pdwXhW)3S8M;wiW1h%T{nF?FoeG2LFcn*y0_qj#*7-p})K3 zmrjARxI1{R@1HVo7sCm{1H~qdkb1~ZWBU^!C z6h6f1W|sdJ8nZK9n5KT*V&85z6`>Q7q|c(?A+34Cr-QMNGx&*zHnsh&INP$`>Kix`*qu@)?;gUxpUd-9$#}lsz&2A6ffEi+;8$&puSY@rl(l^^eqP0 ziQDu`rCr`#V_(fCtD=Kn;#A#k49@KScKKNGiHeu#cEUQnDt;i^^`h+U{Fkwej3BYt z;-N(8HYfMn?>&JiX`*0KK$>vJ`BwCXefYRb*RO`@!pQzG7H302-FVSM&E2&) zkHnZ?<#dD?4p#%3+fyV=IXF-?O+SelJ8vK8x3rC;e3BaZ|Elm;$%F5 zOl6&}r21emGyhdfaVrbg+}PfjR_S_7RXl_^(j2@L4F@>rQtST z57Vo|nWinTT)B1AbWpO4HOC51bT{f5R8Fq1A55{I_v^qz;EWcT$h~-SyBtS$iSJ$t zSfsmFIuoG9(#n%im>6kpS~++x|e?^aDy zS(_6~_~aU3Sd6c*q)3^r*Smpq-B$0KYECaw?oa%EYZdQ*|Ah;6D2i=nJu$Z1cR?9; z7T*60+r6Dwy2~FJqHxPgmmU}n_xA3(>&g}R8_S2Bs3_9%!l%D9oAKeb_r3U?*>O>v zJlVUdkN14weZyN3E;8%hlS=x>c+%%&u?>W3OD|D{)a@ zVGG?@w^}Dx`A%5P!LY>}H^6T(a+Eu<(}d9k?vmL zX0LMli^UYzVioTA#_?2>qtuPZ9xHTwBhFgIM?d!C?bl`HfrJaQaaoA8f3 za$N7H4gb;#8plC~mqy3P{mhx$KaMr>KXZFyR%1!K+qdHEKX{9i4S)CjzGiW=D33V6 zEy3$EeX#Yu_uaaM*4a9*r9``yA2~09yOoD7$zNDzKNF%`UjEWkvl(Zh*57_{nmmFZ zS1Eb_;74wu@{5bB7dbO$tPl*j_u;(WnKcASe=$Q;1q-tjhF?5$gY$YEx$82~T5PSZ z9v;F((Y}iwVH|-f)Ng!y{Ze(CZ<8&QSE?bvrNMcld5VQ{j1m-gzi&7>Mr7-!p88@i z$0w3>mZH_Vu%aywSygc`;4-kkiT7ibl zd$~~WFF5!GWvCVlH5%P2diQYNHS%$s7Dsa;hiQtpk|B)F;tiN6%?{7U<}j(WR}n~m8+{nf{i#!mJGZWT z;Nrwx_dRVKyL2mS)g6sTM>!z*FoNfn#UH(WjK8+l<6w(#UA|mRIN9ysELzBXqB;gvJD3A7WPD>t$+U-W(!6N10+j8QfUUaDrCN$tzj z7@ZA)w=p^iW*n0IE`>9TABw7LPwJ4U(HAE&T{;IWmRb559h;iz)uTE%H2!uu1HIdQ z^)`K2vznrK>Y6%BPiIKTa&A*HDT?#qT2FE2IuyY7^FGacY&nef&?OPp)Ly!HqKE^u zj1OJf2zy#UhXff5L=1fUp)oS!Lqi(VnJYF>zc?J$qE-yZ4SOe}VYt{LAK0+YCN{pc zBPBTTVf6?^i;Y*Q{r=Grm9$t+Cds;Yb+6t$PKCGY*Tr#B^gT*M2<^ggO!+VoV=T?> zRxZE%a+)!hcPKn??}gPZ2j$fE@TpLQ_hOpVjNB%TkB{Y}=`-ihke4~aw)iuPVJhA( zZ3(O6)*gv5LG$|Os9_Se;k>grr6%S=FCPIBC%b3@X#6ie&5v6IMo$lNZ6u#ga;c zFpf~=6MWW{ZbaQfP}!@euRkNSmc!KK+`6_G-G`YHAol>-XzCUE%p3Ovv3iBdaZWna zg#wf%b`&O^gi5e5u{Xce8GTt%zagb;sxEleHM>VTDY(s-4PjLFsZLAFq?mGy|T z+$ko66cFs*o?rGpr34kfP8pyDFXVEGp*i6%A0Coyo12Zs56%IPo^JxD=`58cFN>H; z@SV;y6dZcbobuWSdH_6i+)LPa{CrF%Yy7|vc%L#Dq8EmPP&2D>TWCCmT6kbqQ>Kg8 zfTQts49D=~rZZ7iM@6C{EojHpwPw4f)8g3$X_quUSOB~(biV-g zoZ4K-ZkG5%^{E8*bkt9o3W85cR4!DfJS<7)RVFVF1mB#S9y-8SOtgI+XK9HkcYsdr z7f>s^Wp4^LvzS4EmySE=8$QSOiF$7$MVY>zkb!tp++cAQ<+p9Bh+!OwnH|SzkRNP1 zRsJwdsfkEK1>rsi_22V|e&9;WHcmJ<{RIlm5gD4Bl+x``{`CMpoTV4A5>0`}>7 znedcow{gYrBR5l&yXQGi-%)ZAfUr9GfFcx^qZ@gBA22h8#>sCr;>WJ42jR+)J?2wU zB5NFeHjs{uK6M13bOfKod z{V!LxnCGZh>tq*ed2?RK9h3dHk6HO;4hDYaGb~MUI59qL`CDZl|9H8bk2ubTl@3ZC zeEZ_6jyCQ;zncB$4p;LL$L{Us3t#K&bDtNr^ftcvgNj>LjupOHRXUvbtv5?i*?#uV zik$o4aEHU2H~C)JCwICWPP7+w#PKh_rvs84PW)b3J^D?k{3Q>?q4Fo+Vm}#F-kej} ze_cl$Z{B?N`R3^7^9P^IM;yB^>X_yCzh7;Lm+yaXqnCO4%U(Y6`K>n_|C?{JNm9ox z|7?Ch@_G3;KmUCG3S0e!@-XNAewhz#e(!tTd}#Ca?=`%<`OUH?p1%2duc^_WN**_F ze)ZY8|D5Df--MT3ll3Yew}cnBtK*ivo{n4o$!onYeF7DlmR;^SdigC04)tajURW%* z>5yTORz?plw!1Wdt**Ki*5JCFQbivReX-eP!rL=Vc@taM@YU9pULkNm8!zBvzRJb6 z*6X+pU18nd>uxo&aHZMYB=Xp#W$U}BcEM4`$VepQMbXJ8gbvtuTos}+a*}0UGVaGY5?&arqzU< z?XX@WD)0b(Zg$JA+f}$*?gHC~C+9Jgu573}ZgntB?M^i;nJ;wOOzBw+@38c%5gJ}h zLSM(20lc&m2v?Ft#)_Q8+DT+*d+#hpOoi^adO`i zP|v@a``01WjER67=(O$&}0Y$28B-Fm>OAb#9a zmC(?@3>CY*6X21V#b#P64s6NqB{L3vq_IUpsU061uzU4X;gj_a%*AvNY~Ny8g-Erp zNPb0SBMRt_k;0#<66gEq{+NyE9lkWLO6^CBX1{&bHaVY1urNLH_B+WJohZSdg9?Ht z6ey~17kI7QE;X3X*hqy6BZ6?{nuj_nD^otD*@@;cThP8Ug%RE%@JQlS+hLgThfz6} zI&oJ@qxpNJrxV0;SQf;xpa!Q~o0C!CwK<^aEpLaUf?V-!8BP;u%Qv7sQ^EaC@JLZr1)->yJN&9)i2 z$hBSV+=ru>jhu+*aP}I304w+Ia5MESAN%%&nG^T zNBa6p&ZSZc8;R}mGrrRVatV7Wj`#N=?$bJ*npP<-xd2M!XE(nC>4PolWe3owXuZnW z-zvs&K)Z9;FLdJ?qC=h%`2hf1Rn8IYnA(&68=d`_c4o<>2&x4Vl$<|+CP^bvos67V zB48mX2?n$VQ}BStuLuV!A{tzJJ2cQ75yA(kqeH`?ir#0(NDP$`UtovOAY)NjXoP4y zPvXc-4+-F-Ic{mohU5M!co8j=OZ=*W39)!A59l^%4uuuuAeU-@i*UxTm?%uqE>fIT zOY5XEtms2!YaB57$d3^n7>U8hz!6^Mi8chL5#TYN*2WYplv@P}@Hh1& zhO&T_13EEW217d_ocr?tT6Umeq82*mW(ow`3Q!9b;s8AgB|FtdKU}_7bD3JZondMw zo*{CDHeB;dm7WawuQmAv9t1ATwzw973rCbPw!$(PCIv|476E9Z77%dpIih1WrQk&i zmQ z6lEBz%XX^Ze;K`?kg)dnuKBcT1*`039Y*_na}Vlh{9`tJEBNqM(J6*Opnx&1F7WwS z1{B)+9PAZAt@$$VKeX+@S34n*96j2s{{pPh5N}Y2Fpj)mz^^4Ak}AFovHszXDcbj(GtJwoudlY_1F>b*;;|x(S>qA9f}VKEjAtq49gllaLDbD3Cnx zOR%w%yTW=hDIcS?gce10=2O^J4`<^_^s#bPS}1pI$NMuPvA4C~jeIL)$J+~!nP+n+ zRZ28ev@xsdrSC*7*1+%#Ioc`K)na$k+W+GFn8#@ZMD0ssm77xGyF< z0t1S%MQ42r@&{SrZS823Kxr0FLS-ElBXke@tI)z9l3B;X0`i$CS(s8Nx-dp9Rj zp4O}%BjUXX@(&XvIsy%V^|=h>l7X2O7vV(p7m`@ZE?K{1K5RabsJCfEo4aTs9}^-$ zjvN$?_Pmo-fO6m7!a`CD4Wn7`358&|Ri1Z?Ax!REc$&jy_fuj2<{QeL{ge;j8J^>sSfCeS)Q}KkaZmQ80wjxmp(j5@v#Os`WMiqZZ9j+^Iy4PNxuTaHo<7*t#1^W?I+2_7pKwV}CCp|GVWo3WS|x}XvL`NZLTp#$EBB}WhstpPL#7q( z6bm8__5y$Bgo|(MMF4ZC7?uYzIAh_XRII}A@F@u8HmBB>ig0)|bDW`({9!)+62xsW+TqcY)Cs`H zNob9hGF&?B*RU6&MH7P9TN;@aNrHiuHaD*pG7RWXLBtN%h6cULA`cx!QwQ_+d zupG(K(CGp?xHzDX6obqwnT-5%Eb~W7ojdkj=oxoV*^suRqdAZ^_gsXNLPa+psLSln zbJcS{d*FkrB2OAqA!Vjts$IwuECh=w<{BkSK(RtWgVVe_31T5>v{f^kf7~>TmAR#e zitWhUn<*5ClmuPUS^o%Z_r@LwXkU}9pI5w5K!A-%5+bp$wQTQM06|bRF%;5FoGe|z z&+Rl7%o?M)#Rx2{K?v$JS$r8km(vh#;-DxRz@iqx5*_47{2AXi)g{BtUKAPnJ`g$$ zP_c;@(o7WqoahM2dxc}e7$Kg;NR*V2T~vLuWYsb_&@)kjY}qG9!5JmItLiy-nSiUd zN!f6@Ul+_NWKbqWumErd!ITw^h^vKNB={lU0AcTwDbb&!#Tgv&pABZTY81)vUgsl< z6Un;%pZ&*zLxH7Tg>ajVN0~vrC%Yaf%xkL`5^#kgpY{Y1hc&gCbUh!nSgVOB4qIgVYG&eV|dx zpqc`4@hEd{$qx8Qf#~k|&CiRNYH~uQon>LzausFp8N>Ci>}B$~9<(|vG{`{nmtrqAy@9c2NCK&)TOz=?EJWhL5&^~%?m;y` z0rH8?o^fS1J_$U#F!y`9t#w4$&y$C3-3Ne3o;xmN&LJCtWR^gQ3L%+tJy0)ZAL$Ny zln_E5P&0m1TUDwdF86Ga=a&SKM+;1;K_W7p7eFvV_J{&@3F9#gW>}6f>e3tl{_)?p ztw^3cdt=O{uplvjtWuh(v{yR}i3kgDBj6(whCEUT#i9_ z5SnRv1I<;*L6DR1nh{zB1#&t{0?xfRg{Wv5?Eo6(j{->9MN%B#T^oTEoZjt3`;#Nj z_N5GAjykbpF^CH?FIZ>VTbNa$>L#V=;sM9PQY0*w_-{(iwAeH*hE!<8Z>Ez_kaGnL z%Rhibq}$NGU|QlQw`1}5US+91BU#=(+6EJj0y8l&hF^|FEn@X*iGr{S%1J;A7QJMB zhKvmqRq$30vV>wn?*UA2lW`(sGZOeu$RlMS<0!en=0D%sW0p#u;x! z2U%lr$MWR{D|1ICIn&M{b(m7!sUxVH{|ICX%AJ)AI*nljoM2G4^0smi9q20bsDMZI zl)&WDqt{jhg`-)BWF&xMS>w>{w+NN(2$(EU&pG5Gs;gjx5VI4MW8qt8fI>Vdy(x_n z=^i3nFjOm$|HVmE6qa$>fQBL3m5pbHHDm(efdltM&ezZYMjIpsXG)VvNkm18qEuK_ z1Q+oWc_=9GMjD0TED}@Mfk?px-DNJ(s{)z=h~FU6M|KIz#eAJ#az>%Zg@#5lw3tjs zjeMcgxRBAH${)PK8m<^}iLf=s>;jU~G~}jCR{KI>H8@|3lm~s*dfw}rv^hi-)m?0f zF7%!&!w+;16cZniIoQ7@kY&wJP;0=2n4d2236#lgdMut+Jj3|m44)zk4 z-RQe7#1&5;zVP#KHy{<6j=A3`E)+pFs%Cmw`kl{I-5AS@qjK|7cN`Y4^@}ixq2CKT zb~glL;Ag*Vq5a8`PUZJP0r??I@t1D!aXK9&KV_ZOFJO<}MpaK)KxeF%PR4G}MSF!E z0E|sQ%LmK7Uhr$T-Fcqa)8yCI>;>*SFWLC)+iWhNDOV>PFh?P-KSD1E>pa_EV|>vT zBo|lWAolI#C01>pwD#)mcHx4n+)Y+V98ZuZyrG|CT$@&=ekuy0!X~qIy|4%)wTqftLjIl<7S{Y+7RhW%u$KoYv2>wS!Ep zyUuJQUCvqzUViH}Sm|GU<+gaZka;P(J?*zJi`|VFsS#g3%Rcui^4s_I zdT-C{k3Ys1lI7(KR|Wgv2_~>?%KYF5Lx@OhaN8no(Q$Lzy3@> z{`W2?c25?2O#XE;1SjrjQ)}&=)`1@4cP)SWIc@E{_kG7~jb=kYXZA)sZnuB_BkAQh^X~n>Fz(U$+=CBt z5`!+-*V-5G5jMsSSe2YU8s8Uh9{}<|O5#E+R zRK8_1Ow+J}m!)ER`*=nT7Oei!kG@=-E-pO4-s8P{@BYR&0=f8b5FM9~&8&WR!={Cu zTfX&bL|z~J;B>Y(YrD_>%=BfBCN1Ch8?&^RI!jMHF`E>&(Y=Z^k;mfr(QEx~VHvO3K=?ffmO zxP0P)UyD>}sq@$q62i!;P|-*>O2*&qB5h=fx+ z2%dHBEckYNsi@v1}@Q!9U=sK?UyyYIdHu$eSo6XT-T zJV*VYa_@;0@(gzU70jeoCQ{nlTfFP?BR;cC_p4Q@b14%P+w{!#;cQ(wC<5;jKUeNaKft8f|OwGzyW~BlivI z=nD%EKYSbN-iKid7|-I?t)r>pH-}K!TPmIz*MUv9>(4yHQ5?>=Y!7EMadYh&%~w49 z@ZmVk3VO!zG$OZldpg}qcir_{56r-}rQJ??8+mlEmcr&F*{5eSY5bT2C5|}+oXps5 zTV8u>mY&tlA-4BtQ$x^XYPOg=$lrK)i0BafSqjI$qw&|TM-X8jO49g%qiZc}To#ij zxjhp6;>9>Y<69?-AHAJq>(;6x(p#M~!dh5(@R9}TDjKi&;vY@HqSoag5ocZkHoDmx z{|K+W_%j2P)|}%M6oPKAJS|Np;j>*&&2h7(~LGo5xdu`j=mMh1~x7^+dgwit6Uk zD~THPFGvojS3`g*@0xx4$1*w9>g4t;7Itx!FP+Vt``E`)nk5v^z}{n{GFu0Vy%WXw z>f6|O)~&s4d)Ga+KATC>BcCYK910)XwstC2JaYa|jfP%|kRYkV#N&!{^lIS4YWrpe zNb!kZzd2E|)pd?9nFV5=Cjk+^s(M2_0$N*fZtJ`>-nAdWS|c$F^oKoZa>ZnTUH|J9 zvl^%>3n!%5Yc3v6uipBR*hPp{BIU#P*JH3*2gcMuWu0{hQhk0#U~Yv&88v7Ko-1YF z6c$?6D{E}KKR~E*9r3u7bnWKUS0c=uzPG<$<;?T zhz5-pa+|mCCPPn(ODkw}YP+Ye%%TpkeD>*NRJOzHAMD=RbRagno(u8P&t_t9rG5Ms z7Sf;JlE%B%+4Cf$(NABIzv6bUhzHgOqWsFP&o!QDLQUiG+*D&Y#2Xu~g=5uZHanJY$3M)}{iJ8OfC*51JBX@V2pkwFQrjkGs*%NV z_BLo8PfJjfavjZ=;M0L>X6;#`*{oVmRkR1mq>`gNCg|dWc&8C3sF>!p4GM_lBq> z_&_GnL?%pmQ6)%lRvVdIXSpfrqiplv*|l*D1evCk_r>T~|4^lddUBXu01< zk0?|lvpDCCaf{fJ8%=VJ*zl!PvNg^lKSexi-06$o%(YY#;^xO+kYA2UG6V{4sONET zvJ1Mt=g3Kp3nnLtW!LcS;Ed=yR2ud&3mJ=*fTX~B&=tLCvhxmtCW@kGmvunL3Yz#Z z10h!D039mjK+IRh_gVpOb(A0GG8|e;;-$<=NCE(^xph>dIWII2P@Qo)mha2sBtJA& z0X-6fN|5h!LRJ+;p)!d{0aGjDd`j7y@8{sgKH3BSp5IMBl(8d3TD^Ix4G>}d}4{ATy-`S=S5RpkH0F=tFT(DV8a8Y-{9-h2V=P5eyd zPxIlKo6p}lM03FWQePX(-%mR}_W7G-fARgMMz8%-PNayJKcQy7t#7$equ$_AFGMeY047 z{`t?}+<(5rLm(RY(*BkWZv%j=_6zBC>@9+FE4dyiS z;^o{Ji((Oj!P9x}b2y3cxVTeEil4AyAK?_+<%_KGd!mFs^ZwV=b+NvaQkJCG#X-9_u({DhqO> z*{n?Q#NnXQ`k49-cWgF1NULl=G<&WrR(Zh0;v!TrPJ93@@2*ik?w+_f>?-pyB--m> zYW`(M3V8o79HM%U%ff$8{5`>fc9x@rznN1zX7|%`q<7NiXzwr*spuT`j`uF|Pp2mH z@vn9b=Rc9o(x~F178J-X&HeX!{}Ls?x>Cb72a;!0{X&Q9Z*%e98Dsjki8jP`IZOcVqFb;B3ki z;$<|=NBgRkU*W-WaYz)plu5bqFpX4vl~pUIankdpnfE9B((4NqU)*J|@N%k#^6x<_fn`q<;aD}i zIq4XaATf|)tsRo2(@lvTQX0WEaLR8|D@>OlA?VsT+DOnbl5gq;SbLy81vCO`5x>UM zW5QBOGQKD;>AuI2rwi@uC^T;gAKSm9>6Ap~#oPkl6~bDH>tOB;vj z9Z_f|n9e4nNE&Yh4Z92*3sgLooNG@`;!jel+I)&EL_bExXdtoaFGl$O_0KWa zjay4w0CCM7FU(SWDSc)+i46`q_(dLI_Uk^3`*t<-g(E)DcB&w?cDn!^CDLbhC^_~l z9Enl3n^*9`a~FwiSR6_@+KAH*5=p>0Bwx{zR-Y27An$qJB-A|MQMGRhT=%SowSaL zP|c9hhQ+YAL%G2n0Dwo_#QYwC(ZGHO_G+NmXue1X;n$$cX_>6%WP&XQ7KxRY)v&-_ zg#0-mV{b@%Tpc17o}i=Qbj)i7ln2$X1Zp{Anu*F5Lq2P^`!!_!5sQhb^T2wO@&#)r zSQ}f*PWj11UwcYVsy#{%oCOaijwm-MS20P^ir3W|BQ#l}WxC4nvDw}45b3yn zoIeHSolBBIT!W_($Cqd^zx&U>M;+7=rakA8l)!&n9N)+74uquSG{L%rU zKH7zgw7+nOXAGY^NjZ+<#GZxWoJ&L?Zu>NXz@x%l)v`>k z{s{FBU?L+aR7)Y-r-$IET97|?BLPDhOFJB+RngR>6aXd2`9qF?3xQvXIWXXA9$m}S za*8ahcSkA>DQC$G$zr}X7y6BAKL*Gi zILEnDsD!T0COE_DWInV4+okF8Ozn=cM=nf)gx%z!IBGaiv~dxS7nn*WW=V+rNWW7Q z^n-vER(GMN#Q1+b3n05CdZ!@Wa+>4nth-AS^&M#$5_{I7Ny(hh9C@lue$Sy#PeI$QI!klG5aqu(;F8&u zXM3_sNy5!oUZoa{cISyelI>e(*Md@^|BlNA zVUvQY7;^%4jPWUC6xFzM`1o?C5j&B<5khfpM*N^CT%(SM8Kh zP;FtV7E18R5+1*qE(*`N$w5$fa=;UFQoPdUC`9^A)RN#K&STP$ESFb5l`F289L88X zt{N7aqE(J`O)cRRDdNbi1}CEXBQC%MA8PK0PK2f=>b}{9xhdn}B=Io+(*W|ABlO{3l+P-p(L8#Hv)gDSg0^=AMA{Uw+s z9xu$9bs#rc@|8=GStL2aX;i$M-tCu}_B?M^!92Yg(x&LUNRZ>bjwt_R*nV2zpi3jD z08hTD8;6TSmN0WNrt?Uc3{ta(r1E6ftmo!nXaFL}c&K)$Rk}C}0aXH?Z3*#rc*?q# z0r`?E{GMcjqlW!)R?AH5C($F~2(hUJDS`6zqb3a4x)W?HY#AbgIJ?jUVj?DlBbT$Vf^a~rVXhCy$z23p{c3HsxAQlbJQUGlTNCTL9a=(Af<0>yTU zy-umGV@TDO)a)mrS51sEu6*FS|sF9E~Z}d572>oYUTO z3Axe$V5-4YfJgK5n4rKPyQ5zCAn5KTJHkPw@LGwSdy4h|0Rx1s21n8C)bvw@!b0Oi zMWr>@c&Lz6E;a&j5|kQHyp%I8DRO}oFw~)#$*XKd$T>v53PoLr<75Buuq7_T@;UnlEOD_i@Kt&E)(T7dB zpdU$Lo&Z1v3Ea5;oj^lHyo*xf-ot(d5Dx_2$@5-9O%6H*goGp;+E7B*qo~ItNmT4c zV!2Lu8e56~L{WJujx_S$fm3s9YX&zLi{uV_hd~}XE zP;`OZc;e~~9|+P??%+E^vj`dm#2SRiPiUh(vg9zAz>?_PWkVG+tvQ6D%-iO~Y%>o* zcM$KOa6>4rqO7lN-hrxWyFw+cc!#Z=H_n+9+2no7KzIN%1T=w}0!7}*6O^3#y5a|n zI6kup@#38#7Nf3mll24x1)|C=lcIogC*ZrzH9?j09yei8FY<>=y2SkRsqvk+ue=|j z)j+drmqVHemmhed%USs)$||N@b*hqWn~-?6n5lx)ie1>J5JZz*kepyWKOAZ}B{rO= zHeSRF`M-(3=L$IMop_7DL;k~u>Oh&A0Ye2SS+26ptdCMf72eFmz>r7=jmH*iUdN^- z2+4B*HCPL}l^2VOaW6|ixgsDpYf>UW9F#YN>3mWYxd0g{D5r9bz7(@>G3kMsiA>{M z9+CnPadi6v(~nA<9cBNxyVWwgvHNG(85ElK&p|m1PPQaK0zrl1Do+0eknYt z=Yx^4XuE=7;3vPiHlZda#QYJ*0@^{vN!=kz17A>i875qk!W}A#XIEjyMWTSaMrm_B z3)vFEaSWM|YaFbnObPCy!7MH(mImCoRqm|5A<38e5>|GU|K0tOeL6oi20>)d-xzHTqY7b8kwZS9Z% zP6f>!5{75)C15U(2;ojj_K8ITBBI;o#>UPIpge9j$PbTM%}LjE?!8W<6C6t2va5xH zBWFV;sNu4Mza;GR?)ZC$s&1$d_%u-6tn9@5In%q8A5%}E)p0_fck0N*oU#FH`$&4H z>iOO--ZF=&7oo+$rdSR~$%^YO+6>oM%_paH7UuS_d%#27a5wTh%A5?e+m7fa!if@(FW94m z)tnSLbHhTw{P>;=fcVwS)URW;1|UZ$QBkSNNP9RQvol(HCbN^}$XQ6XzASm4)x81l zPX)chwC4A*@z0L7;sd0YyQKO+y~%A)$II3CZh&@Re6lNsGXY97`aD0EqBg!E;qud{ z27=x_;zgMpTGDqcRZ zw?>xv*uPV4#j&&Tlb=BB7h_dkvneY%UQ0#20Y^^O-LRZi)nJQB$VySR>i#>T5g-Q()Kp{$*>P13GiI1y;<4%A(MG;;(hNsNqofVde!V*##(7fzKf zXtSGm$)iFT2Vqa`&+Qeaggu6z>7=?bB>V1>?;O%sk78T$WWMn2%AV4@{*EFNWfA*! z?7A5BSWSgd!CCRiK8fQ=}TBR@5?D54HF+1eT*17AB%#vPmALi(()(woMD zV#*J<*ptD*l^cP&p192p2m%+jUo9cmUYdXX<+0)LWsaJ3ySM6T(l=zT-%JS+@s4kn zpE$Vk!($9gxv}xmlwCUavokC~W#!=^L~Nn?tblpHNzW<@ZD2%kQU9Cr*=8{adIaDf z9@L=oMr-k6AIuvfn2HayeG`EWwoz1bXD=Z~qRoRB-nM!<0Oor(%H4AAav76MY!La<%?j|!%C(8~x7*!~@WM`*H^6qS4ZeFpjTn$8 z#M|v&FX1h=dC&l-?Z;$Tqi1^wsZc>4^3m~{7i~fX9-!9q$92RnuU(x*s0?n6qdotB zvxVk#ar0IP&VBUs2LBR#vWjN|IgoNxHk=J~hfa+m}v|C$?IHvfAM5mK;A}8$w)MICbi9Ttvwg|=o`sw_K1R*$e5dNADf>DH+w9}~N2jgTT0MR1 zW(aL}dKEJrQORxjd~)gBk6-0`F6{>&eC1Vr1!rS@I)&Z4SUJWo%Wq$eh~)IW-*_=0 zaNGF$;dHtv!5>NRk357$VFwU$N42#-lrx(gNLc4IO(XaUpL-LO*E#PWz6|%^ot`{-6{!uKpy!I zJyh3HDebsIciU$=Qqp$&3XhI5k0zR@I!`?{n>lx4Nx2rGraee}v?dF_K=5jSjsy>U zrL+E&LHpe=C17OW^~{<7>c@4+f}g1r*SVHYh@2njTSI4FF=zL*s%z-3JI!%c3BK12 zgA{q~v)%r#8sNbP5#`?U!WTDYGemLM)n|^6RgjKmk!$tOpR1{K)!isla_*iJhV%Z? z18{{ck;W^|G=7L>S-9M91V0=y)*^UXB;&8=n}<>s9(UpdmBV#9XuRp5g5n7NK@0Mq zxvEsx{=%BP!Vi3H-ZX zk3nC>Ii87Qc;Rb7P~#C?jQ{XA0k!TKTOA!Qu+g`s+bxb&g7Fp+0+2dg70SC zmBz=ML4%VRZ8ZM;JA!YZ>+`kHXXtIx!c&)|ZHzx7iOlSeUQD4N|J##D2Ir^|=@LAi zX06j%;{A$iM94kZZg_igw^0t}3{Y8Hi9XIyq0{R$XBK?M?wlEyk*XkgeAj+g@ZzD< zxq3AO5LF!6Fg4!|AvfBqZj$?e{?UL9$plg*1RE^p{l06YOd z9m6a^he;pv%y&pC2m9K_r5>v!*vCyeKHxOCw33E*o*B%*+4<2kb*{)aH#tE&7tqI=e;E?Ku( z^pd}HF~l@893m>15AmK)v1_Af*;f*R9}d(5s`8~*B!oMHANDn%!8OxaE|Q@UqhVr> zW~%d$1Rrvk!)VzrmKroNW#?@BrUG~3F=nuhpRquPe?HaWI5qpq2w1)C`+VN&h*8hjS_Yacny6*e#d-GqH z#eWP%DxzXJwN{h_73+^C1VNBOIcvp9po(HGAx4p^RF!qi#Bic0`Fzj4?{)Y5Yye@Y zoWh;y`{UemzW1DS&%5tOzt_)KVquq5lVK0n5xR;81O^&U53WEjdz)@3#}76=O&%0a z%fLAhXfIK^z=yR1G*G-6yx}ELy{U)tdMpN~t(gu)XYxE1aqmkU*oab=$w9)#H8KQyEjln)fSXjFqE9YM5!OaXj zyGy@Znk`~1EaLPRf^7zrb8|hGJKA&1oEP`Nsg2`%Zh(KP06%`O!Ws{<{QwrBG)BPGvGOI%@jD$wJd}}m0%gW7L{;$mGDJ5 z-Z3aG{qj1gnjcs>%0wTbH1uE!m*rqHJ3N}JoR0w(ZT2mv+7_y!+%&n`?M00~e~yAO z=~CO35ByQ>?5LM_9bOMZdRbxF2T%^>pV>1hM5qU7#BxPJi6yaATV#@U?j$=*mgBt( z?#I52134wLXgs64QAkVPCZ32_3!m^bqb)UIpmsCwY_av8X3{+*GQTH~_??XpWLFbI z!>b$XY{$V0lbSi_7JC^-viPy_d6CFrL#I(eVLVILre>wSxrsDLURhcqMkS;my0>D4;zexJBuWW=;MLin zwPSZhEx0W!MAf`9CG&8}J4>QkRVwn(T+J8+k#*=J%#>!+Qj=RM23BV!@X>0)TagSP z2CS)Y2<}7wm$L^~zVDHay%lHUk&e9;XXAdJq2K|Q=byi?eoF7N`Hkg!p5g5;&pdOF z9zC%~ah^Uq_>uXi?I9W-dwG%vSZ=;DzclkC@3XmCcRq#mi@)k>p$uQ(_l)&!ofj5( z1I@?v*o!@q6M2?m9+b|`dw7uND>pBo11LAsLo~ng)$~}<^Dpukj8I-oj|J_#w5<2o zY(4XnddCiLq`Afg2p+}h*!yg5enk)R2&FcQo_}SD=lXT2pkul`-@IGrALaMhRr6My3+Yju3-yNHiu3zROZVT;V?n>RbjKy#Bsf1mbCm-c4xKq@UE@$wZ=_jr zPho;?Op5#<%^B~1@+XV#*|Xm5JhiZVc7ECIuv_OK{HolO0tok}jYb=D+Na*c#1C4pP>H2GO_msw^%KgJ-M2GU+1$OgG<;Dee zY;|Yj{-vcM<^6L@fAV*bo=mnmO_J2YOBg$KGAofem}K;kDO%4FDvU`T3o^!+s-dNL z)2nU1wn~IOOf9mXbJBayY;e8luDHVOwaaIUK9gTwt2g`{3r}6F_SY(ck(u=c(NywC zf#<@Ct^*D_La|Z#bwA zeFb08b)^uSH zikvp8(7`;$Btfc;DcHe*Nb{#*;)j)VYq+=!_1h?R*buAd=R?I64j17Jfr0zZ@!yGV z$iZG2oYbl!8xDufdMlKh^^t8fA$9sy8dtmJ9#@1no!bbJ!y?c3kKR?k_$jbf*r$7Y z($B{BUP{bxrsL;1D)M|mjlWzu@OsRpxZ4G1>9V*(mA-=w&^a#Ti8uApGwVv{B9f%P zpb$=qIJYdv_@eJcldt&pv51Kw^Ppje^#>XQtSHwkn{t5esA6Mt=x{f~bG&qCxEyw)_Mn{mcH`v9vG7;8L} zzCjc3U}B;h7)A(-Fq;=J)~w4$k{Qg|AXV-$=H1g0&S{gwo&1RdGfR>5 z1qfqRs}#W|kz9fO9pb@RFw5B@qKggU?hiKe(SShO2nG^UZwQ!-NX11&GHp#<42dO6 z0{aFM3j!9}M#2>>bU>H>Rp%lzAG*#x?AdMbJ?GYb-J}{ptq%E-I_{f_a2^lVixxFVt=!25|Y#k8D*& zlgL0ny}+@n`^Xw**bBKm?(phnSL{7Y9l$kLJmD|35UhIk+ddcvt%?Vn%fhQL&3hp&rmB@ z;MJ6JfEq=#8p>)^`AZuAZG&3;SVH2 zP|i6-WEIEiB6JH(-{qr@-G58Hr!{46&jshU>teZDD^M^ek0wPIr_73{;?uXIUtGoc z2ryIvP~1puM?d5wqSX7uj&E&YfnRt3e48%rEEHZ__%@tGj!p{;V#sbL35CRV`~pJ( zStz>$^Klkp{T8Q)x5t;f9L|%bdiaK$ zajIqJkD+`~@jE@P@Pwn^_Gl3?hD)Fvy28%)muz*9RC|g$(lR>?4s+MTN>xUFWX%Vr zft7^m+WGKW{ocD`$=-k+NN}@v5pRA72ta;)(OnDxTt|aY)HAEh(uJP$Kk3R2%vh--SFYVZ}V zW)y47Rncem!%pxuKdHx}tN1S!WXzL06Wte`a~|!OsvVE+YrD9F5aoHI(4)=7qh^mq zmIbNY;phg=^=ip~n0-d!u5kr~Z+Ln-I;XE1x|=-EfGfgUwK&i?J3S-_RGc1GT=h~# zo8?CbEN7g1fOUcaqWg4J-(QA;wXh0x8MsIR2n)@%p6lzWo}N2X-4}Yk!#xJx#eqLp z{YGDtbLL{jxd$ljJGmZF&JXLkjV&Z)5-E7Mp*ou#EQK3D!+w&ly@QWOZLQg6f$KVM z9i<$#oFOs85(P!8f(}XoQd${2fewWfaEm~QZakrSI&)S$s_iefwj zuw2{4AGAdxh_!B^wJVrG3M{~jk}P20MpQ;nAh4^C5E(&oq&2Hov1y~PRZE7H(j?-U z0sFSH8P#^|(us=pcREbd)h$6vf|1cXurXI5Q8{{nJ!(Y}Q>Uo@{a+rmha?;K)_PfA)uBWXh5lAsR8q9tp(+y0SqkBAQyxxNp}z80-o5Cca=DpVif%` zV>9!0XW+^JJtu7`L8z3KjmO&P$_=w9LO93$B;rNah?csq*meah&$5X?kMb|c>+dPY zZwGhtePYvtAegy@T!m$2B1VjG^wGcA1Yia`Gh1!6R-~MF4@D# z+dQ5e>32~a$O8*b2UUB%87v6B?~z+%_ATjVNs#OEcmE7!a774Kx@yDYYJu4Q4s_Nr z8+{W>K%2~omDIhu>eb*rLaF5W^cWCV^YgE$P>2Sg;!T~+$2>q=X_Z4C@vNe!+6sRq zZ^b#s^eO~Eb_E;##o?pzQb#sw?>f@pF?F?Q8mqN3=ArHU0;h79Wu6KwQrSHAtma8hShr+6iAt3oW|% z+c>^-EA;;S_nS7-2o81YKwe8Og68ltq%)mbgP7)#&IPwe(~`N$BW(;(AzTQjpm&)~ z78zAhP+KPdo?m^Wb|@1liQ4aCR*E`+?q zGncevjW~5L@PHC(X#)5Q`UWKJNIJB*@64eJYdG4x^rO&sy@AWMGFFLQF@6e~4xfl# zWancQHJl5c=(y>iXwA2j%LYPM9J_QFSw?%O3E>MWX2yul5+og!0LiAco@kbfMyhN^ z47Esd z#&vROvk}?h*omnKaBHEc?5bq;C}jCR=u>*FGJA~jDBj6;y7`q&8rp(cF{MB-X6Np> zhgQMI3?nL3DZ2Pb1g?6qZI^DZ;NaYsP$bLX%48|y@rgm33W}+Sd;$$py&he-han5Z zP|(IsA`-KYw~_-O`eCb?o6agg>o91xaG%C03W7PH!74RND*UqZYRm#sCOQKL{t+42 z09WX)J)1-cnU8nnrjsgX$Oo`JsQp&SH~~V%2re*xEIdReiOqqVRo(rtJm5hPjTBId zqep2i&*!wl?o;SW4X2j0&6N(LKE!D38zOmdFEFn2+hp|HP(gKdks?y&Lh98(6m3@x zCAFgpISuQ*RV@ohcmj@1hg6tzsAz1GLF8~uluSz)K9668?Ad@E7z2%fMZs%bu?4x( zR+Vkn8GHm=+n~bVI&^v#Y^w}z8Il2QJe&GpjzNF`&za3$9Kjh5y~v_#ua3K-lhkC% zu*4+~Z$iq@Fa;!)IV5$Y&ChQ!mqB#>!KK8iRJmUpT3t;(fsB7)DCKp}t7=eiQ1{qp zsUYVEgNjw#!UL^PuXh!DILu;p9U7l4^;xO|#U`J1=kX)AdwPNHx-DXE0G*Na(kcB9 zj8E%tHF~OURZ_OtyBoGkHI~a7*@n;azDiK`aEMUsTDpcJRgqmqus}e9 z?)d@g{1iP446*J_-D+#rEe2>@8K@tIEx;>d8DZP)ZDP)s)E#h)|FEmW9u0_vu8|Vp z%QUnope}}M6sb(cMkh(bUQ*QZ{-~<$iZ^uQiA5nws`> zRe)j;^eM?MlHww$N&p7hHoz7WZ1p`592~q0WYL!x;UyylT=7Upp@V5(Mf0M#lsunRU@BADh4;B|XCgC8 z8UhflnHCWm(;HD8S24~-YQ!)1J9z9En278o>V38S7|a2asbgSQyJ&uq#*)s=x!GU` zgaDXaLxNe&t5%IzN=138BB+X0G^7RAP%|PON|E_OVeeR*tlw2v12r+Jw1uofQ$Bz5 zz0NPJcW?OMhUfHRlL4aNhBMad!RaNowvE}a7>NL)tjlWT-EhcJ(^fHbe*MlkV4d!S zUj3-6KE3MfxHK02O5t@$`6qtDe_`QX*4AYfk;>oc-p81$vDaNDzW6ap#)sg0{vLO3 zy{O!*FN$ubxKyl({t-9yAK}VGvB{$WVPUJ-b1S`_@Se&&`FR&Uzf!F1qR~Htldlud zy1H1nnK-E9VBu%Z*K>Q{{iE(XqWgw;p8LR7KD%5!iDF;!ZfA4#!Ob-nK6_W;eck0} zdp4QjJ8$2ucF})soiN(G;byOHYBzeLy74sc=yv`F&wC8(UACvQTek&0d_Q06rQjux zA@OV026)XRNTaM8!*P+lN>x*mE=|`@_ zL#n{!|qPP z;jQo6Jnt@rs=pV`t@V~2>+O)u=AN*{t)K3;?^=Bjb5_f(s`#1CO8D3O^Rs&wiyw*Q z!2kE5Ubx$R_e!-A+<&~c5w1Q`f0E<3+ubdGH)}DlCB0+mk-EG;dUs~me}-$ji@I(3 zO#MXBE!K9PzlXa9y5IX`f3Nz?&^_RY&8OXOT`k;iE#BOWJKgHWORM}^sq1`nvGW`L zYMAS=usn#%LmetE(e&6iEbauZd2e(dAlM1#u(8?=xBC*etzO-lbN;bvY4C&m)?$y# z-Q`;Sz#Uw*|J?uTxU>4)xxs+Ant8i^f4e;O=Pq?a;4KqxyN~A*BDXEy!=pIcGjnrW zw_@aX(z{z*Dl6Ta=eFPeu5*vFkj%`UzcRSVZ??ak*Vznj7N=$}-5L%aGhP_+`ZSMTi4w=ShQW7{gzMOidVTir}N05mh_Uw=Bb7YFANl06`hco3?*LNe2pnU%) z^cI}5+}hgQD&6_&<|BixqPXLZ#~&ZuoGH(pyYd3>u_-Suf)eKDR?pqI4oY?3JzHDr z+_tjWt-EnpRgd$F{aSmjoZ~$<#rfH<@;zb^FI>2CB?N3g|1})ql@bEiJ~(P1dIUGeyp8(UBEj-9VQ!uogh{P|nAhUjv~yZ`vr z!Oc_UH@)-aXCpzdcJIrtx_D~tTfh0)=XB8g_{Hnb=`9|g{PCN&c(2Xd{@Rb<+S=Z} z@YX-zJpeOLzWd!Ty?pZ~cebB->339iZh!f5yvg$;x4-(bbL{xaC+=Gh)!ZlU^(~ZSIyqbv_YSJ|HWII!yylAT-^O4K`{4;kM7>s z-Y$4J@5XR@`}A8M?GaVHerW5~O>}tI{Fh&40%m4zfBDP9ICJV<_dWL*n_MTj$pv)7wtY-tqEF94PQe!6zTZ(Y(**B|@I( zt-fh#PocC}Kk)?LZp>Z!fcNJ3%R?MrR-b(0<}E_My3E~Qe51E?p*y@ux%fx723U4x zNsr=G)g5;~_iP9|cRJ_JpX=E(9gB;bHP8HfKw4oa4quU&FO9{ehYyK%^NQ-|wuh~sr&6db3Ry4ibn z_Ho`PA;(`~FGN}OR{g*g7dnr3T~Nedd5j-FD;={(Yf>G$HH*N{p;_1 z376?%n>|8@NdMTaE#5)(wjcb?>)jaVc{P&vyy5EI&mvXwu-~hHtm!{HGIe;ISc1L>-SjOW@;DXsZ;eVvlZPeKg(U4x{l$gc$8(#%3tDf2PUHO&SN!erG>{;*LV_y z*UikkA??M*UqFgc&*;5SJovWu#P<~8uAfDXCri18Q&?V7B94nI0VnYA%cWaely2ps z9$YDLTpVI7T)Vfhxd_1x_#M@6cyvn>aK2!R8n8yxH*O5!bJfjTOrQJn_YO8Sjof99 zwZ-h9w~16y3?3hB3a!T^v((h=DJVPcvAcukxQxpq(2GlVYAY;e?^%wwu+4Q>u3z7d zGcymCGIn-$bMt20#@I)De!#7Y)z*#SV8*>;ruSK`^}K{}7pdwSZwt4?%@c>$vCv(b zt5JoYRQ*J_p~{sXzHvjk3>aAvGAZA_v4;ZR)r5@ZUOILaq zBR{dYXb)<*M>e+blXC$-lsxvz!$aVmtGjmUbf&~Dk!LEu>E_K4{^?g4Xl9Z33&1k- zc7$BX)1Y2ux#~jWYULzW&lV2Dy*`^Ui^cfs)_PVgm<21mwp)g3FGLBhT2X_HEKy{mF= zl^BhT!Yz2)sO|^!l|>!n5Gv0~{ZS+@>*0+sgp2rdBt5l+XsMo~-U>MWo%WYSvfGfXhl=PsAFJ zJWNHWxc0QC#>sCzjIhlnU0ksTm4)j}9kwASb>>d7jdC)e*ke8*do<+-TJ?>BpDF8E zZM&8G#=`A!-0ZsNgysrPDS{I_#?W+&XJVvYhD~X>u((^hP(tY1gW_N<(Qw?m0UC+# zpU6sd!O`iaJ`uf6f>g1*n&Z0>5_T~`Jla>qJxO&rv*O#>-;v|rt|}~*)R5y<0*n?Y z1cR0s^$e83%)zg{(#d-xggqSrTD57Bn6H$OjzSfR zrGZ!B_agZmKW#(dypF0VlMZf%=O^nJAy~>()oZ}YxhlD{;yPMDrYsFCT~Ba7`_Ih^ z*-p|k9)e}Zt)|un+Jxb?Agw^8U$}cd(ot@98J7zb15rud^86_3D!~^;NSBn0^J{AZ zH9gNr2~0ktP<-scq`DV#Aeu<$Dl6zUU7RBt(Y5AvRO(2?(gp9SR&3dIq{RP$UX!KL zsjm3cG$Hy;kl}4SPNAK35Ss!-7ojxhsu^6uLpS5%ws)yn3MJ?}i^MbM9t}4AoM44L zS;Fty4M3>$Iw6(SO%D<`J*|iE7rz1}+NMCoUY$iLB{U&v%PM%VFVIFUpIpgB1Z&&U zftdGdXKBL9nuRg3ICQPakD7D?Tuf@}$e~)|jL2ZN3!tBsN^JOOpwe7O&`BrSvobkC zF9!_@4e8c}TvC%RXnM{MXlTJvQSk=Wp(@^opuzMGEv0&31&gMXK+eF^?9qE6LIs6u zgi1W>5X6j=;!xI~*P}T9 zOYQXTm=|8?+8b$pb;z?4_9#wKJj0_n5otY=6YaqjQ0%QZH^0LBY(V+SSLXRWh@}f( z`k!6>DIRC)-^ z+9@pIAsRz@kqeWb0_7j^Tni{a`OE?j8QH@{LizXd!$q&0>--96ue`!jA)q|}`_6p| zlowy9)5AqCa&cXc;=HIA*6=vcGatbs9uIn2e&G?N{3woV9>w8V8&FAj6PI@ee`~2sYIxn!D z%$(xa$Uv#)oU4Q~PXIE?nR!NedV!-qwh=6vpZAjHXXJ)9LS>iSOQeR}95{z5jEhO# z?i>!`=#b%9SLW;PGdtYTF(1Oy=rwfzu^WDt?i->LTi~nz1r9*4 zO+{PF6~CX5+hIQ)HAtXNkyzZO7vxR4$*fSM9w_E7G zL{Nin-h;8jzNP=3bT=Y&#XLl!Xq}dJqg?G)&9QNQ1i9^Mfvt-QN>`bAkSa{%FyoP%38_W1I1coC*@==^E(&$sCtH(@!xn+hdr!5`i8 z5a!_QoN??t%PPJXx{u{9LXqq5&aGB_ZOF?xs(%~v);LJu3W0ylIsXMd?y)jNA?ZE@ zKX7;bl-qoY8`DBb-=eVhzDBo>XM)(AIfcT7O3JKJ^CIixaXe~O3(^z9EDgT#)c`o& zzd*6-$w)7BD!y`I-lL8#mjfi0BYfapI@)z!&-HNvWyW_l9BMO4ETRkiF&J}_lWy2w$-@#NfL z{p)wRB7VkSW7aEfW(o3mfkrE3@NSv+MpO=v!b|A;bO<(}!qKLMaNJv;h+Nlrhl&BXiCl;z8DM#eZ^k>vR<#ji^6?FyqisoX@+p_a036+JKu zh(Qff`Itr@2CCZPb>W6J8wv`QK7Utycj$8?JrtadAKv0FG4+fY{B_AV=dm$FQ+lZv zymx=Z=HNU%p)A<0#Dg$pg((4hy6!5Z=!$Ba!&0w)CMeRU`;>ZWe+RNadkkK7Gf*RE z>QL6`W2`w&ePL%%onl&IvS52_Zc+GLt=EgVPMza65QqT1RLO6{fCdgFJ%BLVYQQ)s z8*Lx2nmR~bJ{8PFlvi<1D5y}%j6k0+ds?luk(Sj8ov5F0Uoo+g`78r;j-D+ciI^+A z;x#amcB@_|$ye2*2@p1p_G}IG*eCF`!mdOkNE_souB7mu4fRLQa!yig@>K*>4+j&} zO$CJWDAX%1*#<^)MkWNW4YH_AFSd%lqbhY$<_X3D&5qwF0E8a+^X04;2dNjbLBZ2{ zKqO!_Jnl&nEa#XKAn;^?TNgg*d5j;Tx_BeKyX&cp>!srYHw)N?x=O*YsRT9+=4+c~ z0e5t68+f{~LEDX(#Hv!)Qi}I>9OnjQLETFcN7hQAtJmnDa4l;oZ(2f;zNxWE*}esu0v-i6~geW=}sSBpoIR`;R&QV&%&U4dJF zevyp?PZzP!ty-M(m3)gV3mJCk2B(ADaO)ttBCzqV=z4DF)-rF!aUQ|i-Ct}B$`5KS zDI8glUDUugb!CRnq4+7UPW1sZO@dekh8^4W`oh39Hn_iE&U$Q_B6Ou{1N zRls;G1!Z(-;N37{@ektou<6THc2K&ai!RG7@hrhu;aTzdwIXoQqM!>Y?2^XaYAv`P z6?z{fD);D1Yby3}Pe|eCIxFkW-BX6;umc6jJ-517gnKY!5SFWZ+|Am3sYZ@xYk>4_ zYp}~z(!#$)yq23~aHLK5!8=3TIn9yj$nC ziy_NX>4tsn?^Xk_)9BA1KyzOc*+hG!&{Idhf0c7@xGa6ynZ`cfYlwmV?^o zB*$5&*U(1q%JFKk&6=BKBb2=~3DPrIcl1=TnG{{A!ZBEIg`%4t{4q1f9 zQ(6*1k*QQD^Uh4P3JW^rI<%O3Md+(9QV~@tM&+I5fYE+iWOc$6RHz+Q3|MC3nrhB{ zaWm<8`d?^M3{0YAOstV&5-PFUL{;h<@AfhRtGLxkTr3M3ha@K5>0He*OU#_}e3S{U zh-uqlK8kJJuC68(byPJ(uVPUGQG&~=ZBQFR7Oj~-&JU|kYe3kL0}nf@dXjQGAJQQMyi$CeB9e@oH=S*(4$C3XDE!0giL7mR$L9*T8WWEqLQj9|<={8F3k-+0qK+zafwVC7;q^)hrR_b)Pw0#Ru2(w_B-!;FS zQn?5gA9H6xuRz&vu>Y5>47^>tUW8VZ3)$RM2&}Q6qe;{(7GTpFsU^+>v}|I|-$&{B zZ5I{1|v2Ztxho|1z zqe_fp3^rB0Qsdx-^R9r*oqmo35Y=Ya@d%#)WkHb>OLO^Yx(mnIdeM1ay>T}2gBm4A zVs@{6CRkfDSI%_I#pW$#Y?7x5BIzM*yUyHBt7P^lNIJAuJ>Y9pR61h>5k;clV=H2G z{xdchN|Gc=iUY}oJq>sjPsD&g=+bik?*DFMce$ymU`~4r6}Tqg2wA zN9{S84*dCk@WH3U;84J7@(jvOgX0r5ec5}M-9X?ap4?99nFqPSl9+Ag*v@;@?FQd< z#U2a`IC_)HI){MuI!;-1&SObgMs{xzV__=5DueeX&siaJ_otCYet*}P-jkAX zKj%o)j}0NVz=!nre!z-g9=GaP4c&dFQH)hBmQ#;b5z;_eN};Jx+Mvk=*%3bVW^IBw zY-DNyfu#6OY1BnK^2sp7Y?CreJ2PQi1~$WrCOi^Hc!VINb+ZGIK%?+vZDM0>>#780 zUK#0XQ}fnLd8>=jVQ#@@$ud@r$&M)Nw-w!`_<^BuOR z1??nyI#8oFo^;m7wFi+_CT(D?HID?kIA9mYy0WS^gGp-AJhMcysC|JF<$jjd> zX)dM?>m{?+PBuM4kkx3*&hY^`tM}}OTsTYRu z*b!Cgj!&V>oHL6Oh1^0Zp|mvENQN@frR}XsSu}~lToI6_3w*xi<%#shqP-D4&<{ea zDu2;@5_#xw-;cqu&+WrDa+zrg#q%NOSdCiRlvp8y7z_0TWweM1?idTpY6fK~C3{od zV@aDjrHL!h14PerzFUF6OaSA0_Fd$D);r^vTCCh8RWHahz4uFcfdK zlu62WRygapN7enQ_RVsWwSl1;(`6+>a9$Y)L1Y8fEP3UdW?YiJDnk6)&!`9~#<>MX z4oazwdi!EOxg~ccz0bJCnQL6oZU&??h`~_I3k{SsTITzLezSFo&5}h(Cx#sHAyK7Z zHH$7jwNqYE5ND@B*)U3}9G{0^aTdoMn?~jVRZyZggN@td5=tL2PrECceg`aFeT^>b zB63cvZj}uOl#CH=Bz{5a^ke-&phdDVoFGfSwt&X}L@F!y-qxbve*5F2-pV!zFG3qH zYOEFO>Eh40BRm}?Ga1oZ0hbcXJEtgV!wd~Em&J19ch6mjZK}CLF2vM4tx&g=bdfo- z$ooVk-^NKHxB3kghk$}Etn%fCb3=7Vk=pH;(l9i>QH^~;i&A}qHJSY^StP$`o@`(nx=!DFiACxBce+5q2Lr2~=kXD37_MDlJqOa9O9c_z z>BcnNfH<=Fi^5;qiEuEc*Q4XR$AAL>b5&; zgOKR*T9Xn=({iD$RePQ~%4lji5RKGkG+SLt_@mEVhB6UKz|dLxMfd4emmqEp%_^~~?vWbmAtGf_P_rDz4OK@O>Hl2)BbD3M{* zw`Aw2>M2$^ic@8OI&Bf}MJ`>il1f61#E2bcsyC(9B6u2g==mF32}nLFPYI3{@kBMf zU3IXIK;HA}Q77-Ixn|_CEQ}$Kk&~;C^v(sFmcqMrFVDCcZ4g1^oN~P^s)lkz8OG^_ zwS+jPLOITn?MdB}*ro`)h9Ma{!gq)_2vO9r{+PgwKF%oe=VTS}wf{ccnZW+^*H^xiBs*(-BJhE670H)BvL`E)2L&3xdQWxu}M zSzGUWJuw-Ol!jb7+Dr#eLEeEz;tPF4AX_oJwAnX_DJz0pPR`lUdSTa8^de`T{7mjh zE*uTRII(>pEy(*P=2L>Y0EzGXRbZUR-AZs!N-4nlXJS)X7aA z{VoN}mg$1pI(~O$zlcUEqAso+Jj-YeBRDS z*{nFLMCIKE#g2K7LeU!5pme@#66I)J?&N-+ab1LxEJ7EdT%AT2p;RM_GC1+XgHKpRzBuGI(c$Pz~iBp4F zjfWcQ#h6i2s7j{6l7#At*|hI%6p_dzN~4QVqRAXYNit2I#%oaGp}M5;y$VZ7JIOQ} zO`@>d%@#@Pqbzb}V`EVWz?u4uF0TBmA9Jjd>tPSjL3H4G$UR>H(AvPg7?Umg&IBnCVgqB?zS! z%2jl^+Ujxug)bQ!6xY{L4=Csq4nsLw7f>#D2Fc<5(_vkHISR#e;nrw>m@W+pE(y|w zkFFz3TJ-;XO?$pHd741g)1O*XCjpFqk|3`R;ANHE_}t*=tHt!!P);s+-^TAU9U!xYiUk!bzDd z;;`6`Iq-gR9WBj-&4%(RlGqc9<*Fwze3B57(*&h4$(no&Jq^{2XoxLJf)Pq~vuZ+V z+9RA+XDSI&!c~WR2)G54oACRBuqecT(nY@Ky=fzeqiYP=*T{VEenQb)=&Llfb^Yh$ zBzgCXdN9FMqf9j`B%bv?5y_ln%m&d)(y~}5=+mG1Nm>h1q>0q{x*a!-&mFhw(Wa9n zC7Aw4wKP2eplLRojFs6WpHr@?z;RfY+-!PTq(WBLcuFWqvYA2k%V{cLPoff( z+x7#9l9E7Li)a8Xe-Q`aathS|2D?1D3I~E@c#Zs#rLpCOh7bou+YSgcsL~ydlpX6} zuq$^o-j#l-FV!s0#+Z+L7=ySNleMlVU6TEp=qv@gh08r6gSK zTmzq;78Vv6sbp)i`6}SXR^XV5#*`A2xLqi;zULPX?R)5+<4D69wVkJa1BovMVP zc-N7Hv?8;linVBGQic^us^+4hi>+C363uLG#3xb45Ly^jv{aD?RvMfhg81Zpwakra zGZ6WhBnVl6hb0$;(Y7Focycn0zxan@%t4QaNn6WP^<dKm(ZD{#n5W0 z)o`k8q(?!JdO6wDr3WF%S0+f0O5vtjVpZPg4@`rk3XFjTeqK0%41a5J*73KN4qDR$ ztE9Eq^pOa_fI>ZRJPHbE8bwN+oI3yIhmx+?o!o7C5^OX-8OAu0=h|GyBk9kU9CSuG z%M+fAI0V_`vl7(PepzC6Oqm6;pve1BBqHgAAh6{APIb9AU-jAL;IqEGY<{O756WYQ z>2ipAnl>9#Ao6nZH-1T#oDdiPAlM+X^l7Xo^$s{O(zh?M;k{mr${tmSyO@rY6c&GN$Sh%N@khP1}Zk6U}HK| zMj(Am##*YGe3%ZU z=}$Efr%0y!mw(rsYg#5vQ>-S}PwDt}FtfISNvnftlvRVTQB$6mwuhy|=DT6FvN4wO zKw|W4jB3_xT6KDTUYgwtyf|C~2YQJ4^hd-`=Xiu97(zZ%Rl{}>w!vu8vVKvIOH$wu z|0nbu1&7#Mg^!aR?@Q)MQle_vM~B|pG3pA5l8RJq{MD4!y zm8z-K=Y(X71pMaXAZBxRKPyH#O){>x|Isn5$z{hd9NQ&3bMPO_mpjc>V;Q1KTq5Jr zgFcE^l8jZ6|3sJ~A<+ON@r0rUUNxJAlVMDBENgX1G#%nho4)eow4AS*?=?=_n9q~P z6`>@YZhpu2mM}KCDz(U`(JaZ1^5n8UN8kBVYUFr)mAs#vHVuB`UXI?anqzfDp2}q-_B3ORJRSvfPosWAP6IJ;kGpV=_XORksM{7ohsThpk?R9A*jE%ZXr zVTh6))CA=9X^7TyOqMhVsnEze1CYb{v-}v@iLSf$CSuZC(~3-J!;usD#<5-$6#_GKtZ_EbG@L~YAf0GT)bwHAD9$C*3~M&{PNJ`vAZK|df)hO7Gxh~iz8lT*;5gx`&wi<(FPVujSCXUg;!vg7x&o8j5| z{e4HZQ-~#tlhIa}`Hh8H)SCNf4XU9uev_a!YQwGK)jQC7A!DS18(HDQT z(jqPYAg6%O+rV@#V?diMxfq0mqJUSu|C${CrT;c*sPIs-T;}F>(|bahgiU@7$E*`k zdbSR-06vH}8d+mEfSNP@^e+H|u|^BG4=K%lT4x#ilfe%Bo*drHE05Fnr+&&eG)P622I@0%q zp%3p)@nwC6M5dEXji9z7)6_d$x}gfi#Iw6p7ll%*)g*=tJqC0PE5Y~Vb>73LphPyv zO+p~oxetVCQZTQl6~`=+8VN;9{nV-RmVc);HJhB;dA4 z-4>Nk!|>DCEUIOnNsx?`iPA~;ny}iibF~ErvEbNE1`BlJofh>IlhzpKsjnEfSPC?? zbk+?(`qQ|KVR5?!J5n^j;G?RhLJgWzrGNGd zhq7fS9NKpXQ65SGI_k{!Cjy#{rO8&?A(Vt;rO}Pnd3&Qa6HC>6aAcOs zR8?d#Cg*Y-P17^}q0gE~_CUJa6GBn}XKBrm(?X(*107Wts{&gkF|Mqy%o>6_N^l>& z%fn}F8Z%n7ea)6_e?@$mcO*h>pEbuf8-)GfY713I;Bxmkis};t+TvBj+lP9d28oLl zMAA1!!6Y?%#4HZOd3;VtFvFXtybm)d_7R>Th7%CfZ6^GOzn*m6$B&A~d14>8L72r8 z9XgQEE>S%b3C+{!6V&Xo30iJ&J5ttnuTfr8CUHJ3R#r!PDNSiwRw5UzW5=X(b&O*8mgVusKvdOPbk&#V^=P+r z3Cj53D2mo`q8yWQOxxioGA2op#0~Bk;kL`zKYnj+w}!U;uWcUk+>NBEjV=ctqSPTI zc}<@Q5X{nXjf_-k`ck!RHe>@(!*DVh1%iEi-jmx2OLE~^F1O?UpvK9mk61|CN!g%(dGj)t3+BURb9djmsM=o$H1#lduY%{j1gQj{uIT*)+k;zCwSEp93{pg;bd`{apT)tqJzV>8mz$KaGD5|=v~gwehN>HS8A#WGtrLDac|4mOgjY8)M4e((oK&^{`AXD zvn|bcjrJ||q{_I*kK>hcXlTW8d~ILL*;WLg#zD7BC_CFWna1&Qe=l|Mdf5hu8j8>& zieE!ADfnBQWRFc{1AQ{wKOOyyd1lToPmWCa$uLK9NRx4p?DC}Gv}%sHvjm0yb8BL7 z3vm1_Uz;gpD$`SE_IQb{6G>bymr7Z!38cdsyTp!muMzl2RFHpN0o51ttf(3X*ai*K&!o*V8lglIg3bO@Y{N8^=|e-4py; z)Jc+~(ryK!O_Ms`0~e~9)uwQgvLH#+DPWRq!fBEqIcY&3-L#sI!ju1{s?EbeTMcIn z1y1=9enqNvBxwzk#rL7ekYtPr8e-zUJbyV{<}8YxDaSI&ZGB+++HyF`7uShNmvtl` z()g*6ypA$K-KWjrc(8Rk?|jM>l%JXiNoCVKTy~Nw3H_U-HOyez=6EQI2uoB{ldh8( z5*G?WNjMR5TU5S8N~tN$_))_;O${m2sH2M7dK5=qmjdZ|Zb($*1?Y`_26AL(%Ihcy zG4>37GlZoERJJ0ZpiGm%`H%iuHqdOAklC2ZWWW?iW~eMwBF}9rb><@%p_)piR331B z8>{r^Sx`+VOdwJTB7*>vNDUQUOPV|z8B0VkgP40BbiLfaOsEsy9dfyyik#jL`#}=a z!91y-Lq3fU3X_03RyingIOUZG5RDY`Y~~*>cYvBaC3$sO#@QwZ;i}5n=I>N% zJa*99?E3_e{3ZlF31!PocD5CUYk(Rtr$&48exnRP$<6$r_2oWcsaPdE<#z2}`2tGL zYcP&0VM0I<7UHVfvL!&KFHD6ZZ+!IANT8T0EFW1t=QO8D$;IlY65a429z z(*JR(g|rG8Zf|MAF$_c4hotz1K5kKJvchu$H6KubMLGq3zttt!KGFb>%ivcx(ajDy zF$Sr^Y%&*8B_!(cIWSv1=W0qOZO!pUQ6~Stwlu+SJ~khjbEIglP}RREV+lPp=z&o) zw>VqFN79oZDTbuCVX3s4n4j-g{M@J0?v=2V5Mi4t1ZtoWG{6ao4JYZq{7D-M!O%#S zg>V9Zy3H@Y^ggq5%=fBhwNq95 zUw&65o5DALogR-4va7&VS`v_BWmZY_TJvO$%rnIiyU>bM(U zkZPu3cCrd-Z&{`tfpCC4Vwbo*EhcwKosmjvn+Ff5sZmK|C|NN*!juOytyNE;c`9;e zl1-{Z8Z#^H;yH-tQklc=O330X>is@SP7|z}C-b1Bs_^_}IQu;tzHgDPeJepMzvHs$k}c*I-3%O%335Ay&E9m5mqlmor?KSY zG%h-t%E}@T7*CE@gpjQJ7(}6DB%H3h_(mQ!C#7tRn!rqbGpALa ziq0r3(0d%sv()#c3m(_nfycB?9HYyqOVgyy1PY%dL$11gi25m#botwl!e_4byNLtZ|Z+^4kGK4VhrbTNKcY)po9pj3|5#2WgnbN*+Gzi=A*^)%m`(R zOb4LT1`v)E9Pg0KMV{;)w@O|c=b5IS{FGdkg;nU&EH>FP3JsbS&vhe+-F%Kz^14d8 z(nmNPQku!x>yy$MB=NV6QAK{LICBM#b%l7R9g;5i%>JOAl+XYf0kldmbxa1!4711o zNeHPQws~G(n@u(w)q&wgJJO0n&?S)nQZE(~@GQ@|CM<=sPFAI!{`Vs#Hw#HAmn!6W zA*4?hmcxu<+?F9||B}h#BES{MbiJpqKjY2Lk`IG$PfiJi9YQ~$1Vm(5=xY`M)+i?? z8|x!CG9Oyvr>wT=+UR{EkQgMZe?cgz)D0Oehfb&#zA=xoB;E?CL(JeM?t~(O zI?V9`982R0BsGMlKfOa)`bkQz&Ict{oz7#(2HdNmZFC(I>9}5+;Cmb&#xyyyiozYY z@^3W#7UpuDO+YgVP4Dp~c1;+Z8thhe=a!i-O{t-x%9QQL+>es_>~Jtu7x< zBpX^ttwy<K zrD-CX`H7Mu=42Ry0K>`*V^YZ}M63QX1;^VTgiK34%8@-B1x`DfOgIv?M6nOW5K<-^ z5~!KR7k}^uj^%MrPzPZBV23$-`M zYV4W0S}?B{67`cjatQZr0-1}cRtZ2j@8wDZ&hF!7AjZ=4C|GgtSIx!pRAa1k&E7YX zls`U6v_(j)YO+Gue^O9%)y!VYQp-p=v++!klnK_>8N-)&p29{{Wm7i{p&o%MI}v=X7q$`GLGckpA_gNMbp0;YKx@L zRB4>A70R-9+M^QliDMnW%7kxU5IJ zlk1W$eY{8T%KKxA99@;e1Ma6_BM~1BHUVu3WE@zAqlL$NIzPtCSsG`_S7>W`ro7R8 zT;#BN_OfPgJf$UuB|^!(rU}4@DOY7O?UScd-qsPgiHq4}%;&i^2?D2ujMpYNB5 zZVINV@;0?7P*Ocp^c_grcEk&cEHpUPTlri`h9GK27=&utMc{CWra*#v?+11Xb{NxVaHO>TkP^xPbq+%@8~nSiONyGga)0u-DSoKjAw-FZoN}urF1u!6 z>L_bGW)3^3C5yqniNQS$eyH54kKn?4wkdz{6IyH*MYau8-Qa= zMnzcs%Cwm%`k7FXa6Ty<3($1(j^6*qoF#8Jp3nN`uxnV4DRpHKO7{v4(kPVygyX} zg-Jt5C}cu0tqA(G>`Vh=5(}R+kC}-{X$yVR+-lPxY3?M^Iv*jU=wg8mBm`o1rV3Tu zb-?*~>Mat)NCL%#g+21H{#IsU}equ5= z2Pxm@V-asHO#+hv#xy9h!c(<^Cv5n%!l^&Ejysho|3PmFAV0b zNta3N5ENTv(r!X>6EZClJvm7QDUlVi3Uw|P=rw;V$QdC3h1<2Z%YmAJDU!qy11H0% z*%GG!5Q{nCLw}yygrbeAhSI8Uts^tJ+;Ec7sfx#RN#632yT*Mrq8f7cSc@TIa=TD; z0%gtuQ2&-SRW&W`Q6MT*r!k4$Mfo&Hib<%8v}DWYBsfL z(ORS=z~x*Jq)hWkRZ|fCkAl^(?a+j(T%L@Y5K5qvC^*~J8p{ns6#liE^ISK-+~3Fb z`Q#pt_sw-d-AAc2qMLuwTfwbWONL3ee)`ay0wj6kAM&HlBvfqT!>SF@$`dmam6h4j zRvPoO>(LCV<*9NI)L0YQa9R$%uuR8Ca=y7qSP4)(!fR8jMPpDdxh^a|eR&%s8u;kI zL>0WqT<~{dY841s2x&rJN0K6pbUG2q)xSB(lUz4x_$0?+t&zD&vT2*GHZ93Jmdi|~ zT%?9_F^=RjT}u`J^1MkTscd6vj1b^UAZf-ET$)f8nu;G6CK2u`EXMotyfu`cn9T8M zIcG^v`T(I*D2ocf!-*g;p!cVK$COP9L(00+Hhq&HPQZf6_;QwIZ~=OzH%yqH%yV)T zn%ci9K8}45MM|(&RiNsmAf;4-F+LS74&2(x^cho&ACJd5eI7v5L5QLh6^MCqt-XIol6=$gZ^(VG zU-(VOzkc7nA<3_glec zR=ywx2x&Yw!b#a*`G+TQyxISC@xa$7;=eAc{{IW~>+SfH){b#+9>uF~%nwhmWr;YP zSJ#C5hl3wVJ?escy3T#5$l=7_|Ej3GER!x1%B6k#OL2@$+Jv?V@3crlXAvw|={f;3l@OdEmUevn@j z!w5!y5-9Ntuy|1b;U!4&J9T@gr9{V0KD_?YHFIxOojP^SsZ*y;)vbGL=C5B&TBF{1 z{rr>7J^qs8ov76`{xYv`J5#m?g#T|33Rms>_(k}auYrY+ z|Cg`x%yFUkh2rO=fjO~VSo}iqbJD<^*e)!7q4+szU`}io7QayZoHQ^ewhN12D1J^F zm=oKD#V-^;Ck@Pr?ZV<0il37P=EQbk@e9SzNdt3YyRi6$;^(A+Ik8<>{6g__(!iY9 zE-Zeb_&I4{PHYzzzfk;~G%zQ&3yWVUeoh*g6WfKwFBCr~4a|w{!r~W-pOXgW#CBov z3&qb#19M`#u=s`I=cIu-v0YgFLh*Cbz?|4FEPkQ*IcZ={Y!?>4Q2d-UFekPPi(e>y zP8ygK+l9q16h9{o%!%#7;ungalLqF*c46@g#m`9tb7H%&_=V!Th2rO=fjO~VSo}iqbJD<^*e)!7q4+szU`}io7QayZoHQ^ewhN12 zD1J^Fm=oKD#V-^;Ck@Pr?ZV<0il37P=EQbk@e9SzNdt3YyRi6$;^(A+Ik8<>{6g__ z(!iY9E-Zeb_&I4{PHYzzzfk;~G%zQ&3yWVUeoh*g6WfKwFBCr~4a|w{!r~W-pOXgW z#CBov3&qb#19M`#u=s`I=cIu-v0YgFLh*Cbz?|4FEPkQ*IcZ={Y!?>4Q2d-UFekPP zi(e>yP8ygK+l9q16h9{o%!%#7;ungalLqF*c46@g#m`9tb7H%&_=V!Th2rO=fjO~VSo}iqbJD<^*e)!7q4+szU`}io7QayZoHQ^e zwhN12D1J^Fm=oKD#m`ak8&b-Ez^$fRkdK!t){*WhHu9ABpj^&<3$i#mpens6k*nqzNSVTjO@r!|RRBa?}E14_pPhIdBF$zWv4Al_K`60|y$61;dB^k%4fToOe#g&fsl zPvAo=6t*BjAeDJgOmbBa)fW=SAe9P4%VcQfiU1*uM@5b;=cCEut?KEYHgS#ovlbBo zU}`Ij4}L0%V3ftYhv7xsanpgdbt*9ImJP-LI3pQtjQ(h z6Qb@{Sju_NP@sZQpu^xw(B?d5VVQgoz!Gcgkdn0JF5mdy*os)@>=tCD%H{K zP7RGFnF26^7HTqfH>%!1b*PibtH%)H<61M|ESuGnwJ`H2jE9tK)kN$*;YwUT3PGB0 zC!UK;`$msCSyu+Ejb87teSmL?VzTf%3sxy|t;hjM>$Ey=vB@#81Ywfzcidk5FYm~h zHdI{ABRa_FtkfN2)wXE4ET`7+oIVy5T%!=|{#Lyj&+ao2RdQo<)gP6bus;T>3%7oR zbDS#R7{zZQwN;r_Q(~iHLG%5NTP@ff0FpIw36*FCnM`f5mVJBBw`a-4J0PZkJkVzR zHJ2q{CQGQ@a!~HC{Z~M0M}kgNil9w#=Z5mo_WF~urP7R2Fq5@i;ff+odruc%*e*s9 zSCXuSiiixTFG;%4kwcw8Qu;xLBF)g(&>TzXZ#i`~d>TyQ%Y}3IxevK=M1~cg3 zP-v}!Srd_2HGRWhyYz%eA9m8_`HZoX>0i)f1BD{rZ%fz>Zw^Mwp=wOz@wq`+Rab8Fr z1Ai=z$2dO*XR!ZRvl64~Cy?@JdnfRnnDMQQEih&w9Vgz3pJtMGFSurmfSxWkAUl?k zYsjf6VK|b*A|#5Eu9vd%2cx20{<=(e5us0jkHsASd^Fkrz`&6m? zmX0M}$SXp4+Nya|mQZ|NYz&PUv(@z2J0n&@9guK6-gkdg#EX&dXvh zMPKP9|BxTcPE?mfu2TUlxdzen3E5kj9LBP^S^TN3asK_wDw~D=g9jl{Jhuf%nHZe) zQY={+p4ygx&tt76Q|L_Ana4JgT>H1lc-c^TNH@f<#wuuhMEdO&`OmhTd{|H7x`SM+ zSdwN3TS7sry~2N#dglxOFr4x)wkU>|Auqk_LbFoO`=NSqP4&_qAj|&y_QprT* zf*(PAEP9its;`KTB7Z7xN|Z0sV7hAiW(OJ2!q*DI_44#BoLL`5EN60mb5m?WzdFX-(^TaDXJG*8Z&3Q&Kd}iPN2|M z%yny%Vw*g`S%sFg;TU!)NxpPIly+~BdjzvdhC*|Lac+kW#w8Y1;-_%W$g#*;mvS&h zfn}7VZsG!U)XEQ{@o)nNLP&N&Oq1&)CpbpQQBx@iuVXv8pUMDi!?2xrvn}f!*w(>2 zb@d}?(|E0K2DMshTOy2x_2{+pYBAE!V#9}4CCGu&-U&$*P!7;0=)$k-3}YD3#MVSg zUGK8?k-io1WGw5N4rQILNj5@OU|*D;XIA~`NHw<4UxQr3zAP8En-=Z2|zov8zYf*I9=3$;<6)s&^PUWS)d$!aNA zte;g@K#V{Ec7tI;k%z`8)c8g+Fe3pD_Ffu54{@y)ao}vm`cDV z1F(<)Hx!&bE{xujw;IF9tz|w|FiISq@uo3bGie;&CIzPvDfc8KYkki~x%yR`&~U5? z;cZMSbm&aKPflc*o@2XgbBQYcTBJIc)U${!fusk&^%XASLLKQ(m{X@M; zVyi6BC*)~$tGwF^4#?P~-w9j2Acryv51V2VNa$bV7oEx}9h-U3+A>kyY56D26fRt6 z4BC99uYgQG36Ln(aWn z9*$-B%F3iqfnkqP!0xTX`KVl9MG#g$wA za==j2_4WscD-tKByV#OpIi!&qHH>6Pl_QLZT|-lwT)%GA<<~(}dXCJ~c))RN!slM$ zK}~Mi#E{yI(Wm6r`FM>LOK~GIlU7psy$2#IuPFZfAWjEOuL!c`!dOSNt#=N}^0*vU zl4LV=cDoz2%{Sf{l;viq8a>QopDyX*FYzLW>lIqvQhA8|qj3zshTzzGVhT4pk(sY5 zWrNmI?<>o3om46|;TZ9DhUmwaHd0X*@mvB_p>1@56-=?XVz3kR0JKLQfl?7)GJEu* z>Q3BkBvHAI4U&$ey zPiK*2%o%A5(4+e?B|H{FGR{PNwPmDR$2fo;NPe0niB^Fk#t@IER%XSK!G;$>PGpH0 zr$ZTT7z3#!d)8>1RTQ%vpx%9MnCTf-OvtdS@E}8<5w^LQWPk%P09rDN%jRm;$p@ot z)WY>GB07+`Y{1p*d<40c$puZOh}CG*A8rd4NqTF-vOI?eel|COeu!2Env-#xA~kqX z;G;|i8_!O<5X}>!1Q6lRu*L|%P^i0F;;H4ij6zh=2xSZ7kfRI^GDdVfRi-j4sEZ*Z zF~~Gh9vNyCZIDO%-pRxeGG$lgopOl-@j$7e5*^4hGX;xokdTDXL39>zED_+-1>nV= z4Q5*tNm=Qa@|cuOL{&@`-f+GZ%d86L3Cq=HC4b@Tv-;+1%bzm;6p-{nPz7^1u*`G1R@{tpz25CuR zX_}#?7UnUinN0@SJBtF8&Q-FkE9iBu%jnE1?71>8nizGqswOx|3VW3>Vctn16UT5N zmLyw$P)jY4O8h{XyV8H5H|k9U(I@VENilK)&b+|6cTKDDVaWTQ6b_3S*cpb z8Ppl`OvDB+vf2&TU=d5vh>V5V8Bg;Nwja!%0;1YSff>n|AC?a8O4V|8$l9@_%1vAA z)WH$io4X=cjCJ*7swJ5=BE|OVEtxQe%O)#7CQ(#(G0U58-b7$5(zjCpVMAP>TAd^t zR!!pAXgHWp621UfrXdUh7*Ul{ix7l4iv$>vEMdr{T#O?_F!=B*IRR4wwvXo(CT5j? z@-qe@XWEqy+e^gE%%B)cT_I=kiv&w;8=p+LZXv9T?CB{R7=mYCWfs(O1TAxsrSS!9 z!~$m}G@B@913hbbias(C#{MHRk!?XH*Wxd9^QXs?%y;OEpOAdhCOY_WjaDy(WZ!+_)M8o7} z<&4Ys3X9f^XiJ+}@T9WYBrBEB243#dDjAca&_X@S*g9<%a?7PA%PU6nAUW*Mtf>~q zK$_vlqLBMwNXV(Qj)14M5xj}$)*Z*j2Oo1CLAEt784MvZznozCSdLFo1qJ~x0TL8U zj@+BZR&gALOhF$n1KkbFNFN|{W6p3>SJlY^@1a%`*YHbd*C<7R)rA#foZ#SMnQarR&9TFfmHWPT{)%PI-bIUzSj)3R zuP9)}lx!d=a)V$a2TGXW)pZL|`u&=M|55v=1jNlsK->^oJa#y$ub36+y@w^n&Y6h=TY z#dxu?5up(&CD`IUf?i7lK(ncWW+6s5lmyVycJ1Zalmz%m{`Ei1eV2>apCPVoAj$G8;2KtFS_bS~5{^X$$bThpgoqRJX<& zn?sbqldNq|NU>L&m0U6q+AEOw85^o6e4m9yt>%13FawhfKMBXWCJMf(&x#F z6F-sqITQUX4mnFPL5%~=IcAbKsd7o6su7@*>^c1yAt&hIqyn_{!`}wkJ9Nd z<#I$tu)`r^f--Cwha%!-3SX}Y5zuNa^B7z!6Y|JkJ5F{ilzt|gLMlq@OO-ENg#X{>UMXuu)E8rwP4RCX0v7!D&k zxRNa^9cSu?`lR@p)EJ=V3&&E95t3{~0*1cL!-?ZkvVC=l)e%{ z7MUWtX(lpMpf<^CHp>pVax=Ykc%EhcYQ)ogf|fmIP%nFpz^*~aq_k~rL8?BBkPNUT zb(_iB*jX_AuC^JcCEcXp8uQFCZ>c?PIoJ}|I|Kt+i*4H}L2r3yITvOwhGY-85$2$> zE5`F=P}uGem1O70X7;Ak(22rT?X#fEQ5vrEmjalgrJ;{Ba zOjD?uhNT+Ge9NI|O%|LSN@pJ;(cuc+{A`K}Oa?JBm{9H0Mj{7h08um=d=_FV(%8}m z#zLj-;>~Ze{So`+G9tZDy5iIYx3S7sc9&%9OexK>$yQgXU6$a%U6dze^e(^Sc?-|` z9VmQwT{ef!YPlNI7OdcT+e>5ja@28!uIY6e{y{#f>x?@x?zFGV&k7&RfpcNKtEZnT zR@m_hvJx-JXh?$?7x6ShqT|`DEOm=U{ZBo)5X&wNF)zqG>ZQ|@!`7tZqsq>%pgvO^ z;VEiX(wT~PZW!Ae2<*@Uz1MWlk9Rj-#_&5^?uujEtt>-0Y2;ieTg}Ve{_gdcVv{es z!ZQpUmYmO})On+fpAAV)nohfKGET67GD&r_l=FIs%e~Ycmj@x1yM82Rkn_f7>C#rG zA68f?f3)!9t%)CoH|d50S--^+CLHQ7Ps(v;h`GM^BP^@?on~*9y}HhFSbmRukd0Wr zG3#G&4@YTK5e1(tst?JN<;Gdjk0xNBKxKL z;z+K1Nv>}BxU$6uoY9xhHpi>7$3Xl_*enMrY9&#p%5NN@7&@VlNjZ_h6-CpeWxDO< zvr$H>&CmVcJO$tTz}oe346gC6-d2pEx%Bv@$TDup;teK(vllBB*F5o&Pwt3wjT0w! zcA|4``NPzbMq{lv9%I{$-Wql*-ron6vG->me<4Dq;oonu_fOd-fpaS#d44CNCZiUi zy87WURD7fNE8`=~wblLR_mD*zDfOf}JYbm~!t=MMN!+UL z+)gPq!|HF0ci_GD&7-3uX|%gv{lU>uXf(=OZ%k8YHZN93p!=nZH>R%>pMCVkjkN3h z+2?Ohh+AiOc5dwLGKilUSK?2W&mSEj)6!aRI-Q2bnciWd_R>=M3VGs7KO`zzPhGqL zZ|6U3n^@v+D4^ThYxS5V9QUpVpXCE~{WA9>-@MqKgLLq_GUyFT@)(TFLv_}yRF z*=e{3?*3=*9q;(P`|p4L%F&Vcr(3swpyT|u_=-%ImQKEJXJ z%VY1meKeh}_~#$H@!Ia|jmGnzym7SGFdI*E#)zqwzQ( zE*6jCmmVAMq^XmK-*@{47Vifa4qr*rrXPHAa(g#5n}Z9}TUZ_Qog!)IUU_*kZFtxH z<~NTfOTOJ6b?LU|@I0oP#Gkof-H4Ox-k6{=|H+-(OcYB?ot;r~$vK85R8F3}axuZ{ zLQrugj_CWmf!`R=je zwxIHdSbA3c%Q|iO`#NvligefNrJd)d(~mrEihp-?$*k<8S$uTv!h0)hb*ZuR^Jeia z&)0dCb9dcy`EeT;Sp3Iz2SqPmALt?pc0?@x z!i7}wK(q9TPhwpugil;fx5zg?_A#T{?Y_~C6BHkQ@YQrg+@@D3?LKtlwj_~&c6{7vfO`fuNV{Gw=#w2($eYE2QMH~IPnVy&rVTc z2#mhu{ioiWpnl@SvoB0`Qd(V&V;S=)N&62AJ<5u|Xz_jiTT!t1b7t|oKXt_6tm42q`;bQ<-x(iE*PL%R4*cv5l84bjD-X%C>#V zDQo9ORYWD1R!U-)eY`87am#D0z_9q$XP;p}vR77^sj~c8-=@`4wz^j=Zac)AHvGe9 z*#?Nb1vojyUDFJIc*tbxK5>@Gly2Mn#7@Oz>tZk&dd2OdBV;<|kSRLX@yvqAaK1gx zE~Y$Xvm|Q2pohD(pu8|S+}&mQdOG2qv3Mc)j)PYeIUOc9rqc#^hvdzMZ^S9iwcoyV z%MdTLCX5=!nB3_*)uu2{W(m(l+C~!?# zH!+>tw#GX)%nBOYSW?_^6w!)R4OkH$&az}Bj&|q(=dO><(wED1EZcfCj3eUl-q&0W zD|+k)l@)wk3>9xUR%@y7k7?c$)Q*Z)%leaV16vT<9!9 z815V$8Epq=`Ab8DMqr*aO*HmMb7Hs1e15av2{4X zcKzuqw*L!>;9nfrwm>xQv zmvaHxq7b7wQ({x4SDh$eYT zJLP0zd4kg3P@970_TKenpe|V1Td}Lj*g#N&qO39=mu6{8Ra5-1(gcF#2;OgLj3n@{ z++}Dts?`y9Mv}%Y0uL9X&bnlv7~9H(uI^i?Ap;~4`(t5RvwhJ_42k?!)&_0K*s}~y;>hUnEH;)LbV4-iOxAV1 z7!B<0Mu_>2gjOG5cSfhk@DSEDC%8p9`5aNO2Wtzbt|<2)?Ga@S8LGt+PUY=S9?YT5#Xq-oDkQf`91}AY}wL=pOH2ZJeR~6k%d8QVVv)|mRgd>^ogMj#GkjGhF((Z1 zU;NinzWC*}wed4WufaqA<}kHnWYCLiiEmfEHZo0N@6@2!yZD;Xba{bj4 z0%k>s7V%8RBVGnJj7A zZlC!4b34hXe13dJFUvnhmak7) ze|@I9wEsrCZM6^5)9ufHe&@Ng-*$D2&LGRTk3!hoL#CrperdC}Ha#kX zfx)?M*ENb_vu}1N#RrJB}Uu{QU8*SK-GP|elJ!BM+k#UJerhU$4Hzc%TqfLwZPhk zN*%X7u55qb=3mt${qs2=+yLtycjXL^1QS_hw8m8VjL2K!`?DC&=YSrTCL*7e~M_zbw_~S+e3VN_U$=$ zXZHUUvqr4l;+>WLn)Ev(&N!fZ0{-Nkv2y9#ZUxh0etTBbj4! ziQC^w9K-%^{sif-$g=brBlk-Z+r^be_eoCWME5SXFPo$1?POkHi{^y1hltf1>xa*> zJcom8s_+dc7I*Dh(75b>U7nJwykqbqY<`6Oav<*vZ%EFLMt=J=jNs^--3`7B?la95 zY{|NK&OW1E#^A#Ud2TG1_pu+yaeP#ZrJZs-b%sNH?CC#Yb2eSz8d7vdUfhRV*p~g+ zY)6?!75nsc{i!k}$JfQkN2O#RJ^Y2c(8a$nzplJ4emU;%+YHy>9hkaJ#+9|8&mjZR zC>sbOdU;<)>qT?oH)XKQ+R{Y#)2eqQyYZ#NmBBQ6HiGv@2?;r?qOo&spSK*(%YjT- z0fu!6ayg1V*^w1Sz;LuOwij12N^w#?%R4=Fr~yIjhcB7? zxgkJhyB&)-kf_kH7d|$>kcmTEs7i6&lp7gZb?0}YG-NXZv)9gi1qOJ7Yn_B_<7FhS zTYc+Cs&o&=53phF@hy&(BaadcZtBALi}aMF`h~w2db~=S)tlg_lx;>nx8QjyNRqT4 z3wt!_Ybt_=yo^{_#3*iB>`?*6uG5l%i>d;B`oWg9x&cmKX+oFRIk9=DtO)N)K!v%R$j2VH1kQQ?Q;Ra(Bmx2>*QVqYkYZIESI$5YWVYn+2jzi)eBl^gJxyhFp` znt1G(PxU%JK2iF5MWSWV(sFZ?3WV5lYYcf_>7i=gY(UETlvwF%SRoT=RYp!L_WoVE zuV%n5?>bX;DCr!g(U26t+M@o}ZpVE=OfajuS-CAc+Y&PccsyMm_%gB5;2jydqN_t< z_gbv)A%pMcAsOeJ@mVaFlAe(yW3op#(nkw*GG_z`nU*0@Q8((1=L!QzRMDU@?aRXw#7#v zvu7p)!#luQNoeF|0sYHB7^g5BXf3wg@eOoRUg|*vs-cI%iHreXLX(ON!CDE_H9)@e znT!QGMiQVO{B+*1Pg%KDV3V?LZfTxta<}kHU~y4$L`ptw5sN3=wc#Y z_;a=P?4YA9@?mBuQ6im_*Zc?t_!Z&g3at)SzRl5xfjF?7aNd+DRhrA$;&DG)AY{R4 zlj45a?o**Fv$?YQ?ZT)Z1-l22pc0)7A~9GB0Lh%l$jsFSB3aaIrpjA%4SRGkX%`JE z8VVXyA`7S%o#lS-H_}J~8)tjWw+X5)4f4PV(bLGjS&FtC)L-H`2uJNoMIT0$PoG6k zXEx#{SmRb`Bnf92evH@mI+c6W+BHfFzM43GNQ^#F)VdH_TND(sB5TghPAqXUjV6JC z-Fmqc{6Gc=ejNm<_Hh_@Q!_uY#_~^DnINc9;jz&RpO#gB{w?O^F3jNrsAOI%W1H6E zv$j^XFnp$a?Z|M%<2U^^T-Aq6HdW!ZA)As6*aDf$_IT?|#MGRrB%b2d-|pyV!&rtu z1|qNatvPYS_Rnt}{T7j*#&ANC309MKREGRu1ZA*z;TrW^in9!7j5fwgS9@{vcdU`7Kgc;cw zT}HkwwT&S@c*4apfEXWPy$F8>E>)q<=s<&*2UxSfEJn&i5l^B!G&mV&F{p${v}uWx zL-;Vnv$+}oB*P0ga((M(gIX|JSfG(Yk;!s|3E-6X=W5C|RI&pBlM{7XgMxP#Nuf*| zpO%6TPRC}b9CU1rsngs!k(x}fnry<3WKb6-i!yk|vW~DWN)9MPq;12AkxMPH4`7B& zHgPfPF;9a{P4A+1%ksd}D8K@iENi2&M*jwq-~2z=^v%9-ka1WG(f!s6T4re8B4rh9 z7GWe5PTVU4XTsKQp5zQ@xRD=&@a3^?i_cPmnCodD>)#Mo?pbKikal%8Bjly8EP_yL_^7Ud6GCD?m7Tf`XfI;X0jwV(b05KelpFvx;taR4ZiNAej=zT8Mk_N8>HDp_UZnJ#LS=j@QaJ1D#GBVkC z$qciMj!wu0R;b4|4!>Ej8N`Cxt)O~fuU_~xF43?$pc*A*vRJ*!1V$9K=r{n2q#4Uj ze)xmz8_15hu5el4^`MtA_L}1? zd51I@IKkP?IKUte6*bYwLE2&Cz{-r%RBIHt#x6Hh?c6}^T_MmHK?w2o1|N(DPsn+~ z%9TvcJReyuPYFhZ^rltGz!s6ExSSswXcmG~1aRiB5K8k}MkLwH;o6dn6~77w*ARoW zScULNQs7pFjLou^Nh0@uj{I9eNnwXs3ce`Mq*pFyadtb1X;UuwlhH&T zm=J#k?sUG%hj+<68vo{K_kz( z6Dx{eeJo?-&j^ek%}0$n7zGw8_Np9C(Mm1Few~ryLf%0QwGsfVXB12)~s&v4vSH+7r@=D_g{tI3+3d$fK=E(yGg2qHhvL zgIKrQ9ij5zc$Eqk4uMLa{uhY~jpQv!4QJ0HmQecX3s%z(Kh}jJ3KGIhC{G{(ULk2G zU9xuYB<|QnSTTsuQ4)c=#?q3JoJLEQ;eWeeu@z?;Wqmd)m{#kjFQXgaiX<~F7=Gk3 zU>yclxgs4ma>+5=@No8#SW{h_oRf{5ew}U&(l=xS zqa#BwF^Can=d*L#nfFjGc5vwa%JW!dphy)1XYJ1jng^t|vx@ci1H_)JW)M)VQ;}qo zi$KY~-TIMCY(n8l5@krH#XBGSx4@;1zC;5ASkhPk@S z8Jo6Jw}$hgL_U;w-gELf8T|f#3{&hT=vG#w$kvvvU#^yZ+-mX(6`J%T_L@hs<9gRP z0m{N$#%=^LX7zpy{nJR(R&k9=o*Q@EpbH>}c2AgiqbC%3&Xm zylH=rv(H|4JLSI&)(p5o{w~$1~zAm~HZiR-@alrd06Eh;{25gEi%wxgHpNrQ_1`hQ_P$97F857@5Ta#AtJ z(J$K_zEt9rDedblNxAhr>bMuFTpo|ir}Wo(oVH^_G>_2V^5aduL7)}b;)M-PjLD!~ ziR`M66TuC7m!h=OMtf6Ibmi;a?}jM@DN0{9Z#uv6#9`-y6CXPMjo9+Z7b|I6`X^hH zP<}(@%#hX1p)^vpKRu&gi0SX};lSYVaP=RRYExoUpSq>el+U>FugahGH_Nc=|J5W< zB;P*4E^&W^?vk5s(cUUnf-1Nd*G{p=5(la81{+?~RK0)UNj7Ljub1s1&AGOW9dzA8 z@d50kCsQYnzS@=-<%II*nhq|a4wqQgG!I25-D_b;7qH}69yX++*V6hohST*V)u7n+ ze)~=P`(-DG2hVf|FJP*!%NM*TtI;dBqK2jBdDJDuYt@J@Xg&2P>fUIE*7LymKwfrs zl$bw_L&xxGZlyHC@j>EvY*b3y4COdXRYekwe2B)n_xz2Nc6oW753abdb!BIo9Pu+_ zuo}|+-cgdq(%Q>>Z^~yCombwN9+B@>(>+n9nI5ttQlJJ@tv(My++?6FU~K za{6b?XSQ8V;7s|xdaZlJ7woiX^hQdYJXpJNG_@l+<&9~=YUBATx8U5xTZxve3I}?K z!|K3V;<~q{W92pY8ZAOL*W+*zU3>SX*WR2?W$EGfU%G|ux5D}A0N(8MR>-itx;mx> zP(v?9C5RqTtyn$yK5)NMY;RM$)mpzqL$3WcpR1fYwZ^p3@;`q+UM4OrU3_$>iqeEa zwZrEHt;ZhYBsin6`xxTLN&(&2aBcT$i;qF}f1R4R`+hPF}1G z_159*=|tG64qr=C-+cax9imxkULoE~r{sIr$2*c5jiXoX%iLDyHoEh2p>u0wXEs8Z zOwzQ~>R!BY`}OH+7!Pk8?7kktCm*{p+2s(;M?Y#uan_cnPerIK-8+3b9&wc5!I!&J zXukixX-bn;>%j+a-$L=>RC99l`jihBD#g&9d;Hw3Lp~C^rySkBf&GQAoMT?vyZ6+s zTeQ(X@PK`QzT__zSFdtX#;ra6+G~89-}t%reg6g4!mW>XIY~8LDNc89RJ*$?#m7H( z;|8Cnum0hCRU3&_LS-#EvG%QRVM>1ezN>uE6vB!3e(TK`_20$Vy4^Jti@11II=J!Z zqZK-9HjCvQOq?SGkCkGIf9$k%xoA{~m!6Zne1tiR$uiTcNQyhbB`-0jaPyfczZ3oq-P9I^k(yY&wc>n5zf#W!e!-v*QS9V6ekCY;#_e1ixtk>B~{!&@8&THPE>CN!vdjz92*u{Lhq8mpHF-ern!G?w4R z5vAyw-L=F)^ucQeUUYx_$y*u4Sc__Y9Ovgt@ zd}87G2!0yEFFg3e@5i`yT|7s%)>dgG=a5WgOG^)3%!+qwSUe-4b>Xp+kC^#X?CFI;r#v7T-W|BzU_;&oo?B?UaUpp));XeEZIY!%C7gK5_Y0nRc5? zoeq|tnqrC50^Jfpmaro@Y zM@P3(;$76%n9`-CA5gpDPQH8pWlkr#`&Q)8Z1lnVZr#Q`H&5C4NmzWT$S>|!KVlYt z_uZWihtTkX>*uUpr|!k#)p49LE1n|-SbPlfz$p%!nZ-Z)nhGws+nUg#<-5A4?FgH@ z=lS26DAbmR0k`K*-COAdg|D6X{yoeFOF!ND^}2}B~0;r-*FPt7P2RCh$v7_@)f!emGRM13GU^iQP#~L?&vr+oNwmq|t1fs+x^Q&(p0GK&-N( z24^p_$Z@UKQ(M*@2uB^~mO9un7JKiz4}qFr9T7DeCsu6mxu!TBGky#i74hlur4ob_ zC&((WaBkhOeHa04aNdN;OdE}&XNsrW9=HT`-zp0fPRv(-6Y~Jw78cL()Mr0l(S6Hz zbH-)N+_t3n0G6^3ayoU)lWXVdC?@Y8S-G^l5kLDsATAqhsFH{i3PR7e+Y!~V*y)Z+ z82E77EZ&7-$6SwdW6?2Eiy8xIH?+b&T>GR_z|zGJEOTqC6w{beN`a;q@mz-dDqNWVU+tY*Gic%buBR zmjhUxL%4C~gV(NDeCK;#WzhQvA52%wlse25)`HWgwZg~qm{C?Xo{*+=$ae9%L^AFZ zo7PgAjFX^qPn%4vM;&+OK(s<=HnrG}F*d`y@0ngTCCpJLy>#aZfKI~a8bxrYLB1Z_$m2gA~mhmPwwK5jYd?%;IV+b3z z1(q+Wx= zYkY**9rQjm2WO*@C0cds7Cyc;xL~Uq@o{u}j59&9vfPC#u4c^YzV*f%bRSH*`xc)( z8K?O8aPs7hqcp|Gm&`L1GwLe3Ud}!PltdgI9-`WM;IJ(_O*-a57_C_MwJ}Tr+hQzg zroo;0sjJkIz!Qw|_6U(^*(&uS;Gjxr-Ca{$O>yv*Nx}#al5DG6nq>Dp3r~d% z&?mqU`v}<%TzT3Cq=d&h60LBjtW3AQK0Fh=T8^h7EIx;**f4gGYa^FxWFQbz-f)|n zhUDGlmc_x7MJ#bRsAw}pEda4fgsL#?iT%Lt=3Mj$jR`=orNLL*$$W#?Kgj)%%Q(1F>;Zb_~g4BH~Wh3=&DQx*Gog zAP8L|+RiAUPpkrg$v?-=t=Syac7%Y?aiA(I%# zY>Sg?vZNrg!b8BpRF*8CGME<%HNnue2W>w8XI+9UL+}#gMAph9z`iEVj+Itq!wM=( zpx8%s1ioUasjvY-mR$#HWDQGMw%V4EHHXX^S&)t58L%!gUg(9)Ti`X@NHT@kALF&a z4jjb=Uk@m;e}o4r1Cvwkb~I5Dcy(*tGyteC^6@WIV%30{ipCbzjj~qe;P_lHnzUJZ zi>fRrv7mUmFw>`2R1>pXD(G;iBb!!}RlhW|zN)fP`ZrHaMwDXmF;bhhTgDWqmLMc9 zureGcVX^?oS&K6@tCj!<*yh@^?&-=A&}>W5V$qmPmKha5vk)zQOBNgO1gPauyBbrW zWeu9R-a3ji%M&RVgSOOU36Y82-cE&4X967Y_c_m;SzEjIYeDSz%M*WBbm;?^E`8uh zRXarU^>0+l@t2?5f8%`Lj^OO4Ya1M#Frp z9L=(%X&+fkhHEn_8;(OXP_d&p)Gl5`7Nhbavb;|IGoLXk(#!FbzZYc3f~I{A@^Fae zbFOc3O6RjI#o?J3t#&9IwJhbCCo+}XlCOVFvrJ#RnU4i=py#}^qc|_^^`FiMd(zXj zUS56z8NU0SvgdE2m!q}bO^)K+{7$d;)KeT$`bO`}f1f)f^arcF+N$RjMt{+2uCIrt z+R+p1vdY{JM@%?Yl5GK0rGNuG(pNr`%R`Tai;;-*xwlRwI4taDo}XlMFVCDCdlt81 z+-osYX3KK?UH4}+TLKGyTU3cmr&Pu^-q>PDFR48BMlH)`5Diq@+p|Z3aZE-HDhVbM zo;jjQLsoC{;SgZC8KHuCr#CFt-hRSl*)Om*)2J%E#J|lrQS!$_>~*(I3$&;lP@PBS z-e4?*vNw*vrN=sy<4NqdPB+13#UKeLOgTx~Wot!82Z_a4esF;IT4a1Qe6JTdV^6j> zCBM1Z>!qoUiQc8hjQHOWXu@%*UE?fwXNIP{L%5^n+e`KB*}oxoC!-$?U8{6Q$=i5k zIJcQYs_DNi^OG#&Pl60m*TNWP4DMh_*`2v}uK!L@mg=8FcsF!(=?brgT%^yHLuK92 z51&}kAY-OVs-7LX?8#4&ac($>RoGy4s2k$S;aD(3RhNQ9``O3};pK^za=mO0lEjp% zK?;9>c|0U4_ijmdW6QnT#xXyMb8l|>umS$>^~t!YG-abq2iSUJB&iu?Lq<1cV<1~e zu&++&GX>fwCtsSlYstPWf~y<*NSxFbMjY_!49kPq23=_7Fs{fx9+xL@m3?5IN#2Wm zx{B`aq|$x5+;>Rr{vH?0YYlEg(Fue%bO)tSCjFlLkL;p2`(G0@*vEm$o`#~S>zgkz ztZdpCQlQOskhdANHr^$@^30ZO35BwWC{g}fb^up9|4s!F^e%l9W|M?t5?7P(DTvVD z_IJK*;Z%13*uv=Y6gZ7Ei0;^mkN`KU-Bx)}d4~4VRn}*eB$+ZgCzeT2L^Dy!He$`@ z)reeyh8~)hZh{pR%++RLQ)r|$a>Yk)bb#Bx#N0#LBN^Cs470FbHhsklSb-U!IlfKS zuH8rmiUy+r{J{++q5CwDIQT7*^NFt7ps{eKNs-1Yw3PNZtfvY3hGNzxBP$_z>nsY@dS)msY*hL#XjGJ^o*Kxch9OQ<&E5_-)lyL~KIKh(lQdg<@h}Z^ zG7K9r?6;}65eC6+Qk}}HZk_*n$hjw$O1lsh7sIX-+1Nv08;EiMkwZ!6bC0q<9!i`T z9?epVWOHHACF8 zR*ckLR!zABs9{HuY)q7nbzQ-7o3f^%DD!s)Mg=uPSXrGGLs1aq?AVyCSyh*D8OE(+}a&wK+Fh^Mz;=I#|f(yCiYxIf)F8O4Hle%V8q6P zfY&xs1oTF%mLvrHY?4-D7`qzbn1u}&Km_}&I9ILvy)+j2FcDk`J|u9`423^5A0d&c ztfrYaGA3HWWq=| zWM(0MdXt=!pMAgi{OdkfGeUcE$y~uYNbX7eBoU_oMP7x5Q{EX5)V_V7NBQqA&^OzS zOf}#SH_S|pqb5{phGG9}T2xK;Oa*x&<-!(OR(!M}_61X5Hz6g6(#*foH;ULVMN;i< z?LpU^fXLLyLV>^A6STH+8*|K#nKiUx#9s3=lk`bCGdOw=uY>mGR2TrXz6op49uaHq zHRcK}cHyq)y~nAXppip(ofB)WNE-4^TluRkMX`q<9_#0C`>){S-81~Pkb%Mw`jX6OQLn%)Hl^r_~0s2APCD z6vs_C@j*doKZ_U!56m>`qb(wko=#O69|{e}`1yAf#>tW~xo1LrHOVeLyXE!Ca4X7f zI$Y(`umtOR=|z9VZ%M8DICP{6^1E=9{a}o3=%GRpFZ)tX3x6bXaf0alqr8H)C`G)- ze_BE!)tg9U@H1b^7Wp?MB4Ht&>(+Q9su+x+FmLm(f&jpK zah(bPqi1^rEEjN_l?6$f(_P+hQ=G%tC>)14Yu#1`471lHS$J4R66ihCD*pLj!6a`T zD`0EHlCdT5zqr)mIy^)aExDd0HBn$U;|NizB#yqRMUH`;)j^J)c9HCsB@N3n_Z^ai z6V)9QRE7ct^(?yTpdeyt%lWgmV}0bxRt|0=s^MzuIV>J3RuWS*!e);O=^BRwzZpQ! z7~)&OMy-87RZr{&Hp~Pyxa8`!#V7F;B#{W%kY-ZZlG!r0qQRw>EoYLGWRhfZ%7IbE zVu?V(W`-mvJn`p7>qp&30bWn2uE6UMlIaQiL^t?<$4(k3{Mx9?vcOp_TZfpVTn=%J zXP<^>Od`lnJ(UPb^xS?jD4UQPoQ*mNYQ{XtBQnj)zlEO&JrC5{8lDrYa9YOYsrsPXJ1hh03B0FMl4z$?Hv=g zKZkGR-}q(GO)JYGP&YJbZ;4~b+$)2F$6O}-Wd|i^LAgvFHto_CMjuIP*2I2}gC@a$1BxB71Zozy2Tx>=&V&rxVuw~-WvKnL% zJ+rX3>>s5ahSmN;hXLsjP-c0Ix2yjNuRz4Ja|$Xi^Y@ zDs;^07=Biz;pKolV-i+?H;hDli1NqgwR4EZL=8KTw7}{HWEDpe9tsEqoi#`*=5GS) z@t#^D+U+>>E#Wdu#jK)cOfo^i6h-%QC%8JQ?^*0hB?)Le(ibf z)x<+C21Nciw2YC3kv;Pg^w3*mAz3QfIDnJ^EYA|-|6%NXU?e;4JHOw1UET9$ws-kv zI9$!HR#Z)IbKRuYOjBOlBU-j&?0$>k_dN;L_q}P5Ayle>sc-p zo$r1#{i^C$zxvg$e)X$A@4c#5nqwqCPSUOA0dF?r5*g+^E{wua|DkqY?4${EU5Cuq zY~+~;LKZwTHe5uMHI`lD5lwJ6CUq9U(>bPST{7lBYBmy}E*c(0kiPc$0Uf3Dcp|@a zmGI(hAz|u^Pl4zxCO%PylHC=L*QFnLOXHs=AqiZp-9$eXy zX_PS7L*}_C_vs=CHWz-mEOF>?kMajbKKNdbUhN80QA~;PI zlmT8~7Z28{WB~z+HxXk|aRYb@j}vuoz-!dsR#i%3KI)}d^DC+Qa+L8f0F zrbM1Ji$OV8&b$|~N$c~$k{AI@@``t)+r?ECsj_wu?IeCeQ@1Ugrezjol~ST0Nzt#1 zi8Ou^DbcHhMWkpMl8UK<>hfg7?Iliy$^RjkBFt0?fjn?gvS9JLZd-z9%eFqz#tD0h z3;OfHsycyZ&J-+@TDa{C!E!uh3mY;r8lVb20q}$f;*_B)|J@K2(S_{{Y*Y@lD@PF0 zRhQaU@kF><7DZiya`p1=@QW^)kAg`qMJAOc%m;}SgftOBLmLnTiV`LSqXq7QL#pks zFF0mCiX;Ydi;20Ctk-#u7jyEyijx3~@zPAkNrsYU!AI0>pQM`K1g^^A1^cIO z$e#xMQATx;I`^CU=v5Y06Pbfsux%@RDnUrdWOm}DB2bBpqR8R#Yw!pdp=1F3Zp{U6 zUJ_w?>>>9^I|cEVRcG?|mwp$?@o@L+SKi6y1^6Em^iP0d`Sknl=G$c&v{ zin9mcu`NoDey=eiECZM6dYxZTxfB`sO*}bxQi9b%d-#b6N|EO2gzC89@B)%$sH8&Z zA$+*gAc*vV&#l}Nol32=(ZwVpZxLo7gi>A$U|rGI65;d+6A*sNEL<>1S96#t!ziU^ zy%JkP#6l3TJ}NB8OJ)S(z>|TCkW*cbkr>iJExE_^oP<6gE*5?wH2^VP_qQ{9`#w5# zeFn?#WD-B)3rPO){5%Lo+6z*JZS=OiNfh~UBev3zT%jJd2%3Dm6 z)*KJ?hC!#!_-=^>u+4=%BYk_LAN%GGBR3nYyDlG~YO<-egjp33rdscoHtVV{r!eHx zMaHRv zTfOeky1n%m_#g_^xf_p1l(C z@P@g`#*@5W3aB2UgZ;2h-~V_2G4KB&xbJ5>hUmgB}S7Kook7KWi??PK(YAhT&KF^^#o>)=4!iyy3q|GLt|}4s#l^Y7OSda| z2E$t# zU{;*@^&igR;y1L1!&(n-z5D}lS<$IDETC=m4-aB2PCWH;lI6tbl3TP{KN~DRb_OmZ z>4i_+MqHiHQz65r`Q&f>W37+>=;=>?dK6mOr_xrOR`$!k@-l13kaFvt%Phuh{lYKy zx3aLjeB%E8FvjEU-}?<3e015>heyN4{>RR2wdawg&>!Jko677{r%G4NTlpjRjZlVb zp846`-D_20Pk-c)&jL4_&kPRPtW_)zhx6O}Z0LzOra5-m`dbt#yYIg07GiV8L7bvG z_lPzmM7Q+GpF2Ff#*E?1KgZ^PYp(J9SLS<>R42a>tNqw)-e`p(I~(tvPQ%<9oL56? z%g^3>^G|rpZL9aO*0^ddee~nEZe6QZ@~1zdBVLvo9701qa$3<#(U%bFj#F}eT*cPX)+6uycwDWto?p_YiF@w3@M&$aIKkN| zY%Z!##VPan@spSTT-A4S?VTUb=Pfp9Os8xMvhxqW{o`2u>8DWq>5u;I?}m{zKDxoe zWLs*T{>&B&@$=UCjmtNUDmwQ`ttMYyK7C@Z&qkKxzwvuzxgTBg&(4QY-e|9%KQpWH zeCgy%VP3IBa)Sv)#ZIbqDX{52_URw|AW$K#lkDZloO|Y(!^kK1SvESFXAy(P@_SGJ-+xlgoB7)5cW$AVrC<4#AEW4{*6PNsP*ohA(m8yaT`BF~ zy?Fcfczn-^uY8#^cA{%z@F8oQ{P=f=QvBM_3`y)=@KkT!q4aJ9{EZ(2zRPmaW;lS6yGSjRA!LkUw9eoLx97+HX^+A(zHJ|6dw@Rq6svf+s$_ZCR3Ibdf}{) zGw)mu@iYG^if^oN-qVN-PU-g2H9z_&gLi1Oimij%;ydQ)*FkS&Uz*19H{;*W^U=+Iq;-vtx-dkH7x;0QACgF=S#P4 zSH|eoh?P35rH|0e+2XPE5yrwOi`N8dX{p$olaBqBX=8$GdJdY*X8}PDpZT1H3g0B|N+4U!? zz^KWX>aml+XP&h)q(WFLr}XWu!Jr~FF9Ab&OI!zr#I%>uZIr>3^7dXu>6sXjj8E^h z=6iIWOPzC5dI^-_$elJ_?kO*(t%G^RFlixeVJp|(zd>p?(O&$LOpYTk(xDV9IVWl% z<3kU{%BW7~Eb^DX{IZ`#D&`j+jxlCdbk)|aSpLCx>WcJkPB_npl|?oab>^Fu{kb&D zNnblH9&N}rllfj?ZyXi5 zTv8RJG$M&@=DzJK=3eIMYM@^2bGOBYo{X)&*32GxK-etvo4#)X*Vn+6nP;e`{fITe zMxX*{FRT87#Jw-`K;kk|{AvbSSjyHKv_4d}hR#`(%P~1T%o(>DPp2?kus*)BzckvD zyLKQ{>#V7TbpFOH;Sltwsa{OBq$0$fIvK)L{s=jLO>iwswXxd`V>@Z;=4Wx;FL|4 z`Sc(p%QndxsJXzzC#%8(8s6MT1zb!Vu@v^+3%{NcHAPjS1Q7=Hqe%sgJjG_(8OZ}{ zEM6ue4Urf(OR`fDP9D(664?RS=_}qAT*M@%)a2u1E!1 znuDHwfTUCx#3f4-D|I^|33CUtkeFnYbA1v}vPy0g;iP(maN&JLS#V(0CcLK!`9y)@ z*MlNinv?2-;-w%Lubdr^6k?QSy57hy>w?6+&y5H>yf7oYY8HvOz>W17*V{Z%BT*!_ z5lX9Ioq4C^^bTg8vDLldy&8_v;=+VZhIYb@7gQ(|I+a?0kF6IIc0YJFNCkP5tPK5R1cbnFANV(^3#752kkk*f>L3!S7)Z9IQ5@)xl7s!orLaCK zx(=#eN_cjn5KGUJ9II;yvfhGAIaKt5xwwR=P|E8_F2>24*&V!6C^J+RdADufILnrkcg-GS`^)wB&3~IsG*v|h`)#?te1bD%UaPbf zC!J%HxWxD(n`lzEj12R+m6ByXFYOy_f2roB*GV+hb?<*4F4u9H%W*=_=5sm?XMdl~ zG)b22ZDjef#mBx_%ka&{LKe7OM<#75x?cIdwgpvHI-zH4zglJUjAZ%z=WCfVPQ^i% zY2%womO@H((Z9&(+Ri+Z{-(3B?WO;pm`$chk{ouvt#Km3y;(L<8 zl{?SNEz38rQ=<3Fp0?ur#kJnw`R_ROgZjukn??+`wXrp5d26|?jlp&9k6LO+Uc-Rr zWgyD85lXi|Y?uBL>%xmOfhx^A905Hy_a=KxuIIH4+!%PqeN3yu(p;|`Ou#dyk%>0- zgoz`bZX-IvCdYfGE_0$ioln5U{*sFcUB51yDYcQG|LX+rhV1UZU*lINNEy;~anZw5s*YcjM?f)-0Pmt7xYHdRMg0^v`~+_D z6XBm4tYu2|NPPUMG&S-rxrF%1j_0qD@TcqNuSxK)km8?y$Fj5#k=L^2ispuZ>y&vn znE#88ZF(UXjk;*rI|REz2SoF zjcI-TXSVqaDFdnrJk$>b&kxK;ct-}eVb1gR)!nQj+nd|2e}nndTE-pw86Pyf(hI+8 zecOJmh^xUIEXLc!Zo_q3cS7dxW2k<_F4(J)EaIw*+t$rg9jsq>=GSP7Q)drY)@fQ6 zk@JEqmAJ_Qo`;Zy z4Ldw6U(qg}XRPSa=G|_t+XD^E!6s-T%<%ld|7AO?1dQkQsoL;b?sG%&W-}oReLWSq zb8C7sLVF0cx*+FOiVOx426!fR1b9~gc^AwBP-X3w^e|vNKM*O_8*_?0=E4Nwv&B>b zZyri9tRYCP!43bg&V^V~(4om{;UOZ=HmJ?Sy_p=Jh{VG6wC34^K&+O+_&l|1c9tJ4 z$HOILJyQFAgRy~+skb!ium2vQP?@Gg^xm00X*>(jauXMo?{03dt`<~<W!Y!qXL5wLg&v^sK`6q}mO!NOK?n!%4{IQ8_fd_og#$K6T` zLYY$Ns6`XVvW~*$K}U)eBl8!&6C=5>z@jzAYBR4luPSj$!mFwgE9SQ;0(+3M)t<|^ zvp&Tav5>IG6!R$-93SZ_!;4CC=$8-eal152~kQ1+h{JBPcmh7&U8TrfRjj) z5?8;1w|b{-rU@;=Xv)lj4^Q9hHqR9HS#SQc)H|_V>ff)UP_Ma#v(9=C4yhBjp)(B3 z&RO#oILs|wyvA03+39rT{w9+LHxsX&! zsv+WJ=$yV!EXaOFi0dXW`Q>+25l3lN$6D*uM zkfMnRcUxKAo&3)_Iy#naDjIS%>5-b`ttx#xAEaRH@WluTG3zYaZpN3nGok=x7`+nk zjMuK)a;hZW;CdQ=?qL<#GQzSes$@sa!03*Y3Nx6I_DIHk3a@5ExGO3r#cNY%T^+n2 zMkUxuwgft_lBL;pM=gYr3X^_9i$ceA6wqmfy0nQ0E?JKUFY3Y-mD#@S2V0UBLZ4UT zxg%>V9^j&FbgMDzXxSg4_?|N2X0&j9QE(s6=Cc#wRarz{Jc72ga>TY+pa1D~EFSb{ zDFw&mxCnU(T^AzDyCco_c%-Gb%y8CV+4}4`i9vtIi8=H)#f~%2)}T`J4D4s$R=RBT zQ5TZC&>^)BD>4|*)OVu9F6TJ%^^lbewiCXInFnUAmK}^}kN$D`gb|!D4<5KpXoCAP zn6@o@b;lR!|F%^hU0d#|j1I9_nE!0tHG$I!G$im+kw zmxtcH;(~erKIxJ0fzyLDPW!WhcO3O4KAC(Zm=yr+|@AbW!?>}M;0SKCW5Mf^ytCt zlC%H)JZ(z+>j*^#luIxnB8K|3kXR67P~A~cZXnY0;Oj|z%O{(R3z70EDp#zaYZ-b| znd&({D4z^gIVNB#89**u@HIl$NiB@FHz{WjK^TwV(YPdOV+U^nzi=h8#@l4D;KBlb z(GCpRi}Ov6!dDC+yy8yLCYtnldiQ~c=2Qw6D~bNR{1DK z0(6trSy|=-+3-b|pqN^FkvtZB^l@K0055h6(0u8G&=D3lH+WGN$yb9@={?@pSO zH63gA+Cp7NPHoO)7DbDYI3C{u{KR7DC6ou1CNcq|iLesMqI79>yGkTnGP}jy$xQjs zGmJg>5R!$+$1i0>f;$;X0CSPc=YADs1&>esF}tKZJs2g^T8oNMd5D%Ej8(Qd;=&-x zRS+^$dlboTG#fPQY-2vdXR()Rs@S&~A)h^F(L6qK+vZvq1kYwr1C?b7aO-wj2Su&t zdn!+oJ}|C)K%H=@;=0gBeu;=q3a_BH{)mr`DB#Fj12TGioBZVJmy#pFgBBx!s17WX zCyz;9j~`AR)5}suahdQz6cz@HJ>JU-&-h)TMTNw(wm^A5`+w@%;wPxD(5QU81;mpw z%yA1een&}!W9aNC9*71(lw`O;qId#oEg;apte^;G4D&3g!c|)Y(llow zQ6MgYhCiSGAx$P~{F$dhMC3ddG7oZ6l;q;U0LF<6wD|EpI{6o2?!Rkkbg!O#K5^nE zv`ZAUggtc?38f3!f=Up3vd;(tvrGVe&7XSGC~cY0UKb#`n93DVRTlq)pF`T|QHqxH zJn z6BJ5hljPPHI7C$#ZX#kfiVFil5lvN5L!uyzKuL0WNtvEjiHAj>e)956HkBO=+?M~D z8s8nb%X@@VpRXys<+CJDtRp;9>!%eROiE6tZ9MSAT@`YFtqpCET3sO`Ta*hG>$QdYl1)cu%F9^C&Db%?j zK$hIvwgY4Xg`Mq&nl=&8*g#H1A3vD#IjV{QS9Cp=%1Fwegj(3>vM2_rM!2duL10nHpOl<#eQ^QBMPj4;3cxu^v57m*9gkml zNemlr%xO%<2O_T^JF zXditF)E9M^K&(R$VI!G5=M~cwMzphngGoie()R<5q!dl3rK+{gOopxr%l`i5i;V* za35A5KpyZnJhzkPqDiDEG8avZ5^4Q(hwuW(%m+~>knN~EiPlApuEndQiv!w5N)LG| zn;d)VJ=*Owo9A?$6pvmEc0iu^MSRgF_EkL9LW-lh*^SJ%5)divCJ-{#-c)t;20*-$ zCA$xcm|DpxAd#pRle?o!`oXz*ko2fv!X~+#gbqUdDg<`lk{F0^h{-3XP%r@w6ASOx^+vPC5w@<#2MOUt zQS0hQ|F66fCQYrvk#OSVM_5=+Y|bCIZr(!%>?a~TlA|63ga-T~CQwfd$Vdy2c7$_N z{snjouyPO7!6X#CU>=phjUzI-vNkWQF8l`}k08k7NE##x9noTDOxDtL0uMz{GOy&` z;x@xZL?P;7(R0j0L>`wK6Ve6~s8^5j@Z2gY^;CflTr@neL7cx`DQQM@yr2`BvGkWk{>0yrhQS+uvT{bpa238qwa&)Cq*q zIDanS+9*2+XYFR@vu++(BNHCCS@2;$L|$nG*r1y~;F39E)b9$Y(UHV!KNPZq#=XM4j zKY6ysN{dbiPI$geopxMobfa`Vpw_2ltGia(*G24o>s9YFn~}8BAxZ47`-!&)y&jYw z#!dG7WcD@Ub+77TjQ@;47nTleVh0htsZTR?3~MOdJ=6q4G^(O zX2l<^#{E-Y%Qg%9>X_Gb9y3xSct8yyeq9r`SBoyDviWu1i}+&wxmZlh#*-1XHo;{eSXO2&_k384iMH_1Owj%V62r-qkq=qO|fXvc_|H<;19p z+;y$2@Xh>aS#kdP5d%`Ah}vqBHSC8v{e|$sjY?d4y{aO5 zT}E+W*_}iYsBLpe`cK*o7qErRx2QNo~6=cowI>_S}s^D#@CjYpLn8Tjcsx4 zp_QuIr}6#pDZkfvrO~KNOFbKns}V8tPaI=qTSYc2H*ZGVW1l#uG-jmuQ=a#Vck!?L}3(A`h%)F+Zt~={x z+sj!f<|EcCa*V@l#u9W_J@5O}Pj=&dKQmNsQ7$!LaKSAPDG6NAZ#dEV$}f2Kd~o_n zXEb7iLhFg07+--lcC;0TEe976A?MJEVg{E_ox1tG=s9EO(W_UZaq`|0#TCX7 z$Ch`~WTnxN_zv1=jlr zSn;Ot*a3Y`T5(H;dbQ=@a(ua6j6B<4j_V{Ej!!xE!T`l{F30GKQfHYI-)wF^fZ{8b z!O+{Hc#WkoDc(!*%h|;N#xTyBQoJm_JBadR*n9H8D>&QS>`!I!Ka(rm%75V({2{7s zt>qRB{1f04xe4<80zCkO-!dhcQIb9JkvW(i*3C z_0ET&w%kj-XnVP6(^`R^b} zVv_riTr9r*)RE$=fSh#GGv8>etQ;Ju6c0Yw>&fl#AtTm>x3KRS7S3~pIAXub@!h9p zbMoS|-JVqUoVf7=+DpT1BC|sZk{TwQ#TmtM&CMBDGv{lU;-qjG&1M*OMk0k>HKXzg zT9k_u)n2f=J{I4|I}3|v11T2Ia{E9cO#F$c5Tk#6j!k16zYQUaae)cA^G>&~@ z)}wNpXU_Dlif+B^_cJ?w9K};mzS~bZYm1jGOl*JUfM zbs8=v52(xOJ@|vGYTgom9Ce3IAtG@jN)rnq0vQw)qbvTbx>;3uj>=+PT{ADmZ!XVF zVH8g|%e>738ZzG{tBe|U60>RUOAw*q!2stDkU6=uTG^&Nu9bVaMZSae;K`kr3za8? z8@f2iP=y%PiYq*hd@3YnmhixAhAfW1sWzp3vNNC28(DV{Mp-Ip81dNO&H6UuGs4a8 zWafGD!q!iX%-wUd)W>^cYZ7o_-TQH5F<4tAXfqxOOZ64rV^W#YCYh=r4}E-+IcX!l zV7b|AXLyZ>-tz!J0ZI%W>|MQDNlc4CP9sBnMfv$m3a54mP_LB~pBZ|OIg@7ps5G zvYJbSF@RXgfk>6h&u&)1=vp3tNh*`F_iZGi=79)L(&1Tz;M(TPnt)SQ&H{_yt@`gm^vUg}Z0DDsf z$ZbKYq(dOM=yG7qG#%S4m}f{OVdOnjDdx%xYX>Bx=}lrKkdD)lga$Tdso0YL9;;k7Fs+T_D9PNLMeH zueU11t$*FTt!8knsQ0Ex@j(O(e8DKRO2Nf@E&@MF`4&7mh*9Br?cycedWy*yUg!yB zxHD1FZX+%h=S9VqEu5HJ_aST(*Du1)KcBcbO(yBHsQ|^wRoGgH5_7utIj*YFAU9_y z5J1t)IFHK}LOv4EB@RV%O;CFvx`RpRypE+P3mAslQyV!f zBF`EmFZq)camm3anvkxH7lI}etAJ(a7gY-Ayvbz^d0n$cFo778tGGlINT}lHi%LP{ zxC)^Ib2rdir*-MzQf_sYDI|6Y!otPT^cmn%6BY}8T7e!_jPT(MlFp7YMK=DS5|<$! zEIz@J=BO!spHOd41ci&yBA)UM1u^7<Rx|nml<} zRV@htbn(LpF6a@CuwG7*P98&3bz$Y^3#uBca2XK@l!pj%ezO4tv(ZMLYuApr^!wtnUu|wCS)xwI*?TcgwsoG( z9vgZ{{qDPqvvuBU7m3S1FM8S*^zFasr89PJR6V0apNikvToso~2N|d1?7evDQhh>C z`_kD4vdr5q-_eF1$6gj~#VPDtY{jX*WuN>bTi5)FO(UGyLU)q!)PSmO@Y{(z0K+J5 zMB6m;EYHs})ttpmYSn_-W=<{6Sb#wA%{iSt<@wObD8C$Y1BV z7Vc|N%mNRVva{S&&0Nvxn9bg0;=hTzueqT48`m|-_dZU%x7_=Exr`by${qD%>~C`0 zI-0o6O=Nk~xs-Zt&MO=+Vb+5W2Hv}@@9i~)g1lc=Eb!0CeG=IlkcW|*5O5`%{>x%6=;N)Bn)ogq!0A z?|C`D1VQsJ=y5l&o3$|4!cE94@oY5BMXYGqJG+9pTQ69!{BQGSzVMxbv)J=m(|Hw* zyQ`ch_~zc5we5!O58z1j6(*(8=bnTB7sqVU+&LqwOgK;X+a*SKR#WYSVPeHnS`O%0 z2@wtxKgq1iM8M}3{dFE%cSvPQqp`BX;@cG$CJpMk1()3RT}}qL6}9E#AMg}x!c$@w zL2`+ttN1uPD@FX{_@QOAaUDA_cPJ5d4)%sZPcQ&%nrM_1nb}9@-yl#Fee|a3AET)v zr8Ar+Ke_E)?yv%MQ`D@FEd=j1ry7}29v;>fY=L6>#ep(Kt@uzNG5m;6zXC0q>!p?2 z^crT{yuy&8ZbRlttN&!;jY-^GAU5f~x)#iO1L9*3QrJP50G&%p)(`E|OzWJw;Jg#3 zRx}^^YLbL-o@-Q89HV4ZR~JjH@s@TTrpz($n;Wdy7`GeXqCx;Jj}Zqhukgyp`a&f! z;2p>fIZ8_8SqetwEf^w{cxgq*bAYw7R$hDXTqg-e!0lXzu zAP~v0Z=;Am+V?)Go(@A{cA-gDfxF;FN;j}}cq;G0)0Sn<{*-aL1U_10X|Q`m4)Egirc}P zN2DYP7SG?z_IFtUDoUYBHW|OdbAR#UMHU$SCe}&`_yhuOCDOQVd{zdJvlyxNgPk&{ zQffNk@e#qc7~ra*duJ06#3Wl$g}MW()>OZI9_Mn}W#m$dHs;8X-K9k0&#T0YXSwi_Zd9 zM`2I}zoum!+AcZN9CAgF9f>Cva~EyW@FZZHn0~GD0k$t5k`e(IT$AY0r`1cg!cZ&@ z6bXhPQK1U3f0-=xsdufcP92M6@DTT*_Oc_dbnDhfb;75ae=W{XF+)S~F?#s{uZt$L z?4X5Z%hLdAl&&?vlT6y`oIvnV95v=e;S+_>yFM|~s3Fmqx-39-FRz+a54UE&ONI;z zmfBq5r8yLn77=BrGg;CqhfvkzWu`2mHUzRvjO8`(QYLcCak54(%ElL)WWYIno`Muj zsjpo&iBJkS!w=~>L*?`Gi>tuv4K@$srHFRH+f^AYk?Zuukz_tVBHC+Q8HL$@kU-I!)M17Bv{$KCoQz~|D z?(XvJg=5ePJC2}*Th`TjFXCeJ;JO#lkLJV%tl^KS88O;)!jccb{_f ze@X4{ZYt602E^odV0`pR8kBmnuDG+x%dzCNV}ZNM2aPsk=O|ONQ?1tDA&sid%wB)M zmdT~0OTt2W;)1fNOXvQ8Y-7WkQ&jalYT;ywE}i+f!vRY}-WxzGw(LT>XK$Uc!p^&arGbmm&zwbA^9(R?O&_W+1#wCldl_!@{Ff^#` zeC7W^-u4cKIRZ>TeaLo^Y$o02PDmN^C(SL(3;<^V{`J(udiNz2TOQDpg+(q9?L14% z^g*qmT!}8f;#jg>_e2boB|ORz4L8tM>lJd{P?WvWe^%v$(^LuVpcN5^qKZ4i06GP` zzskVFry~O^%iqM5qoKV*8^%1JUpce`@^u?3=@_(KIB=&nJQ&pa@Dx7kvrZ!f>EO4{ z^73NBQ!+aP&bN)mUyDi7r#TL0H4C{1dp5oARMctN|)d`u!B*8E!4a9hvZOLrCK#X0%?% zMpZyfXNXa-t1P7^N9CEPOwawdHEunV4G2>6$EA()mw<^cV&%JOs3t3zhD45sVCIPi z0?jU@*rYC(yeS6BU6p1e@r1}|xG@#TT;&3FTtAhDHuZ8U25_KD#2}$RQc;u_(5MEd zltss~D0!hPXQb^gbm5RcKn&a`MEhFR3#1&uod;stid-YOMs5dyj{4M;J8ib~k@=e+ zaQ9hGHAY=g0(YS8%1oq=K)MB}=7@uO?!XjQ@xUV`sIR`lW%4AKn!gBZE<5;C|BUE@ zI-(}3CB#o*(V;G(Q%A|afIJLU`0aFC!TJRc{-{>*CbqG+$X6Pm{fkL22&ZjOYHiLU zxCDrxicRy~Sf?i_=;OSSem+(?m~mo zGzGZpn!HDCFr<(!iNt$+yGiOmE`hSu-Bmw>5kc}3AsccQ!tUUy0sK@(YRo9(RIcQs zON&8)1iMlvU$~0d$^nuSUCU2o6oF4uUJ8I2`0KFhBjJa1KIQ|!^x&$gXcrSUjg~0`2n5K*=pi4ONc`;D*5u$e0cFaDjm~0o8 zxJu-c$16Gi2^q~rBe+`!@kUannV|VQa$(D3LPY8!Qun$dR|!O6(nXSovXzR!cYBVg zZC_o{0m&k>UQwsoO4<{vXlofUiCpD?O{ha$6K8r4Qr9|)H4X#E1ISQgN)c*tb1c&8 z_DZxEswJilmQ3K3lnyq2{d=VIWTwn(2sjFbJaC0r4jj220cvd2(+&E_V7tQSAyMAV zCy8wOggHJJj|%Q)NlH2b0k`i-9F-*_m*>dqnG{1j#;M8+GS|9gW;SEcFPoo*MpKELMy?O@C%P; z%;!fgVpAkPK~JE@c%Q1TN020#-HdWGw@@cSS0J)vhRofQzo%YQg4wIM1%{@e*uf&& za$=phe=ylw1XB;W@CRD4p1dSrlCOR>%?~I;;+BZ(ESs@LCECS%J&~ynU>A}!>Eh}Q z;fp*(5~WnaQDk_7O z8a$dOdy;14l1xO5@TWc`La3V?Kqx_^ytD|;WcT2?jc3^ZG9hdx$N?VU7fi2?Ef4Z8 zz$aI7w`!3of4+!Q(bFu1a9zZ@q5|RFBoANmf}BQgepfVQJ)G`Z9v0Dp2huDI7dypRc>&bV8KKp4eJQrwK>v=urwg;q4>rtqmc2x~?gH4hOEbxMn%0Y+=h zGHG8~tnV*XnX?pq0ABaKH6@<`roHj?R5I6>WfCjKb>0>xzCmeV7#q_7aku*R=GX5i^jDC5I{19nE12H<4Y?)>iOL2U?za5$NOQ4fpJa(bk|7NOtXDw3 z1e|=bYrFMuJ>Y2dJEKC1<$eLz)ug%-^m6Z%F_l=DkVpp+pZdz^!Exv`kdqmUPQ)e> zd;>k>B#2oSUio8N-}U5+MvhB=-Smz^@gA-gNCyw9>&T{Q9Q=7Y0+HlFPxT(O;;c~- zSs)+vOkNd2(dbH^4ZKT$%{2O+A=N&zhDf8c1Fo8xVXg<;%v`Sf?d;bsZ)e4$!S;Lf z?4x*q30ijW*zV@aL`w9C(p#Mr?R&E|-s|u1d$K5L5*e>zczC%&2@kU6ygQD;vgNg6 zb9vC+KhRS2u)myLjmM71cxF=mQ65*1?@yM;E3@pC-GkNTz>?!uT+If0#cr?g(EB0u zwNJlE!zlON&`)?QnMWj#YJHb!PjP6y9PLzgV-yc}_vurwV?@PJPiCXOiN|q`0fRZf z`?}+HK;M5a`3M6A|LrfM1{)4go9zYzLa-d&xUiGifbWF_k9Io6V=w~<_&_PuXN;r? zjef%Cstmg1WWH36jdq=TZkNgA3(N?Eo(8lc6y}2szP4Q96!ScvbcexSX80P#NPUFt zmc@VP2_BVDVoW5y5VB$RYgxvU#4Bse#i`o^7U-_?Y0#pR6$cHTJvN$^jd^X8WlxdA z6H($F9IVkJ1hDxmt8yEEoyEV!Fs^j3m49eAS9v*U?vlBiw3JJB>L5SJE(dhVjT*yD zs~~H;1-DCP=yzW#oENy$Jg!uf;+?6R?UXV)3tzKPu~;^rO`W}K*LO?apZc8R30iTM zKQ#@p8{=MdAr{k$Iq5U7b~|rxxQcws~;+~Nfflf1Ce2&$E$7=U)H(^plx(_2?>R?)du-(%xN(Y|f6t)00rpG!gIir;@GL>n-8(`f#urln_yzMa%?nW-BLqaacpGvvp?JGh2WZ}PF;P0 zSDbF}0J^A_k3IZ%blS)Av4;JK4+zFvEzVBmKt|;7WUiyw) z%PxPfnl~Ch|MQ%!Q*k84M-C4IyHmb!k_Fb)iR0@hEo4xw?dX&Hrtu@oC(BMeLwV7=?dV7@j!4>t3mXtNZrxH|YimD#n`k?I`ql*5@`umgni~4D;@O*_ zYO_$5=BBp0dpJ`8FTNzhTxXL}2!z)!bfx$c_rL!mxw*abl<+6c%%7{m|MWwoY~%xN zs^RVBa45@ewKz5i0QuvYL^Ze0AM@Ws{Ul1OHr%!93cv(CuT;cs-pxqL$ zLY+Q+uxl~R$k=_dQhezJok*h!n2q4l7MDi;(T%;^OlmkH;qqms+Vopi#VT3+99y-I zfAkQm;H&m$zVRr&n%8t&cx< zPKsCGLhpx#cP|+2PZlqQqxcK+8E(7llH%Qku1DeRHWoj^V)A#`KtO6fT^_LriC5cC6D;>f^^{@zl?~SiE$Sw>RV%KzotJBc^2^`&hr9 znt!Rs;M^1R4{2>nOY6@Jhq8Ex1CM%}Z~Zx43^mezstQzNRu1Vr8XO_Er`C7!yC^I60`ayOXGsezTS%N4w+xbxvbE*74z-FyCg zjF@U;O6zxS<(SSa%d&G16CI$;VZz9;CU?x|lXnybPaKL}o0vi$zn8OeK;}6ID&fiV zsN5K=Rc(A{7OzFV(6(Ysh*0FP#FjJ7hj7-{Yo4u^8>H0blop_Z#wtRc7t=CSjKk%j zhnqXOUha|3zD!Y}!v4`jzE=AFi1@WNe~3XsO^JZD-1`{6`lrec>VVjEaKn^+avO|I zyWk~ujm2A22aihzqafE(R|Aa&;>lQaO;&fx$J&Ywb`Hgwi@F$R+UmGMWwVEnymy)V zH1bmzk@|SEKc|nc6|X`bTd4rc(pph*K?79ZaXwB7C`*M?)?_A2HGm`LN+N(t7XZ4L z+)CGyj3PQq)X}+TOG2a%y6~$iQMXzHM*!-I5WsFPVbNAa2(_?xkU7#id!ZEed$SpC z>UeNDN@+GzyXkwyrvt0Na`Wb+{o{Wk38ZtWv}q8~K9#q^$;Df!&pyJ+^`dI%d6}qu z3L`cEUR*-6`LmmWq*-?MEP@737d!2VJ;tt5aifFdJ|Y{#1qs*=-aroH(71awG}Gfy zDm3Gc9UGFEpzb;#SUWu(D(@XWyPy=m_Vle}EMXWb&auhAo5{K(;vAjjNK&49XDwd`h<|UE365S3t0qHwBzN7rI*D4*`Y~PBRtRL zQat?vA#_!9l|K@695jO~thgg_L6FBty&+h$fZBkXM*%@OQ%HgXi>GeXSU(|B7Qgst zid$Ty_y0erBMNt+G1-xVw4NlbGG0LP+{I-P5pcz&GJ!&ZkgoK33obE*38e_QR0(nC z67i)@@ebw#&mCFrXiU^pvL%h-iwXjfU*dvC4LUAd(07#xWCF#C%PhGca1mBq6554f zO;;Bww_%N6Tgx}og=?s~{0GF*NH*ReBC%ECB z{5SQfI0vt1kLV1U*AKK6XY}Rb;EQM3xH2z_hTM0Hv~lJ7`$bP%ac)$-p3ab|ZuI)E z>9+kZHy>f!%ibTpUUPf>;JLfGyjR#a*ue7M_pSKGH#g2)`#xO0txYtxAz7|f{r8yymtIWVCYL_H%~qTj+kf(yxXjP2zXzB3e7#Jk;=Et>#pTA0(tZ`0Zrre1 zFW<>l*@Ck-nPksNFO$h8n~S)ipFDP!)bCpHZaNjGz0u)Roa_H%QRq~hZ(i&D^FOwB z7_r@irW^tm(Aww?LXWjD8@(O#a{VBuwodrXw(5Ar`k0Ad;i-Tg1r^0kr%>Z6a?krZ z!anHHJ9o3}N9X1hquAnkWznCI%2s^2@>*G*;Q5_8?UjO!Dv%e&^PP<%KU>(FdAZ(z z)2u=kajAGJ%0Xp2^ho(mzkhw-Z*n5du(kjB?K~e2_g~-MKEc=*-E&{G^7$P;74%ri z5kStKe{5sp`t=QaY^U6MVyD2YwzhT#y^Zait#ZKmcys|n-bKCWPV5a=sKQoT<0}Un zoe80AZ;#Hb&ne-~&U$%0lpEoRvLC`mF&HplRz=C6-~+>xW8Gxr5vz032M>WATXJO7 zF8I`K74wlE!M8U&sd)NU41&VF<&TJJ0Vwzg)Qs+iyQ1zwhr9gA>wgk)SGaYT|EGDK zkZE*Dv8g9i>#w1)aQ_XR4@;jCeZcxBc=(?P-^ueQ@hL2|9l>HiQ1D33qo|+e-j&k+ z!=KUc#{*WL3)c8NYw;oemdl&=4-2Ly37jfodpGynWbnB2w(YIAZG0lvTmIQDkBzh4 zJUk{MWPqk*#?WiBCYV9DJ$5Bemh+iydQ|&oW@l#`F*~ryXCu=ftYe$3|3>Ktju0po#5kf%rqfbmBDB9iP~TH)-0A0g}Z&XWQ{4UlVR^h>PgeO zmx-+$*ZzfrW_&FxTJ{DLLESHv8#ZkfTy0|_ImuT*6FeFYm7nE9*2v;x6<@FcyA0xb zkw@drUg_XcXn1FjjoFlG7U;w!A%g_uE`T_#;tu?-^KO7?MQOkF2D7NTy2$xXy|LJs z#uF({0x{T?k4_+6y#hT2JS55`@WZ8dNnFsh-xU#~UbmQ$wRJR;L`JWkDUvp>xHLIe zLA6w3of*$D*wi6-iJBi$9V8>)qYFCyfUIQfu^1w?RSp&j2$^;S*3HZl< zki>W9rnzXkNn#^5w}1nQ6rZ0V!w*Vi<+=9_nS0!^=gEK|Z}NpTZcB5f+~>LFpsytx zh;l3*gXTp&Sw7yOKg2k&)rYqIGk%4e_plA+HVd(h_Z}p0P1cKN%>8ILks%=3riZmn z!lo}HZ!qF>7GlkjcH||dIvd#&$=Ojp_0GO3B^>Z|%`6J3n+{2&BM${su+li`6yWw^ zVMoD52q7h3)@vu%ZL0Wc8+xP2Y*O>aw3xfBU-yZO3W>=jP8Of$mQ1~P_mUFxSaP^8 zs?NH_UaBx9O24l$p_wvv@d#g;3z=e6B^K~rH!*oOw`&Mt8_zK~ZsSQEibth1H^NAL z9@AASkSjg|MH!ikC&O0wJZaP)Xhgk&Ro~q~%hXV(MvfIJ|Nwc;h6sGuM^MRei&x$GE0FG!iJ)IQ@t! z_kQ#xTuhY2!w#?K;o_gpKkZlUsfEX4$=~N;mUsWGSA70BDJ+I12zd{{yJ67{c zly4{_ae@ikld$D}gH_n@LJzK!CBY=NrWML;#XrojHe! z*5izLV)R>F|BH_DpYdWDeL-E;Q zAFCIop&nmepi*K$QrazNP1`0q4jEbPUQ)L0)S=<5qR7g_I`dgzl16Z0@hW&mdK6Ed z`+B|@W!bWL?pZhOs#=S{Yw_s?awU=<<)o`TaBue5*Y<`#>Dgu1r+yK24aMgVvfO=B zf|Xfk*$%YPs954omL`V0g<3^VT*$e!3itIO+jl(cGAWiR@5(xsN4H8@LsES7)WHst z6vLSYYAAQbE0m=8|JZxuAjyvVzOP@;+qt)MbBni&!Etz80=3ucZg#)T*{)3?|_8|Z?p5k$U{Rmu>8QfG%%Im>p!ic`t( z2tg=Y#hetE!$0KIiDoHMtg6t8E2UH^<$S)sezUuGpdu%KF!=+$JFmNc{p(-<`q#hy zb-(wz`_((+!q;E_The&VzoCKNkS|oMPf!;^SmiQ{asOfcV&T4_u4Loi;;v6!M>pAz zuC*O@Jo_E?loR9b7%ibxFYm*O`#wWvwT+AyI%Q%P6!WE(F4I_gjw}TjMP2Tr425&I z061nkr>%#ZeXL^nTD8wM3$+$|?0D)#6_~k@^?*46(Q9-=dR#9lx2xBAah*z3q|5U9 zy!aYnbkDnAV(WXr-0ablB?-qc;#SKQ271av&QP|`1;Yvbm2EV9+i$3LcZdoNB?ppM zN4-`JI?UczAzY$Rhvh-8qTC&xtJA_z6*@sjjt}#znV7*?$ELw;hDZJ8~-!faiVk%_mp{ab<2#J&gMAJ874Az%p2^2{l*- z)e}1bjCo@$7)^r$r{)BkBFJ%=x8WYSC_Rvpn=f5da zD_xIsV9?XvrXWT!4JOvhmDlRu@S`lnlM^%1%A4i{%$JNAvUM%#Sy&q0gpeHwO*=2n z6=HVWfbRCCMju6H;PXkRE@|_%kWuyOq3GOD;vRjfQ7--(684c6oL?O zQMu|e>B>)6y35=#c_sMVtKe(cP|>EeO*+FHPQzug|AE1I4A2Iepe*9%R1kjW`J?QAbm@>+J&Gm5m=&`%(9}0+Y6mP=X&v+u3dHYl_3j;Y4od8aIdi8O4M*HJ z)aAb7R_nyBHXp#S;zfgnK_PoCC$r%!VY2RmB9rPvoo~~ z^O*Ve4kVjVSTF{xD>g_G|DUmTGO7RJj=HP_DTAA#+$NSu$)NJX5wsj)He-H;f&%k+P$lLhqM7UC#FQb6ILM>nAO)_O%LdUDgz%iLr@%3}`-PvACjHMI!Ook6@-!%fd`URBFMiml#MYQubL{Y%8Q}@Z zzjp{C5Sj?7a|8l1L6Ak7hd^PX!gGAGQJ;0^UNf*FjUJ5%U{~N`=ollC5*)mmpTic+ zwuc+Jqm(&Hng_Cfhyc7^OK5hH8DBH@6W60Z%W^FsmOxO<$OU6pA&4C~>!*ZdUFJ1} zVH_%(U|&!0vIAKzr{*v%EINjv$@DQ7g*iS1P{g6D{*!MKW(-uuz)vDRbfV8q4=zcR z3@Km~mE*g}F2X~}?cKI;+#;6(f##p?+T1C!6iURuG9X~;UThKq&Qm$1_8GEEW^Z&A z9)HD-5=|UApsO))6jkV`1|EjN2>b{*0!OaiO+@qqUtYL^3Z)`TM>LGiI)Ot+VXVh! zq6y#RndAEnSYCAQEEko0_=)O zAMh}p)H25XFQA-0X(F615MG>bF$d) zfI0PnlDHEMmU6=d?tlTM-+9CmTQOv2Z zm@M?mw_tn;1caJ*sjAI4D!4%NbRONHm0l+sy;4WithBkc4pD?W!<2FtfQK(2sf$ zk#Y-IDl{_(xhV4ZfBD;%($r98s||&@gUOrxu8oHG6o`m91LLh z6KP&k<;h`eOfFDo#wEZ;*)ru&&Yh&Pav6C&NAeYY$;|~t*#o?wYjP1k#WZgvLkEF> zyClqrg)I){f9K28;tJ}G0-|~)mfGfBi;L?#WEvIwh&4Iv5h>GQy~lY4`#eviz<-~} zDK_riYM{(nzUUF zIikp4*!Na0#RZ-i`->0*(;6kYt^R8e0Y4-^0XnO8d2H_7Q=s?VO44uvO{R3Y?SCsN z;L{Tvar-RFjTrf{V4XYzvS6{8=VGK@q4jU10Z)ljt)n*guCmfU1%K_j+oY3kog3;^ z=YM&HEl@Fp*>vX%2UjPYYVP8HJgvg3VLRTqKHlP(z);@&ND;0tzg|yV+@I93jLU5o zmwP)G-FE8xXLx|xpKUE~^BfTKTp(whJ~B+Tk}I*Sh}nSovAnAO%LwCz;09DR&YlG12@xVzg2^ES;gVE`F(AK<@$XhWndj{n)0n0Md|;rbn(iu^sM znBxRF*7ilWn@EldGwlBELkxm^Qvumy(PdD05U|)s?!-^_ukT+;EDj8TjVncaX^>c< z%hz#+)1+_6@TfJo*2(VG>$h3s)he(4p8TRpZ_K9Ui4P2K%x1|gynkaf`Q7lH2vr5>YXgcPE-T#tGEi6_ULk(dvN)>*VlV zc_Gjg_<_p5;N1mSVh!wSe>!zkzv^F2)Z0J4f#=$%&m#)pr!O2_O9k-J4XQ-)`5QNo ziyK0PRgdiRnUd?wnQPalfwtGq98x^H@4avE#?f z)Mj&`9G+vpMR8*3GoQH?cZ>VF+n{k1oSzSXii6T+;TR zdwILTp0qL+>Drae6AM}js->^xU^=~0EEjvW;@$h#Uk}q(>wX{7G_={(MMs}{|G^Jl zNBd7K)EA(d_@2#u?B$p-2U@8|FzGfnZlL@ar?a$MI&g)-yJuDouFb&iuN<(@yzMv6q&MOO zxvyONZj7J$%M{?Ma|83F@d!Q)P3~^JbA5_Fuxm^Ut$q7zU!K9G?|kNK(`h2ZrHKpZ z$C*F=gIUBs@r~=VY0KA(X^#Tiqm6L{y<9&tf(o}UqZ=FC5G-sDZ_U_WvGnAVV`N#L zdis+xvP(-B$67U9_E70mw3hWUDlH&j=Td5y%lA5Ax6S05AA+bp^pHdaGXqg!pAs=v zBDXOBQ>oNG8t?t1kFxlYTS1sek2)^NjQd@>@p``>-bu=-=lXwd^A z$>ds5{BwWDP_v}Xz##Y`OWOgvv%bQ-nc%5T+kf<|vUWN@{n@Ei`qFbEbmq+E-=o;p zir<+{i7!_mC4XXh<6uUp_^~r?COo#txpc+3cri@el@m*++x5&{DZATU2i61kk1rx{ zHU$vF=Pe*Ug2z;{C+fsp@OqqBTv<55=*MOn78R^3UKE2t2*mv0!Zfg4yY1*VY9tJA zl9o*q@%FtRO!&?{b{>rf?p8)W%jDPV0d z4KxpLIw0d#&Rm?bmZfzn;A{CB{?AG>J^J z7lp-pxQ*EizZ_!O6|d`QP`S^kGRY%&)yGP5u-A`tOkokh)RMu7co$e*0)^mxShe+N{jkoiGt#4pt8mvATDb`;}*9B4i z6+ath2N~=3%;D%i^4!)_^1a_eYLZVhId9ZVF84-60}c=FxvF^c zoAzdr;Q?iH21Sprj#7*9^JDp~7^UgG z=iWtnqs77pwK{5)sBxMD$j_B@W!6R2&a}xV0;Z#iQ%yXmtv^J6O6cCmP1Pu9av-st zYSYSc|GH>Wp@88kKJHRqk$ zOuVp-4&UJ`werYg#@M%#(C2*)YbJ z&BM~m$7ICpQ$#@U8WwS^Z8l$(NKjE3$*P0IlJ_JweinvvVoPowmoGf?4Vxa&agn&$ zp5y73vBA#r41iRKxsW|Z3LJAMSe1=m&)^14WeM>x*E|5a91RgI;9^V&Mmj;6 zP+jM~*YQl|8h9Be!uN*v!A+8w>>6oa;s(Ri+fN+#uJRfG_M=O#yaY|+RqW!Y(m%=S zBf!Lyp}D~fpYd@L)J~;gmtAfHl^b(=OMcY@$!cL*CSxCo>J@Bu@_Ec_ym;RL4VN&> zk;Ve)4p(kuS2Vtd3gdES-w(_`pj!5>3(A@SW;fi#t&0`hMrBmU9aLDQH9I)p7Zsx& z4(rd^tXVD{h&E1gVYz5^TXCi$!F-4(O>=CRVsJI0LY1T5!3w81%=@3s(0nbAM-Ib` zvL-^;G|N1}vjQR!Ay+V1E`mp$lPEC!m|xdYFBN=ChOIbhZB1Ko+`hg zvsoCmwH0UfsBKu;fBEx;Hm+Q??Jtwd-K(u9?AtN+g&uuFrUfsv@9UP8Z7*-uwKnv; ziCKK{XGa?^zR4G8;9+-n&HNV`i`oA2Q`|Yh%kA5oH}isRgUM9BSJmR-=8Pp{#tV

mt{j~h3sHOC1-b8avn~HAV?)P74WnVR#5!bhMW;gpAzy5Kk-Rx^a51Wg$ zp$97apECcYeQoGri_*0xzAYa5_05~ws#N16m+#g6C*PDj>R;cZqfD8%2hH7S8y*}m zLFZdL!(khr0}QN1{VuIqG67~24YPh)Hw4s0y@t4rLy=)+m#3z*zi0U;OUo)HH`>Ib zJ`EUH6%?adnmDM`95@n1jj4RZ+F_(n>5_l+L^g{Hx2_9(kj%YUyAy5ac3*@C`S7C& zjm1Grnai(}s~|?SaZt}a9zJ-00ww+S*i;~Yp9S>39_{Y3I@oS6V7Ko=-RDlfpLY9w zqJ8di;hgx4saNc8%(naeCu3g*lI-=E2Yl3GJm!{l+_7-n0&~_Ew)OU{s9w(&p)Cf! z8NDsmfACKl24VM4b6Fe>)*TUGR1g>VHIi;TLH`8z!;8#>;_*B}{GsH6VdWghGu$1% zn^{Q=cf`P5)bl8GHx(_k?kVp{g**r6s7!bChwSS$E!pte@7LD6?VM~1mqOk!6_352Z^&$Hbu-v^| z6$y4D+_=b{ZDg$_nRq9Gi`973z4ZSzv%>nu#f z_R<1HA`PZ1mWxY-5>#+|k~n4vjIhryG0FKlV-&3O2VD$}O6|H&2ptLupt(%If}v*0 zcIdJR3S@Q56t+hKuRW9;XcL2%R3jv6AN0i58j*tcVLb^?^{KVUTQ6UG&ZBTaU`%BJ zglB}oxL{wD1tPBAotfNfIz%Y{@khZLMB-=7JOWzCko~wT^Ut$u(sBL@t|4>HG0a- z6SR>az#4i$Ug9TU)iqQRK#|lp)DBYm3=d!hwIKp{wJFbV^r%<-_#KgLdIE8V1Xjxa z#y=&O2+rx?2ReR`GGG&eG@4W)WJif^qcx8~kx`T$uU?O1$Z|q2F?ot&t^|@36#>i{N#bPz#l){UWjs--$ibP2k zwb#{L&=t)Co`Hq{3vp!WlC=2YH_~3-d~*_6A0IQOD(|5&BdVh%86MpPMm&xTN? z@<)APq&WH!XqaGphfHryvl>~y76r||+DJjNBF_Jc71C(D5W4dlbKRk9z5rVwH~K=B zs+emViJ7fNu0%4jdPY(rV>GTy4)sFQ`0@(jQ~YvkG#W1ibF3kkuMu1pmmZjN=2WgG zC270@>Z0bDU1lF>#=v5t{nB`*22?v{#Og@nnULq2hnw^Dc z>Rha@kl|2`GUcu;Y`J}GfHiVMgF3Uv!D@%CNBr!_gENHBpyW?!-%Fj8PV`Tr1dK}A78yt7XQ;xy^wSR!N zlV;t~*tSGr!#tXM=o0b9_}2RkR2{{pM8s+Bgr^@a=~CtTSKC?0jp{0;agEBWv{L`P zUBS=J@+PL(4J4;9eazNoIb+fP_sz&Pxg0rgnpMx81jH{W9_Cdv>gow{x{8NG=kweh z-JgR@EavbJrm?KWXffSoM9?Nnk znPHYq9`=qgqYTW8?nG{=1oNvHoA}&19Y*g8eCe;<1$Za=qmjTz?Z)v(Q`S4B9FxF1 zfzHbew4v?1plLy_OY_w5&SV> z{3wIR1%K=g`4|g4)^qV#d>+*8a+*hu`R`Eq7m~~0ymCxt?*xBz5;(5$Ke|%iDapMf z`2UZT;2pvL|6TCuPhij<@cz)W=2`69)0)T2hYxKHBfcHa9th9DX&$)Szw03_{M<>n z!GAmOIOji%*SnqvXFBn&;*S%VzmmBNI+<&@bR(R?1rr#pn4ktGU#$0Y(JSQb_f8B&ut$7xDw zqRkOlHT382CQ$($misnm?Pa|2-WV#p^~ic)6!jj6*MlzNMMFJCU}sB_a14@z4W3>Q zuu!Nz;KSmIUWmfh?v)diS*@^`bAV3LAs-DHUcRz;M#W~=gzO!kwhiCmvKj-7M#xquWZrT%z3a@XHE#T) z>;qo?9jr0S6jolPoIH!d8(fP-$XEaALcH;FC# z*C$AXpfwM{@_UJ6PryK~FchAVG1l^K2NLY1WiYuKDJvrqRIV&h=!i7=1HVHijF*5+ zkS$v-0wzb5vh`G;hmm{NM}>}Hbe=*`Qag~cBNBS6x!UmK9tI#zO45b}AG9N`@^M`< z3{-w&m_$W9v&=g<7XMg@;#3+y`*MZrkugfxs*n$3QK>Xtv^T_o6Imn4Lw@-UtI-0) z36}Wi2~JeY;KZeTkEN6l?GH-Q$+gH8gHH}+X;$?gtHz9p{sp~@`91}V@i1^fzX z)uOP81rl@`LwT9he88zIpb}U}sl`WUMcqy$=JY>F5$zfQvZ~v<6M=950|vbzfUS6u9{8BBx;$K)oH}0 z8nF0r-E#x78$k+VWmBOfju;Y`xOx}>7z~c{x@%)oiCyH&dFv1cWkt+ON;LRD0hrZd zWiWyrquZ&w@tUDl$+3mYRt6cmva8l6KiX6Vk0RBJap(9Y1?o~B+e#=XC+&hsjoCYd zw0ffwpQE*fX{!N<(xyR7shz7RYcql@g0Yy^E{*9)o_3WOtjv{Qi;Ew&+GHg_X=GS% z9Kz3Et@UV7J7h$vEy&_;{sC1%AUf;VD$s~d2Ek+tIJ-m&xs=cJ*JOtl9wIb3g&lQ~ zlm5A=s}AR(9IcMg>gZ!GSeP{NC%%{q^^-CRPriQUH37oOQY*XxG2`E|kDS$SZgOU&#bq(KO8b7SirWl0@ zYGrpuw=%?+daqgyv)i~m?|RXO#W@6D8V>n@H#!EM2O*jirX%0sK!-l5dBG1B#{{Z_ z$a77U1QWxE0*D#PC~QHtzn48#!1WM3RRWVr9IW%zV3v zx|o085&yzt7!=1=MiL+kM1iK7AxTK{Rfbu<4c=iBV~k*{5P0r#?g<{22B3a6%tm}g zj8d@b9NR8Rf?8AvGGL0$>#NU5;3(z2e^mi!23>WY!|)Kx=yE2#of8+8F6&C?6n72x zqHY6NR3JN&dSMR%l zsFH?G0%k9g>YQ8(2|JUR?ThM+A7HQ43T%tSDDX}TcPH3D>Q#zz&@sCcxn#mNLvmmSyH{7e6~aMp}%8)_77+`JE{oa0Vp zqVW;~;v+i8v@S|jGCB6(TL5OvSU}~tkTZLvWwz!430kgKiaP&L*OBIlkQ}!u7a1>h z6;@9Da(U)6-WhNrPmnRvT4@l(3EOnI4(D1-*tsM!#s(K6Dp$BUlDGQ+9|Nd2Nn|N{ zR0Hjp87PEkoC+*i(KaSBBRX=ine+IahAJq3^?ZXPFI3RziUj}0E9M1IQgO$U_uPR@p zn-SQO1Uq8fJ{e(bTB0gyy zaQ%&6+EO$kt{nrsvL2>7ji?I=%p+VTr+y#i67h(}E4az(ULY{*jFJqp^3oB&Q zIV{I?waseBn*^9Dl|kuviiA2-o+#5cRY*=tyK@Kw64Z#MbQxqRyFf_5$w*V2@%GHf_v05OM|i3&W!4~2x`jup#fNYeW9A1X1o-*C>KQ9f)jRV_5S z8d0*S4082h586gP(O}0f)akfbPQ#+)YP`-HK+^?wr5?}5$QLZGt1{qA&QX;fW89-p z=#vsv32x5=ILxqR-jfxn)MOd6>7Hgz0PSs2a)?EOFj2P~=uUwEp85HbBO&4+x}kFs zw4`nwfmV?K|K`?o9p&)h4Ai2k!QC#n@IO9inKOUzJ4Yjk+~o4KG0)a46?jy?I>azE zDy-_}ONPuTfaq-s)qwBxNNNhT{JDcg;S2*MDUDz3Bmxpvlu9N3a6GV(^QNdady&!y z19BZ(fXf!Y1lpDh%2{JllR)$Z;!W`YvV$XN&^Oc#A`t+|0+zljketjfMK>e0@?@v{ zcROn(D@gZYxUGNQpm5X&TbyDO!y~S15JV!e&P^;5IMz!Pny4aD7uUv-t_sG@C}3PdR)68KSo za>}J@Eh`4mKzbremJ$Ft)#I@6AOI|CPj;R@Ho3^6+}TCV>dXNeDlj+m&E8%y5jdB{ zaHy$0rgZ+N{~U$i<)Y#NaF%)m1EK|$BcR8zI4oLIc2qRGIScc6CN27hWiZ^Ss&?yr z2(FFfJeTMm+PR$9hb$jMNvazc86Vu!x*R#5NZ6&S<_?&oB|^xrl;1e9lPp}POeLb> z2B8j&r&bePf?+im?hI76xp`A4BE+b`SQoj>zcH-~c|xXXsjeu(@BAZyQG~!}Z@FFJ z@m7eSsml%-;RBEDcJgDu7~IoUFJgK&Q964@u-y%An_?-D&Z7D5NR+A91)IzRfO?xa zhSkbv3aJLs|!?`cIYmOrl;o?6_Tkb|+ zhJ6DBOq+L)TyZ-mna6W5 zRitM1Mb1%l8Wlzuh3Gn1=h(sL@yVt2>VJJy#kpz?)L~UDsM8Cwg(Q(}y;Ic#?EJf3 zu}5*yz5!N5KF~*=ubR!-0ovfN^SIS7XbL0R_I`o+AR_Ff(`1bEmH&2JZGxZ zOO;#CO{7fFvxA0EhXMTi@h><>q4n(ppH^OR)hh@b%ug>ru|D5szeiw zp(uV{sdV^5bQ`;4clM>){o%TJK}LaT0Lp>YE!KnBMtUB#vB}?-s1V!^Jb1=Ds7a~$ zQ!uRN|D`7}B891M>Fi8l=;+xzj?>9EXg)0GqoXQuvUB&D%S4M)PhY%Da@~FUIii&E zxt&O$wzE2k*SsDg6S7t+)J9y(_UU>AFWsdjcvkw-1^HBV7?^5e`kE+l8fVn!JqWJb z-B3+@XQN6fIlp!O#(v@mxutqUyxTpu5zH?Gxm>yW>U63=Z+|+);~d|;gjas#04(oM zoV{@Xk@k}pbat#xySyQ<T50*-D(sN%Oc`}1U{Qvsd~RJO~S4AmEP){F|Fo|;UU1^{~mH*Sh)1OMEBukEGGW? zdf>FVvfQ9`HtFkMy)YVG`Bt~Px*9ICiTc#%J{Lyp*zSJ+%M_5R>gi{cyH`r`JSR|j zL6Xk}d!730I_=NAD~w!RTIwuGMrHZPBQ!h&be?Z-yc-zZl0Zb(iWJliM8H+^*i5P2j~< zZ|OYW!QkK)vh$}taB$;Wamin}Z#tFApFVwX3%Pgv)(>tOFJGceYIJZTOm*Vw^WVLF zyX_xe*?D?4aeiat`R7wAiqU9ihZ_0Gnrd>-spn~)qByzu)7Yd|@$SVL+;O%r=W61+ z)$a2&Na=?i-Yx}N6Eou6&j%@dxiby{jbDuUosSz+Wi)<+^L`@-R$mxxT)EQi_UZ`* zojSGpjGC}tJ@=fN3Bf-t!6Wz5;ShJ$c#EO&^enFJ)2BIf&~b<`{S&nE5d@CUF;HPt z6rBtuerZU9U|4r7f44g!7iT`6f9owNXBbU~E@9;24{mVa^wQ4^5FyXVo(<6UOWn(} zDb4BXxAtAqDW`8;m8O^ORxSV3sdyQ`ePKCVhnRcv(>mvuFTU&eL>v}mMA_A?TeqVG z|K0Iq!a;_ex88~~SgI~vp2eaFR9`jr4`N^3se9mkQWT4=;ZH?U7YkGdkymGGVfm%| z`xB`Sx0_M9AcOC}Nl0(3C4_e`N1G{nuLBM_ReLlBvn&S#G+u(|=rRZ{ZaZqYZL z|G=qRKadh!(BY#Hdh#>3D3vpX7(ArUt?H`zH9Rn+gKANk^Bl2DE?5mtAp zeEs%qWVV8dA>h*cj{o!^!Q8bJ2f6jW_Pq z=9cR=0o?T}3m#&qDz9NNd#x642aP984LC)y?j!zUF+|p~@zN4F$JEHJtZ+XhvK_^6 zmipgv1BI(=weaF{yd>Fre|Zukq6&(ay!8_l*;$qn$!WZ%xlCux8b!sk)*$kc#lSn} zH|$`vGcdmaqA-*j2WjI|f~IU9In(>)yL>_~J`SsW#_+12_@sjerX!!82+MD8GyM79 z$~H4B&#VSy;=VnbNZUrE@puMa@RQ4!o>s7$Aowi@)Va8&25~-)nG->763us0Brc6l zQoIL?{M*sm_=YHD_xU>sfiY3Dv&`| z7D4&GHE;A!{zOjR$sQshm3DdNS^4U-$$ZQ0d!vR*h%qHkqJ;Wi?|9R)};(D-a`51)(h$be){^>j&cZ`6kyRCA?`y)rch$R1DNbTh49 zQ)aCBiX7T686;=d6Dhf#?p%eR9|~Df^kfEIrP6Jad>u9y@RuknfnA3T2Sijqveft_x#UYpIija-At0w}om@NBf z{5S#NNKnCRH{qZ3UJT??90GP1Rpe0szO!)$8w6bD?(Xw^#jG5wN@K#$qoN?$HEhnK zjem?v1IdDx=}bU!Sxpk&om9|eOTu7DCqSQVOk-OGviHEwM&pYn-fAR^a-0t^3 zj$Cejd!wIED(2xIXAAHCy@DEj-hwaBm+Js1&tbVZfanGBQK{~N(PB1#({+OJB#?V=?+?32DGQH5h5g^-IA9*AC^CC6jw85sO*KNH)(;e4rEkz|o`-6c13) zBm(x()0DsieV>eNp8C9u%~`^bTm{UR!*@$6SeEO%L_zcNDW}}y$0(k5>;4Ju+1(yS zW@%KvyvHI&4OP_ca1l0RJ^!9DkV46X0Q!97hHnwYy1x}S`m?Be42+EjZ3)kBZgx7H zE8O|iW=APiwMRF? zq%Nh*gQOC9dVpRHY#c3AJcI(cE>PA$!(+`^-T8(Wt!~Cs<)lEo{Lf%7O(rw+_8kuh z<`x^0yOhSLUq-qTgP(ex`j#uM;}4e5Y5D~sz6Op<4Dbm`dWb|nE8S@~fb+n58?rQR z!>y4cP-;zogX5D2mKX@aK{Y^QM|6fjrlm+mNcfRkp+l^PEyhXjiiikYsGc>lf!^Sh zJJ8TNl8>PJRprh*_fIZ~J;DtGE94YljmZxlu1Vml0SX3JEr<=uVf3?k2RI0ZmD@^} zlyUNE2?OC|3d5CkyQOMYRl`hUgeV?o zNY14p;jrUVsM3Ih)T{OqpjY{2Ok>g8;%DlRU4G|O7|L80CZhmZDHfKF$EETm1G6$i zG9!3wU3K1%l~?lzOBNyyO9}`1qvR@S?6!tfhbmR>){H<_7d!Qt7dC{H41wvs(7a6ASDokMaYS5MhXr3wsh9w|Kl~2?hZuDqQ4(ZbQJ(IonzEHnu3q zTz(@-P&m*@y67Wlm4bS2pkRLNV100cLhJc~*>ZS60L1vSB9rPU2LgzipQzsXX7mAw*z!J5dJ0_dFhvS3=2?D3Pwqdg7=%V5xarH@7Mp?kSjGbreycg7=TP+Bdbxfd?|py<_`vaiiOiAb7;JkW9MM2xs%{+> zM-TX|ILpp5U=ww@jjbEga1(1}G{KAxRHKWlbXlYh6=@!?)BHiC){}PSuS=Sx-qx_M zCDeP!H3EnSMW9UZ8*;l*;V2p!sA;e9eti(DHU+7!baQ;(FYS))L-)uL{A)jgAQx4xsI*EK?N8TILIF$h4(Q%B^7iu?`(ASfW|C}P`mdr)usECMQ#{j^olmNnN`38D_$VS>8Y6E$}eLs zVZ0#NrJu>Vsa?6MbfMPO3fhk)E=jPTQf*#T-Ipssvd&>w>ow-}oG)KW;8`7<&DD4J zp@?!^Y;P`@F);~_Y{7sj@%+wzE;PP|kE3c_w}2VOs~ z(PZ8A;X)N#@sumq+GN+pB3k6ZO|EnrIP*14G8$7eKSZw1U+@>|eIAtdRtD6^nq3uR zDRO69^4xUQCL=3f!0E*Iw#At9n=rjnARN`0YWG*&r+7!UU4-h#BPCMpR_vpM^_-?Pk)zN-e4Xc7T=c^0q6smRzgr-b?C}>uk+8V^t_O^BtcTgEkwNLV zAk4cG_pgT9?=NHjXDYO;$1fp*k=yWv-$1=F-Eon-fO;vhM+jL+Zc72JjUB$SaDbYl z-9z^YR)vFIN2qv|F-oCX?lb7O%OSPX$+EnWj?Bhr_xdB+Bh-yNGb7DCg@W*)e(;l* zol7c%<_WX_>!DR&2;(Fom?HjCKMMo6R)I~?x>x2XmY;6dVK z)J?Wr;3qRGf%l+d04$%JnJsCZky0iEukNgnI0NJt@y&V&l#PiBr7tK7Zct|?V1>$h znWII}X$6fOpA;1t`U7SSOr&hQGdS-Xb-!mU-a;bC_Zm_x$&TF0#HT6H6_by0i7}}d zPCP)Kc2!Ky;uIs;ofjTbOl^92xSDxNSIur50hX)!S-J5rU~kp>}&0pZ3z2f=YZ zQdYF};F+%o5GMuHmA7@&z{ux!i zj_fjdbrf|YN{oI@6USq0xQ9)LAjoP&67q9QWrSM@R(y{g1_&jiNgxS%GB%vvNQEIVuQoRYAUISYZ_yLW zPSsHwzyXh#P&jD|fR@ak=&SJ}G#pc9 z7+@jgYOIPKQUC$86g{YSo`_sgwFy!#!l0HTRSsz2B5|@Dkl9+1vqvjkJd@_i_vSJc z#R0LbPEXQdJqlO_bXgg+gK*#iIkL2{WdgTQD4Lo-{s#cUY$t#v7jji&Q%aS{dBAcz zrS0bS6Tl_#UUx&~#wDS9@e8`!v0epC9XYCmtVAOq&A>v49;mBx+r}%*6ova=J}e4U z$++8|8wlu1dABxmAym=2h-Ft>kQsWS6AXq|g&6c&0{Y!xCAEhlJJuK!+&V^Fx{BH{ z*A21W9!p3q3a`f^w?(Ij8a63c^qWHRpl9V}y#@hxl@mN#;2hQrx+V<4Da)gWd8o^s zmE0p*NXrFo*@Gi+D8aB!E@5MK!HKolFC%n|O3f zw-8YG_tAaUA~)kQLD^l9CmU?EV8vnyFGyq$IyZol5Y&s{MoHowFS{r_+jJWQ4ish_ zB#Yz>HQAy}HN_H+()2B(qo>cw@ON`Yvr$kXR$ct3xd>fjPgwX8-us3ZY((U$@VDI8sL{Id?X@_Pc56#%S|Xb~4^?xX`< z*qs5Gv;!hliudHV5#vQ3+E~#v$U&X5ZM%yE^k}&QT~xxD_XxZ>2g-ie-*N%tp!LwW zTs_-bs;FDAD;IFB9c6zaM5;AWS_cSw%2v8BP%pm_iGma41wHuBBozg9RA7X;9}&H= z@D1)P?g7Z2F|ZgfBxwRN4-?&1n1NCgUs2W_Z!8SMu(;`0X|}T`5)gGBy1@;_1tR2# zJDDMRAj{|h`r#fai4iN|lgC#x;tJFMUf1%NV3gJYj1#qvLXXzY2Z}UK4HcE2_#x9Y z2sue7NZJ z*jx7uIFbu$K^)d9ml(5x;4Ty~E+-01Yl>o65_ySx{1lZDMJVIcG7;NH-$_pm7q67b z*ds+yy?|546O}Rnp#*0#i@HGz)I>Dl@vr}R`jZ~-VgkJmR?wLjCHICBI!=PYK}&5} z`AA5{R*9N*1p@7em<7;-J034Vo2g|d>G;4R%|$xQ8GlP6 z!>>$cpX&eNyQ*59Icw^oh<=_+B#1*xtQ%V-xE6&+JR7T{85~L4vb@8HRxJT|@S#Q}t9@oeMVWw0KKrlxe z=K3Dtb35fDQK(_3c`nLOo*qnz3>x%uA!LdwOe~25xdg!Cph+a#5>B#$G_cCW#G@np zoicINy}h|zU3W7T0|Z?a59ROw3S90jhaGDBueh;mZMyBnHSZUnz=HCG?PKvFZfA5b zTH#R{Y98TZQl1Sb>*$8{)?o9A5R2`5cf#;qe~C5LZmYi(J-Yvh>#oN~ei~^PPlj$e zJ{=zJEshv+Kejn=4~C1&?eabK<|ci?o!oMpZigq1tdqeucuHjNOU*MuOt@c0(OILi zfSS|G^;R0A@u^N+sLORYUs>z1vm$ise{1oM;0xwj%# z_fA_87VmOG!|Msc0zT9eXk2ITA7r>OfD>V-Yhs)G-nnmFyg z`TQ4)@Z>$?$q5X~PN>{-@q=z~>4l{jA1Ei==Z9lT`$`;~bCX5ibAylYEwq8(JV!HL z^4qR>vZ^&2M`Zai+G^7+K82wwI#@~6qGWwJZ?Xvb67`Pp4EDQjp?z4bPj`yqV$uHB z!}+Jr)uDUJt#$7UUtvO8tagJBpRdC{MDBGbz2ySOYL8Dv6t$a2jSyKz&Xc`jn3e}z2d_dL%jOG zx#EQrUE5mFV&c9{7d>%Lb#VuQwC?E+&qS@|r|P``(V4NMW6BOV*Rm3SWAK0ivyEwt~y@Pm}DluM6nq_lfwfyW8riB0)5Q_^7 zy>5(bIXQXpBgnVtS63gI&}G6my zIR4p%dP8%auYGL-xwfxQYd>1AOxP|K#FWoGgYP@<*5ZS*=q$33CHSJ#vT682?_J`l za-S|-?KWEog(s%Pse68Abu?A1$bFr{wf<`%i_^{F<+s5;>Qu4}EC=`jymffAzkaPjD}kAN}a`#+55e{`AsxdL{MTKD$)>?t9+z>fdI2gTKH2 z?r%?mHqrDiCU`!xa_f&$Dt+hdaCjvx`QH0RQ)X)LH6@v+EJJeh6yfS4>?_&ruy1Zk zyvrV@5Zc9t?dmGa`4U^!|SX-F@#V^)cUCE~Hg$ z)|}a*Cx7aJix+7SJ>x^YPMlyz0)jqy@+^z63MOUGJsl@S>z;d_{#=}RfAT$-p9!H= zoLs(jAdP?cLzl_jE-$oqf)u*|FWh_V zn8B9&v2|fBl=o_hERMMdLwt*_B8@LvgVj+$^A}1MrxnEm4`=`Z|2{Nc(`8ecmcQ^A zi@#iJp?!XT$~2`se;z8BmZkd>{!-_$M_jscr7U1HdYbq3JxOYHW#e2VR(1N{MS}k9 z`RPR_M1ELFPNjROeLzjC&e^j!;E{&u=-RD@#sgb(x=0%Pan1zK-a*Utkqs#zOL~eI z{)6|fj+LcYrhB6xWqA=PxZ>VL>~G9>3{>WX5~cAUSz#)K(Yo}ko3x7McW(?`UZ5&hI#+~Y04FB^x^8+`blL9|6^w3 zPqbOhV1nm%9l;lV6`|66oHQxTuey~K-QDv5aol~p1_S^v;@P(rmL*cxzW04fE^x)g+&N#RoJO%lLL~SoX&?TKRTO?&N&MZb-mv zzzAU~RYC`Xb^~><%hX_DuF3?}_I|*(&)i?F74Faf7X7w(7sGI56365LE8KSL_CVRM zoQ;vL#mEL6SF$t;B`bnv%CrE_N)hzJ;suNcGf>{YszYX1mX8JPk}Vbvm`tsIq5h z7{FG3ReE_j=&%E3rPhZR2J93^?&bM*x-Oj^upurbS3LgF19o>UbslGMP3Um<9L?k3 z{T@>p*X^(Nq!pD%|M1V$^~IRlT8v=2TMwl1vKFd0B|Qh*B$bqfQdH#xqV`ZpaS)h3 z&|Ez|>iwm!Fa>y55uXdxQgR2;o#{_@h`N*SsxQwHA$!gQsV>@v7la<&M&+&S_5}J9XiN-iQUF`4k$IfC-6O;X)Wz*!b2u^IIbk zP=bP43`T+Fy`9mza}1kqP{OIZcR7HC(Wt{WVuV*7@i_cph-CNWM^8I=Zu@lOi=>)x-L@p#Y4`m2)(U8@|E%B^aUFnqbDkrz#8 zm+OSew!=Ciq0*0kbgX8ywPUTq`B;PI7))_QdE+aef8a&2azZks1M`-dOg0)pr3jXb zGcmfOz${=TIF(9orvWfY3+lTI#WL-Q;1`xpn8wpZMANkb8qYkLks}0lKNjacGPy0$ zVTqv9tw#g-+zn3E0B2c|5NGt4!DXADtv_<(2I^RT=}YxxIPd(_PlO4%{A6Qt8$01I zT?)vfy)waodDJ&vrdd6DH;nN+pLW>rI^g7sX;++IR?HQTmNheR`0q*EsI~2+EL!Y& zRGbYaAt_l$q~iKLH3Y^iHxqRMh$$*U#4mzwy^!=nw21sLbWuJ?iE*&dZbYK=r0grZ z44aBVg!e`KR*Op{*4ic@Cv3UisGh+h&n5%0vUDn5w29KncnF>z!9b5=NSLT-azl-5 zhy&_~R06iV@?4mjhhEDMLW-V!zhfH%5n-gP_Lmk;3%$z^KBJIUuaNT&rR z6XFaJBmxg%5?%b*$A!7Tb0oR4oapKnb9K6^rfR)}QIa#6FkRB~N1g*-;t(}nfD|sc z;6V$_a=_{`xQLcv2|NVI)O=$ows;>xDn2&_HNF}u3808vE}IE{6U%U7IY9;zms6dy zUd?2QQ7S=8PnB>5tAXUBjuc)VVwbc`vSe26CAz(pI)7`!8BlP+{CKO z5?dS{qTxj`QE8rnl~OQsQCE>qSuQhz$6OXMw+{&*5qX;U&fSy%g>e0M|BhSLmXj|` zR{Kx1`m0-C?DtQ6d}HIuC-K>O^2Qr%Tw%+}-glNir41`DU;WghY&p67^8TaRMDtVK zYY+aUHqo$QDAgqbMt%khBmIu-W*jQhuZAsMtD*iSH83Mc~MDM^Ol^K_liwX zsrGE+%I#h^Z(!-#wxFB0^R}Sbo7sQ!4Kx@k=}m2axqSKR%h`vDZKA2JW-2_{=F!3J z-;v*6{nkHbf($R;{{WbYjwXUr=zgrEp6^G45A9~iUx|_XKU##{cn+aMc`u#SWNZRGOw5@wDL4vv$gV7m}?e)z2uJd*oaw&E~70)uxD#WK$$G11QL?Ym`0 z|3FQd)drq)GOl&Qw7a_HSJ}(guY9||@djJn%l2?1!4pTD1m$cmh0#kd!oyOy>|dIM zrEUnwWxd;7f2}Il*Clw)vtI8acy{i$5quej+=Knvhs5Qd?yNHEV*k(Fu^dL>SZ*ZM zIHWRnN5Fa7A%&ywm)DC%?1CPp(0vvO1 z$IOY|{qO$;FJKq6NZ7l;d=+(B$2u)@x67FCZ=z9&+%`P5g2v#Ar{HxvECze`(kiRY z0nnXl?Ln+`squhmsxMy%?tKeY`(YOb3=$97?6NKbq&N=xVV*gE%=bU#9@Y}<(mg>@ zSiW+LE4r^Y0Is#d*E(IlST0xgw9NGw#fLnmXR$szhQKAr`rt6ASXy5}zAj z4!}yTe*33>3oL(XaT_o2lh1+?NGiK)$CCRYm=K+#>xy2OVOe-Uj>q<$iW<8$3KoD^ z>4}HW+iMNy25vy(sU?G!CCbzG7O};GZrUuDMHS6)Tfy!W5O|acu1dhe z&}0@1o`Pu^O5j&0gXxGB0h&~3M7)gayFtth$!CM1yRTa2m8Vuj1Ytgv~ zB z%8rSUWys6pHfSzUO;E9tJmcr?t49vZw#Z91tcVjYsGln$1c2eW7pf9DlxqVQa%+qR zE4-kC&@$f`;pNgMPj}s#bDLOY>Lyav7EgRghxBrNvX6CEDH7`IuN8=fBBt(h~T-B;55tGz9jYDKd3K9XX$_Fv#wZt1&^`~99 z5T_b5sI3FHs%Olncnd{OLvP`h<{PsZyz0TU+e!e&{Q4s|Gg+!c#z9=UA6fcDxz?yi z4FT2ZKl*DbiaIbSg|6SHLR5Pl!RWggba@uRs=0Cf<@NgiV(pD%EIYFMymN0?zj@Pa zw(c|^N4@ljs_uO|+oDIrR0u8or`!bv^9!eUdYHX*A&YexNRPf=$2>i zW1vvw7c7ISqakQBRk0I;U?nP50SqN=3PQnfARUvu!5)~i1SVvI7#xiQi1Vlt{fqm$ zidGQyaP;Qr(2A%l;h^7TBHXO@=R1hlj?Af&^VZsjq-Ju>M3&N{;7s`${6Z#VjDwh4 zc0%;n3@nQ-e+!0Y5;K1)@IhHXYN9B!&}%y`+Fd4>6TdJ0IWeLJ6=Yv{A1%(~wewA$*NTRl3b!^Qx1S)|J*SrC>%d8QihU5(hHqUwo2q1+p9$zzdWh9}dr%ye zCht&yHcoKoQZNjBEbtr^|6x>6@22;LD?K1?32#wt~|3G0_$(~cM^65+#DttPVDG1^fLYO zW#~Dwv;x$UH@nnlx3BR7tCJzGo|nlyV%viTwoI#zJ3=&XLDB!|-?C<-^wP5o{=A7p z4|v*SWh{lrwrM+vAn~HQheD@SQn?9dn~6=)t<1wb%@WRS^60Nq%E{1n)cs#A6^&mt z(+Y8v6+XnNPgiV`RgWmbs4wi!@#pE;l~(#@ppTJ&0VspB&4D-bHNc3u2~lHi)5COx zLXD;D6uZ8@ZHaNPdp<0~LJZQl=sQq&upk_LxKk+ZIyi_G4KI;k{A&4KR_uMIOsA%N~U=3TpsUFHO8iV z5l-1P$qdl?KB9i(OoFrP3(VBN@&$YEdq&-SKa@^g57u|R6j;V>b{?Wnk4nT8h$g9m zxXiB$cEg7A(g6!{*#L^yNHP}I()f=|yZ|LrY%!s>BAMQ7>KoIpm;=`>o2k!KpLK1vnF{0uvhvoM@#l*AJh8PUcC;9*La8n0XusaK&lgel)?l;S!O{Y zO6?Lm;^x$u0!gI+rxaAs<^&1^%c=0_PY?qm+LF1wa_fx?LjYza6V0@YScVu^9Ct@C zWfh}j=Jyxy6k=oJnlK1Kk_rrUHx*7%IO0c@L#bJ=k~7&*K{%khW4%TM0ToIN>p-kn zl}q^K22HN>m_#|Uvlvo-3NV!OX=)B5ctrGpOIiISoa^ck>Z%klE?K1q^*Ut_=uTX9 z0TrPr1QE8#c6FwzVkk%NP-5^v&puX|o-dDFSw;c?!8;V42qRiz4_riw%GZsRTtqbW zR*q9#Cq{V4)R+Z2HDnrhUoI zm8RsF#cCToZa<2zn@`zlF`H+oIdmv;AI@;wx=zHV)lQI<#`i%x=YVikTxsKZ#|m~+ zt*+WfwSz;aM2U4ZV$8V#msBA`0Ra%6s0CIXQtkPX6J z)XXb$iA(fB4!_EJhMyI!WzD#cCsy<_iz|q91{kS8eo9$()QZvZ&avmCigFz|t_WR~ z{{u3_U7y$zV||*KE{|zbjJPfYoxjefd?y@5^Hpf6Qi-u1Sb*c+!p*LBB!W(faAt;M zc|xlR6V6FP9ZAcqmlNV{R!Z~t)EFHSD;1Mqsty_{ry8=73Es{sgcD>Mvx|t%09lzQC7y#J=|m=y zkTm1Ll7Fc$IG;-Eh3u9#zq40JhG7r z4_R3J`u}7-e zq<_7prq>mg%p{d!M-))CsI`|g?H5KdHP=cDl3P7f@nGTifZ(L~;gCtR8v+=C(M7g{ zawlIZRJsHu;wVo}%(I#XN=p%EblX~DX%6$kD~iNg)+j$Ra-n6#Z}=esn{!v?8PExF z)o0EncP$E)3{=J7attC%-otPK6k)0+=lY-1ZOm?j5gQ9C1gOTp(>q;JVkHBFc#QPr zU;+PcaK{_Z@1la_&Zm-+ao}A-u#ySaO<58KCwT0NSc-NSl2tLw^0|K`SGcP4l32nq zG?7(2FI_neWZ(BH5pzd{hg0;EtK2sH$D8pq=$Z5OZBRLm5E;@tJqeN}#-w`Dwb6`*&3JfET6V zV30*4Il^(__(*Qu`NZwtwc3HOyjAu^1O9FlE*6fdQT*3nFr21&;W1FP&&XZQvmK|8 zOk_E$?v5SnKT!r0t(z!Js@#k*>ur+A6GUctUYr7tVBd-ZRWHbu?K!a;X!mD7LAT6k z`V@p5!sw9qh*O3vifR~*F7Dt2myFxc2ysu1%dvtFTMxGC2u4xPXDJ5HxA0}=wqh6- z4o>8hii><{vvxhy^{ayOJq0rD9}_NFfbN$aY{h2>^of)K7xV&10m%F*CdCTMVM+e8 z|JAH@+sour_o~E48VxHlicIWj$}ap9C`P6D{Bf=STmOI5N97#Hk%8x2a796qFgLZb4Z znNE$=^THA4?pyq4OA2VMNq=!dX`UgP_VvG@83WTWys3R_e9ceMSrbKw#1~^+m?MQS$;i}Fi?E^W4 z*nNkUlM8BHkY~fc3YR%z6bQ2Or=p8s3Q#%ciu}4LTLwJf029AgMNt79n%9ly>fey9 z$2?e%0&^Bl6#bxqBGl2!9R*i$UD={%UbxUeIQ0*lqwUzdaqV@1p&Gh<8N|c?m`5sF z$`5`=vRF7oIo`Gk8dIJ}R3VTZW}MVj8yKpJqNDU?MmGXaH4Sdbp307hbym7iI8`7c zE~)|`Vr4|B0U?@Y$0uM=nB6VA(r13tBdgn|R9bH{j2)D?w_oMyKUgHeje9Z-Y|F9lvE%}*)l1{(Wp z$+OiHWhbkniTlv|M=x5hTJ-Gcvf2Da-kwZ;F4>>mykp~H_UBe^JZ(3UJ!9t|N}K)d zX8fa%mh|Sdm;P~odZ`>(58b`O%b8wzzP3O6{a%KXqfFIP{bsYdYFD1m`P%m8wEpSW zxbvL+5-$K(bI-x>@zqoB> zXS-R{mu>PJ*{Ua~H;^m>FwaC;5 zi~g)e>3JOJ)V3zC_wV+7ZHf_Jpq*ulUJ&BWUuVFu$v5mZ^!=9O3FX=Q@MJM z0_(#`^V~bn7i^H}{9n{})Wc*KTqYLbju$t*vpv?w@&M zw-mPIe4G_AEi>}oQ=?$s9 z4YmNU_jq?Dw2ci>;g%kMeq>s(lCi@qb6G6#>I;M03y9TQTQ^0N6)_sN@!#;4e%6eT z3r{BcH}0vl^$TCUaaTTDlNyL!`0U9^LH90v^WKR=<*{4uB0kpDjP8EN|HSu@+}X2J zzJH&pAN^}DZk0t^y>dxsV5GD?c;k)lv>UyzJbnANf9Iix#-F*pIP~w^c<$4;=U+Sg zfro$Z(`cvv;17Q94}HP<-PLz~zdh;IXna$qtM+KDF+S7VX36JXSx?u}XscrT%hOLc zcMp;9=4LZrv2)gvW94gR(~}dlpOw(Cm#VEl!KR35U0wdfi(fU`r+KPr+O}^u&W#%1 z>z}=RIp5_W(!)=G=I-Qchv%x-#-&t;7asrix2G+e0oE`5VOv-&*x!1WWu|PoIp(kl z&ITDAAMcf3`tpy=x2&)i>Y}&q{r-iYzk3(H?X^#{ywn*Dy-aoP!tJ}9ieuSsy$dh( zdfNSJL6krKu*7hX2jcc!C}`^Nh2B@VV=&i|VmqO#Te;qOr;OLplOPNu#3 zs=YntB|-1;r%!J0mAn5IB4BlF&bg{LAKMz+bT$3RM>R4j z_O8$XoE7r(Us|w#;^BwiXMC;eAN;|8@S75RHSl}w3F~>ASkk%rh)s~#YC1Edbei$} zCfikm*vXo> zVD!O>(SHVmyo^7+lYMk~gw9q* zn`f;8hG*kLs^_Hfm3`!~ci%1LOnPMVDwSPL+fqZP`(K{lKD39{CS`&WtgT7#jtweM zQRUtlOYj>Pu6B?(CrP2t3!L8?2Mx_^~I|AI#Vel-c{V z`R+|r2{EpJp)EYS>~qB=ZeDJ^l)w5>ME214jTl#GfwTJ7d|LgH-*}lhTb0iAKls2~ z>kWsu5j+|{TAc8z?x8QeP^S22?fMjg51swJo4K%_`qAMeQ!E-!0aZG`Y6V8BoeEtB zetATHk-$eVKm)umxrkru)gZ8BU z%b83~^P6v+K*iE;dPW({4qEqK;y@*D+wcBm>UtJoAoqH+p1{XSx{|@7rScUfBPI0) zSZg+&tvpjV#%4tnYJLw>Qyp9v-YQET5k}Q~|Es^ha20@ZT5t9I$63EpYO6nf;;>nd zqTlqY=}Uea-CfCyOmp5x$8m7y7VY&T`Vt9P*8f?T=GUQ)CA^Vjc9 zDu>;Stp~2MtCiGSOp8-Y)t0tJvmcowcv82ojzF@VM>^_;gs{qIr&I05s4y{5g=~Qi zXw97#VIcXt^Th&vx7I0=-5DP&B%1T}luBc3ER$+=Day6Bb}*t4XT!Osp>RH#(0oX~ zjFf9_tr)7p^tEMjWH?kH9IcFBlS-?mzAXr`p|mJ*oxSxLf6ZQdy>(bx>x0%qpDD`! z&JWwys&$G&)7gq6;L7UgN+E;U#|G-(RzLcMFPu`@|>EPBedewaDkaRi3hGb7ji*KU#amKsC0*P=-t63sH2hE(DN2 zT8XJyU9ln?zgmsvuJp@mvA4FyoE7!Ha^nV6&0e~u?JwsC(;LhvAT#Zb@k&Lf;MAi$ zMG1_}XD27>g&6>%w3qhbi7nLA?lc^mPRfZ&0a;Y)_s8OjkH>h%F zMs7p35GC1u?(@i>WoE+xEl{u3e6|Z{+UVPq+%$Jz!s(@xsoCG2S#?;g0>(>P(2T>K?FZ^I3)vmCch(hLtK0En9u=(IFs%3G5cK+eQZqRI7XfF_e-0 zcyt%5r|pz7L39MH6x>Qm-f?d&m6POihRxNAV#D8_0$*9#k+Ff*{TB-Gx<+&vBK7{1 zM#rv=i+nYo{CDfz?x+8CWh(fjPcaMIUK z-ZrNc7qjDrU-11-cYJt9ff3IDif>h>h4|q(|V^b@2XVf z-+kMlzkXYZ@NhMUKNu;FyT`M-V+B%wTEs_cJ>3?aOV*AVfOSXIFC*Qcb z{|wt-ev4CJ-em2Vw!gf+9VgKo|H|hoZ9TcUJc*`%^HX1oEhnb4b>2H+nXtCv{Ld_0 z`pG!`rQ81UR?|Gg_Lo=QI`{mq{K^-<=zfk9X}i_{o0v zyP{(GC#lJuw{re1c)D{Z=eM+p=1#XQXy)BHKd<=nd+XYYGyl}5M8yW*#eeVQLjSv; zg33wXg36u#R!1#r#O5N-)PaX1&ejo6U!Of+!ONG{zo`vQ@0|>q{iEQi;eiY%^lUY6 zJ;Q^Tzqj?%f6DshkgYK6FktHoBP@%k_jYZi$1=>8hrM;*j@;Dk^6_zw(=qB}%k0d} z@rHJN`YjZPHO}A4(glk~u7AnwaL;nJlAD#oQL~En7d{2o>z#X^3D7wf2|VRT)pl*q zZ>#x@8=E*9s4>jL=&uqWYXIgTMEtfk?H=oJ*&X}g70q($PFXd^CE^gGNSY0N)IE<1> z+!k@$-?u?qY-_(<;J$~Ql>5y0T2{1-`&(nEj-OGD;CX|&v-5mM$B422dW}^Wjv&@A zSkVfn!GK4M^uj^ItH`Z-ywx~VDdcag*aMdz1pj{xopy61{KvVK`u`>Me!lzwAuWTN z|NZf9FPip`$N85^jUr1_W@*X(_-v;vc3Am7a!~juzscNSf1Hh&Y z9~A3(lb8jHq2Vw@+A=xZj1p?=u zWEEW(Qzn(0?d*T}>j-I`lGxM?k)sLsBoN-vhuFZ0^;2rYL{>DarYiav9#c>9cdYWt zC0HENF?@fI_kg5DNxPub+fkH04|W-R!6gqBU&l9q2=uYrM1wl_R)N5U&`RTqpHosR zr6N36W?GU2wy44N0t%4{_%^>;ClN4WJ&a!d?)Z=z*4$gNJQ=013R&C{MslvpDHCd@ z@KDNS^BWq=Ace4S6fmW;+1oXpxsNFOx3aPg_d$}zTk_16$)m&q8+_T$t}_xkNqrx5 z-QX73TK_fp?F*>7lgZ#dnmjpoSRvM#cWci{O8WJ;pWy=GcsZSw0}3eSuJLNI5SOD! zD#jI+wZm@Nnl{^^ZA?>>H>;aeg4KoSs|cr6Rf+&xcq*-6q~*v>$3)#2;)rN5qIqlT z@ixQM+$84=WpFyew(O40M1$L3c_gXRlFfs(J1|e0B*PmTOg05AmZ9Y8h`j*?@k&H; z5(BuX{Va$O*SB2O;$wvL1a?8m+=Wy|%0ME@>8N}rxCjsdao6{2@ISCy#5;#@Xjt3$ zd7?$4iXV_bDA8;|n)|RwQZ|)_Je3#-gCrUDUpnwQ!Cs@w}+cU5@@u5297pm-gln7Lk@`gLgzY3TjA-$DNq%F zKo&mt0juUS;y|62afC{|&P|AL`&vjKJ*9sa?P706 z4=i=Ks2S9F;#`d0uxz`ko}l9U)u7nsag*F^UfCpF<0Uh)~<6EdYiA0OKV{e(p03N@^j`Wq%}1=thl?ae@trXHn<2D3Xh3@+q_m`-BRjAkSC5u zH}_$KG4zU7nHIg9hjL(&p~AlfX>YtS2i!^1iI2BdASq?bzv2v0&jJm zfL@OxO;}YritzGM@Q*u2HLTHsLSoN&Nb6XHaM{xIKY@ zM?b21R`vOBkf>NeM10ISOf~!i(yal^d6^X=xaj?5SvxW|^*evY%GWV9rQ^X06^G*= zQvH{6amD$^ZR=8W`D0wMz4Ff`SJ*C`3UCF`P*jg*r{-c`uIjubEqwGernD%SuF!W8 z2y9TS=2EhzQkmGP>-0edp&H8yo$}sS;k2_i!3E1_kWrMBPqT+FPNYfUb`gFTlxo3? zrr1!xuib?rIcWriuycV^uHh7jR08)E(qdsdDaZfLFAK{>6uh`%p+OyILBheuF1=Tc zL%#^lbcym-W0DiKixjMLM}^|_PC58Er`x&2s>d#>%*3NGm961MkQIJil5%NtnVJSM z1d(C|s9e7*y(k>*0c*hlak_VbO5~8IKI_0w(-k2dk1G}Jq7%FbpJvR8ljz|aD>Ojv zn!rPQBlWa>gcJ=MjAZ(Li5d`ck}NqFa?|c|XhpJj|2{*wcWraVk~Y(X+u_>fRt`S4 zK?Nc+u|Q$AEq!rCWTrmk(ucy}c$bXFTzvI@8m#dz8Ol>0ely!UML>DzJc@bzgz+J# zj4|Pt$FF27c;Ut_FD{&Dlhu6sV{jB4cf`*XFw!!?5)m#}P6MY1_(;Waj)b`?>Xg=5 z<+$@v?=#EsbH0pd>K2xk1pI+`7NEq071-hSKMow8(11yh0kp_i6|!eRa4IZZpnRyA zofG_MED2Ld@q96a$g>>ff@TH0B9$Xl20tq;XyxxUQlbzYc z{lbdffkF{ROyXqZL2PV%ijEHp=8Avt!(3J}HG^4|t>44^wk0~?lnYSb<_H&}gmj0P zY;mea0qby-X<2o=uug|!KqUArF}(|inNg|B0!~TX_djGdPaCh0NRCtIrvVjmN`46& zo$Bf`u8L<5EUulqALybTBW?j+a@Bb|0!7UT?1m6UPp;G*eo*g}xJ!T^S12yW3T13g zZLj<`!C}M%gaSV%9GS)ON%3%M0zNRxS3090*TWhfED&)pnFr#q+VW~?&4ZjDlIRhH zB`$JzPPHNY0GT+$Wk4!>-kH<{eplB}9B^ay=wJ!6b3xF}=&b^z&I3SUmgOzo@^b@< zb){wnS+w4CR-h_#Nr?n7;^GISA*| zfc>z_ErR?JYpo}XA{+9pJaz{Nuy+)>%aV#B88o>Z*9RBm(+M)>bG}TF54mM*Mk#gT zdBgo#TaJOSOU_Hu-1YsNJ6-Jzs7i~cTrm~1eD|F+p;vuVwv~k3&bRJhiu%V=NVqI<}rjon)kRoyp zY)^rrAdXs_wF=QhbH|2u;US)PK?0-Gka%w%By@F#W!y{`*JbITw6hHAzIaTTYvsNS zBU5q`#DOJUr2XB!CmH_pzaXd*g<9kzT%@LuE}cX26{}eVidl5EYz!)rg%Q-RVLVFY z()uT;2`Wn7gy|M+sfy5}HB;$NL8oa6ihMa8qeF| zW&RIWRIAN;7G{QzyfLxlY1MwNX~L`u)cl$ss&}e_&v)-=M0Br;PxMr0hkvcEHZ0kI z`z6_Iw3rMH?|_t%&l@lXoT-1&d9YO-HD~r}J4E0WzjFgVosAk-m2=PjXj(ZESs#4;#p6=Mum%4y14#dH}@Uz zc3>RQBlZQ)PlYArS_p+%_JZd8w$m6G!n#e`00n{ZtR!>RV$3pu&nKpzv?E)2c#SwT zOBTjg98SLELz9dPDv?t5((X z)4FoALm{<6M!6UC*gj|L*gx4ex_VUS3=ccue!60hKX%Y(gTiWK#rUdiJy{_?@t^rL zzHL0bxk+(6h?#97vLl2=HEp||K6Adg zb=a=3!KH1P5v9+3rft85jVaHRQnBFr)d8ZgN6)=;mt55dDu}FV&P`~pw3=4iR)cF< z^9$zZ98J;gOmA>t%t!7F^9j;x#;jZ7!FS`avNsLqx1qlB*pIQc7(IRF zVD^@>F3+p&5t%%o#9#&vy^VKu++taD^+I_L;(HnSg<5ru^M+lNttFOOavD9_{ zql<;Ly&k!ZUc?IQ+xL!~4Lv=uj_TfmX5qn2^Zxs!vO8t|O`?`}Z{KqN@1I0Ze(BLG zBFJ$cp=H&>zdV`H1ZkUnM_~3SmqDRg{q&1kC;#x7nziZ(Vf|S9Cw!G2FTq!jzHnd2{HU;17M(o_Rq6$JmU^ggde`gIB3sb?(t?W3kKe zy5rKORRmw;TUClvxdcOgD8a)aK4v@%o(l=~k^ZnPuF&=ObocpjS&OeJ7=m@><{1V_Igg0dyzG-ikv}Wmot05Lmlse? z>0%F0JYE!)x<1b22XA&K{I#vQsP+5DZ@B&I?@`C1bVj-$QIdD+z^} zAb5D>KokkS4u9iJT}$w!GtTL&^`g;Id6-%zlIGWMuZ|!P2*W4|FGo>;r73{Dd%M8I+_@gZN>gtdr z7TQ$9nHOpN_4nQ7yUI>EEr_03-8F#DA~N~JheHYe%o(V-N)?q(@YKX=d;N9LaJOzL z!|oHJ((663c6@9ef_HX=Y_JgysvGMUd)kE7?+=HfV(Iwxyg+z^S;DXCwOfp;xW2z( z{yTr!D*x-WCGF3+XI7|;#7woSr?!O`J3;ZP)t@<#cV=TVG(07v0<7o+T}<0KX*{DR zrd+u#5i@joRTdMCPdm_{gRN>laL}8+#AjY(gxYdrQs^)AxR#3@gyJkF7$hb%gu z@!f&$VuI|~{!awHS`D)h;?+GZedf%;ft9+q{ZWaoN2gInKIrxwlBw3vgwAOJWzEG`%hE04NbEmWDPbUJovr%TJjrK18NqSsr0=4;4_% z%omha_t!vn30xp?dMoDPbtVejpn~Dz6gFQ#jWcx`=$J{GYM|jwLC+6-CckgT*!GlI z0D{3A{)QIVTiAH|7Pj43fVsvwP;j@C!&op>NTlp%!IxkoUO_wfI7KSrm--nW98X#(Pt~SQ!>O3Z=r|%;4pXlhaq^TcfHn+0xJOw~DPLAz{lr4!F$>susIb+5 zuOK_8DJFNFGFJBS8SxUih2_9Ow7aj$ZyrTOTc$TWuxeXwvsS&8;BEc5b%sGS8Gn@% z&*<)lXxUIg8l>0qB16O$IVvk7qvjYkx8W&09VVuC=HgDCN+&N~RC*63$@o?eujB=S zuNRA)nMJO>{<`4(^<(Dr+P}&HOHjko2%Gtd{I#_wf7~(~dit@=k|V~r`)Gy7F?b94 z!|?lznZZlWQ2F2fsw#a>d$0I*^*MDF7S2_C6dI$kGYY};*(}cXBM%bg%{7u&4ClH zvzrnX23a0AQh>Baj%tC_xrC_ug)COU!ga5`bk{Z*IjE@vi$*wVFKpULQKinE_&{Hd zc7ZwvKXUYw!0Tel7zs^K2_Vy(2Z_l2er%a4FU*?Dww!WdDyvDe1)hL&JZ@dxxmHkV z6~H-vre(bNvZWDKBpjYkA9g#HLGsf56F%kIKVk^59ER5S-v%~AdG35P?hT)6PL&kdRtww&yJj^j(%u<{c> z*)(yM%$@EGnWMMZa6S4*#6S-aOX~A+7{G8?Ui5R zgdQxAwgdSr&a=5On{9XJ*~CdSCnqWW&EFN3WFK8wZpDFzJHM4jI@ji{w{m_(TXEjv z92?c>mCuEL-Buct=#szQw6H?Mbuy3f}-}-`dUJkl=ao!SuS?qa~_ltNMvP zQ{1LmCBC9ZRW+8J`+l8cLu>M2KYXbZMwK5AkOq3>g-#^f_pT3>G2MPulVxjk63w{R zoMXA)xgKTkSQyYVJGA}R0!Zx ze$Essf58Zbzc>-jvd7{TqO&u3#JDd5pO1QB=!u_Wd(f2I%yW!YIa5Z>w;^_@H%CLf z^ewX^%q(DtZOIBW?BLrqm#NWI9>>kQ6Ifr*fHA{ZkXaHB%%q50XWsD_?dEgMQ@!i9?{@R-A7MoaL(AE&4z3B5L?f{m7~E))%}~l{4{UMvVi%68CV8O?lyg_uuq4D8x+TBk z$*C0@pQ4V1YIb0ye<);)@=3 zB1xq?b)_CF5wQz-(lM#4zE*s!T>X;Fqlnb^|6_xvS~|?6Tl4ZPd=R#MjhXnd+7jeo zlb2BXe0)E5-8re2d~KfGDo!XDVPQ}1F#B+Y5h89H7wwF2D%<@lj**rm8Fs4 zN?e(pfxv!q@FfQ}>0tnoPJpo>g7O=gcL6P-r*`UVlz2=ME_pf_HTI^xYD#J;79f(` z;w2+zUSzhQ)ooY@NQ(eZWO6jHb6zAMuT^GWN@KIkK0JO#nb6=7ZL>(07K&p89FUWy1 zC_ydTRLrHtU1BXZmQO%)TtiVe*=EF8lD%i-%=w+)+S=SofRj87)>k`f<)Lt<# zeI!s&2|RF92Co2XR2SZ+wnFg(@1U+)(2_)V2uXtOY%}BWEx#f80Y6KPR z{2KQ@cl%<uX$e85}AaL0u62brSzYeRk&$b0o;M>Bb zM_ubC4mJbYjPNSV%4R#_H71u zaNsXH@7S;(!S)(C!M>c7jF60b z<~|)KL4Xc+Tp%$qC;5aMtfSO=Qcgq$P_m=_a2fW26bAmKksGRa7Px9_#mBAsy=4n z=8}BvkWVlE?*ysqX%8?V0g?+9pr_3U!wD%ks-QqobpmjD8PNEF+5r-83q2)QtVI99bo~C>qUk@qNltq9SC7bYNy4 zd@H0VrY9?GcggK85)M=~`LN6RL$OHO?eZ@-p$5%Nf_RC-qFw1<sl#fiy_%EpDwrdA2DD^199>ULc_Mihp@=AROx z>?N9QD)~qz;D7kXG-jvn6fj^8H07s)Pbu5Jf?^O)`VyIg3$KH!)J03jtR4#syBU^2 z+NGik1yE_iPW~g?Y6-SbNkpsDFrLj?a;m?GX;|olMhFvOFWt>q4qA}1?7@a5 zHMfFURQ@@amN6z%xU8S#jjUUe%6(}Gj~^qlHE-&Y&qe!dsK#~TrDn_pNabSy=(gFV z`iHn?<>ZITb3;aukh_2yJ+lBL=kNs0h3H@T%aXbTyLL;N_0Myzx_j!b6qSj@c@P$9 z5!>fF2!-}nGW?T74slJPg~8dv-~c1g{gia-3J8U4AuMvJOw+>#GIPoNU?jrV38}S3 znl%{FmJt?;T=X>sqHym*%{-clNV3mH4I&k!Y#ybd zQ*N4R48l#uR3|*+$(U3$^OIoN<=DcN=rks{JFyC&oq-Njt+3xgnoK%6gkoVr4^YaQjm6@HnYoO*<+_YJ1NSz!ma zb3m0c)XaJaw>iKR9nF*Wi5C4b7n~PGsi4(GUGB!8UH0O~KA}jFX?+0ui3v zPl!Ld0zwX4gy9H@8Xmb$F+(^5+fl_cje-~IV6_*X0i4=`g78RtAPev_JyZ0_ z0;h5%u5rnIQS2ZY|3S{K6^?@aKvm{Ij!K;pDMJ#o;E|@woL{u02PTj3I;t7^bf(hf zXDXgriUTjJ!Gp04bN}u4ZyiL~w}3J7{uE))oz`(F$O>=v5?lZUIBfphuB8VlHZE zhS(#Fm+^~;s%nE13zq;cNTZIal&*uXlH$rluHJo;P)LB@wIiNGna;q$p+DU&>I~i@ zAiCf{XIvml*Hc6>&9YMd{WIh%X;2p;We3xOe`*^_ExI}aaOq0HvK7JjmtUYXrV7Ae z1-xz;3@TyO3FUZpS!N(Wh(&pu={;CE_sEW<{5-oCb34LQ9WG>8oYrT$dcbv1;Y$aIqs;cLcs5 zL7LvmbE}0;R&pR1T#Bop=uW0#T)YI-3EBOaJs;`2!nK{xX?<~{1zn10DGq{O8s1lmeqx0M2_cTa}#Qp}*sUl#AM?o7ooWwIcmC7s2H=V`Y=w((M;g{ODC zLJ%JvM!x1{J_RAC4{{m04PVn8f)5$uHayqX(t%U+vF13925mE&Wpiqj^6{`v@7i$p zS2u@0D$K&?mb7td%%iOM|{^o#>{cYxQ-u~dztO%tMo+}V4=AV6T zvss_id_Y_l=em^%x3LIo(%AlJ!qdNLuh5ko!@@HxtvG4FWw*!g8y0_I>x;%NUSpA_ zy|go*XSm3ouGERArYuSS#e)^yBCs0*Gn=3HjGFKLF_6zbkzl*ExruMO{lnK3EO#G;_$I}@H++x#4T zVwZ=&yA4!o+L@N@f6GQ&+xhwaYw6%t4@K}q7g^u7zsN(&_2bQ}_R7fG8IOM;cia2K<@H zjp8gv{`6$hs>FjbowCIB!e_^{K+VA$t>O%#yF zXB$s{`Su*yt&guyX{)+<=l4$x=F+Q+1z4=leAPYm&g+~7Pdt>r-MZJkizA}N>Wg1K zIU#rNyr`%N@|5mHe^>yPr4<$2t((f-zq)AQA=Ov!wXLv6`Pf^k@a20>?)57-^5Tg5 z#OJ0^VX?|P_sG4{zwpi17sgFJ-$xadCwJd?1Ap}guD-##U+X=S7su`oZ)|AYeAVB0 zoU?Vl#uunk&hS#Nlbf2Xt>2Vyv2Ta6Sw9H1@t?w+UT6mE)697}j~!en(;lbv%&(Th z89rBTe3kQT>@)uwYb#4)^9(QCeIq@0;qKkqE~MkNJhG#W$Jak=h2eQ{_s(?6$q1}) zZBMA|<2P;u{OMb_zEk??g-4oOUh100El}C`%2%5C5euvDe7;PvK+Tsmd$NiRU+|y5=oCy0XU%9>D1D^JpmZMU=Z@*T6Z*9Fl zTP)PA4{kaBRSwxe@b<(L_wIei`}XBk+QnH6bA57;Om=OOiC z-Ts3q)Sh^3{*G$2)_da*SkIY$^ha)A-D}q@f86PaYrTt4`pMzpTK(8xxyMNoTm9Fl z5${(v{?6ZNTOJUsz5ct-)W&Xyyzda?LYh5aC_mx7pA;H zKe@G~ogqEu`e=MgPmi<+Y;EJkSb><<-#(a5YhQc(vs*HIl3K6Eq`!_~V zv-6|3-wti7=d^Tf&psA{Uw`Qude>+yZ7+xi{@y2 zz47czCw_QX_dk1Kbo=nIzkYp)#C+oJHHqn$ZVT!Q7y2(+!SJ5`=zQ#u6TmzUCuzEd4IU>^d zgBFP*OqFZZ2y|FsBgr(=_!#9Wz`D0{*{Frq z3}#cc#xZ*ZA(M=Ib++Kz@3-=B#krvI1&>nKGTkgeRa7+3Ve5r=FNT~J*kvG8qmQ!6 zU^YK)3%Tm~UHLHiHhxuIu@TJ~&r<`MayhKnkMiQ=F1CJsI8|@l=r_CgTDJ7EdLOw< zp(;DW*H22>=>b@`&tiA2ZZ^xrko!7}gJ>I<%ZqA&^Fu>eoVIu^2->wV>>{$F!4=6~ zyGCv%Eo0J|-dMp!{0zE0|)8x`f^hMXk{HKXhB3n~L%T3vH*@v_;U{;v>xzxnc4 z>FzQ$2UNayX_rqw(-4>HLW9)xu-gHWu1_UkR5W(%Fh>l*udUONVDVOp54qEP5`E!a z@&$C2W=n=1w9GdKvc^29f3|hX=RBm_xAAS93fFq`&$SnlF)03?9vXe0BDFym-AJLdS^G^ z5TTtBwh()Cz9;B9LNY|uoKF-O?wYQf-Q!$JEYM>Y=uY_cfZf4Rp%4vKWFAY)(2Eh3 z!R0G$_#k9qIsK&$Dk=>fUvN?LP86ew$*r#!b=rk#I#EL?H_0sDnil*tVYI@xl8HnO zg*Dps6RFT{e*wI|eq~5IAQT=8E&_>`RQhx)nv=72pptg8`toY(KRQKGtr|xESjWa& zkwN;>OYObN;EiDnubwIwZh9SVDYoEXH@#i<%D?dxkj-Y8@nDF%jDnz%1%9_LhyrpZ z5kfIN1x-_qaMByAyD$y2gi?3hvX<$YVI_q5&cAeICd)1!(=L%pMI6Ze5}v*ZD0N+02#7P(ZryNdQ} zc|z}4rCX{-+Zmk}98l|g9@8kw5D^|&@+-C#vI7 zSrd40SYrx?Czs=T-;Zd@edme(pEOQ=(WyB7{-@afQt-9qWWV75x4Giqp2%yv0@=HuOY|ZXQ4XMNyj_Z?hFg zRI~->sW`C}r~S^=Ep5eV+pD<;FSEC|IaoMO2|9=W#hW*~ElU04 zW$ttor{W~5ITfdUAAMvi&Ue0JFMnOl)@Pt(-jA?l@Qi(iiUa4|1XduRU6{Yp#{_B$ zbCYJ=z^5aDIpt{p&m}O)c%)1VU_Q||#95t6b@J9J<;bRaAQ!$uypw9G#g zhY9q@AaJs6Y2wT#MlwhiSpTue=JUO;syVV{z&YLT{y6vCbI(2Z+#m0~d+V{N+)rX+ zJgigHEWxE;R^x;?^bMY6$wtEhN%@Wh3zk+N=)$qa-%s0{R$ScNcbgX-_he9R@ihbM zzb1WXFG6*4ogJo>Ded8q%cq>1(h_AecI0HUXMafuk^W^U{xa$a1EV8Yjyp&3zh(dp z{8fNBtJFtAe7}tE6~HXQ=@I1*WJ_!Q0K770_(6%Qw73f3ujE>xBuAF4W$^uCt%!br z|Gn=q&SSE)v_8kcgv!;`?(AL#2H=ef$h;>n?=UFs0`0~-0^TMv-!Russ$Di{a!6!n zY@1QzB%Ou!F9`9F`2x)wyr1z_WFuhuQ%p`u2*#5+p2)<22zEN6nd^Lp#&@Y*tahNH zw^aL#!+z>(O?PJTZW`=Y+Q7b_5h2n+^h7vOk|vv)+qhtZ4Rn!~J8Z8cQfL~ueUc6S zSRI>$%oc6c#p9ckKOw zyjxY@|0W5YxakxAr4(2jghC?GpI;=)0VPC|fKz1wV)Lh-W0!M_;TyZ)`!+-M2*y9^ z%`^W7)l%c|oeUV{re(o}=6I9{f<4^=G7v0xazs(l_z01Uq5mrMK4q>)^WlDQ<0UnD z9inkafGy%`ezlK9qhyk#1@aezg!cAf%QFq|@>Mlpv7F0!1yd^n&`5&`Cg@=!v$H6X z5GuQjaxi}#k#ha_NeD^>;ucvUIzZW;CW#c(mZ#K_T_nO9bW9|0vDQJeEFa56LR8yn zMN{N*uwl|j7Z-@~R^m|I`z^(=fS{WPB_sqRRZH8FZ~+#BCwITCP`T(Lj;uP%TlFJ% zVilj!VW1u&C)O;SZ5FvC!-z#0D24jp*0&5YBP_Utj2ljO8I$i3u12f3lsh~XkK8L+ zmGsMb6LmQQc0+nU)f(7%-Yz6-#Iulh+-5rol9nYV^5SEzS#E_fIXw%}qZ44bz=DHR z6n00pfQz>NM_PC+^V>{WqrSl6gS1+0Bwx-|XAR;>wMs+t`{5wk7X5jPl8QhvsHTv5 zxx~U#$Lsjgi-b|n68w3ydM-m zQ6dGRV~pvLT_<-yO&rBbg>xcfyG6#FImX+VcMr{ z(h@hNX_CkQb(;%4pk1*u?hI{ z6fCuoiEPLK(?mVslKXa&)v5?4`91jpPR5f7X=fENoU67TJ`G?0TB4l6ugnkc~K3m35YwH2-odz*@}!Sp+hNyi>Fa)4_|j3^!7n6m5#Q2d56PE_6%>&HLB4b99 z&iNKvn)*#w?)YR>VNX44Vqf72BgCj}`(*YRsNkM-PR||43TBvAFK-jbTF>o51N{6Q zyHdE&-E~!=E~A>u1x>(usI)f~1T9G_ z9j1)j0!@Tuz(PhyF?PHWJaT}Z4$@=zwogtz4@xu>IyM-z4c-X;h^^wF^EsC=v0@o}EExGQmg)M93^160#*nwl4jF&TMT)*Ub4M zHO?WdOaO&%)ID2byhu%9`D#ZTSfb@LrE6ji=2LvHDS!^7DL3+~Oo;;&x$u<32uDEO zLm;8@&B`telMRyLB9(@9Jst!p!ocW_W=%1!)5kzGdST#1vo zRMv}+Knl+Re&BTr5+m6u1+y&6+6MU>5eFD# zTWrH}v-ZfHv$p7cMJ#af?ntbTK;2TX6m40m%jE>dk(YluXBA1x5{OX-)q{42l0lA{ zHm`}TNa{=!$vTBkq@poOB(;8f?LhJ}s~j8z?|wj?Lo|%Wbo3$h`R%w9SwQ0*S zflDl@mYq~e73Wsi6bU5=d0D26`$|zck}T@6N!xzlV!qlcLMrAE%}93y+t$tZ3+T+& z_QwD3zl3wn>-yGaz?8F%PqLI3|BRh&m=JvA+SOd^rwDG zofGn?6xnD_Rm=&ov|j~Vo#3|#xf;`4xKcBRC1-CjWNL(I`L)TJEH^^PZ5T7B+{>O_ zre(nylak_XEgaz-g}eM^|IBNTmVrcxT*{GyAt6Hyv6Xnqms&^lxR_IM;IsrS-zX(nc;?qkgAN6>(q#)L zl2r%?0+b+Gmakp8b6@huu9Z!fNBho;xUCTZU_vg<8i?8=mL3t6FH1&XvTvAzvM{qb zd2&Dz985^eK8#s?jv4M7+o>kJmz+EhSzdkVR$jbGPD}+EK2R7LwgeMs{`9 zM?eveSR%wxBoc-lUUqc9kRi$?p-owyBGBWTm{}>soSasCNL7oZc$C_31ZgKz5(w|X z#t>L;_{6U3lt=hTj+RmIiO>onfux9*F7QO`B*%fu0i}ttFbEK;hWccL@QG8d#7Rhs zaUDVLNPId#D&W0>`6}=p-}_ahnA_j|KUGFtVJJ7Q8exS~AJ5~etY;T&f=PHc& zvXq<*Mp*L+N05|RN#dkQ!ErS_1`B$u&_Y0VOSv{tn3&}HSq}1$sVxB-{za$~QX3oL z3%bj4DI}+5GEzWL4z(bDX2Tfx8x}v7VG2mKb1Sv$gC8gSKnh33Dox2&4om`yCwf(Xv6FARBRC3`493KwY zv!_Cm{u430YWuY<0-BH?K~hQvrm~a+NjDbuyFU&ySj0$zhGm77PB-9Dsa}XUr0=N} zHe(-`7a0um95$4N_lw{?FSa4~@F1(^9Ec|_a`ORU22up2J(rmW+o+ul{PfqKdV=Ne#T?15Fn~PX9?=mCBiSYnSGNC z_x+q3C>Ot4?W|W}ZoFoK_rjOEfxg;fR*rLP5VNFT*(AkDNY6!d$2Q~qK;zAAvs7B5 zc)w546Dd6TL|H?qhKa}Z@vFt~pduF{8aMmFcDK}0IqFJ{1<7VR2U=4Rrd!PQgcm)J z+3xxLe_^O7wnl200ZQEEJt*S1vKa6Pi*r#lMpfQGaPT$+SZUh$2h8zuk+Z>0$Ehfb}l`EX3F#P z|B;7^;O}CmR~dW9^PyK&|L@e}#8G#y)P062y}N~lE_8J>Q5tk(``^2p^*-&noqAX3 zO$RaU^6;UOR^wCqBEMs=@e6#IN~#+hVO`-f9AiaQe*2d%`=_bsqH4HrPvjb*JVb?a zvL~!LIZFnIhuz?C%E~D*h;%?sV-oYzN4)ox!|LpZTiOc>3F3t~r@$C>;IGp*j!@8C*yOW088&!SS zG#ri?Kjc6U`$;c^J1P0@-}}P54^y)4<>|v_miX2ANyNrn|2qv-91EJrz1l)~JHKKz-74RUX6 zzV)zKsDd0SLbPuA;K98pF6kigi}|0t`7S3yjz9LvS01KWDvqN($>MJ{l*u;xTMHyb zyZk0MzAwK)S%30xl5(bh1(WT%?Q-{8tWj*aOWtnxhfe5yR?jy0e1XMY?=zo~!d>?o zzw1xW_uqb&g#`y%uAq31lk|1Srt5}w?V6su_0PPrEybTZckLQa^K7!l?%-IKo11#= z+FyU^XUV03nctkX*`WIKe~}f>H)IYM{JM^djb!1AGj)@?oCJCJaIfk4s~=b_bYS5Z ze&XRcCFj2Q{G0~hD9+_*Zsy$AztA+!ojUb)lTz0`bNUvw@A{wk_?-iq&p&he;NV{5 zK+gx}DK+csA9?EGl%p1U=RTjMf&Vkf#15oy+=_7d$of0~BBJSMdJn#1w9AEa@4Tfl z&#mv$FzfE+4Uj7B;}4PHvEDCSon!I5>FKeioOQczT|*Yz*!adRD#_Wl@^u~Xp&Q># zY3EBOQ|@13kmofF1Gjl^S@GsS{6lDx`mn2&&Qq43{3OJrpN6pl?2o`!*L!3F`XAYB zP1{{#pyriW|Ki1!;+5|G;v3?!$tJw4_#48SpTNb@0>AeSDc%Nub~{_VdoYoztU6p! zjcypT*E_LCa$~WblKHbQSE$zP@)vJX4e#UiaFgQ=z3+TyafsiY(0tCFcelPHi$8Pb z;EuSRe&)_1Hr?*2v+Qth)_bR)k;-~KyZP=|T&~djFvP*FyER;Tr~mjZZAg3O^tG6f zt$*g)J5v1mx$Q+lCU;)4IQQHaWJw`KxpxIG#QYUw~Gri_Utly&c?KzqXsv z?ivNC!@h!Qv&H9|FYmPaTrmOzJ1u6am@B8+d8ZL}aS)t4k97sULPl0VPmasq; zS^QlNomu(m)3W&E$I(aB2=ZVzL3Ns5XBoG1DcvObz8g*H(RDIQBV=N{v^ zI6Xm)Msl~idqn}h3X>Vj;_KKcI839=Zk-uHK7-jNzHu}PpX0OV+MeJ!GWp2j*Zch& zszkQ<0J&dT{J9Y}4xh4VNOyc1tF?HOZ2T=yxOLCHQYqDi=cbI9%yE&Jb^V1eC}xA( znK|#Cs)lUii`%ec&^UdNVxtpN%8jLuZ{8(eFUV9;JcsZAKRB19(DhS)>m_niA1z)e zTTE=inDQR9q>7osYDW>c);NUz00Jp&=&B5X4WB8VR^KRjyOZjrmes=AwZq zgShY!tXh@e#0cj|*f#0kzAkP9&RHEEX0j%d&g5F7GQRE+r%j||aM*^?yZehT=>Qyu zXeY5rGM0%<#H^8i;nLhs)NGUEmde$00mUP8KBe7lW$ zI+p-cNoy&!U*5Wv(tlTz04tH=?W#>=%Gvb0mWLWDpG}^S!_QsD$f{y{ZQPzy|YkvH;e7iLaHh`y1*FUc<6GO z{>Cq=l8o(Rx_vVAp1D|)G&tytb68+fjINZ;>zuS`We5Q!p!mBCHReCPqekX_DJ0I?-N z;|Xm}DxZ2eFw5W6YDW%(Hj)X?6DH%vMr_BNUXFYB5vvs1*vXd9D9*OJPM4O>SdLlB zcRGp_`L^Ij9mGu#(Huux1rVM_| zsG>9^9p&cYXI;Y~@opUy=hyfr@=tT#PlLwxC~T9;+jni0Wh3v6K&Ft;+IQUf3JI-6CV_S&)y#100y7?eUj~OSX4eLF{7nV zJW{GifLt7Ykz+XAWd%H@rdZ<>Z{$^ET0%++;3jgr7VihS$YOLRC=pwZc+49ZD^bUI z6;L>($tNW@fP_dQ!;*#M@Pquq!p*VbF-AP8GN*Gl*!q*}txrl&Hc2USr1wVRA*U98 zBp;QdCVjVujYy<2b9^5hDZYK)pqUi<`(J@*)g)*9cS=GEQm{AWBP4t4zB4i8<1$ z$aE?fAYU%mmZ@}uOom-$KmQ_=2s6PWE|l9sNce2Br9?sN<{%DSR>Al9;?m@J?sP{f zszW-8DbU82T%>srUI{>!CFS6XFh^EgRsxcksUFF)$|Uml)JV}ISaCT*9MvSWD0#nJ z+3PwqQoqY@%8-G|Fh{gP^QajY7p2T$uz(dW~_( zWqKHNj@OrmsoZ2uc`?b)^Qz((IS)_n^)RL)*5$UfpVWWGf%>C|uwW2&{WFVtv+CHH z%^GT~_+k@znC32CoHW&D*Iyh*aye${ezJn6k<)_%`an625~(~B+1g3jn>mV~5)ZuO zBRNCeZ{9ccneT(Y5N*>?qgzq=N1b+sTKYjgb@#=$wfgRq7 zQuGT5*q&*^{2#^HvIF2g;#QsTR~KlmUZ%Ly_pF zONDRo+c79DK(Zem6{rUk`{WDPZJ(fC1z4i#xlkV?&m0`9BnFaTc)D%zJ`t%)+_P02 zQ5n87*~kdTCK>qmb{cANx|z7cO#O}iJm%!FS1L@Eo>xHBsOmSIu9pe{vM<%4OSgo2f{`Y6we%Z0oG{#Gq&kkY&<9xm)0d!O$SIruuuQDe0_?un$%v!0FlBk z!Pmu9?eLUrh>Xg!4#%??5qe*atC7bJk=9O*(p&+LND>^Nh{O}VItY1Y<}M^vr?SIb zE3~*{>~tkH8oK3lgwBHS)SsKc@`)@A948JU*wErLJah^yhoMP>}!BDSi;Bp99aO^`+nXfB~)2_iE472QA)F5`AZ9iVu98E5cN5)a+7U7VcyY(D=#gHVFP|m+l@$q!em^9%GO`v0;Y}D(&{$p$YlPdI@B~ zz*??+o-h>2W0`bKR%AFC%S@x$u1uEr*+$S=P1)asA6XI+jh9Rb70N=Q^0n##WZw2N zod}SrfaNWvwp^xk?qd3Z-#7c=Un4#2`jdgggu*Y;5U=tUK5i8KzX^Xgfg8&`KRdW? zlg_#JRMYz?I+XS#Z;OoYc*8zYq^cEMw7N4vC3KIC#ZF`*q}6<2dmXr3mVIDW^F+(V?QU4%HGX!>8Y9Sxy;oSBqBIq0Q{=BD|5O?hBo?vTcu z3Vqh^r(s?)Asd4NT_w)2x$3p@DzBdAG{_EefIV8jjr>qjF4q&RAozJpM2oYy8@+XPdnoqJdu^5!ji?V zM^D%6toe$y%8aKQ*rXc|ZMvYAqP@y=5eH=v_>l^W8~YuGLQHT#2c;A9I4GeQzIkbJ ze`7?3L>?&|NTUbLM9d-woV$!5RHR_AkE+ppK;jr|+erz>=-?ZcYDT0g-sNcxY*I+P zRh&>>AX~R|_EkHi>J;EPT1}yx=^a%&9Ab&~TImj0rTA)X1Ic#Ay=wH%z;5j`SGj7_ zy@}HHS#9i_BsRMuF2gED&T_a|`Wehp8C_(G(njxDSwOY_4{vE-# z6T2yYG!ez40s*Ze8Sx^1=_ZiUP(*|vD2U90Bw(9?H5C_(!(1sCd2;;Cj& zc|ci|2+zP}wAsmkFG&1wR9jj7zU?GyjC$_dPO+KF8N>sXz!0OH<&YC~0{s+f63<afG3(w>3va3a3{H0u9au zDt8+NuX9n3OK(gI-A?N0tEsQZhWqjUA+iVW@q z0~N_re@lxz%FJjHX#EA(vE;(bWar+geN9|;RCQJ_1yWu1LUG{S{8OD;OE znwT9moRL$sRzRcwcE(ZRFf8OPH5`vr0#ZP>ItN%$s98eJ9fcxEL%CMzy1`3af+<(j z6M}$VBq-W+(YF@EfLwIZ{#RSe-j+=}Pc#i`Ar+?7aPH3v)Tjd3*HETIg z4IaHAXa=G!g~6$SM2PID4E_a4fn~TQXz^`$=$EnVOI_GZ(tqv*`h5UEl?Mv0ldUH_ z(QLZNR49+lxiX=3#spRBsEsE@aSr686CC#O;kK~cHcKRMC9WK>%|K|(k~<(m;QmMP z40%wi;gVCxLRgTvR0|+x_mPtx1y>|s*aivZ@Bb5m2OcM9&dNR_52+0Xyk)Rueh5Oq ztvOYtLnksOgH13OK}d+Kf6;@^i0sJ;a#E73w7?aYO@-Y{kd%?R_QXK(pjzmZH3?CB z1CIwOi%-)Uw;+?KKvn$Gg+c)AlJRIOx zp#TQ((50M3Z3HB6Tp1h%I`Tk8F)As}l|a|PmE{L~IR2@!6@+s_>rV?(BoUC4+8~tT z7!Z!zCS0e{HdinP@Ss~qfgB29CO;%0$V>Q$VkmT2MzdPl)VM^c6x8O{vY*At3NKUp zx(;+Lf-l*_aF7UPlC($VRuCBqB=Lk5N5pS0ev_3Yz7}bL`wg%|l1QT>jNMY4V&+G(3NQz$^7{ZxY7tA%nv7up6J=f-DE-pC_nR#Um+Q-$sFkX}+n6%y_H%iKIBohhS!eq$uMri2`$SC@2J%3qDTLIRF-bn!$csXD z(`QQ6rG=G$gn)5`pTw-yi?Y1(!at>W4HZ~vY-L<#4#ElYkfOj&u0&c@rEQ5J2~Mfm zA+d#MQR>3QryQS?bMQT;qy?NHm?Y)J+H#ph&Io!5S_DwHEaY4`Dlqklz-zgJw22}a z)@(mht86mqiyaxB#q}$uFyS2l=`hkGgq1;0TH3Z3YIcQzNMehZ%UdQeAtO?Dv!iuo zyWUci_h!>aX(w56CZei<`~$4#SMAh)N#{6Fp6$5JTQ(B70=MM#b?CHpy|yCYCk%5z4)uwMtnCfhdxM$6d0(8D|S5`qnhSCn-3YLv7u=r)COQ#E0E6v+_D z78h{5(+`tS{q`gr_+6;ZF~s{S&U}KZieo5eW|zaJpU}85*sS5^(i-F4XUQ%kR;$&S z$h(NZrva#8LU-c?Z*Mj4DKE|ALCGg@BxSn9y-vUs$(z_D326+wy2tA5pbHoU~s>R5g` z@;vZlDq_J8b=}-A?Sl(6OW#bPJh|(OX}QPf*F+oe2>Dn0Cnt|FA&-i+X3IA|4XSHHWpVW=fFVyad>+ZB@o-R?+%~`DMO-s{7 zk*f4Z|2+r8wV}I?sW>QOq%O8~=M|##i0zQ>5w|TCZ0uCKvRkLbPPP7xx|y*frrfTh z5x;on5F+!Fw-2LPFuUL2bi<9Np{{QGZUa{~Wh_!sT;H{!?`-hB6-O@aCb<{XHz^jW z=yb{}Z}S0^&iT!2ljg7}K2Ygn6V`ovlYOIbaVPo23wwJ$!Vw&VS%04IVtlD6PV{%E zR;RNzX_^GL!Ry-3tB1>ta)0FF&Fpu>A%3ZE?HnM4zs!ew%#iKoP0ft`iMY6pGgo$F zq^k`k5ud0Cywv#HqG9ij@~5v z51+X6q)iLrw{9&C(V(YJ$x|?1Qk-)~4VyN5d@H6|_s@MG9VFr#8yryJ6vp^GyJ<1_ z#gJ{2^Q#*u$G}>0aGPclYkCub=w( zyEiSp?|oN4_wKtz`rwI%-NJ5m?AZNDJX9GE9xU+BZ!|f6dV@{fO}Bft+oVhQU;Huc z}g*V2M-4GreM6PsW7 zLll4VIG-+x1$!i8Owrqi|J7Hn(Nx_Z{+JYx4Uf_RhpSHP#--vhD=B`#zF2)J;MU#4 zg%r&$ai~%J{U#~SF7m{ImU2AC`nspsE}#aH;uF(?V^D?|h*M0n+TX{5-D5qzJkqec zd}H?tLtJt8l$yKS{gLzUV&dzZg&HHv`ufLD&FA{W%NMV5HfpatUe|Hj=^S%!A0Co> z>DGzEQIDPT%-8)EtPr zu^k&peC=9<%lT)ae@<9SvnpJnlhT^T7tD^#x z#Y>_$-!v58JO1q}LAf|b5gQag(EieH_vx*7r-{lBhoO=TPpxC4>9NONzKksszi}hR zPRHBZZ`V}Txp&@4_BznYzzEAK#VYHMF3r-u0~b zfxoeBO;$WVEX1dfp1JuJHQ^b~v^4zc0=7eLjvh5q{FA3!#n(Lh)b{LJMX=Z73vVm1 zar(hDCGP{D?~2W@&mmcR|7I1eC~RI$QKdiro3Bh`>e|*vv0g|=L5e?dyo`%OReIzZ z|E2g0{r>!JBm~`cHIpEv_bPsTQw0tN8ILl?cU#3voE2=y;$d4p#?HXd>GV$PJu_TB ze&a^MPKrvWG}$@s<_mh+2|j^F^Ywb}JimsXPW0&~wc|$@k@!%G-;K=Kltmw=*@n-+ z%DQNt6PMoU*V%y0+08R49g=?f^!#B%*{3&djUlu0 z!kt5L8CQv#aQN=I2JCv*y}Ssd@~eW%&Fp?hxi?Oq`L^&qS3O|)rNjK8i9hkrQDMoi z63b>mMgDB$r?23V~6MmFriOYA%-QpvRAI(=Un+JwjjHaAu3cC~{MGq(g?o zL;2>KL+k~Av9P37WmsS*9BISq5G2zW3Ply2j&+zB4(WYNU`(>bnByo-H2aY!kOhAn zl*_rBq^7jCHYWexUa=N}jNw>k5mKW6RNKLzb}j*|mB-|2ur`?_WnUPy_|YiTCJ0sb zSd`StJ;G+SmGjD#Mgh*e$Pa;o8c4ix-mXcefYGZ4ANe#9V3S@aKfWa2xmZVBUTtCN z^51$We=s<>mD1mHh|5uLRy7n@M#Ez>RUpJJ&vOV3VidCC9l;E}D8&~ARIwK#jI5nwjaUl;F?;}9a zCNC-Xz}K`CX%?vrxp{BNP~(SPHZe3rgPC&eoU)h_jmCH({|07^-|_dcOQWT;{z z#ow06!R60>OTPJoI}7}f!{{8x+{zB7F0#3<5hSmxU)!RAdYJs43yoM{_4Fu;xa1L6VcvC~nXOgv!uXVZft^Hd+*R z?>E|TE9KJGgo<*498|{bR1U42ItMtO8&Zpkt(R+sV09Z^av_qwPw}A@vn7(LlMJ*j zeo$;_1jR}SW=lY_Gzo8s7A|qI3Fauja9$3u3NLQ*-y@5nf0>Jr z8J+M}TviHKlGyx3E?Tk(JP%vs6OZ;gKW1k>(K&PGg%<{!`YO()>+QjnpPYX-7tl`0=x;SkN!I{v~TntUV&Uq^9n`Zx18Wd39@(1D&m`IA8L> zd==*yGW;P&a^UiZ->U5LvGI>{Ea>F=8=wFDtFupiN;1uoxV-RlHdpTNeU~}*AM03< zRh@s_ep^RJalZM@s#1;c$FFjTX7q{jSbYQO`=h~***j;S~vIabKIp{jbmc(cb8)a``G7t zHTE1q=<)!NA%$DS7d{O;YEtrZXH4@=O)s z$6z9Z$*|n4>uPftHs!OS8lRf*U7vA`8mz|`V>2G7eGc@bP^CAjXo+L1uf>~|#^JRC zO%fq+_jKs(YYVt7s_JXQGnxBj$Sv{zCY# zpo+Pse}R^0e%Ed{|B>{-c};1A+0X4)wsl}@aogN&zG%h9LWGliuZLZ&AhB|Oz<9_6 z9gA~34CT3iOZnk8+ekwcrAs2z^9mwC%SE*o?mz)|%G`aX8ApFms(^6zwJ)tIpMI^d zsU@qvjdiBS)g}}2r=Fd@>!IAgC1SpuP8a*YR<&ngO*6r$pcaXgG&hT5f?>l&;_8uh znWHnbLZADXz;DT=eN{M_n};hdNl;7G#G*|?tgP7$nvT(EW$#Z@f}BSotV?QU=P-|q zAruX_ok@f^b5CHT5F`f_g@2oO2ZaJ$4`IaLJlfztdY8grk`GUWWjd4BWx|QUl3@U3 zh9|R|%cVSIE%eL%Sv(;{=kE(4;DMOWg0aG3rJ({dNyJ0Xe5$?Grjl2RM>H`)%1#v4 z?3<8Er{2e)xZ~7G;fq_;Vx|HI8=g#SYswQwo!z;JvfwIvpWLc^RN)iy1XQ!!7Mrk# zcmPh7UqXV?PjU_@(TT*V+G@Z`@QBz-$azc;V?qFBXqpT8L^a3# z&EHiR7A&R;ol8mnWXSa|9ZeSrItF2sc3_ba&~jwk1>5!!EEdnB0G?uDyxQ}u<-6Ns zt216jnEZ&72$<&u%sz%%btkEP>z1w4&#UP?BHm8LH+AT@Kb5{KdUIv}WsL~KY zkwJ+mx6&4INtID_3#b>eq5xtH*PNteSI+v`_D4FT;o+kEE(N_Aqp7*nC}`kx;MLBPe#i5(r4-~M;8n5IC& z0wM8>ow)6!g*8TteGBMTy(k3nyGIvD5(Mxm1mmR-;sooVut@-Wso|v&FOg`~Przl% z7Z*NiHnp=mN~Lv*ap*LZ;)`rb+XW+-e^Fh8@)wXqyY~Lb4{}{93_k1jx9z8^dzA9( zwsp}=)lHmXyZV|K~eR)lFpKz_r)0^3h+UxJGZ(Fc2S3vMpOv||%`Xz`*ojcTS+ z$i>w-nwk;;-tkTFykeCMkt97iZm=44Z}pF!rAg)QTOywO5_QM!QkMr)u@^n{O7_#R zKo&-FeKyNG#=m$RC!&l{>Y}^{FZAo&iLqwTdlF(eW?q?n6^#1$!c#GTRLp!NI8 zSqtFXvM9;+2*dFRd@S642Ml*SffQ;=Qc-3Bya#wvg)m2vsdg zH;Y$G|97nTJ2XsO>wm)YlHTs2Oa`@{{WKG*L)q5Z!-6ikkH$-`vv72M;>KF=h4AHc z$-2pWU_7MyHjK$NRBRT9b*fVg#RAGKvb|W_e0Xof>)*KHud5Q-2vC_P;y$<&ImF@8 zIgDW^*+Fz)hT|m7#NZg$l=h%IylUr-^E@Fsa1ZRV+Mg5gG9%2#*!|UaS7?|i^L$yP ziGOV3{^j2w%U(c`{_YU*st>F49p7f?L2>+@FD=^b5p7bZEmh9k))s2#BV2_Q5R_@F zwYTzxnrIP>pXH*PMqd{O;`p^;5ys?07o2|DtBZ}b0MWHLV!&bWq+6=Rq7~Jb7$Y|w zkEtz2yv!IHTkSEJ~oV~G#rlESZC||R$pkAAQY=<0@ zH#Ba8g5M;0Umep9+=OFGdz)9(Lj*2NP9}~XHq-};a|aE^uOIl~ceu#8ZvA_fb}5fr z@sM+5r?e2Qjb}H|7+6)Sc$k*H*dj}YK%k`XU^Q`C$Szrd8*?g&H`{>5O_Aw2GU_&w zueA?RiWM%%fJ!iIMb*WD#U=!F(IS)j=LWD;i933C@Bge&|5*EbF5H z0}2^w)54zn-;fEEXCV?L7a+Bn%ozxJM!zhj79!IqsSizrzuAH-L%`LQxU`;aV~Q*x zgbLe@ne)`!PK$2!r_%RZ_K4Au_SN-Oq4Ut4pL`U8r6h>1J`}Q|Bx@^pt|IwD=G(4I zaxiAsUW=ML1`N3ZMw1$E$mODr=z zjNKrhxg!Y5Zp>U!fhx)e+|w9eN+EM2UKML>L819|gOr8H*%B&Q)y-u68CnePQXR+R z5}gyopva2s6#>ia9Rn8L-fxH0(Byo$9 zGemG?(O4IQFe8y!h&Z{g&`8A^5waHam?9K5F2b^Imy{40WR#~hx1z!f+h{>0GoYkQ zmg`Uno9l@PzQih8BDqO~JQ-PHvcHYsNd<|iuDC3mAoR5qL`dj}mqmv&Nn^E*IBtxIIClwGw1AZ$<|J9v?WWc)r94Z?(Ku~ma;TgWqj@ty%I zrEp5LNQ%}7NCCpMfRs<`7XhE*;*gmBjw_e#Fx3oWAVun8FvC@B1znpYlu2^_U!fUL z7@k@GDT)5rmKQ9&C-X#kDow63_Xr{7B^6z_QfVA~6TEX8dbp8*l-LX^3WJ!J#P+W> z*lh|R0uI7~XMBq}A_NeqdQgCxXJ1|-zRk6S5LO|EfiB@tWaWbvOI;mNJZ=_MG{YU& z5iEm}KBq2?$y_`l$$=yzNYnuCdtee)kOFxYl#$USX4#@Qiy#}~Tp=;C9D<6$r5YSC z5u#a2vB{{Qs56WFY+1( zJ0%h|Y5|EWQ{lrE5(1_>(hPX~NAB%VX$#T9D%hIFE{Ha@O-RtYXd(+OI~sP&GJs1# zDH%qD+%haLc0{P!NKYgw?4Aq46;|mtL%L+#0S4qhBO(m$WifOfj5>k$G zYq5k=IfnzQVS|SvdX^%PvRokG_Z1Z*vy_y|f9s@WRh5$h{FBcsr6pAfVO1IEl$}}z zw$b_`q)Ws|=BV=){gup@NQ`6^5(qKm{5c|LL27XngJGdYT0uu8Z7G)cLyvSaAZK{w zNT#KhDKWKziYk(z1xFsean*S&@MojQgC<3yYAEE$JbuInM+M-rP#hH|;<^B`1tB5c zSDbREf!~c`>XUJ5U6))=SUI_wRuTLpb?JdMenh5BjT49%gI!V|W1>>@=l^30^2;=I z^EkOOVcg1j=>QpeR)BBEXO$4ailq`sX#jzf?d{Pr;@@dDT}p2vk9l^(g9ha&a6CuZCc3U;iCXD=oMY9YL zu9Xh~2!8pW;WVlcg#^_rRHnN>q@H zG>BYMwhYT9;xdN7;ui6vxT@N6!rw%KaMCrQ>k`uJ2&XgrRob;WiAI^W+dR>VU>VV> zfecFBu|&3lU@RuWd1@8#0~owE2Ri0IGNNp$b>Zl8+9M|-zKbx6;2zzM5@AY}E1=E} z1NmhIiw{`(Am5ta-!-pe9;tSYkox zY?33vVouXwn-JgUSjsL=RyH!mjAzZA*~6DoJz8x-G$Z>Z)9?SD#lAl|84iE4 z`k9TbPdWGW)ou5wtAU@w{$wclixQPr-5)czn`(>O7Hf)+6d$*$a7fKBu~HD#B`Yj; z7GMgWLSPlc^*blEZEzUJ=RG$soxtUuuAVp+sT9BBqt&u)%y58?!mi4Am#fZtU9Z-%H^WJ6i#nFz?#B zBS%g2b9}e!+5XjI=D*gA(ug{oH4Em4!TIkl5P|jib@P|b&btrziJx6|YaKvv5JUOe zg!X1L(I=CgbDVZdJgGxM8g?JUK;vZ`QW)Rv*a=lXO4}oEsJX#3dAMpXLTCQjgXk|= z_{wkbEOoyaCHnwBt@Cg7P7W(K-nu&832)Xcf{UU3Dr=TSw`hWGrR_LuLfxtNf(t#{ z3I=rjUV*g$FI;k1Ua0PI8*IhQ>d3fbi{RMJNAgKO($5Hn=!TS!`j`+4GB5;lMHf>+ zH4BaLpI}OfjQ2YZO*4g}fBWW+S(IP;#I{%xtSkTlS~P&c@lu&nB}`^6o5i^5sSPA`i2px7!ZIC%b*yY&3Q( zTKt!)L1W(-?4)hpDUYqkgRmZ!`xL6@5wAb6Q`L}P9A?ff7ueugKeMlKl!NJ6DObe$nm%QA#0}bJ(?&azxzgL?7q!^*Jho^`k$$5?Cpt)&#Tnz{M5%ixUE0F zeWSUT&{7CGietMs>Lj0iZcSo^z56ru6wUeF!$ZgmV=wC=d%gG_o#xQiI-zcw#yT70 z5Mk#|{pgs5P2qMp_QFGju8TLP4sK1PIXcd!P!*lWH?KW}nj<;aCfVQI&3IQn5I5Id z(;x7n47@BQIn!Y@+OEQ({@uxJ{#Yj;NhCTus^ zTBHQC?iUUdfo`|Pr=tGFnk7u<*qaZba*v;3n>=LfY;T$d>eB}Vpf1_A-IVSWPslQx zX!5(4Crz3qK0ncZp~vsHsovj%OJ_rRNxs`XVa+}J&wtK}y%QhWy%OWG&f~@IXBZ}n z4?gzFD>1Hho_vfm9Tc#0jH5VB(Rub+tEqIc;|>pJY4iAl8&o!}9eZ$q!kz0JHg&z$ z8Fo+@?X$H--J4El<9uBgvFE;cDqLh#>b(5&lyfBAV>>(YPZqXg)|ol?@L`G__o2sj z-$It+$=;OXL7mQr9=Bb(1IHVhc(3WX%iUz@UhlDIpKY2V^^U*z;^85uQ`Qb&3^zM_ z?|a{!2ZsyZlem2UKYOIR-vI?-#ZF zW5?e7XNv{j2zmcxvS9Li=5)Fe7aXG5d{FCTNcX9`cP};0p8K)Ioz(Pt>s{@@T<>1~ zocuxAe6FtbRh+aCm#*6<&~@XD#yE=O*Ik1Z{N4Z1I$!$Fifh+uD(QG66Kl?XJa(gu zu~U33qj9aLVQ(f zAw$1>nP$Pam>(6o3zL+1ocV#nw+-JmVoK4w^FMLpK@&Y67MdfAxsMML(CdxPC4>9= zOV7e3mHxWdQ5-$TAWlaKw;Q-H(={o1|FO{`Hu3-X_pQ^}?A>6>M9L0NHKUo+(@hj# ze(b>m@MgAH?4=HsmBn{DbzB@WmmIHiE);)|4pDqj+u{(#7cnL~c5EvkT(si+c?;q? zr~(hgta$l+#e~XnB~+bvwv)yPM9doJK6pINRd%n(2iGD!LMwY3K)*GeNOvyT-d@j1 z@wT^q;zgGZQT*EVk#hA<+&M@KMoE8&VqM2N)U`qHXE7CWhfxyVMt%`2F|(gKtfgX< zYbeDs@ECHjZw*U|A9`t&gyJUFP}wujyt8Xq{IH8c;Wl*mBn_X+e(z^`+3#OBX|wAW zN+l3}oiYeCG#3-zSwykDHHMnmfbZ6jU>zw4l2CGYRUCVQ(-}fgS*#2@+L6VZ(Y+Te zE%Iqgl;#c&C=d&$ff&mk--u*dTT?CrhT{7*tuR>LxSc@!#0l@gcl-%`dw}#Jmo1S5t~-)U zI#d*3gJ!!Ssbc5H^&#opR!~D!OIYY)AVPaz|MmM}0Rpu%g!|3S-FF(eSYX2Mn(nueL~P#D3q|vv{_7-^9NZLp78lH91@|qrbkr@k zB(BQMm8ByvX;Q6N*Fm!^3l~NO}VCVUiN{K77@JXZ&0~)rBy^u_Gx(Nw-y`7yH83u}QJ=Qb;Tp3q+ zmVASm-jN$sKq$TxS$Ky^BKjXC;?BY-Ey(SnOA8G_J3AO*LZ@EI$BxavE{m=@ujfN( z8Y{$|Ya;-nbu$Y{&vLTamS9TIU=LkL*Bg3DcT#pg;byHBP_i0~TE5>-R6he1t z{+~Z4>9j>!33*&Zmr^`p68)H|QqEd%R&Os7M%hs%r1*$2Iu3lHxhN`BgI^fp(aq6l zEx+P}LK5WTLk%?r&5(DA`WP8ph?6;D^70#cwP~m^*r9Ly&SQ8{8f*Xup3b-D4C01f z=&pyuCZXaOYvnrLyu+jmH^{)s2sFk!zgS4YU2jP>3SqIp?>Zwnc^`M62E50i@7~_W zqrs-i3v-v@bVdD$B#3!K+4^!2A9IR zNj#SsoKzfS@gdlP^NVXxM=6jvWbXfC@BM>p%dY#bb23>~rpqzM)#qwx^Y%IwDCn2q8?!Wf;M!h7MN^ymdS6W}?w1|c#JyFoGXUH>Z; z?{xq`&fP^&TBP?fz@{`9xVwNsoFppAvq7pUm8^NnRbpm|@RT$dl`~~+e=`B(g4AUm z9CEwaOo9Xz&M1Kbhc^0AxduqC)jg8BqB8HCAk7cRdG9b%?X?yfHCk6R6^#P@aMFg| z09VN@RJDv3l~g0i*WNoCVa`v(=#v>JXaZd7|AHX{j~HfmS91Q3+OC&PeTXIxa&A#M zUE|+h`Gey1_I)JJ*yu@$69$5qpuu`>r^>U`%H5%zCJjBfv`wn&6t-&Bh@wEbxOtZ^ z;KLxtKGkhM`sC!C^lSN|wJIpfEyM|TFl|m_FSHP=fG?zlYpEQ9(mXHXT1u8Ms`DbD z)JT;lFypiHWRUhTdZXIS4Y#a;QdJ^%vEXAWvGL2LUDAHJs1t}8RyJt`(SFVcCKMD^ z?D#xqF^=wZP+nBK%AnwBJ1AclvZSq0sb~gUKzjA)=PeRTU!<+PDT6A zdvbZPK7w~6?ZnxN_Z?`D52tRX@g+|pLkz05wRO&S@^)G{`vOJIjC;aAhlR2XjBiv_ z%Dpy1Rx)4Kr0+zr$yqPOxnc=3Q;A{<%4wDC|M|4t(HB@=n%wdKinBk-{|#q>i#mJNSgUtIZ?*^l@a zS8VtrSb1Z{w?D9QG}BjbKL5FN^eMjn^7+rF{3RL|Onn{b;m;3;`by5vd(Ibn$n_8RM&*Mg3Yw)y(YXFgx0!OLo0*~`z$T4jHpuj0J% zOJ;xZFY;e;{+nR_e{J5h;d6WyC%svGivMTxxmTv2*~7}`UOC#^)0b%M=-F@S3q8Z( z@A`57V$bkfFY60EcJD{9^5!fAe$k8oplUuPD8;?q5+FJm7qp@!wzA#~GjxSVJ`{gOV>C06Mu%r%djq#aCbDH<30u z_~O7O+n-c3=k@*+twC)s|AJ0c8@@R;KEA_2PBxse73U{IhLNw{@X6!*gyXQ(S91Iv zeScbtniE=kk@xxejp`UVo7HO1?i5^c_ug>p{)R`G$O{ zJi1{sQHdIXdCCQ2hfiEQ9NGtV_=mDH*Sas(A*DNIn)QTQ-$w-#@hpn~MbzgUSvQnE06l3+%8Cii*e3n;)bAy-wA1FIkx= zjBG|qgzVTy_1v9DlL04v_&-2dA6{0pat07?Rz_XgkZ_Wee7VfZXn4Q{?mm)z7RI!W z#hJOj6cdLUDiedRFOcM^>`g zu23naw{_E6Pf1E%!y{WSp81^?XoNWGeI0Y)F_WZXcu>xiLYUF-&-0(fsog-pg}4%E zbzDPa+w9^a<3Nu;(}_(bz;m_>bnRWXEk$x39UD|1cfm-&k*e{mXG$Aa9gUqdE)DDxs@fA;5m zAZ0}fI3&baT}J%eKelFEQ=?Ik1qadsum+=t>b~Ce3jVU_tq-#m_^67)0_+GaNL{NZ zrosI&V8}hM3u#B-C5*r7A95ITV&$=WJzKpCGblH8$RAQ)(3rSf*yH5 zjROTw<`(To=PLv9Ll_M2sus1m}-oAGvL z36Hm&$4K_oke9vY)u-*ZbcRR8pnmU%P?3H+mEsB|(2O8f?$zW;h2*K;@tsg&i#YV~ z9IM%$H+%^MIQ3#$flIT4YWo)BXBdvvdLPbYMg>TF^>=_JKYUq>=dRptbh4Io6 zRLeCLOaA7)icp=T$~M4VN)pY9PyA8mfk(q;H!DDVB5bM)qA-*m|1}~}$N&WeYNm7x z9l&N6P_(khX@EhzCiTP&nez$xZ~LQG?%^%Q3g%YCS*X4!i!atw&{tqUr6_MEiPrKa zd0Gs&4XO0*9Tv$_sPs+3z3_(F&%R06?wt-!_k!88$9v^-zE}CL{W(P%mu)*xos8qC7c*yp#*ob94{7YZZ^=aGoHmfR{{q~(A5}(|0hlDwn z*RgOfH+VPw3uP*wXGP#-eGUJQ-&5Kbz<+8lyqI{@Gh>!swJkf)o}x;rG7CC*z-rYC$wK@|KFKjEf}))*aeelOwQWZQUp91DF5i!mll&jvIpixer@ zbmJBeyS8?QU$i$78)M-u^1^mF8;(dAW7oQ|7M{E&&5pw{2yV% zcN#$@9HDZ^@v@a)FL{@2w*DCwg#-1EN3Y@jtNUA2U~|eG^Le7zjBi|VCRcy=hmh)Q zQ9>5QwM1vMB1v$fLJUW;9RfcZ@1jr+)Nl}uFgiqmk0X&4$0|&*9xA5nl!82vu(rM2 zu@?$Sk#BgN39|MxWmK7LWx|#^k_{~&S2LY}Q6`44FGqR{}mb7q+tVny#@joLYpNiY#WV3y`50XX} zg<$RqwUp?T6$f^xRtNZq&^Gp29LiYlQGO7MGQ?E6)AUjn-VU`-P>Q+ZZF?3bR8hvI zsYhHsnURpy+KPB==G6){PDmuYsuYYLsBq%G1W^)y!a*%xpRqWVfNNl^(BmFIsZ1i( z$|s5$p<3dvs}GuJ13kl9qS#nhwYJTbeS)t!V%)xuXV)&pI-`o(HzCndqj-gqr$*eW zXN#w~tO=vXgq3Jz^j2QOs*$i%2=qDes6jqNW0fuf%`${$!RKvU@@*F5WEX6@)>o|0 zoSDOf6;@d_WTry1<1fRMqRx09HvEh$R3gusC(g-R3^L*a7RIVhVMd|!VSq`X;AfbI zW&3niM2uDzbRK22N^6Y8@&lb8Vafw_+InHuKiR3<|m z)oa)*qo#X*Vy_{^3MjcMH%fF>C9TZ+oJxYU=8==_A7isFDt_Rhs_lc?zLvM^ll|Pz z+hSNRCt9YbBqj7K55lQ{xp|bb99E10 z7j@zdETF~OjnRU$!HO8k_&in|a}Ma+YZ3e9eS<-TLsz>puu$h=U`!{3T%r$7S8TGR z(Es*kbg;I~zJi|^;6370A0pj-_T?>reOaovD4TGf@+dE9nf^o?R{Tzag`}z~7U6J$ z3QjTQfsVj>JUy~67YLqA`WJ9z3i3d8g*>rrk_>iK6k$L;?TY7W{j5VZ#ae<R^A0GBsy55bnXpCj^X_C zlSVz`5@~RbOR?t&-6Q4lOGb5cG@mKJc2bxY?ObSONW5r6rX0@kh7CwW8k-I?CUQa^YZ73rq^A$46WN_p$?rf~WH4zib56_T?97aT8l;Jml^KTiWGdkifwXIp zQb5p>^h>^oa13-#F;cH48br3@9k@v26~qU@6>>sHfEBS~hglMuaEKJsZ98r3F$>u+ zmIqu!A5x7%Cl%zn9X^)5JdXj99AoP(wjrI%Nw(xmtSXAlh9WDlJn$Hl1LHjp=CA#VXa=D@ALBBxorjdjiB}*-kO?iYOy?`(Pwz zgn)N~75a56W-;4T9YpjB_Z0QYEpj&Gm*wOO4P8r{N@sZ=#y_&eWaT=fK=C|Q80(=$ zSIbE}=08%qFYd=?@&F|LFdxdD)pY45vSn6O`LcFYNZHY41U?8>%Irup9$6=ZxB1lY zcpRgOnd&OSteZcbOj+hB{piOl0tN4q{1bN&bwvVGl~ChN4iP}(VYZ(Nht%PfJEZT} z3?&dnw*y0DV2I8soM70+a*kLIpLK}M|5g;w}Tw<7-` zF&2JEurme`HyfT~Bk@_m(=eqNm2`v^meqR}EEKuJoVt}+s}_AJQ>j|t31qlQ&%pyA z;iEn^9FdEtgD|tlhaoy&(BIKJdQNKxr$LOammmk9Xt(jiTb#pk;sUnO_@ zc|?{10#V?gcZJW9a8YOAvi`4r0!HL$W1;bbp4}gBC21zyagUl2yFN*YX^VMyF~RXh z3hwdinn~?cn-fwB1WlC4K>&z+y0Big_Pipxh7unUx$O7}FCk}oaD5d-u}csW-w|C} z$X^adlceBAJUt1z8e=0Kcm-}iB*=Mq(vbRunv7^uZ0Li!EwSZJ@I`C{1y%Ffc6aKP zsZsH{`(9%^DmVxgE1psp8nmoWqBWYZiIMVo%+vSlG7SxANX^o*>>& zZxsnKLr+BSPT+?dDxaPmZ0%r`%7WI>KFRkRWFo44CAkh`duQoRoUwPvyj^^C$igz84hr<}!fu^vZv?PQM+CMJ-5lI|X(z+FeI<1BmFb zT@GHGrSceg;Cr|)Z{us+&6IoTnPkDwhjPc%>Bo$6c)B^8ypiDlS?1@&zep$&LHlNHG#Mi8w}1p}zkMig7*aEPs^8zT6zAR8OP5 z9|+w<^3elfC~Wt}!M$)`6|hCF2%i`iakRBJF1PN-Q=UWP(6q3ZwQSSMzQpf=%atRZ zchMeh_==5=tUA>K4$2i*)CzZe8dM)RfX>Fwc9q);6NS;2hZ_@IUNR38rG;xpRwXy95?vz6l)<4O6ZpJi^v zuQuiDmsmNFmA$@JF7ajUyp?uD=X^=ch(5kBA|kaJ(bZO_^AX9)m&@rTRvec+BJk3p zS97Nk-|xOOR({9-4Q6~Xx>>n(OEYGEK%Q?L3~wp6oJzgtBL^M$;7sOy;v(N7<7~gg zip)8kwbBUlRBGbfO3{h+aA3igMJp$l__EzuiLDtfq}d7)dhv)VF61MP$lYhn#tJ7N zBjRLi=$tQ$xHP_WR=h>Fg8v!##)`c25vB6MpQNVVi;}Q=8KMd7LY{-qEe!3*p04hN zBzQ(uAT+S)Gf*7V6B=|^To0+ybpEW${d%45uG?L2-*?34~(Yq<%JfPvDN~e z%0ib-^f1n?y!S8YYbTDViL_KHo-3PJ4E(uNNmOIcJzGea>oX)PEO(G{RV^rA${9N;DF0|G1L!^nQ z@7gE`!O?C9GM@A2#hkVcbKb$-F?gh^h4R@JeM^fTXeX52XnYyhW1zX7bPm{`{U;UT zz&>J`%N&D7FbNYqo1Hk=vWIe;kyGf%qq@Oa&{L780M5%j$BSB!(E#55=Ve5@k^+1F z(`cKQ=c?>QJ>9t4Ry*6-m)Q9d@rC0IFqc`cJ%X&|^kDXG$G?nlvPkJFMYk z%O&kZ^c=1b24>e5m(4rEwZ0x1e8`Q|vy6V0bZO7tI{n9B=nrsP_yHS=P5V3*P2tL{ z2KezueyavZaQi~gdCh*e%oqh?40H*4HaJat1ewS?z7dhl`wK@8kIW+>Z)thn;YzRZ!Fu@}p`pdCw?}f&$$=+4?hTU z5~n5ydhKiYpHp7bU@pk@*A$y34tm#J$8@y|b267b7Ux}#n_YX8ajC>c)Lx}8@-hB@ z5tMZ=%7u00F67cA@K{~D8iL!!GOfdt%u{TL&=%0j^ja)szE$jz2kCgyG71U-96FSp zX-b_?>P`Z@nQ{5jl*CB-j!2zpfp>?L!6-xSnUWsF%zbX3L4x&2qw|;=Dd05~2U_W) z8&uN07N(-X=)N#(a+{xrZ5;@a| z-freN#}00HX4Q|Bc)$DtbiX*I9NGa^BbXt|LC1i5&YdB>N5F+v<1)AohDI7NAtp*>ni&* z_!03Ss#kgC)6hY|wN;{PNf(3h$A6OXYKdXog5NW+AB`ptssnA~u^Rz8XK?VI%`duqK6?dqo@p}A`PfJy!9T{hNpdjqSg98>EU2l;@e^DdIo0o(wb@)2U8m!|k zF|7E0BrjTm%LN`5)Hs$;1BYBHg1mXDc$O{%w{fDcFJ~>bCC9ocw5v))7zH{MTg5AL zB7SVYunjH_aPaj8^gZ<1O&*LMu*6P)xJjDm76AUoU>i|uMbq3(woics5@pvqy|={(TDtT;$Bi$shU0Ji zs4tiFp|$3h{x$qjZ2KUk=q|Ft^O#-;hqH~NHM6iNZX&&bnHmigJB^@kl(}Ajvh5%Y z{a~>X!UBGQ^0*fSnN`OPWtL(qS*UAu9y1r{?n~GEI9uSJ2&P}mqZNPqH#MG+8|Q4~ zg*WSsLjR3$REf{ci!58_XDR>8@U|%Fq7Xf7Z*UvaBN&4m- zX(7G^j`J+}Fxw9W8?Hhy%EvdtJq4 zEzimU{{Q&StANi_akkN;rEZW7BM=uxi}cYpk4olNGTdl5@VTVgN83CqX|1$*>&xR= zdDL!}wD>B(8{k_}lV8^ItQ_F~9G}A?$`|D-XpL$Ax>4Hi14rPfl=iNUz{k&1)N^Ac*EfEhSANX0V+``@e@xrhwx4uYfZTup<{))Xi+mza9iDHo)^cK zvCrwE-L|XTRyA;)LSJ9H2IrV&CxatE;B`d)A{uh&deR3$-gr`v#F}s)fja0b;z#j- zC&Mi95!iDt&XKfbxJ(*Y9#R|L&9If_qgA~=KZ}h_I|WC5A*h_abWy#;N@MJuW**)$ z5|vPjd70@4J1uyl_M3mkBi=l+!5>3{A0%Vcs3(ym<{p~V1tcA1xE1A)N2$kIE@xq< zdpRR3ZEyxL!6i6DoZ;+U4Ql~;Svpsg0a?iew@^^U8A+@5IJr-Bj7<}Fs{vcj5#&u< zceT|R2Z{9v`&~OgXw$1ux+86l8G=ZN6y0dAH&| zbXD?)g0k#YSM%76N3?Hf8l>Gqx4Y7E-^*sKU|IJ^_b7#v%~=Y0Uv0ZOeO)CI}4d3U63)VZe(J- zltr0shTR#tj!~;c@{Oc-(S_DxbvF~8(AzIsksu2n*O$&_$3*9v`B%XY#~e={b;i}t zC!9Gy^4+|t7PycR+739&J7GIKwWJS?|Me2Q8j?)(96na2b=q(#vRHU zjpeQ?OW#h~;_R3=9nbrpbJy99@t+~$72~`Fzc*mC6;CF0Wew3K!ds*{h{~08)PSCW zcf|8t_*6X3XajHsM@(}@siC`?MXfdql+OVL|ANpbnsVDIxeA`SPiJ)BZh;=@J+=!A zps38La2}XTJ79D4+y0mjP$ABf1I4=Ua3s{L3KO>$NgZw&DD%!L@%Z)-Ul_5bL$YSr zo$}=joo8CKf<)22d$ySd>Sw@Nv6sBlEO&#QH7{Mzpub3+#7;B8~p`t$*j$TQD7pRjc??|}&iJfx|bRPY=)ahQ&gia*`#eZW4Wq1vd z1Jd}#DQQG5C-IJzXO}uIJJdf2T6^bnFmOl6-4~s4I>wZ;wS}1AL?`_!t|&1$i?8=Zszu z^3uSebBd2&q)*5Ja9@}OO};+Uq?%lPz1cDUvh;sOE$<^2^$Cy(AwS8o*i_MB%$>H9 zT#*CZAr{<=z>Dv|Y|LDosadC)A!^EOBS%#F$eOk}%oZh`QMW^>%~g>hmxSXTkYZbJ z`Ke=1BKcT59V)z1g6k=oz3^XZAqQhUl_Z@z<`_TFdZQ^mLy|0rJhmNRkaDnGvXGo< zeM!L^;sdX9RP(Gxk82k8@vVlWjzTMt{~Y<{d6T$;YTpqlvPG;ayr8c0s0Z`ou6Ru^ zV>|vhDxB8by^2DMe9YLks8j6VSJj!R5ZEFN3 z^H_yQz`HF{@|+q~E|g5fh%_i=N}Hs^-7B-^wdts}k~IaB?p$R!Xa<`2&Z8CRj{T!% z-G@djheYmKX5VhANsieKvA&a$FAlm~k+ctu?Hj+_@eV@2LwdWQ|PV`=FI)dRqe?Ww3lI9o?XtC?9O@JA;1lI5X02p-Zw1^v}EgnB}HN`J%rF zC@e6!pc%OUoC|tjRr=&#cX(y@cB)*b+=h&}Qk=4h2EfQH0}& z&EFZh3eO zqE{}+8r1UcQeS^U0N4%k3bPLSGd5}4G;e{=)v||AXLKLCK<_Nik#@~fN^xVpli=R) zsSQ>2AZG8!e#?2i269|HU}Ljj%GpRy&0p7W4*bHp?!#-CUeCovkTl#>jHn=j5#7W0webYafUKiGm?6oCQ7Y)wkbgjAzu{MR_~C z%;@_06OrjyBzjyR4>EzQfhnq5z#ExH-ID@(93yX%+d0Cfcf1wuxdh`ZiG&xE9Pygg zD>-(t>SIW?VdrqX8yFrr3$8ZDlN}rXFMOqIq<8;>y>4OM*-$;;o$d+WKbuShx;o(1 zu$1&SCqX_39Jxl?2*~;lmSsI~8!hCOGz_2a;5#_GdO#`A&j7zrpjW2`>IGhGUl+XD z<%#B=znqZ^e^AU)=PqwMuL1aa{2l*+qdo#2zS|lE6VKvDqw2xIgUDB11876u${8S6 zIZIqT4=WYhAvSPRY$aLw>Qun{TIV648)_JU=PQ5EZX4ctefL?o*xqG*Dj?n&Wz@B% z3w~V4v!LAZ?_-^3_v1gyZ+n9@0j9S<7&p*s2KeC=#LXAG!5Vu4+#%2Dya&a%A3kk9 zGM8kz*tzaiT<}Uk-dtyl&=(=!^)GgNwE1X#pv|zo*&|8MP9JG^y?_Tc5ho&0%L7s7 zw5y@!dg3ztf_625cU-m1_%Qj3>|t|hLD*?t$*B9F z*1|foxTfD{+S2z=-j_={ zV~raBSGXQP-QiZCF=`^8*MreSPzMf&8o0&g*BOgBvW0wx--oEAqciH47C<*IE}C!m zg_VxKnuR9pHqQ(Md_Dw%xC;nJpbq7ZSrlH-tcNHA&r;F!?qF-t)>5B6>t$v9Gk;iH zHvSkZo^pUZ%!Cie^NA+Dogmx+oug$`@&ZDq262r~Sm)F^B^iZA_416)13_TSIVoI| z?DE5tfF5?MT}d4DjC?L#4E`e4=;h)$A@av0_0>SHmbw%2?j>XGVK!n9i~6we_=FEM zDac7X>|ywKOCtrlp4dbPbAFIS=W>D=%IMBhNf@e%55n;(dLrV1!c&c_L1D zlkf`?4<-+E5;(|MZ*pNTR{b^P_&Um(0*$W=b&4uAiq~YpBc4QmN_g?GfU9cQ9nqG+ z*XVdPqs*9}0si6+dpdB9e?H;9o@bENeTO(`x@+-TBlwT%KloD`9zX#xO&oYm0PyC+ zOd}TjiD2eWi4z%$o{)*w0-Q1UdL+Gbb{=~ zvU??|uc%&O$SHa6ibAl=g)0qsr7tzb3q&~)Ua`h=;3BLbIH)G05npx<0vW>)DKBBJ z7hFR`dpoj*$zyf7PK8$Sx;$hB7MNzKark#7b)TAXb{Qk}(dTB5r1YB|S*Ypl%R-w4 z)+0JP!Wn+K02cg>wWky^*ON}0KlJM~CI_AdLBNmHlHUp9M?a&!ex?$KjOUaritR}8 zO+gqpbI`KgjC8t}GDJLeI3w7dYl;Zj)YNzLV*`Xf*qkdpL-Sca({;w1G&5(KJVv37 z@f_TMpgG9f8B)zbKcdm@?*p$8G-7Ql1HcDCP(_NqrNLBmoFj}1DvIf-!P_y<1J_LW zZ1_0mV029`V9?UZ#&<5ALe3C+#IwrF%Wh;HlhwLZed(o+b%^Gkh|RLMmlEX+oxUS4 zMSm3UXB~yPckow{9^C(l|Cf08F=b6T4er68#4kRL_r5N%rbd2riGUaRNRd7UEn)-K z;Zdj<>}9xj{bVZ(Juk4G(%WRB+Ub!zj4wC>QsLe^6^w)^7z*dswW~7*c*y8Bt z$cT8Zt=NQIPEXFO*h*B5VZuP47K{H`C=Ch_*!NZ@0|0T^aqw_ zg09?NRIizfFbkA1Zc+`@r=m$zSkS$i4PCXccgF(1=*&SmM;F7(aC_$Pca1X2E!yq5 zGcPYFzAcuH#}0x3Xr~@@qSkrML`Ldb+sxfx`OS7*@w$LIfEz}c`*wluV~AMJ8GaP@ zE$&+GnFnRadl8Gxf0uxqVCQjxRvL|3FWv%qFyY5m^H*^MbOu|K(9paG#Z*TE9a9=( zJ67d=D4%)(l!ZDkC*FTzPQg3|OVlw)o+CX>|H zp=%BK^R++sP)Lsiws3c_gxiA<4hZN=a-kSO?rB9l^sp9!;aG2mn;SK#nFoev$%fSrR~nGCe#z_;*YK}OC}t9eaMOJEOZ=@3yE1>S`k@te+} z8vS^a)L~Kg9$K4HEVqtyDup8qx$C0TerM}y>M?%fOt@a zgM%1kDCz)rB{>z-(piufBEG9=HLuIT8LUYlPe)|D`J{VS-i1P>k!h?-aw=wl=HOn$ zEhxS{*1H{Z@5J9(U!vSP>&vBGaB=+?eo(a|Ei=If3;M7;k3ZmQJ8`6iHI%W2jf<$O z27rlk!K`@CiOMd@VyRtA{G%{&XG1 zijEu#ATqwx7ipa#-hqnWwyh^MigZ>N7;;K1Gu%Bzb~ZsULoM8yH3>Qrv}t%7#taC(g}c&ozfK4^%hSJ_<5|6Ru_#kr=EHFBC`#^g1LdZ$u3fU44GUQv()f3 zn7*T&XwE#HfBg(ear{UAWk%|l3w8~Zp$84!Ci*!89+L^>2=IvSWyTLEW-l!4r6h-FqdV0dDGHGTwC|{a_@6Y+r{wi)*V)mK4w|rEq;gI za|L;=rXKFiqZ@YdeD6roAl-BvU|w|xgu(O>1PJuV}10mE4(yffgeDP*w4#6 zoA_XYIO9wz)S;Y;%cQlWMH`$mD@KHhC#~`|c~E_qFa?~A8XTfs4cf=*qm`mcy~y1_ zQ~5h2k8i($C2-|Y9Ontp5+u0#P+hwURMBWAp{OlwmkUnGLY z`N^5s>!}{UZ_E(2h2)fG0w5I(y#0Ua`bYRAg@}B}85QRwl$$?|yfNlqL=bC!$*Cx+wMFBW*u-97mHByFK+n|X zjy$4yxz*0OI@Ze%7j@>&Dn5QGvbskfd`|U%KD$FX_O!=TjsN*)edFw-ZJGt;k>8Nu zjcyK=&QYYSV@`tE4>BLLawo5tD_+k9U}pvvJVJ6Q#@E|hfWMFO^*X+lms*~80nQCk z#$B`0gHZm|Z&Y}-t4wY)QV>T)U59&PuE{{X<}Y^l ztBt2jghhTAi%bYdhuKp~OmI{-C*WNK-^bTXhLkiPk3+uLWc0b*RP5t3wxoGzo=WE1 zoC{2=zlA>K&;?p=OO#!~5&1%x<3iK~WH}hcUS^~H&=1YM0%yiaI`Xk&!J%&~xy9D5 z7n%x%Q5)=;n@@x4{AhKr&I8r$Ax-gIUd1ku53&un1^J`w9Pc^zsMcdjBK?Cy7x-{l zf*luLZ;#XCeXkJiL^y}gCK>4#il+s9luXZR@*GC`YX1m$FLw4@)E#dRF2%b5*OVyh zRIg^3XIuyXP9{~)_{=0vX+U2eYm&5!`yBBull)hL)VDfp=iOI#3dkN~Tf;{F@9kaS zuF!9T{%mzd|E%odl0%+n;bMi}QlUTci3kgAG6Iglg7hL*p3G^HpG)5y~-8v?;f^ST3!KvB|Pt5zj$TIPlnI;__*a?{gvx_ zkMlF?>-FXu@hAV*WealuNAI$5_M!K@gU8`6ehWYrw3zm#i-VwV>+AFc@tC)S-Wg%z zYk&8hnOL=cn+Pmtsd<~7|HIsD1^lnl?5}`d0spInz^_ubtBC(q1b#%{{t1@1=|kR3 zzSAw`+{#66^xaU-x3%xawzA!?D}RT?Ph(v~ng{=YlYgG&yhc1PxT5?{$DfhBY>VG_ z{H>KM9DT=C`2$UT&D{N;`f9H9mWse?jbAF9{f(`F?~m0|HCMnd70&*~R>1eiYN?tl z;Fk(#e`71)`(w3K%@y!Vg|ol074ZGBTB_y>_@%$shTU`mkMWpV=LhMW3^Px74S=iv%j$w@cpq`s^$v#rNY_Y*b4amSS?j^1^iOs z>~CxZe1EK#s<{Gwsc`l;wgSFCR!h}f0l!o@`x{#U-yf@`YOa7^DxCd|t$^>3)lxNA zz%Lcf{>E0o_s43fnk(R!3TJ;~E8zQMwN%X&@JofWzp)kY{jplA<_h?w!r9;03i$q5 zEmd;`{8HiUZ)^p8f2@|OxdMKvaP~L00=_?1OVwNfzf?H;8(RV2AFHKmu7F=Eoc)ch zfbWmhQZ-k=FBQ)I##X@h$7-pXE8v$3XMbZW;QM2>RLvFeONFz)u@&(Bv0AF;3izeM z+27a-`2JWeRdWUWQsL}xYz2IOtd^>|0)DA*_BXZyzCTt=)m#C;R5<$^TLIr6tEFnL zfL|({{f(`F?~m0|HCMnd70&*~R>1eiYN?tl;Fk(#e`71)`(w3K%@y!Vg|ol074ZGB zTB_y>_@%$shTU`mkMWpV=LhMW3^Px74S=i zv%j$w@cpq`s^$v#rNY_Y*b4amSS?j^1^iOs>~CxZe1EK#s<{Gwsc`l;wgSFCR!h}f z0l!o@`x{#U-yf@`YOa7^DxCd|t$^>3)lxNAz%Lcf{>E0o_s43fnk(R!3TJ;~E8zQM zwN%X&@JofWzp)kY{jplA<_h?w!r9;03i$q5Emd;`{8HiUZ)^p8f2@|OxdMKvaP~L0 z0=_?1OVwNfzf?H;8(RV2AFHKmu7F=Eoc)chfbWmhQZ-k=FBQ)I##X@h$7-pXE8v$3 zXMbZW;QM2>RLvFeONFz)u@&(Bv0AF;3izeM+27a-`2JWeRdWUWQsL}xYz2IOtd^>| z0)DA*_BXZyzCTt=)m#C;R5<$^TLIr6tEFnLfL|({{f(`F?~m0|HCMnd70&*~R>1ei zYN?tl;Fk(#e`71)`(w3K%@y!Vg|ol074ZGBTB_y>_@%H*BLeiyT&4b?a793`4mCUsiXfx76KqNWHyO#8j=-^=RZSBu~u-XzDe5 z!oKujvt6@Iv(l={?8)-ZFcp9LDNDBu6VS*C(jN}CnLgf#tSqdki~BaXS=-IJL~+k` zF=+`>LriUC7-#+toVa+dGoploxwDMOhSdZvj!{u=jG@K0T1#dv=?u zrzDjdm~IO=B^ZfycpWB^xjHbGE1t7#m0m3sIqBQ z2AABEw6Mcr{q*oT3snG;u@ucdjtiECsQus%qOxH%UX9@(I2+z9K`uOFJ5~B(D4JEQ7cU)8yEDYr3u-dK5pg|8A6Uy?}>hg3LrA0~oHFRi2d9u-# zDyxPVzgc+`3>I`r=`InF=`|#SYxG(!i_?d5aQI3 zoyKZ%**xJ~kDNpSiKp30IoxMJEQBe}Roy~DGj%fjw_TNj5+{kW5kjuCFS)QZI}tNwuszYI!%XD76tuf3e|RnsRzXe%^nc>MTi5|Scbh>4u6OJhgQ75{K~Dksrf6l zAPvdVz4G4hb)C8VajI;E57xWI?!jlaK73HTU>onjHtWUBy4WnrJJaz`-+DEEI^8LU z$1GUYJ=|e&{tZu`gsS{g!^E|bXstlygIEh|#VFuS2A*w20oMD&!B?Jr@e6<0?#yB; zzY^2b>|^E0?Q-4jP4BH&|5%I( zi$Ys-Hs>Txk`!mf3neVg&q9~Sh1$$!NvqbbjE|@_fsEjzdDFJR1+5eIiGXfp zEbY#~wukYnU!lb*mcZS(au2ADJM1)0!nO_W*#1rtjFyA4xEGBZ06ZwtcBl*H##hL4 z|E49j-PmALP~z-AVFlZ`D35gL1@xeu=$xTWa3$Qb@=n-~DJGh6cXm^GrXkuVuY#)C ztMOKNIUbf}JdXCca;$qgd;VcjjV)|p7|orE-T40S)Z);N;_V_uy0~l4h5N}4cB{ak zxQUn;+vH*GxFoZuxeRb2O{ki^F5r_Lg_6m(qoVp#_+3|PNT_8xstpG5Wx|$XD$C)0 zkUHIu_T`Z5XFsgDvk!xCliWgQ4VHCRQXNEn8pNHI8t9Y zUgOI?Uet%&IMwN)K(@G!*C{I;VV#ThRGa(?7$V~Jy5vk_q<0j$2@QNIi|2%o-K&zk zwck@#em5mE)h2$JefFQmSy9AO4MS9iG6Ym^9VXv(nfF{JVz%0srNJq8O1rLWAC~vA zppfXIh^O3=3~VN^B7>0RFDS2K!ovhN`Sk$~FbrCjDw3p1Dv1<3=*p7h#G-|m}PmmGz+CJ9%i5?Q0KM&qD zom2PisE*nVDj3k8(de+YDJEXFGysN#k?B^lvPgC_QB7aL(*aY>GE=c`_;fQK%>fA! zrq$6Z^pfqvF)GfzRL1i2yA~6tq#8k`vV*eN%0VrINJAv?dSyxOS+Lg-I!)wNwY{bS zT-LHJ*`B1E@5)Vom@`}ntRjFhuHV&{rg8|kHyylc9sqtFM+ys`k%S50KVkpSl zP;3MbprTQe*z6c<-h-q(J4)`Dx|%4VHj!i&o@o!1T|kSno?4a2y%Tj!4LrWNs4T<4 zs0Tp&p+fQH#z>-yA_Wq4n+#fm@#(;BWD=`j_9y?krkJ3Qtkt?N!g{sKMASQKebNA* zFrw4w2-m+(XQ#OOy28hH(F!zQ3sqv8(l6R4hdT@=menDafsb^82lRmT%`$LWPU5y2 z*&bewsr$OF>D)E!!Pgt>f#O8>Sl?UN{uX-W6ZW2nf!z&t83`H|(#r0Zwmsh7D&Djr z9x=2~VjA44^n%T7mnhrPvlJrtvzo4*vi>Y!5!zOt!W^SMK4hs&<;0FFBs$>^%UB-I z==HEJ5*{a>36wa=qf(b3?=11fq<5`Ne|D zG(5oM@D*n8-}^_2n!+L28Bzz!vMd^HVfAH#t2Ay&Sk&&Jmkzjo*tZzp1W%v|Gos1) z)0%;w0zR!xd-eu~itu7GyHC?%gr*=Xs>9(l4Nt=BdeTzxoUpLK#EgU4vsj7Mz@GeT zMYXZ}SLF)FE~mc&_^I)&IF&CL;oh#CtPLmSeqDb1Nim9{s*57B>egXKKU=JRoZH)X ze%{A(V)03PJq|xwv2?{9D~}mIw>U(}6Vt!|cW=i%E(B>@aCgh{VCh-=%58vH8@}J} zZCK^>f=1Ew-eWlipjI>uQ7;3WxbY$)r=88GiFWV`R0k0N5l8081N{v z^sNu8YEuux{`(^js(WDSrz2do2X;#B%Ui4rBqN&WJwn!a?5WPQ z3P{egFiY6OIo1t&rYAk=@h)`B?}TE4Axu@{Jy<+Ooksi8sHDp+v5?}UdKk#LQvwVgvaC$GlA@~bK)Z-+5mfpE>OAdl`kj8&<8!-gkfDPT5< zVNM9Sh+YvmeWn}3y+rpiu|1$Zaj2k|GnL)jpx0gYE7}${B*qIYTB4$y;exgqFIZ4{ z9}7OU6H7>~Jamhj^v~s$A#%nlwo~p$t9!OYI7;NZ`2+*ilUoTZf;a{b)2YNDP$j$w zxnY^;;r4KSLY47MZ)nlE+CK7g*gmE^%_T%GxHJOp5{fC{i^%ZFY}SM`fwrwO3k4Ol zP;HRFtti2TL>4*hhn1XiatH^;6)5Ti=3c><8oX4ml}A@`ko^2XAR%@8oK7(W3bYPH z5`k+mQY{5Es zh-`%lml$T&gU$#`uSk-q*2X2V%o(7pGocar-sS)PIU4I~KB; zr$}rOsC9_Q(F@Tb;5`+tX<-fBfOt)r*0`$Vp+EXoMnAxW3qj;&1VWrC5(H&UBPa`_ zS=fi4VNf&Yb~z>OfMQ)&^?mZFb9gt|L_Ej-$PNRw3FiaSL?L($BZms{XanG zpGLE(^A?nsh~qPKv}x2hmB(a3ES0vS-rU)OQZvDXjd-pn?^S$}bA% zwNxF`w+u)Aj-&6c)^SK`PrvLv1$D;@k-Ka`CQ5n~R0k$no2)GnAdXFXrdlD*iPmqMNx0E<^^Ob|&a-gl$yQma!_^h~mK9Jcg-{U(ddZzuvHBXGSv^#K`@Vy7E27$GSST`X+` zjEoi-f|7@-vud8H!n|4~ip^%e5T@nEPSZ?TsT=IJ%`pS>2)7{3Fsad~tH7uOW_Bq# z!CRuyk)OL1d~K5iO=@VHb7d;iJ}OzB?=tMGG7roA*p zf;5q)WIUborNtj*B_f|H)nb8KapZLKRhU9N`&*AX%;*G&s6>?2FC2#xw@KVkILOBb zXyz+cTg&?M)1S;IYVHpMmBJ3EMF=}jR1RE7cNlF_0aAZZ5n%Fy6KD>td+O8TR+_|H z_=hVPL{mvp@g-SElyWTQT7x*HW@Jfv3K92FA!`ME(AgGXSRWe_B9W4$)=2%nqtkFjhQ&~% zp*e_>MgGcthN|A5|6Ppn!RX%L11(;s5UK(lHm2w& z#rV39CTZDfh#C|)KwnTtj*4syr^f**M+6usjWsVOmq?0w3=xePCF~mtq@rXMX_SXC z@sSk@fx~IPND{OErAlgw8b18QnE$^v9qhXI8mx-1*nwkNIgL}uHm4Tg%Br%Z$5Y7$8`@I)Zm~IQH2Z2>DRJ&dOk|q=!9yH#jmJFy#G*CUuF4B+mUC0oCwuNt+>mx zVph6sOq??QqS`EYz>V|0EDN}>PSw7`?kDQHoNew_;fuB(r|U8N zSRB1{yk&1{D-m|KcB{`?+}W?+RJ6x^w)JDK75g=hk)PR!rW5q>tCPi=8#Q8LSBQk>amn-#%WK zg}raPWN5tI5`+C(+Zj&ZYxRp^3+Uip6?--}ple_Cfv9?i+m2?b-6~7bat%o}xG1vLB!B+Ue<q?i-TgO57LURx{RDTjuh(f(vz+W#Rb=~I{!8}R_4NEs44Y5uG4xTe z=aPkk&E3SBYx}x5$J4mK%M6s|j4(_^0O$`7Y!IycVFDysIVp=W?r&_&p3NuMbdY96 z9L%05$9Ch1Sg+Y{Ej+&K_==sx@;$HE`f>Wcqd2U1V}@yp$69Zy=j|Z;W#ArE^)A*+ zy#+zL8#h7_b7OGtUP{!LA0>~)`uaVVr9!bcIh_)xL3)FMg@>p97%4uSM9RbavzhXH zpL?hr?T2qftc25)h??g<_wXTBHutI4*l7q+h z#ryK*$?rShGc|k)cFSbt#_borj#gQ2-IleoytAQveZ8*n;g5bll7dIUAynmeJYkiE z8|%fI5WR8ZncsAJdYCrLmp*oKoYJ>F@yz=byD@lXGeS?6`}A_S_if+yZ+$GzKy2g1-~aGo zVc%ZN_9ve!ZEf(7=^G4w-Fx2}Puxm8h?5&@zrL7!HqLGo#RuN{B+54R+nkIQy=eg4V-S)Z~gM*;hG`H-`wtb9NlTQ=;n}CPQPi6AIG!uBP}ZRXy`f=~Ax(|5aGb7CDFg!9E zsevxO|F^$`;s@)OzVmw9vbp1l2M;<_8y>mytGOGTtF~|5qW=!BY_mAS;{W_FEKW&% z-=BXB@ef0_x93xO-O4N5RCaLbiSIn`Z3wHYYOXL?{i$0M;JI@v@4&ULDoi8W`SUmS zRLSAFFHBCm!?3dQymH0ikTyS+DgWd93B|9i9nVr%RadroD4vJa)vI(M8&+3_i$zB9 zqd(hHqtPht%7mHDW(j@NwaSVEy8eRZVkl7BxpNbuU8+{Ln(mZU^Dsub@L@11J|C{G z+_)jg3UZWSbMCSGuV=UoD@!s0-kH;pD!VYu4^n>cFG#XzC>}d0ibpA7GNGVhEQ*hD z{47nDjh0C!h5nfj5-o~XKYaAD-~J9g&ektIKk_yX2In6fYoHwbvF{@OV0h)qY?e#^ zhDVO^;G=X`i;w*24?A|lATes&XaU9ZT6ys3`u(aj%;4b(%@(#+T8CS;a_+&M+{NK` zMK3%&KWO9P^e~(orfsMXS6246y&YgIKR8;PVl?ra*VM1qVs|XXk1m`#s;cU>?v8a8 zFZ~yWr4RS2Mav!LD6Qp$iPed+Eb^#mCRyU(j;n@oKz;M@-1sudsPOU=QhbDq>dlS# z-pNB6=v=BOlcB~e!DWLMkk*F74uF=~J3s>rhr?u+@BIs7){g!j#SaF9%SG|$R##OZ z)21)p&Uv*SX&*y)U-11O{_rqA5=<_P>W*R=;;N=`XNJG1Jk`oIQ?6lLyV|xnv!3zN zS{MH0hedU6ZJjp>h{?c8qsNu7Se&+l!3X}(G2J_a^FbZ-+_^`BV+7d1z$vUU>>c*! z-lt)jq5a%8vy&{Ift7AE999+F?ZW8VD0N_XO_x$tUAUk{Ju-|Zma%xK7QhSDHtZPl zYZi(c;>ju%)w9!uQ{=$%!T}L93Jk%>g>h`_in&4uULA%ExPktDY3$`?N^|( z(dcyM3seXxjgF;1IL;l4=jk}cz>|-K^B(%bWRfAEeHh~z32N0_4RE|b7F$`#IMg1L zs`uJmhcT=S*kTPA4K)@HSqQTGHiW^s;SDte6|Ja_J$ml`9*Rc+xuOgvk4zPYu|glz z?MVt6R=wiOtzJ;(BZA?M5(0ug#r>+m3;7^O=^PKtn19) zfAQALN&~%foWPqe7UZ^yiFx=h0JP|LFo8J6m>7sIkls5e zGa)arx?a>NVG;i^=?%^9>(yeQV*hmQ`%tn7{d#9P@x83TFJ_nBWHC-54(C!#(6fsb7y zn{75Db@%Z2`+K_?ZHsHDu3ggLl-p$ceEnEM>FKC)ynorimwnyieCXbTDP1Ic8&At@gwXQEUduPL5eYP_+V^ zbW6!(IyU=rUlnKMaV(uCbdoBM0%ye;FTe`t{)r9f=10jG>5m9uqLiwn%inn--O;-IT94v?J`c$O&L;WX4F0Cg;JP=z!0;aL$qE$*SfQp`}o^1Os3ZYm*p zVVNALS8rv|c@c$qUK9vXAi>OKUTRgilsG70=|;fFqv(Quw+e1@XCn7J%Mc27rLPgT z<{|Nwe(h@qgVE7vk>PhvtBr2~Pk*Pf*It9m|Iyf&k>S^W9htr?Zsxbo!R0=(eC^eP zBc=qnysD{TbfJCK^5tI^7qi#CC0Wd#dv5bdmfZFmzj6I~cMph6_wKpffXn<|pe6?g z^V=`=Fz@WZ<$xzdpC$MAtorQbC!hTO_suR-qi_8KZt0c#zE6u@?t8D7E`+ba<>=@l z`a!0n9m#S~9X&UY49x3MaDxL+$npb?L}>MH{gFU((%!UkT`smLXe8t@1v7x~fXp+< zItVsv7g9Pp(n7iQqbe{!+XCicG|G2@ud%4_$j$Qz)MV#YCiVS~tFVdhRThR9Y`?04 zUAWK!&5kO#Rbf1;Uh1l9zL^0bsEADH{%{0uCcf3}iI8 zz>JmVOTS~vU9nuIQdJqks7!%FNN(R|NxjRLO|xhp;fz!xRuJ7&s|I!j&nR!sUEh>_WqKyx_mc74v1dXLadvVytNr|amSg2!L6HI7Gce9%TKdAFW#)1_p{bkfLT zeW)-j9t>?Zr&=d^U7znWj6C-}v)OYt-hou>CN*dXPRO~(FO36xT-YTIS9VZt!OnLS zwXvZ@B?abSAp(0y=5PyiI>iRX7e&_OlnWQj9cW+m1mKP{^;jZ^kkS|_?%t&>vT-&; zofZ7*9=A@=xvlRQ8MRl=v(k{nk*I7IF>zvtZ`AGot|eQWk!Uxy;^^XQ_OR?I_Cu)5 z*OKLwm?YOKaYo}lgCC{k#F2z-{KY^#R|J0%Mk~e*Z7G9$H49G>T;Y(7@|fN0H|!{= zfvux_^25W9_mCt(d~`ySAJI-PPb_Xh$crDB+HEizGZc;4qs((F5F7SQRsnOf?TkrX zhS8VZ;v!_E2u?d>lRJWxkk1p%kS;cdossgAQT~-0wv<{)kDdtQ`8m|8HDEFZMsTad zS@wlX2_2Tc7qRN-jtMvu+|b6D8Hvb>7r6b;Od^SkhPe+KtnC@Cznz>BIelg5GPYzJ zRa{V`$ws~HbOmk{GxLMl^O+SmP;a0prCRNZ7+wQ)e8kqK#kyz5aF+gG6XY`#wBc*q z1vZ@VJw|hIU@K!?y{2%e(+a1Mn!tj82%nISKurcSPbhR%r@U&0)ZXbw~ z1Gg=mCnY;;uASN*U_RJiLQL?*wIlTtk<%sJavvUTIjVQi+|Ly{vhn6D*_QHF)S>?` zX%?@tGuf%jnEow0G%=F%T-m~G5s#qHhA`+L(N{R*k*#onJkxCzn^$w-$-I0Og1F7O zmU`MISgCNimkEPs(<1}g{*q?aLlhm&|LMmpm7Iw>@K@Y3@?D~*B?^NOPU%TOPulAt zm*lCmGgT4pgG!f0d)~F+ks6P|q*56L5@ME!BVpRLNF*0tgeYW(bybi>S{mD%ZYo@t z1>xifppmo{Q&J_jScC{2XB6#8)=CjhErkV-$>1;G`MkjcL!kqgB(p?XA7-YR3+Y7` zfjuR}g!is17)zin;}?j3qTo=);#^nOXh0kYBH`maHUHLCouk`Ad;!#zr~m28Z~cU#rgvsRI`hmUQaFjQbAnH-i|8nX`0*8XW;NuKRSa1`&c z3chFXuPTSG+D4+7Dkq!M9e295py5xPAV_M?)oW3lhYMo`7ws#c?BmfM@!-tO%p}I) zv6vDE6~0k#hZMF@SM_4FVee_#|b&f)!6u0i& z?!ESkU1XfG7Wx*5OFX9n@UamHxH(N`zGX(iVzy3t*2s%prjN8POA? z?=CkC$zIrp6LKQF{{Gp|DsypeL4}G%?+VF#;>m@7!76+&;Z^Ky=`a?sTW3+#4#oG; zB`Bzz0Ip=3N1p3Sc0*xY|G*%TJF6fkDIk&%N-mB!g;J82yi$BGWb9LIPmo?H7Yj0} zL?>5Oga?0xncOcdL{cYcq<^&5%OphMEeYX}nP{b@$yo_8CNR=g<^s}aD4q*T&3Hnl zEWHD{hCMK3^O6|;!*ep~QjCb@!jeU>;F;kCm%;T8r0r-`l_7L1ZV5=q^ocB7rXKQH z)T;)m-W@vYR6~){vL*G8STP_ljw4B&Kv-N(sDujF5i;t%3U-Sj;%TF+M202($zk#& z?9EV39S<}a3eW=V8^_g7d>LXyDgDhnD16k^43&Yy@G;0O>Q z{9YH5h2%+!kV{-aSrE89@>S@hpXH*$a#^ZBb4g6ezSs5`;>87c%?ZhR*-p6Rubx_5 zGDeIFHtKiY<0^qFq3!o5xCD#$ZuuIb3u#gDl2%qw9N?k?USen?_W|fq8BfqpkJ92r z!32Q5m_C6zP5QPYJSR1mQHMyW#dFWuQ-7TZE)|~n)2lrPX!|*=Ee8u4u1IjqB~vq(Q`OtDBt6pOc{b6)ss0y zX9e_l^0`7M{pC!dXsc(GkCc+R5*N$53pd;@M9W7qu~4=v92$WMQd?RIs=>&(Un2p z5maFq{^$ z-Y=4G1uq$Xgr^OxEabxyF2^oWAY?f_(&X)?C7ybm@z4ePP!(V%=e>|)axAOH4JJsj zEQXX6-p%qegE_V??sZ_jB_4_HA<5GjLy{BME*H*=(s?Npi8PE!1!;l{_+;)YvN(e1 z(=riUla?KKPQ=kJa8Dv9=(6RMK^DCzx17r>r756nh?xX%WjdF%=v&tr-Jkd+b%C)+ z&QO&^_a$c8d7adR!N2RmGL)c|bFThy+Emdq1XkpdFOz%eh!=@n;!Qvj=-oB|Wr9hB z@}UI>LZ6cYxRy)JbPX7|Cd$me%BHPy3Aq*oSQnARrNT7olwz}DrTAXm1T`K_GH9p) zkpQn?S#g4hMU5@^n7|i)fY?%M$GtFNhe1-Hccqsy;5bqCf%QoI7I}yXQ5E{HU_BC% z@*Jq3GWoKMeZ~0$#W;c6TTU|?ctloGO146^tP>@`u&;%n1u$DZJ(UpVOsI-5mn)}c z33{s+iVOmWp0jQ1Tc2%>kuK*%XV8Y9^a8NYhrN?< zY_W)vW%|cpM8{Q%EQBJ%#bim~9wwbt<5UYBS?1F3P9L zc^pPX`a)%n5+RETJd(VgcWN;w;*pDgykL2vxgBhim|3_8d%e47SH(wo=(wne0|{Y( zZ1R*u>k%pAHUtyfmEe>ruXnkKZ!y-;|oK1KlWveO?|z#+ae2G?>bTRf3nC@W?@Ybn`9XmH<^

Z5!??EI*vV$CphJfHS=4_cjO-cOn&9LZn1vP6gD6q_{Vz?a_in^n2M;loYCdSlDp^hO`$x=e8 z4=ypwB$1TV;^$JXDw3uWvE=BrUwg5Ze8DFr777gs7Tlmc`&VRH zk8;=Og?y}B(bJjs3XwKefYH4xIp%n82J6SUiUC7KR8)2WaA4`r?vOzZp~n&=2Y);r zD&2w2nP6jRmexRIVuK+CHT*OUk&#H0DLuS|trXW$gtTdbX$=K90i?D`%bV+su85 zpwHgXAenfAH?hUGwbkvJzi!9dm>xU5>q&)W9=&DpuY~Q4JbssTMmo}a#L2x7@^-i2 z9ToG&#U5s;&8gGtagRzYER&NHjrmftX4?*?5AsG{VQ8;kY0~u1d`6GU zs^;Ybj&mmtFCpM7FwofUq~B=&B5#@eS)Qsj+vxbi6!JpeZ`b29qF}@yFr@Jubh2OV z+Y5TQ*s#&0eD1W~`>3y`$(>-g+>#$g+Ztn4Z>`OqvTd(6GlqhZ2*HT?Ac7NBx?(CxfseNt|H?4jDX7%c5-EXZwz3-b%YWOrz8f|Hf zv&E`m+FYBgH=}C(falUpTz-b6(5ar+&!B6yk1-Q@g0+r>>s&t zKh8HC$C;jiPQPb!eTV9EyLQCu)WqLnH{Dq@=}wbgxYIScB_oDo|3nXqE%RO0x@t2U zf|=>t>9KWhYk9eC>4?pEFzo*A_;b`?gUQIHv&6I(|>tE{N7Ic{AE}i$rmLKOs zc8YqNbFk5cZMS)5WA7DmaZuu|1&}StE?EYbSC6|yA3ZnW#Yxp@H8UKVfB)L0lJuQ>mvDxm%7+Kh)vgV!( zeAe@v6=>Nc%5!{nii?Zc=_vqszY`q$X@^X4`gVs*w307!A^|3_sjd*GM%DJ%4Q$26 zbEqQEuTS0L<>sVI;HOhIh}gO3EDW0UpZid1tN3iQ&zQk3;SaTKN;bSQJUHmOdic33 zejRz^7r)pZNX{2tc=(Wk)=t~D4TsG-N2N|JUb^(o9rtpe=lQ%~^qu_f8?67r(@#G* z?mDC%bEM$zT3owu%F%+6t(97D*58~k`Ohz0SiHq}Iyiqm!F3qVpMUV3ge-sTgYS@g zFnE0J#m$t~;{Ky2nRnxZzwj5Pq@I7@^B+9gqbCZ-#Qmo90?W>tOcW4UJQ&X7Yki`-opO(u(ug9+8IySC#&O|l7(&B$G$+( zYj^IPp3-vlgX8QmZnOP1b$mZ+{rHWLktOJeQjE`DJ?V0;Mj!k-bgw=5?bNcR^4jmd({)e&Efl};7$%>vk7gfBuc{AE(R&)2*};C-0U0!N z9#-4iZL5Oz_a8nCq|!XbhI>|yI(M|Wndx`z9)5ggq8g9C`@0FnZ#}J}IaDJq79nst zCg#(_Lk?*S#g!jms89{N{1*#1Dc)&wq+B~brU<+GN7?a~IcBnXy-v19X^Xc5Ux$10 zGcxV>oxd=mA;L;{Bc2@T6N7Vq;@WX%dPEOqr&1aeD z@aok>8P(`TJrkt!-Je5G>lMHvhH3gfswNLNv14q@% zR~8GpFt)4Q7uMR-(-t`NDtFXqaMHkSczt6*hxx&mY!DvX*q^p7XGKuFrKT&K<<*!9WufT#5< zU$}PikmC%GY;UJT^PE4JD&LbEqmcvqyPP;&TQf(&)yF=-6qu{w_BO294#!wR1B|Lq zUy|avH7@UAip4vsiaAT+wRI@A#LZ|gOzb2Pw5~ zCRh(c&3Z#;V8u9YojBVB_ooHADrxZ*S`vt?tZjm!D8-s)I%PCQ+}WfR)bl;urAw!$ z;^vct6E*Z09JfqPw>DmAm-w|wiH{bL4B!Glv#YBQW|r7cGd}I8RMkA1_DPC9rZE|k zA~OqI>isWvKZGTtwM&F}{kl|FHyh$^m)84jEzMH(^fAph#;Ve>RhwM(RuchMuxl>E=+JKWfnCdtKdA6?s(JV@&X-w@}oHHJPug8CS>L5nd9#!D2tTU{kMi$zM z==xg5Dy(7^e2~(=`WN6hto92F?a1#InVGEJ1t^XXp zjG#FYyoOd&`g4B`DXQV8J|uD1A8V#k{IlB$f?@UHE8;jjcjZcR033df;ohj-?zmWd zdGbUhqoW1-+og0%sWGabaJY9oDV1fSAeRNI;-!|Qaw8tzfXTdWo%lkwyAVD=*}Lj~ zvc%fxX*cD11|UZ_*Njcu%i>vaOtpgI@JYYoMH!+h7N3CJe;~P@jukv!ZCQHHEi#&q z+k#@fM!b$`zdcnd-G>^m6OgGI)m^CRwZOGwa&t@0@F$sgbhJ;d`ryUPP*c}m`V8xB zt1rx6Y)^S|`sA%IDc5FQf5vc|qhp$g6EUL~!T;KSL;=HLLjncrJq^}1oXVyvnL2LB z5Lqn0s9gd%sR64bF{5Wl9V~q)DV0xU7U_!1PiPP&BEpU%`Esnk z_{9Z`h@?J0el|wso=R#?1XZ*It;+`jEjUAhu>3X<{OkHttu#Ywa+9L>4>It{CpR_> z#OCI7q1>YlO5E@h=wb3~>NzP9#TEU8M!&|?yS@gZX9}S7 z63=eAPwo>cbu+7EaOrQA{qb6;7mD&+mxMl6$R+0WCiThF0?yK-mnJP^1__n5C3%B^ z2=}g;F%@LEaCT8p#V+L`pxUrFP@s~xl&e6O4>tF)qGME6WMLuLfX4N*GM#$v2Y+v zq)@LPEsBUQcC32tIUU8R%E6W0&zb!+M^0|sutxCP-*z2b`N-{WZFHb+h@t43+oLA?!w*(Jv z=W-P1i`CKKwLZ5F(TK~pzP0(}y;Ba){07HgI%|FdS-P%iZg8L{cNdEc9DDg>f1u}+ zFCoi8^>xX@v6qeh7|cfVS!C&c&@?(cb6327fX$f!d9sf zYgc4AwOWUazW2Sl94@-|y?UZ!LHBgH2rl>TP5j%ERFkiAL`m>#$2&W(qnFX^ z&y|{tM)&68GTNNasnI{!+`N4o8NOZrJO7()?B1)Y-N>ufUGA4}G zZRU1s^K5o>OdK)}^Z@3snSQ5$^G|F6=urM)?RPsq`Els{(2uyXZq3dsdG4Jm)HZx+ zzoO|ba15q0dyaK%8qv`=Hj@y(xR(dL4WgXE*l>qlHG)%CZosFPoR=M^J19~Vn!ulpB&VhutFLFd}3TbWznPL`s zGO!Ty3a^!|g45FJE#P4LWD8^f6`b)2I98;y^fEg*KF`ce3YQ(4+aAW(*;@UVSjKCf zJWO7qXgAaP#%tcqI> zih4k-5KrAx@Dc=()N7pwmZAJmOXS8dZh;@bYlSATz65|+P}t#-D_lclyIkk(6;i|p zkXDlv)^D7oBhlI25_49DrL0`P2I3nQASrZatrG|tg5G(}SWz}1s7V^0t_knw6J>S^ zqWD$3T7p$}lVH+CgAEUNd27h+QQ0SdNXEGokDd!TRC4qjDk)$t#Z#x^N;!QnjX_Gr zjfoCFVbg1AOe`xo{~g+Ef9!?A;SHh89Dy3Wa}tSYBX(@-;jW($&(y_SX_W5dI1EMt ztT5&|R?E|Rk}~gQ3Xt3rc4#pECJ^w}t$>FW*b0gYiUD4>|ZEryLh;$*FsqqRjS-t24|1JZf7k`HR0PS0#xYXTYKliJF5r-SW%OzWRi0)JtA72c)F{9w z>{s=(6r7-Kp-S3V#r+5dQT@!HFtibrJ!7v>C|x9xE#9DA)xZ%aY`a--jTd*V zX}Kj~S`%7zMMj=Zt)o6(-4t9w_DQ^;k~zwTdp9G|Q`UVz?uQ+?a`!MZUXquFT0mIt zUVzbgQuU35$vQh_DoOD?7cQx}07-sDW6tiCv9Ms#q+Gd9M1@o{dtuk- zFKF9gl)=g43c-Pa4#Rp!w1@67Ct`_cILkQT2%=;~B9vEYmako9*q)T4wn^CaBHLY} zVNsHx&0M%+a1+()t7KvB=&{RWUp5~ZGYM`lm-c0ibnI0IMMBT08QXRyb_@fIh%&1j zC!{76o{zvf5vwd&xETNDKeU(*X$%N2ZYcr9C;lRjXQmJj+)y=@f}pqlxDSU+M+>Du zddq-?+?sV*JmvE5_G>#I60v>d z&UXADGMKu_qH(znj5V5pCgJkT{549A3nc2*Irl`UwOR6l$cfV1d9v4cbF?eZziBrX z>NYi(+U;2!LLi+SV9SQ6AmxMca(4twW4p%0)|zGaa7M z(+5Ol%3U&MQ&&fP+*u*aVuF1wq4>=}?O4B<_!%L!JO2p}M)@Wk!EJY#4B3Kz#a2_W zkEG`uC3cz>r!`4w9WH2nlRPPH$GvEGIl;7-HO%|!HOfS~Rb<1|;fkCf>a-}3{6vw& zY@X39=s11isi%atR=TBgi#gU$19qK|O zW%23kF58rAnYf7FGXZ^vkZ>L z*1X~5#>JSHXvK1$4dyBxf&5=Z$qzbW(a2U}{M0=MB4U0&1L{>9jCeR>N zdT+eoGyc1I3&t`wH0-mLlq_M+jD(@WiB~RF;NiN$AoqN#zw=QGGFJ+A`Bsz=S%R|t z$cx^bB5-b7mVk*}EQ(W6F7hTt-Amz0kjstDtkwE5IV$PLo&b(~$#x~4oXex)1Q^rV z=(?4PGSiL=78B?~N*PnPL*&RBN!>h)1GFnG4An25E)9^5jm4hjSvAR4?GS<7JTYe~ zELWA1iNdENp125ubwq$S%p`GfGtHei%&9D@+Watzp>nL{x0==R1p);QYe|<2vMR4y6=kXLSak&yEn^^l}< zN~3_Hb5%_x)gE*0rDp5u|IB05KZ(nfnC@#BV50_Ev7jwA74QX&peT+hp(K^14LF!? z>81yV27@5%3US`)BRK^NRwd_@E11exAPWS!B$G1OmKqu7@`UJJK7%p3XH2b>ud?jz zWd+Bjnn+R|m(h{t*PQ3k`|H^PkBrjS5tnp;9b^_eW~ zN-vq^RD{|``<4#Wa=U@Grc`@J>FNd^lR_(sAi)-pIQs7+3*t)$Lh{K`atV|>I^lD| zOJG~UrFj-%G9`#*0?VF9BVyZJo`o%JN-%-ztL_%uUexQr9Hcp#rMLq6a0ap93cf6w zbz(NcToj*Na4s*QIK(D|IY)(ytD}G>F z)BYA2g9@NALgvJ`^Z6!HabA2Wxpx~*h_BU?uuxr(lr8b!lAevh*0yP61$BjE;9(yw z!{`=R=USAyPqM#pWsNxWwFDiKSNIBWd9Um$6HZ(as=cEA zfAJx4wP*n!SCGy;=OudPDYYaOPu-O)_-9m}Akg)bZdaAom5a}s8ZsH1*&FhTY~ud1 z!mYd{PZTjistCB%g6as85_5KNTrG?%par&zl$=NIRwq{bqocVB1|7ttf*j#L`~VD5pIu5)htZF6DnFNP@e0mBonti|G{5o z?>gCnjjh-hAvpv!o&mX1ki!W}E^lJR!TB{U()ya>CxxkUF^sfeig(5FD3;{~Q~tvE z&tO6Brt;K|@)G4+O#4Pszl4Fpj@sPVfA=5T#XK+cQd)49g#+7BH7$fGEPzU3ffG&& z^uQP8Q~<7!aSsax2>x4;{h-YjX)1}!g{lPcQje6#5;UfqN=CwpGpH!wSf+T3pp=sF zxybC9eyu)Jw7r5_P%XPyT?K^`EgqW6B(U6HsWN;@nc|m)o0oSA332m(BQ^{)WL+vQ z(fFd$g|u0a%X2HJzuu)E!9-nXR(|z_fwQZ}tCP#28J{ObBk9aJWNT}#e@XS4}0CiL~xr(JbVP(gSxwLpe8pofJp9N%3hEkP_ zv{grqPKbv#y*$Tsy-?%;tKUKwn(^M6j}vgB$0E0cj~V7&B@t{$gQ=Eu#I}+H%S8;Q z`mL4@v(PipGXY=$vsAK*3|q>0Dx!$UD;Wi$FO)GRo~e>&HyfHk9wj)Jc`9WL3n?8R z)Rnmstm68!oa|oogasFPsI$AUm|XGNC!thgeMT`{TVw*1x+K(Kw%=>5s7_UFGWGFk>Xay9T&hmJSgLYRMJh|2R@Lwn5?Qe! zDyPPwC6wCFDync!j6p!>DsIt(G*RPGV37q}S(Xt?Jx1?a$$ge;MEXZrgc9UVqWJeN z5raif?S;U0L692p!jApS6}#wn>AN)5Agb*Bd~k8>+(0$qhl)d2*dE%BGSE@gHD1p4 z5}Hf!&pUDFWxMI8HbFf#6-QmEwcOU@*>tVV7wg0Nm*O4AxYJt86WSE{)fk?t&uwz@ zz{b{mel>Pm_3vDtdlx59r?$b&;w*e+pLPE3=J)+#w`GTq`%89Ui^%8cw`^8_gH1gj z_p)_wjWZ&wBhmiji#K-ir$#%kr!aaXF(S40-E{m?wVHXPSNmSNGx<2n{>0tkkxjnw z{8sg#3Y-wx(n08fYWfM^N@>eiot@pVX`TH&T8p2g**N`yUHh*ru+N&&(c)iE zJPEv=Q6CtFqq4}WN8}O1G~Pn zWt{Svycq0-o!I;=WlkPkY<#ifhm+28_OJNiM^AosXENKmRq@@!+2rHZ-FjvC^v=eI zSd{)}ZvVsd7wxTQUSG}I_Vm4E3`kF}w(ZJFN-Ha#w)Whr%hf`!_ri8Ktgx*utN%7e zcGo<7VtmU&>pRI&Z26Nd<+|Rb9XQLy7tAM%#>VYer@Sb%@xAHXTWgQg{6S-{_;GS- zWcyc6%x@%`>#Zg`_VQ-4Fr)g;i|nd87&rg$|1o}vhCQ9F;0Z@@fRD0`0-m0|E7$wDRQCcpuUsu@?aoR z6}YY4OsEglueB{U}MZ0mn`@_?YvL9~_P7`o*6IpEV=tq9H>y&=|S36Fp@C!X} zbD$@jzsWX5cC%f$@JgFs3l~SDA~=*h4D;51W{Km3;$3*v+Njr*zP7e=mW8{^8# z%1_Z4>q87ZXkm(Wr?a0m;CtFOCHPd3{H`j^pW+ zLvp-SKR8&xZpZn(e1y{KtQIV2nJD2_9zc%v`*2cy};3X!An~2nQT>pgFAD^=UXX`{56}(-kso{hQk{%^9u?i z-n393zV4}?8VHA*^@Gpr5Y1}+;DI#E5Ods8qe=bX`Lxj1nme=rHTr04cY!bb@u_LE z_sd`GGH~>{KmBk&=S_QXBf(|k1K;^hm!rk_TffzIL$la*c`>XWd+gy4Q~L71W`p?X zdc)xxUPxi^5|hJc2hhdf2QWg#&B@z`8O0yyw=g2G94+7-hC8j+EEW=n-=*r*AeYvp zLg3~bcf@7w(Zw_+Y?b{;8M;sJzpaxYYqMM30ok0i?p>UCMX&X>GVZjkF* z4X_d>qmhg_Q{XazR87#IF4nS2Fx^lnAITdfp!s}DcXW;REN&g1PtPrzWYF#*0g^ac26v~ z1Fy3)7P0G_yBcax#i#dMBR+FcpI>{iYmL^dMa9HWqbXE4-a1AJ16lm6BTnjqX@=tv zh#%g!=B<7YYDGI@;W7&Oe!HLs=j~*XC9@RHX9b^<#hX1c;bCyczVxx7qDSib8W07~ zC@Tj&!dIEbATQHH;oZWOPZkfpuJ2GGcC)9{Wen+A8Dt&5*fRI!(b~qIEpo2ynzc}0 zYW7~qoyEsCPEO#WLwcEJ89w&mw;!@U@t>i<^#PmwJp|Po#fE2@H5A$k)}kt0&idJ% z)(|g;$a^j<-z+JKR_U^WjEI?n>=FXXUN)P2T<{ljojNit-HYoA@Eql8R;{fycYfbO zq?tkD(F0OLxU@c_@*!M*rmNu(=4~DMv}d0^X~N;^>dl+`2O;o_8$Z*w{4`a?_DlN5 zg+FvurHyhK>M3zcIChlD?3Es#Sg!1a-G``@X4;cKs=o6b)o)|t{+@((T`52sydPvWBW*;g~p!oHBSZcx;tJXJpY&%xN^=Z`92dm*UTy)C}Xz z+~o}GOKJij;!3ss`>IC;qbu+WA>$5^n z6HG<^?6Wiis=B$`OpD?ftvPNv+S`-lkDWuQNhhd|$rT4ypD@&%8^kpRamM(cdg?)! zsd|MDvpoWUCqID%ab}Sb5XBK`mGj#`TwdTYrdtO)r#Gr5EN0$8NHVh!&lbP*^OCi) zPv1Ndto#e&V)YO1i)3S?+DoTWd`_eeKeWMAYM=WVo6nhCOC=E$LXFPygCeN}3dH=0 z(#;3pgttU{t2v19Uw8n?%@S8}?xYa&@rH@s=>D`D(%6giImMgxMNwr77YYkx$;t&0 zj?A^-#r19~xD^&o?E^$99CCwM7g?*>#2xE8m#kgr89)aCM7`sts&izh%x*1Fow$Z7 z=1vfcU#L`9I{ zs^?!6FW{)~jM>^#5-Kj%oEsbTS^LsA;fn603ybH92GNOPxE>;2i7o5HiMtXVr~zn* zX4!0iKzQ(%1B^?SfxH?-w;SaC2$-}KwP9zT&W}pz$`I293<>Ab1w#I`<@TMAGdh8 zR1!i(2a|K(FR=H*R$ZU&2+dy+MnOb!p6Xt7%0gI{jya?x>gf22ATJfw#lODVD z^{gZJL`zI+6+kZqs;C4H#!^$^%*Nu_w58uHwMWXnWWg&#cNauVF`5CaV1mtWKG*i`n*aQpuE%_PgW{$YQh z=Qn=6KVV_rTwfy{=%GgCKu?EW zZkJ<0&y?T!$+?I6b)47xV?oHGV?pzK1vWa0)15Yrj^f}Co9ymRCQMBA zT@HJxDWjI*$1OLYO2&p58t6^C1hdt5J650x``HB&pTAb1eM>}#JQwXCqwqXuM+$`b zbqz1bIx-fI!TDA9T-UF?$s7n}_gS;w0p9&~ZTIh@{KScN;?&Z+%tz7dkZAZ8sav?> zR3m%N?4?ubgCT7}HJlY?C)4F9PNr6IGKH#{(_dA|`Fx6bH(nr!T$N!q*+%OeV0d#= zPr>ZXH_L&%X1-k7&y@VyaLp+0;s=EuX|{5E#C!vtGu z%jvABiSyz6v3(X+U`dHIOw7FkoyKp%d`lx7n3y834vk0d$Nr63W^_Bb$;74Han+Bx zm0GZ8698%7KFK3I=us57W~YR>l`1bsAZVXviIo#i#uImsaB0S?`KDh^p*`QFlbNMC z8Rl@etz5VHDgV~m+vh9w8r!xVp0xiPJN)xjC%;Pr!rZ@q>kt5Hv@9upy5m@JWPVkij<^+hS0@cCGk1W5otP)LZz#HStH|IA$Aow0mhWmaQ8blC&kYwADJUe2^UYF3pXQiw#Pms2+Dk-6`CtzYG7q}p> zM)dTjfuU6EPf7%GC-#)yd^bCd7Pr=?LrX9~|N+-aG5qVc*WLnim2RXE9>)Qlw(>U-R(y{8a zNmKn1HRKkuZ=&9d&Cit9OXyno$cZv(onKM-?f)LC4DKNhOLkaJB_(4iRLywG!5u=y z>o)B-!bO^=D-{eo1!2g8{ljWT61*KxNwff16=q*4-+0an!j$315_jOSVo;-c%HJZV z$~kKvP^-|AOdS%FGdj|(XKuNu^B6G`>GT3w`6`9F*Tw+lVmHAU$zN-=9@Gg#?Yw5Y zo z4tixzkc$gCBAJlZ93XA56HPK(7-N)f?u648o<;M*jbN}T=fCm}D!GpqWn_0L4n{dV zfDpHu$k*4AR3|l9^5;x_UJMk~pXXu@aDqs#S*c4UozzJRZGG5c5Gv`xrOkWjD zYVgwf`!F-(YA`w95)$8@+uG>3NptLK^eymd66qzxuzTc@@>hLe>(}Xfk8?Li&Nzb${ld1^7`7)WOm3_RYO%t& zP2SmB0tL-rlMSw!FlNjN!kqL3B$9Mu8VJVEpm~n4R@p(GXil(Z!RX28ILWu1g*bSt zEV7Rs{!FoU&h!8HpK$xxjU*sdZveQBuxsl+BedY)uu3`P%{m=nkYfT1b=m?Z{uJ56 zzij4?oQE^WVdbBE!_j+fyN6Ru4oIxz3a6_*;%Pi?A83_f5c9!Phpk z;eLgN{zmjQvB-IxtL!Zz?vK+qjNZ(MG~5+4P4p?1p$mT1hyEux(XS}#M1tcI&6CxM z2;_pcxk-5olII|MS7_xW{fFq;Tqzk!esir@15XJQGjx&!ahb{^k7>Z8=B)1$KRrv< zLlEPA3lM^z5hJS`Hh`aqXdV|1^SD4KHz7-32bPG1WeqTaf`fSJSRIv+bS+NB!G2eh z3{!}-R?;xkWmzXO%phqocDdXE@M_>u?|F1mvKjQdE-4m1!2`quts| zDxNBn)@)aCNNq=frAt6Yq(Eb^h@}Tnd;~hvyy8ozV3jE2Lg!P1EbUB9xg-(fsCQIyJw2CN*ELiP@h>RT2Q9^6oc0>x36Gw8-B$iUIZ z`R%h3NV!L-vK7I|dpkIQrHnFnNVn9APtHgyB2OYthlGfy0($RWWvj=|TusBTs zUW)TwzG5ZZnOqA0xXUF%yjrEA{D2i{lbp#=#OQ|COIH^W@TABoT++`gU@vkKHK3CMBit ztC_ThT;FzEUZ!9AU-L4#;>VSQHHN&-mlar~gpBR7{wqb6N*{@UX&4!K0Lzo!q~5C& zrJ&t&f^`axEJ>rj3?@@=3dRwlanYuvQT$MWWOuSB#YG4aOEtbSap(+e)e4vZ{s?%I znhL-$A(x7u(+8FzlJ<_-RU$OuWPH6q5oN(;rZmC5KCW--(^!>dA^*lV3qO|x%eF|u zYdNCwd&wLqw_E&B?(`K*$*hAaxnjk7C=Wb?RY9=^qU?P)$)n3cE@0-zL`K3Ot7?~Y z>Q_Gk#|TD*|2eAd!a^TcW{^deDjTleiL_2qFbz=oBDiH|h@x{xaCCVCHuq?DSWgVc zC_S!o87vfq0Ctj?b|BOYk-{t+t^?8YR!X=BQ z6;~oWW5$spW_IF<8a&;TxJ9MztymVE4H4lfTah;QSs#G92#GlSn`+Zt+v1xAT7F6+ z6i>6JX6UF7-NkHcP~w~`nU?zfKrFGBd3;WQ!sU~RxllzvWy>mI1~rW7m~!M=uEbSxDmVYE-@!0@@Uc+>LM6V(v8Qm=LcXgk@o)ZfA?Bna zjRttu4sP~>;yuq6wp%<%P0Ki?5zJ0Bkp)Lm=Fb*o-Au76S@U>h zvgT>q5srsb@M=pV=O$M%IIlQ7o4RM}%V&}!B6(?`cXBi*0-0@L-3p{^n>i$#&<9F_ z5^+3|b8IwGjzq}1i&Y~Bx?RCYG|uxEd;fnad;b{CuJgX{x#zv}?%mnp@XqXr9xm7P znc2~HL^15U6eF!^S$XE&F*9OV;^l@3wyJ>MUDL`&WsH$xq_P?m=N(SySBw(8RvC94 zsO@W-X&59y7KzgkLDAk_(e@@#+fAzkL?a|)N+^iLMmIo$>JJy`=X>ruOG&YT^qG0j z&*wbnInQ~{x#!+{o_h$pEzagmAv=&Mgh(Mif2P$!E_NtB@>2swM|chu%2LX6 z-$rRqa2d}k?GX(rNz9YR7SwnUsD_M4jAb%vPa_h4g&z+#ND$Osc&>}Di4+zbt`M#m zs|z>)vO`|fRV&Wd{{!WqU_CMlD3>gqD3p1R9>GoYS`^ZKHB@1Qo%C&=noknvOuche zeNnN&Os=lPVj$sek>~%?SO^Ts(yb1B+(eL+e=yd#g_l0};O zAqJkmIwTyXl=earZbrAw&j_h)#p{hW3*tKRh(=h`$%u_s5*khc-Y1(Z3EZf3jvj$l_+@h zOar$AHQxij9F5t{RYP4sRwr^gOApN`U2iF4Z7!PTxGWMptqdS$*RymSHJF0}>HEU- zuE~Vdnu33_V-^8M39KE70#2IG%T_soq6|VbZeI*Ejv&vC^Qq1BY*Grt1;+DyVwh4{}w+w?Q+_HF2v7tl_(N#7{F!bY^ zE0i0IEN1%Yu5B1~AxKxp+DV(x|y6STBevR z&v6*=5wq2q{cRRA48pXz5$vzkG4Uy*^al{j>WY105~s#_r56?hVr%2UXlCmTg4soW zuztmy`t8ld{q#j1J_1`qoFiwW&^qh%7p+=-(!R!^u}Fc%ZD#n_wr-Xl!@jMp@_jDe zsoG%o_Ex;*ul`Qt_U5Qa(X9E!7mODSz2RVph{I7+w9%Ouj(ER0mh#0GEWi=UxJeDF z_yU4HhpLTZvXgi3Ft{BFxZT){(*D5>_b|D}j<%haKEpmw_db({eRuUKzhYZ2{Eqz$ z%Z9DdbDJ;y;==ZaeL<`B&rKFijjTP~`}I)nq@MlEE!*6AX8ZhTce5!Ei;KmtKmGBY zrORu>Che!Ii|y%gV;dV5clI@iPHo>>J=){>*Ppas9)8-^d;f^5-mJIr?rJr-od&aK z8A5|uFC~QG%JUYOwCZrkHS93d&wML{5%=ch-OU$m zy4A1)>g`wAqIZsuvA|^TBvWMj%w#y^Hp2fUXFocbmQo&~DW`xiD*c_z;;_(`# zie6D4%~X0F0~WX9-1?PK>&EwczjSx*x3|0s!$GW|viNMXf0v$Ie*TO5M+f7TrRN$d z~vc;x}k*5P$> z??>0O5lvWvCuZwS4oGMzRcc>N!k7lLpu|%CbAuT~ZSm3ldkXNO+`;r9anwkRV6UD% zoAblk+PxzemHMtq_c6t}mHy$Y)_djj=@-{@63u2{qG?W?_>mu>70&g?+S#~W4A(Yi zZxuU5vHKE-vha-STF{>K6+7}~AL|TaF>;v1DQq{67K%qsJ3DuJy~h^Ycd^b0kA?T& z=2c%9(2+8td2I3Ky#kAn&nzaWa5BQPoM&_J$f@tWg+YQnxP1M(b`Ador{ajpsl}EJ zK0P~gdNgAAglp%f$!?uG_2n;*M&t3R#m}5+(Z2O+vWR8$!D{b&-=lP~xY)iwn_JHZP6$swwvVyVi4#wJ>Sij^(gW}C9o*h45BBff zONnz!mcRLDkB;4TXRm<$5hyL}i&1O(poxaY10d7uH`sKfg;Qy=B+!3iUDRf4CD z*6T5WL6OF8O5qWF*$IAl&Dl*}Ffe13g-Dwu_|vEFpq<1|U2z(TSq5z-zPR}Q`)wPr zF^I;__EU?S_wLCeusX(E&B6g`&sat zo6|JDb?U+EkMAS+lPA}idc~bStsmg{<~{1fzthfUMe*?Z-kt=1hVS~aPV9w`-k73{ zVfD%BO{vghn#UI)Jg_iX-?IiOmJ?F+oTgkM1I}=uHFrJ%@%gzQv%+unciF#P=9KM{lK8 zw>fPx(z)s2;oxG=9kT|E&xUAxVGo>iE_Q`Id@@bak-p1{eGwu%i3M2eMe$hgC|U~k z^wZjPB3@?GBAq(*`Dg0^#(yV2b7p#1_W@W^G0mBM?|TW;3V7I4cdV~p*~^;#F`G#6 zAAM~72F!Auc-X8G&RNxm%cjL zC(c?Hyi4QFG@Mc4+P%~G*-XQGbCa7x>87c=5Qd>~#qGY})u=}vndE%gRiF_hqSRImjny|AK~>>-kV_{i)CbFmW~l>}Gt zavnpT;^e8zPwrzGQk^>UnR-MmC$S%q-0Pe7xM=ls78OJb?MIa0SI=CztClVP_`7r; zXan}BGZuYR4-%95C%4lSD%EZ-_3*=4<1H*c)gH|Ph8WU#vrm37V!{~~qqS)T9udqns7WDdc z=}BaqAo$`j3@W7;AUD!7*&A`lP45OKH^G)4bSmft3oZSJq3X$&@q!Vpd4wH{u`}_! zwh4!H@9^4P1fPv)m}O%}tVZ-_ca17Z=$N?}{SdI%)bYP7ugfZ1r zTwVDr*qM4wf-n0ctiOoM3ZYfG8Pq>@4FU3XTe1p3&q>*ocOgdeqKNQ8NgAt)@M(ku zk4L;HEH;r?adU{i{4j$_rH#4M%|7~(!9YH% zALt_ZXc@M%&!@KaT&vL76ECMv3r%&-_MHGWP%xt@hX%F?_)H=dDt-Bj)!B7+qyrCW zPbyamjtq=4HshprQf13Z98)D$>n*Fy%^2N3{cB{=9HgUWuu#p=L~6<){%LvJCYXvO z$f`3k07fJ zX}n+}ufV>wNnq_V#}c>F8%7s%W#+iD-{q362-V_CJw<)}Or{UIK`m7NqL`eq34V5GEG_i}R*11u-y@MMnk6XWE73g+&ocl#f!q$8%{5st)rp%??ya zsfKtF!~s;W&nHwsBnz4(PpY$fD`Xodol(fu%(xUd<0(sy_bx6C2YG}NaDw3aI&pfF z6n;FiY2@dTpt!}HF1~@>A}3IG@zS&SxF$q+v4Gy;S+K1fFW2@5F62SPyLW*s`qG6{ z@Jf((P>i{bQZDE4&jc&1QqBj!MVRZL7|7_n0PFI&Ue6SYa)8)9x6IEQ(;SD!Y~~<4 zQ)}I4U^enngchrsUFoVVIZ@iKLE&uYtr~d?Ma4KZK&2CPN5#~M`-$jE%+-PwlQNdN z##cp?RNNkJanz#J?C>~)wP>U+_At1pF^MzPlTV}}`F=N6QBkUlc+7gdgA!JL;}_sB zDU~-`F%mGeTU1C&QQlmdtQnJ{(Ngk%@>N2KELT!DDG{hW#~E2k6`;cSR3KdWjXb6r zWdn0BXLc%^le1H(;v_1^rZ^-MFByCC`xXa@T<)|p7D{S@wQOEclpXa2*cGr5wdTrA zzjYjvtKnAA)_HM15*ojVM{&FklDrt@A~M<{muQkN;poC5mI?{cg-52#<@Mf7w`;`OCozMfMYi*Je{3ogwp`_f$HcCDWZ}^Ikna&!)D{6vynDY`DVhc{as) zA)g^5lN=eYSh|!AS1`f(MrZ!=Lk2zdZ(@qmwzbTHE^;ak=0Gy!`GU-Y@bd{h`<&48 z9hE+&B0rnI41SZAP&&7$Z7tFwsK}s4r8hQ?{f?KPp7ZdlnJ2SfeWf$S`GrgcBctrc zV=Bj`i;hY@=Z6;QoF6UsI#ZmQ!)r1XlPOMn+y2z=pxqI%Nwt7skIOp{dXcreLiBpd z9_A)=a;P`do%~1r_}@_9GrM?KfQH#C#d_p90u%VfydDi8I;B$pF+t>j2Q8wO8c$S) zg5ix1tZv7(edChZuMq#n0d=(awo)Yhl@+I~@G#Cd5S0`Te_~iS+*m#QV4uEawpk<@W*g!}nG3dteG9Lz?^l zKAviZtSHk>0#UwCDyRIgGe`XXI-D^?43np2a?h=Fs=o@lXGaO(L_~ zB03KhvUqZBvsDi))a=|)1%jm*#QOo0V8=~UpdC9~=H^9gY1m!s*_DHjfWzb9XOk5( z?hX=b7>lf?R<-4ebwjk?se!u0MFwg&iY2#`8@xAMcP`GYuX}y?!s59gDgM%j0N_ld~W&;>|*}0gz*`L}@&wPb@>%QGBcUoA5cE7G3 zD?=MR+!;j<9I@fd*1}p`HooCuqxE1-pPO%%?j`N3Y^}s*;M-g_%Zubs9RY++VaEig|;1kSR8mCDc-BSiruAFG%NmSPQUsY0@nK3GQX z_BaA#){Aa4B&#AVc#vUvv}yIp$k89XU?9jdFxhso8ricac?*J8@rp(yH|SKXLjsFS ztA-T^H1lSf#Y@n|*20Jt4;1U`&%<28_$6yR3A9s;xdkn>hB=nFBO#@OjIg2kd`H2; zm9fI7zUsYkMAV5j3XF~;)g+x=qpfS}iPx%yojb_;i0uT7C#HIPa$Kr;&U%goW#!sp zG-S1Xl1%`t`Vg7JKvXe$ktMy+TcIw~-)2~-YSwxM5A1-# zVP?9?tYA@jz?S8lI3TQck0Xw4^Ya`(N2rnnYXSocxA1J$zUJ})^|=0#i=x4H(WGeB znB%$v*++=XJgNcsBl-58S61tZvb+>?SZ&G3x{son?L_gjXIIUlcI!}2@#!tb`3_t- z4b>)t{LvhGfnm2o)r%tvX6O;?1{#JS`9{mjl0z|e&6k=waW^8_aMAz_xvJHk3fe%V z%}j($rrOk)-zBVgEI<%!u}@;j%0b$RDr+g4=fJe1vGiZyALKG%1I{lxii?k4!W!Tf z;yl=4D6*OWw^@UJ5@H;-;n$u$SgxD_dyp~ZZujTZR0xUt#}t$G? zjo;L}=N$?%fo+GYQ4?qNqNSa|rx6a<0)F;W{CvAwoj{2$+w}1Zp}H)=PoQ&>&MPE8 zUPF5onLjR}-}K4uhS;lyEIA7M5K+ToSrrd6%17>v*7`mk_y7;F(Eu; zjMt$pNDXy2$JAIviuQI4#VGbIfDO3qICh0q6UNm$ftJGeP1Sd>vRlU!wl(10T-*)9 zjZ}f|t%!GP>go;VS|%KXbE%{!xJZ<~SZ&zq6~uL z5ci8!=qGxAjPav0>9FN2*uEVgux-1_#eZgD!V3ZVzglsvA>uz|c^}g*ch-~WF+ydy zmD|C$yxz9{3|4Yq^NO6K>$L9|ohQW)$w^>N?0^Q;?}VgXm6pu*wyji~!@%vlIiY8G z>XQ;(-S81O`o=!|2}*Z>0O-~pQj;hI4hoI2NH_+$zz&ii3&G=(o{>G$ap;!?$H}nE zC7%FF)0?M2ZI$48SX+#(^5z-e?^S_IVg^4Dww{&&F|$G-5yo}v6A=edf2m+XAUa}U z#WM&(;Iq2SYa`l_S%?McdC7z;*>&)j=f3WITwzfZEE23{5c&Yr_Lv{AA(sQv(^8P| z^OSHOjWrE;`maUG$>?+MhbL(Y-2TMh$pOV9t;`W|q^@%k5@8@I$E`e;VS*?eC<`Dn zmuwVKA{wutqa1S_7eS#VgoMA5JmyLeqVxm2AZt1-qBbAbs10>Q`>J8ONB=_YI` znX(e|5%}b4n%l_rlStfEH-7L)K&yQK^5p8jSLHii;&70PT}o~f*|haCR?WEm-u`duY}MBNJNcq=lZMDqPWYH^U1!g2%d2&Oy?$|#A6F%=TzdP=jVOL3)T zaFwDPv}BR`x>A|fbM3fOr5vjVaX}JB(LECNAki^3k!Yc*sgl<|P6QwVsEU|F_Z>#H zm==_Bc)!2`H!3KIwC4aX#T?B}tkW)pC^R8Xoks+<7dGW=ry6G3OfNA{hs#tDdv&3u zlA5zR(pu)S<^(V!DFTF@{b=@-$};(;!1A}_t-e}LEw8@Hb`uoJAzC;l8#mXj>vK|; zE0va~(utLD&s8Zck@;dGm9i0j>zP!GYb4>8>nNUixkqVMDkF_GACp9~>-7u&0?+Y+ zDqIPPDb4W+l7T(F0s^>fv&cs!gypl6-<1G)PtQIf5nnVJP*o;!j_^W1NA_Cu+~K)3Hk}P5|09i#zHZhsDWIPETC)SP9fMJ zCo*KzMPv4l!Cp~Sp3FDM+U?s49yl@Lj=A_nZi9+Mq>|W|zM5vz4Rn37<5cc2uQeWEOIFGd`kYHG~*&g(&8@i0m= zGqT9ZR$k#oko0ItDTyFYSO`JByB%`E$_LUYbu0+4md@jY58<(jdxuBK`;Zh$c7T9$ zP|-5c4k0Ce0+%BKCHsD=S1Z+ayo!oBtuW95-a}& zS8|F>k|c`+ULJZNs%A3flm}71ejPV_B#%v%inaW*h?ILS`H*zM-SF(-)!1>X3QTn*|vDP*~f8c@q|F^yx2@MDM} z>@r`^6sK`fC`@IY51MVt>Bvi#iO4F~eNzb3pjoq?@z5Zu@TywT{jp*&+uCqkL4-)b z++H$ImWj%fSSd|yjL~$xU7Pn-J%p)Lrv$zSFaVcRLWMxVN|?w=9n^V1Xb#Z=W9cNZ zNI}K+T@jV*s5C@*a%ypl0BzJlUW-K7jz}yz$w1^M#+FR#840I(R5=3gdjBv7nb$u3 zU={{!#h9;U#c^ENL_AUkwga_;mAgYB=n_?RE)T@fJmj4}Ds9ab9+Ic|*DdJ+J0YOb zh)fPkwtd40u7v4Cc0!e$5syxN`QIbaoF>d1!FNZAMMpVf<`eu6f&(W|n_)s9>%HLYPhspf_YLM((0taeigp{!Yr1q#O>zde4;0}6T+ODe+idZdPmkg6mWC_nkv@t@?wQ5PIc3!^w< z3tz;NMVi$(X@piT@L8sUy>onGYQq-V;tQp{@l!E0<%PP~z2Fz@=SDW#VwAr$ikVQX znNHRy-jv4r?;Sl!ot8IkR*nuwjb0)j?ap|95n?*;XQRDj-yOY`zOdFF4yyEAwC%mx zS;I6Ba2@*#kBbqWl<;5z!UpODnOIM&^6JMLOReTP)?0-A@>l-{`yIJ|9^lDU1x6@Xt;a6!*$-wvE0Ph_nS%{pMKN!_U#4@o8}Jj81LA1#_DI|)v*U+aQDoi5m(`g)*Vgaw=rrjwus?J1m#BJZ?c;2K_+RSj!sxG#;-?RtS?b6R z%CklLD5l06Y)si5?AB>u{$^b2U){9P$$zw2@|<>en~#ddR-aI6tILo@#7b?;M-P-PpZ6*l%_i2KhVwJiHI=HZ9l)@yuwD z4vLk9-680`-iOw_1uL9gJ32C^SM~_D4co%IeWDo8c-!)PZkMCx69y}NZ(xpm>V)%h z>9NM>{iUx`nr$UZFMk&*Y!klILiNOnpTwXC^Pm$KtM*olDb8S^Q*oAmmNCY@v*pG8 zdzim`XzB55jbmza_OnM&3%#>9j;Iuao*NL+X*qA>mka&v>usB;dm~m*S$w{U>=`$9 z2sL(q|J*f7V-EC93J$4-x4zONZ#*BfdX! z#}wydahu1%y~{)G?=6bUmuHCuMA0_QemDrHJ!<>@nZ>(z+ZmtMU#(wk+iWl4Og?Oh zzI%6^mbs^&POV)G;j(rSg>atJd(;E7ZDYN=xcJ-OK04yegGYb&igvVr^pSTzizyC= zw!HstHZ3^!E`A7~`$U>q`{45DmmSGJxIFxFdpD)3`t8Rt=y_%N%(uSXG$B0rV>fQ- zY@MGtedpWUP=p5`JbKG$<8uGbyF2Y-|8wWLg4{aQd;a;^Y@C*so_W4uAN1Msx1aM- zIC${HH_-yNVfSBpi4M>fh_&{pET4Yj-KI@t`Na7f)wo>_mrvYGoUOC;)Y`p~2J4G2 z9(hV<;UyxMvi#Q9(~-A?dLY>y;(8zlt(smJ_oay0&l=?%va z;h`{%2)tZ-N`?t0vyPUVvV7WOPz`nkW3pnC;P2a4W^ z*7SI>1O@ zU2PG#1RtpoJqhk&bM-RF4BX~rmBQ%aFwU5-mcC1WA+l4GJ(=qC!u!lQ7^9tT+t&Kw z{Ku!WV!Zs|e(fU+cBy*pmTKwJ(sR#6N@tA+yB}`uw=<-|#n_2IwT7ys=cU0#Pa2O*UzvLh;1G3-~8vk*1j|4yE zRs$X5=#j*R>TtR1py6<&ODk_5x^6#YS9u5z{OI)cql7Y?mT}?&<7dL|H{u_qQ5dp3 zc^*lP!zqkd;M`7bhCzhqV(D==?68)9_jNV;(f-}L8ih4q(3U8F>@=r(Fuy+W#Jle+ zU3_9Qcusf%lH7qgW1>N1qpB|h$s;1SR2LH)*7VxH}z7eli0TH6PuBpZfCkE6nHmT)J*`mAH6b)B0rSR~RC)i`CNy8bDq{DVezbVR& z3fF*qr$obx{zJ3(GyBq2u9DmFC{tS>jMlBC)oT)2xp3_Xhm-yZXWa%3=|E)NyW&d* zJh1Zqb%WZe-h^C?(AIcIjuS$FH86ybTBCcJ+1^xUHo+CBA*}a+{>_SFUhn$45>Lj#+%jO7C> zH~FT*_};2^qKQB5B$|levAY#DnzJXghgQo&iSqOb;tg0}OYlGWNl%8zwyO0jE!b-; z$msgw*+p^E>+e}Q!g{HQ;;~p+VOxEKC$`y(+9@m%g|}8uT9;WvelJzp`SZ|Mf9=wZ ziS0DGK6dp`7GBTpW#5bmjSw5MoMbE4nNgCJ+}K{kQC)=aj|E)V^V>o`sli-RAq_=aI4@B&!__Ph82SWt8?;4I`n94 z)h9oRbW;_!a0|q7SGRa@%%(o4c&%$2Fi4CTs8#p&6=m{lL}hE6FfBx02_F+Q$u>jw zW--l(G1ZVSa2x-#7byZiF)D$w8L$?iaq~z~L_M$&*8qZ_XTaco*JE^3hW($*9)gYv zkm=AAQP?l)b@TyPqe{VxFXl$sm66aePRzBhd?;tC(Mm4XRuqRwWyqpfSj$rhO43zL z8P$$XgD-!nY$8=3w}esxqAstl*{coOU_1MaKDeYk7dv{|{1|B$=gfd5P0xZZnUFGc zHfCIwayD;Ox^xDYldrnu1JP3UvP(1)TU+bq5#^fw(+vcl2#9I;%P7q0IVFlQGA>j+ zwJQjbkTXzUI-ozKEXt=e&*{6_FFoeiR%Q?WnF$%^Yk55L+`CMf3Xc3Bgd~|T3sVUS zpJC0!XIY?S^SBzr6_8#eK$Ts3*ABJ?ib`Q|S9$PshdK!y8)R{X0I;qUFU1B_+D=qT zK89{xp!C!#jfeA%{Mx0{9XK8nmZ+fmBcc*`qttmXhcK!Wam{uvzXP$`birbWt&Y zq74}&h;e)#3wP0ZE;dnQh}v8*u>}i=?j4mJubP-hl;9Hq@j?_xF?*J08mJtl9M_EW zAN^gkbC=GZZ5qp`;&@|Gr{VaJTUq?ux1-LGxp=@yG<=<6|KMO}XBh*QgS4YlalY7{ zA@kmQX5Tr7DGolC7iVwd|KSrVeN2VTg))D+y^&3E4h}XpIx58mRA}wFU+qet`{~Z_ zVMHS;I-y5A$e0FdQKmTXa#dz82e0mAGniKoiw%ruzG#OsqIvH-@PPjv{Cp}7z6^PO z^VehN{{|=1U|jU-?F&2kY@PIl`#x0uv`uxs&v)Ld?K{6JUh4W4nc}=x4`hOKyPf;D ztaY~Yw~W= zymYCXW-c+&6?D%fkC6`MIgRE8r4*x(d?#43k?gASHmq(?zuAm1Y9EX~2fLNe&zzD; z0j{Mv0TY$rku(j+s2si6Ah&0>f#2kP!gYP?5*h~|!%N%S!Y*#JJ2CN6I;+C zET+SimDeUK5lTCJ)@Mw02kYF=uiNeKaL_Et-x#w=JTcl@svLI%Ben2wKs@S;KY$9=XaDe!k9($ddv!Ofb=^0~g=N>FJATa?IV#Sj zrr{nU)p=-!O=RRXXj~;E$-cez$23Jvzy(`QDd&g4Rq+qNe3<`0TE{|8%I_q)%pbyx zs_yrJbe!(Tf#2KDy{D{X5%+!#9p(GE!uY=2F8c4f-_K9_fo$h`atuF2^*9;-e)UK+ zgU=<|#eUco$%0bZZdcn`rzqjj4S<_R!sdAeMXG%&kJH#7<3at8@jyKxk`on7*4>uvF3-%X1 zovRN;_B9;dGyJl7{TySWC|Bc^K9g&IL-d{3TRKbUYw)cR!?KcL12es9V>G69g)>Y) zUBAZX8HU{QPlvqq-V3~as@71hC#f7N7K7fW+jH?!p%?d^)^R^vte1NKZD+jnfGPZl z$I*7Sl>XIhe>lwVu`Sflp;8FFZnKvrX){diuaq+-^5=}N<-s#uAsb?@#B~t@yB3G# z|NgVY>S7ajArGE{k+1@#3$!M!YIg$>aU{=N73UCALtaXtkzyE|_dd}fO$H&7$}i4w z4Irp?iu;X6EF=z5`PL|FNl&AL1w z1}%`7Fb=7U01QN&fInjaL|Tf4DTx&sZ@~&1`a_B7CE0DMUkA?4M*rW&diN9z{u+rC zx*JAL>~P{(4I1$=01|pBYkWcLN{gixiRqo{OUiA90cmwva4tYsbV@2~qT4Uiq*8 zB@9qf8T!vVnj^88k*jXPphH%~{7&w1gyjfI=+I(1=oH__)~W(83fNU!a)lv`NKQ^x z$!(a(CgeV+B&5t}Y)LArAun_p`-sxX+36@44-_?c5=r^SJ9b%Gp%y%x*+Iry3lksn zS_&+FF;n1bcBdFH*D8086hEbK3Y%^O?uB1ZF7f6h$VG`k;g+Km(2+!BRnm( z1QY*`pWzJLChRa$7j+S)w20uO;F=iJ>dXmVr+)wZm$Sr;Ds$R>$NGt~yJ}B_2P)p` z7)`_@@$J(%094CZ*d|QofrG9n4JdLT;briMH&bxKcDCH067!K~uy`_~k~WUi&NvDR;IRUk#4w|i~O^22&<L1fCPlKg;0)MV*u)GXmG(+)OAYx6%!tNc7v;j7 zba+PTHo?AaE9|c*&T)TiAOjd}?9^>tq>&<9GX@A1{K4|7-i?dlMVv6haCJyNbK<@% zE;+lcA-8Z5fd9f@vo}ESabU)V1G8H^&iMv&wC$AJy%crhU+(BqK>e@yuBvxFT9mXm zK(9@K)wX`>&Sdk#E-zmYOo*%I3Bj*X2*D@c#G<1am$WR1xfU80pX~(y8uWsP2`j`@ zy^+cxyl2TSqQR*y`z`H)MO1j$&3Q2pOXgnjojU82)2PfLY1H@Dizr|suGcKVdIUBU zIUT!=RJv~VVZ>tJV+^U-u%Bfqj|gZM*RS9e7zLdfZy`8oOQ>J5vgMAHVj)^+$d+-I z(4gZ*NSoFhqWpdH3t1y$y+w8ap)MEcMEI$TTeh$mVOiI1k6h0z%8c#(UvKiaN^HwaJsCw!^~r-7Q9fk*t%v< z6S;+?9@TTTWVFWZ4M$7rk_NB`;&2$bF`>)^2{D~loA!FXGB8aJ?YTNShs~Lv<~6DF+#IheV(t zyISd3Wf~ExsvYJsU6*YU#}XiyCpi+}XT!~;YI4zNPdPl>f{>g|k<#>5HAivfpm&h) z5~|5cNy*hv$rQ*atN|q@Vsl9HNkBS*utLnY*`*#JI*)!f#R3|n1fkkq_7YXBCM9_m zOzD}`xseCURS7>G7Ymj$E-H^7ZJ4Q;KS>LrG#9PNM-wbP&y3j>5!)K<`p@8V{{wF` z2dm~7L(wLuQF6l*1l$0%uxq7aK>VTjJlfB7IS4<1O?=Kp&$|$;ad8op8c_>U1JY>H zeTZGi@pN(9NFOv7;%GfwG`T<`cnk&Cj6@`96{WdG6X!8xJ-7T+lO(95;B!`)R&wpg zn}7gzuG{w&CMiY|oj)M)bN&N9jVtW${|hBVMVy~{hI_W?3{`?1h}4txZno}36KAnn9Mwf zeM-7bA{rP8h-SVbL8h>zs&Yd`HN#-GA-yo^%DmL{20 zM1q1m91*1?cohP!L}0*g+m>vv3L~yXw4CQ#9FoJRcIBs-cO|&BB<@Okok>|g>8U;;I?5HVunTnW1lZv$L%rN(qJjo1* ze6E~tO{jB|lLGw?@*Dg;3Ms*pl}cvyN5B=t^d>Wh77CmPzR_V& zY@4Vcs`3hD$#C2scI5Mf6!XM_p3gfbs_qU*n-eagQlcHoQ{$-tEMKs(r4I@u)_{>b zK@^ghI(Bow=LJmZ}R4 zN9f0x7F}2Zai9Gh4xVw2Wlox^6pC~;9BV}&;RhgrmEo2D={Pjc?;4(|28?}3^?A~z1FV;v(NsiC218SKTNDv78=9Nax`x8y%F4YAZ7^yb` zT5KJ5_Xvw`rL2fP09wWbuk%SmHz%rHLklRTx$Fn8W|WxZ%)yTX^XnX$ovL=}f%sMy zst`lNYmHofnpc~lItb-KZU;??bHc%C7;&OmvD{Ym*h@)CBQU;sjTo~<*Q`|{w4*U| zbv&GlP64(+QT<9qI>?Q8QiFOrCdjO)Oi5=XnteDVrCgZvZT2Sp)D`dCEj=GBw^)pp z1w(;pq@ixN?J_|ow7EOs2)ww!?sRI(5V^plJS(-4q^WwHIU1Sc&mj(Tpi3E3vPhAX z9knfML{h$FEncD`Lv9nf{Z|~|NnaibkuVcBc(Y8u>tG=f(onIqdn^?XkSw(X?XHY1 z^&%WgdizB!^oKOIw7>a}i8?>!jk2HV357@gBtSg#J`{l^9ImLU?2uw-C1#OU0)eeA zMnY6P0})rCVC8aLLC&DU435h&XI(_~sXEVfD^0T~x1kbk^vsJE<<*%KEsSgf%scuH z0?T`S(SV1S$$){Rqo4DO45E{$0fcwfHK8+>=M76VBsWivni@L%ToFw~C!LgIh&0s` z1cgS=P|EoOrk;~XN!?69GAT?zN!hg{aKjotiwmvZ`L?L6@N{W@Pvt^`*FsAIP#G`| zM;nBVE643av^n2Xyg(*NW&RrzIiMC8i3uSjduH6dStxA?R@~_XOJ66qKgXyqlCItj zd4gC%dzxFTNoEXF;xI}URWU(08h?5-aGv_iEh#G&gfyRk9UX*DrwMkTR;PI^U#lEM zhW-pU@%sSEps$LEj(|wS)6#@m5%_X+HY}3 z6i*j*`HS^d*gdq_?&~q>p0W5^(Uj#*^ewvT%^I2SA4V&0_2b%%FO0p@iywMM7eeP9 z%+`XP@P)Xsws~k5idk`XH)0Ib?8Own;%+DRZEI#9wz7Jd>zeLf+3J~fA+5chtty-2eWJ0CttMSS+ij#fU+#*wC;T!<2Ek_s*w z`XliidYvMVei4qIw$|rs8M8iDPY`?b?orTEYM4N3zFC)gi z@KXccmHt+>zuP{DU)f)C8?3~=U%7Z|G&9??lYZ~y^g60CyXii-V!u*f zu{4_cEgMYi>Mwh|%bWgiaujdh467+=5=JnZFnF&j@%J0-Qg}mL&ibLA^17yM)9yFT zUQ5iYRa*B1jW)2p+v53SIay2n$IPBDtLmMHlD&%X_>K`>I1PrOGo6*{)mbX4YfLl; zHk~Q$+H|9wERryXH+ZS5!;^GsbIw#oWW4F+tqW*aajIVAU=VPK`5f{pS6Q7Hqv zwhy$~@fxLi>?@tkROeYvq%q!#R-;^Z|KgY0*2_M=w_f!*v4`CnRz0u1Ebz3>i8Po6 z+1@o+E_&-DPN0Fz`jvY}L{G23i{~#0G3wNGLp7D1T}B8v4TP z?WJo@b2-muMlzfAF$|fNAyyOdQkECrK5A*v`E4vOXwgG8WxouB`gwDrUZeMh~tkDl6l&YOi|soJ~lexi5bV=Z<^ z%l5~6yi>b%qW9U$ZL4Edo_-98jycuy^9?2^r+b)2(R;l>ljDM2K%LT2&n^r&*rDz9 z&z(2ZISM=tW=l%w4Z{(eym{vlTAEi|LUVfuM(56Ey>RN(9Ztt#pYLK@$`t3L`DmA3 z_(RKAuHR}y_~Iw(dfc9@u6_Ba5w+{rQ>z}hv*#llpL*nOl1!kIEk8bb>fLY4tl_b2 z$iuFIGY$QSanU(WBw@~2rxRsL@bb$@%9fVCi75`-wU@sBRcMFh#dp3rn;|)yEMba6 z{=M(X#A5S_DHr(V{^-Qbo2gx1e0~{ua7M`I&NpboTKVSrI0y$z{r#gBju#j2+=U7f zK!e(T|A{BwntA)b{xj>n@=9;_n@tqEdwbp%3)SP5IUDLf@dxi_oeiiNhAStAyI3=g z!{TF4V|O%W?YHNy4n_G4xdxv}#HUdrL=h1D6myvHi6_;LY}{LT zX*l9M8}#RC$(_SJz~;?)7@)QgUZF`ye)aT}C0+l-Q!Cg>IQ?-#+aARLWIIxa`&Ee){2(qhTiIFncRim<3Cz)@(Lijadl$ z3|w^|mq0grl3_^0=;i~8m!#FGx6z(Zt+j`@CRNh6&Z z=IrE#Xg+%8op+>CW-YTri4o1{9xdp{EqK7U{>__4i=OFgu327sMhhPVUoMF=h7hx- z6z=Z(s%v%e-8)A2)vIaZUjH)dz+X7wdrg$!9at#XV(x73?R|8kowUqO5235$@j~zN z&$T|r8sN<0>~4X+NaL|mI_*tj`m9%)8TImlNmr(R&pvzvzoFNs`7qK)@PphzFHT2H z?F)0kTc`0W?3$P0AI3JYMT4ut5MAg!dYZG#Je=72N$s4)R&bR@llwGUrsmaOYF$E# z(s(=Fo3`>f_Ni54nI!IbYiv=n+#x^l$n`<%!O(d7K=4)d?6aNVw<`&LeSLBRBDVTa zY+_PKohy62o2Xb>_DfQr-Y=!`ST{K9iL|s_?&3@E$`{I%7IERcb2kw?X(&Z1`-m6|!gX^h5^X*GtY8%nn+f%8PVuWaPjUMcqV_$MQxvoDSTV<5B!rPAt zPGpVs0aVrIX4k?!+ON^KwI;!?ttXQ$6sMnn%qp#(ZHsz1Kds@7jxl&D3AV^*aWQUE zIr$C!CDXNdQ*~C0bv0{H|5auPsDAw84aP?Q`PbC=R98)d;0wOc18}|rjh0XVSCt)f zKC-B^K?ZZ|Jfv1u>ZedbmL=tPNi0t1PBKoQz&;FM<3WZ#f)~vYkY|D5hof5Y=&?gE z37%Qo8Aq6a(zpyMxlDr}!lI`|>jq3%V|{5UHREJ98bt6!#~XGtovcTqBEgHw>C+M= zmTXVn9f%laVfzwkjF!5%T)rOj#6Y!Gjw6j<`lPVd*JejeRH;NdBbM7ZcMH)*(?n9& zS8#&0;2ektnuU z(){AY+S(NnTEHS=1c5S^(s(K!7%K>J=y32B8hnm4DdLQn{%4jJ7B&c4i%1smb4JYg zySvGYabZm~Cq1~ur0f_iL#~^SX3al_o zwh|RNB$-VIIbFe)`~u#AL7kkcRSFPxhOnpmF90O`vc#bSs{AUwgree<>pQ%m@sFw> z%F_AvTc(0qC-OayIz~>$w!kBQ^6N*hTo*NFinlCP+_@R%M{5zk=Cl7)mo4k$%Ss8q zSX;_|khXzFw+?87hNPn9aU0dBh@`Th7fRbu+mU+#D~?m?0?u6qD~CjS)4(;bHIm!$ z*oEX43o%BpN=gvZj#ls%uoKg`feuyYEU*Mp4N_L*W^jx6^GPye=Hvk`rMoEuAsjq1XTJ{${#8~kxVM|IU+Z6y(!f}3rvTM9lqXh>@{EGYtht}%rQ$T*S zgbcgn%qLXHnkpB6U%r)hGhHM(Qh-X`nR3`WkFR`B@-mWI>o!aGqXeuIJZ{P{@BHY* zielSZ1-=g=;jr1M>|AC%)}N1sxd*MJA{Bn3-?i$_C=&b}2!Sm;9`~u=^a@8%mFszU z68mddIlhq-=ln}#8Rm_nq{AjjcmN8K@JggZY9B%8Xem$1oTAdpdS>_w-3pK7=!zRg zG>HI^644HL)LBQOibr9=rsE&SOX7+6LN^~QC_9l`H3Lcj%sG@o*&r@aXhxCX8kb+{ z-Jc*PhYEhC)>Wc(HRV18Iz1tOQt<>S$t|myJW&Ujw8i56+QMRvDyxyx@uI0+vz{O( zoPcy&{0=UkxB91l`s~@CzFOCpF0G%vbhRGHh-POe#y^bd$*tB5^Oqk_t;}D(@C7U} z?)zU|zWN1BaWGu@ts^WiFkIng-?HJ#(fsU~+xSqsecQ4r4n{N_TKfeIdgdlMh%g(` zu<+;$tU#W(wR!tovqUu^t8z1H)#=m{mlGqon?4QU+v6; z4iAgWOL-{cBFUpW702rLbSlnwIg#g?ix=PdjrdD{2_q5ChTGU-T%pk{pf752C@#f3 z4fci_R#v1yw@r$T*IsMl1Xim_+(@>ghsj4A`*YjMG-ID+LPy56y>b9{VtgFpqh`gc zq_=Df?-N0rA!Y%pX0b_xflWDjra(Ua8#`8A;xa_M8a@+aN!smU92kLDCwl9}Gdmca zSm6`MRCd+T$7t2nqne>*)Ro*0m#u+{y_)dJFH6ItjcPZy zN?mC?Anq1fR=xS3g2ieQ_XD8iKLGv%G3fl@06otAK_bVg$9{Pn==>-F|2`%B2T^~o z*ay^pnA!W-{~lECW9cRttQP6oR=w!D*rKHFMcQ$j zd4w+3D5KEon@fq!UVPz-VT_4GD4w*TMqt`hlV&*Vfi5;!pi}H*Yg*V(R5?&l;iRlz zqu}~8$$03V(A25;w$TAApKyrAB>2rx`W6<)Wo9!5cyuMhZ$dP`2IUr!q)UmLc$hh8 zp!FUIAOD|`2{m3}(x6UBPH-RxJghKy+@=Ua8bR<|O^swiA-A~6<0>IWO&)1png?Fi zKMn`hfshf>IbPs#8O*dpF(MI3P+`ei$OWV?=&H9NLF!HPS1#Pp6J|t7LF=MK|7H>2jC%;>82AS+JQ=H>X&_v&SM(Vl$dCN2UOB zD$NdW5Tfmrx77e6iQ0MGr3))4yag7jxb43at%RmY1hjjkd~?Wv`PBg#2k2s(EVKlp zo255kYV>yqBudz*!O5g3m*D_6<&f4A^WNxC^4Aws0i8olR9z(8f)^4LhP&e7T#^K6 zlsMhy5=uu!Oi98gR>X{@TAkG38>A7WN@iq&MCD9#K3Fk2(Y;93RG$m+B!noY3dF%6 z$kJJX*VF`d;crNI!WPyXXO93f(Zwx;G5|cxa{fQ|-ap2&B+KiIcRMQgcJjJ)yPOO; zt=b_fH@A6i7sH#Ob}22R;Z)9}HyM>zZ-)|;OiO;-+u-u5Sr@AT`j5q&N^*zCxV_35 z1}J1(y0qJJt-TUujI7~@vC+vd(9V-(Y~mTk<$BUEwA>Uv%dX^e^!uio zniEpKFOxFDEy_o-oM-O-O{JrVZB!|o>VhN4$_OV&n-%k56x_U}TNAzi5gT z2pmR6Gmkl=UPOyvD!t;cv5NAv#~WE`!Qo0!U=*){LY!kgnxuOC-}%2{?2h6zwd&j; zpztuY9V@TflpDFKTZ32-j9DYSc#~ZP(Jyy`IEnc;^ejQx1Py3RXcHR+NE>byP*cmf zij~My`b4>BJR;f{iN+A|5uiEUl&@EY5U;1<{v*HtdzRQ#RwB2Fa8jf1=D%R~vrN%S z`hF$O*uE>F)yk%FWrhR`ulX)s5hhw*1(Z@_svW^M2{rlqLQzhs?tFnM$QSVMOon0@ z@H^?>iC?T)X?io@H`Y7r8+O|yVjP?!W6Dx6j7>ey9tmW|qDvX0{82N@!7P_=Y7*Zb z6OmH`Cn`0$2`7zhC~e8=6kb5CnH&0s)s)aL2C@+R0-WLU#vQ~BM7grT&STL>nL(tI z@c6M67aYOQ3!OJnW9F%hi%g^&>#E&IOO`pO?%(;}lcKe?V^sU5SwX)LjM`mPP`OXz zgGi=8U=d>MaZHwqkL19p_uMCH3igX&=ZK#tpGuueIIHuid|h-!lPlHFGga3G6zrlb zG#}TR7i-+%oJ~sRh(6}EZ`eVa?ly!c9 zc^3_zQ~4e>=^m7Q54!HD`1hcHEam@`UH+5%-@|qvlYa0Pxajde_}V_M;miUb8~)4` z|9lf48~&fKz0Yb3!|UegcR~3M3ip5WcaXjteflObXXX0F#6`yMS=mK~^Bc1~<=~F> z#k`vSXgxxQ^ZU3@tMpyM?7JvW6TVB`@^t?9#4gl%-WoWaqblFM|4=2D3IwX=yed7} zGL9&qSg;Z5N#JV%&+*{5g7;0u?ZtbQ9cqkbwisH|A7P zkim;LfW`k;Wuz=3t~?_W)CsD&$-9$PE7N%bUlFz)hIfQx(Z-S0%A5H%(X2POWO~83 z#KF()eNCt;){>68mm431{Nh9#`D(F!cU z5l5PDmryX^5K3q)!Ric``YgtnMv78u8=$v|$te(o6CaSJ^ig0W9Kyhx=j6o?pCH)N zyM%eHt<9ye(iG=o(d2QeKq5T!gOb+%{NE!{VKn@RS>DiTr;>bQh>(x=Y${L5LYguK znR8{xs9UyrNTnW5G@c?G2~h(^urx)?Rw2Mh>}-@&lZKa%@F;b)@KhRt(44Sjm#s}w z!@9C>R7PGphe&Uxe+dQ}1*Tw3*JP z0&m*W6sE4hI3g1V=w0GJ`*%hJ)(XM$lu{PMr62R3;+k9}%IafjO(cLqvxqRhESqnrSWh^F)g!rB$F8u=J; z=7^L@T)bL!RC5&C#zJ*1Dxo&!Ix%CDn(FFvB#)8k9|5w5M0@a9gaod{##YwY*hH_R zXhh3cDpgQtT!6|O1Xs9ez-E9IA6rn%ZAxoVYewDw=qK5oI;bSTOi^g$mXStK7OfV~ zrew$IRWYEr<_F4<(!y~BV<@Gifz^E&4M`6XVhJI(VV0l}+LS1k_8p2KsM!mwPN7n# zyrLoFTDm|X*nlUf-cV&@Nfqnhj0`I&wOb@taF7~85qzW;&hw%a4&xv!R_Gg!AjE{m z3dF@j;9cESOB?`6g|jE}^s_sH$Xlz2S(nGG)wY^g_%G?}e?p16|4HWs*7%yDm2Z^Q z8F$6%WU>r?a*Pd3e0`5sw zLY+h|3IUcbuF}ST&QOZT3i?DY$5Y@Eqgkc4F|iGXREYILEToYQT?tyGSWr}ha#tM0 zYa%lOfvFStr<4(*8zzYuN+I!{GvzOoFRVgX!znidCk_b3(ziYXl1EZnbf#k9Suy~= zo`0ED;Q+042p_nBRJ-y486ieDg-Z(lwmcBl?*g~6-Odjn)hT1ENur%f!#>C&jQE9 z9ySlIF>oYD(ju&BH;il)W<#~4z%#EGL(oAQ6t0{J&HxveuJ6+i|L>&ww9hZa8fBp` zqIygh`l!MTA2tY*iktosQPLq?VHlBtYX2I7j$>{K-HI~fUjxAySs4x;iuf&InhqP^ z6cSDnI0{55v;anKYz}x`s!E&UA|$U-_1K_{!7>`|!3rA%3P)smY7A4XEpSgG-NeNY zOQa#D6d?^b<&31jDyK$PsVEcxwjgLJQ=z1b{pge|DBt~w7ezb`m&r{>T=fo0H0D0$o0uiiQ?tM)GZ;vz z%D+Tflnj2Xm1cHuPfr85g|Uw$CX_#CAP1<*L{e@B7@S7T1kw{xqp*^i1A>4vQC9I9 zxsXjAMJ0MsDilQo=v*(JMjTQ|RWAuVP11k-b>hQ7vB((Xe#SyV3ZJWtJ5u8`N^STQ zQ;VQ*oHNTGD*+na>IN1hHi4PH@CQ+z>ql zj&HzC%52dx-{Xao85ESbS;U1!iR30 z)P6L)5H<>?s*g=UBD|mXS~+kI1BG=OvK1oaIh{ zTQ_rlRJZH1M@Bj(%3Ru>$O4&UbX=i(L1eGRzea}e#zd%~P7&i0isljSsbC4bO_kDb z*|>OY(v29b=>%5IHycp51i+?+9HGPrVi+L_2IDU)k95}W#R#l!JWbi_w>migIlAdz z`aBI8ap%+~!MYO1$XlTF!UZ1T3Jn~3p~qJ6kEu&!H>M#|BK}Eiz(|yO z#O)Z8Ia(7`nb08xxN4QD8AwJF`9OvcW6t4@x@$e=9Nt~?sY zkt~6CD|GfWLQ!47WlEC5y1Jd9P8-vzlXIy$u?`082t`!g0Tq;J0_lnd?JRJm#`^_l zL@DAf^nk;Zh^=di$y0U|aS?*a8x}K?-^j-5xq=A(1VQg3Pescpk=xM94ecyak}LyB z`F)PJv+tAbl@j$vUZxO7x<5%@eLd|Qro-!eORY;^Oqcl$&t}K2@cY`YVkQ>+!wY#n zhu8QP2A?WosNCw7Ew?k2{Mo+@sr@Zq0YE2O8t4edmw?n-VQbl>H@;N%lYO;Y?^)N*w=m>B>SkxF zr55k$7+2~CCXwTkuJuhxPFoT!DG6{FZu)nv51b^1T6r3<ks$%x*UCXAV)qOT7Ruz`#N;e!8%qT$T3cdSf|iZbfVouIw@$H3SV8ZPb};7 z-xrN@F){BJIPP{#FEpg^`^a`&c2obud_+QDxLld#W_$O}g1WY*d}EVJw{~`Shnq|g z`FiI*!QYolXDKwjb#LzQP5GWLQFmqcQ7I&LmZyX8ZaENhy&EH7Yisvp7`)kfWqv~G zwDn3z;d_~1TBZiR_l&;cOT1TXMT9Pr((Jv+H#ZAhd|^YSHZk96bmyMtR59+01V2gu z35xUGHmfnxkODrCk#5WsH_fcZ2UW`U_Q5KoY_C?5((*CFTKe=f;!HxPyOh>y z?XBr4b@G+q*RVV~oUT?~bHukFKX6*Iz5T)Ascli}@GXOHw|o3JQEGeJ4sX(;W`g@U zc0W|dzX}RyVr!VVk$=log-P2P^IbD^q)$BEoZ}HKOW^WHJLmD5X`_{k(&eg<+ zMfvun(NLe}S6*3S556tDk3D?r6O0M!ZrexK)lak5-|{}^Pk;W~-%kEJ{z=jkiFP4@ zO_NXrgKNIP@VR^c0ac~_efRs{O6~3C35I|6(*8=Hc1_dSN)D2`Z}zI7+c|wS48jMe zOEZ0pKF!wi+s<(3(|$YT(LalW0n0U8D?5oHu*%E|O*_5u>gp8!=bzkOp~>YJ-+$kR zMB~?oa*Aeq{n&?Q3paCha$Xd1Z}08NDQP!nMw#l659cU;e0K<(?}eItG(N|R;SmNN zLA;7ECpKw(qj!^6~VpyMxC!-So+c zDDx~6;qePB>d!s<`j>kIfQIo?)=Q+4lNvu>fl2r=PjUmQ&1>a`WKA(R>CRvCJB*L%g7_Ea%tjO;QD zr1)gxkLMl| zC?0>v48W&zQ#E|r$K&r~c$48Lmp0#+PG$&f+rD}{U)mi8$|r}7+DXY|Tg0>#bX1l? z&bWHzwK7-tWd$uuAd$coq! z%Sl)ubvt}nV#0SS<7}D7JD1ZIZHp?H-H0A$%76l{GXw_BiNfmt!QDCI{5VSaR%4uX zojAQtT|jX`_xd9I!!OHc!~vO1K9ue=nM9$O3kEAAKWAb^Oo+@Zx3vm6ZPaCqo@AxdVt|ZjJ@EURV)5 z%bLIyc_ALYPy|`SLx8pg7Ru0EpoUPCpl~#fe6^7|-Z;4u7XlGp&%vL|GJ#R*8>dC_ z$qD0SOR0@KA2QNP?{p^dsr$v;Vlt%<)xfQvg6pGW;$egkV`#b{QX-~?FZ`KwAj3jf ze7}#JyQ|*CTTH%6%F%^6K7}~V@dH$~TCjXmH|M#?iK{hyBQl!HHFvk$nWGf#f1q}! z%P-3D|HiKov@Pxg?7oOF#qBZ-jmPgMgO(*YuHwNYLSbqwV%{b~kuxfNWMCC+lTa(S zkmZ;WT8w~K`bTQ*{`J-Bs7aiPs+Tz{bxE_84GoPrrS$FDTUTd5{lF&iMI z!9u49!^8U`lX;O8VnW5KM8({TRh`s!nkdz@4rrkmRBpS78({j3ILTLmO=)pg7$B;j zzzeU@C`Ri!aiekI3PhsrciyRq?nWi~Y~sJ+uh+bNMNLj`7NRtMg;q4aMLS6|nR?ph zO1K!h=#?NIOoT7jCW4WBEA8wDD+jLqLZddNzI0_ zkmqy&XGBs+@j}FaDT=etdLo35;EHibHznw94n2o+VubRcd15McqRk*8F#{zMoMA?46FlIc*f(Je(06`MtZ@h>%Z(@@c6 zMD&Y8->PP5Sbary#+J(839T`Bs2}lw6f8NobioS5L`6{yZ=scV7Q=TcDlkNdNyB7C zXhU6~g5m^CS|-JevQbh{RQb%=MPy&47ry@)!8{ zE8p`~Mf$d|tx~6N3)?~Jeki`7%kLxo(EJA97QXrX^SVBG;b&_6Ge0xc_k2&j@FV($ zE?<@W+4z=j_slc9SBBG6YUwj6rAm3>g<5*@nHpcMQv63kO6o=_d~07)x)f60O%cCK zJnC{azUQlN3!~GKf7cg^zfiv|tQNg1UHG=}FqHB`KN(*IPOm)GqD{+gUX2!cZ4vMK zR-Mu_NI7p&+5MqE9n!l0qDuK`>9Y10#xE6r=4yQB_~e;?A01Blf%>Dc4{@|;{*|p8 zH-7C~-3xEg>GVf)Q15^r9E|ros|zuB_}29?jz*6W${PwFQKzSL#2px< zNLq!>5ZkWkNZ#Zgjoge(+wc!08cj3&Ynp*qktDXGlx@z}!NqhHF-j>;n{ivrxZvQ7 zY7U(j_$-BITLRaz2VJ|Z<9kKf{1QUXx0Xo>^OUI!Tks`w66n=^`&-Zj#=+Q8QqY_Q zBrH@RQ6?gPCyWE@A~}j*Bq&|Z2`(-E|A2i5v#fRob#F*f1HK2G5##3ncQfR%wHI<| zQ3>?CX`__Jf?n-8Ccl4FE@X`+*);p#`(^5QX3=!D-z{Z+y>g--`n+T~>H_cK5~xGD z!VlHaUG)?>N%^X=W=MylXpl7hl8~stUoh9wBQ^Lzx7dTeKn5qr++HTj7-n;8`OF}1 zYFleuDX&V`oT|Nd!+>4$Maj|$UB9iEGPhl~Tp|S7D7wP$VxeBJir!NYsCu4Tb*D-- z4tBy=GHMhYrQD-ciNB*Xd&VI)&APa;ruJ2w`0&3qXVqq{F~|!h>3(t+BEIcNdDx@} zZ-<1!sW>}yINvMjXh?|u2J-HveL|M^d;EOe+taV-f)3m}cE*1C`n2N<{;B;5Y zGzC2i+<7lOJ4sDRnQ=o!laxFbF@@YC&Rbj23*i)y?@Swt-uA&)sA`a)#^E1KL*d&=#T~s2{9$1N| zv?PLRpfuf&6-{Bqo;wbbxqP7-m{f00=^OFQVNd_*=cx(9@G3vtI5a^@`#80(r0 ziM2$w?BC!DT+N0&E(x%`0m*Ei~5I>yDBNNQ6aHmoU%O-U=WzvyssnrfBQb=QA z4jzCJ-{cSnXCD165$#?2Jxe!bfcW7gDmY~J(oL$6C#TRWZWtWQvnbW7>oyrvAEo|6C2kPdci z*ekN>Ys_2DH>q1|Qc)$|+Huo&4-IEM0H;Xf^pTw~0&A zeQ_1#4J+hjzB43 zv_+|-sTUMoslYAO_^)tAZ#eZj>89}O%WqNZ7rjXkzf}%?A7ZeXL-em26{N;)=se1A z`7XS?i!M*n>~Ul5WykPM@@0C2C>h?3*trbs+LN;2rKOW~Nsefyl@(dtuuktM*O>3J zN3+)P>$>-o|2zKx8_@>!O9V8OzofgBkkh3p0p`!#(KIgLyxm#EslQK&L8vDO))qN+ zi3u+EhfCNIxqGOBhT@BVnbkd4uQ;afZY3r}s-m%ZmL^4AJE6HWSzUG;JuPKN7vl#} zSywUevAvZYH(IH)6~A?0-Xn*FMmjR=9kAjD!i>Vsdjjdd#J{XTg+pIUf~$gq-0&Lg zUTRJ-Z)#@U5lXWuj;AAO+hU;3U~a#TW>d&j>4S&~v@k4@ zOF{iCM#%HVEvuLyQ>VNwJp>^F7hsxig74DqLppy$uI3;8N4KQ_Oc|g%En@VF5_UyN zg8S0KgoFSmo+K175&@FbEvOJoM+8}k`0aTm08HSD5tSQ>BFI~ej0!dO)F|<6Wvw~z zl|r%@GR#OB&gg01UL&0nh8aaSN+p~+^kx^X3s*%&^%s{6<+`Yaq^qo1&-?2&@9^0DEmlC25b}sDI(0q zcq78xwl$_)<$35A#YqSX$Mu^8ddGhhbO`zsSj9M{Y=Ap;MeNUtpkfxvI5%-NmA9Ws zlfoLYq!A{>S!pZwnUwlees?WTAnhk>ucq3u@&z!Hx*IU%OY3~5)1P^7{|9+aa?1#!)hd-)Vb(a1 znzp#6Bq#V-?vs}|qtPB-<->0$BFMjQD#JqBq24(YNTU)dGoIlVPZJK^Xg*C|FFLf) zEMh7TVPuLVGb$<2MsxPcw{kQncF6nW5RdCkhg>KFrSS4tfx(&sg%ThgPSA`?@AQ&G z)ilhF0b0g&Nn@KznkPIpM{Nk_5QDB-8$k;Gu>m zjX|dDfA!h)D|aIWPHYh>h~5dqg^|}H1Zs4w1P*CB*O`nqb{sU{orQ}3M$&rFk}G@k z3yP$yMOQi&tIA9ir}VeE_mD|@{OD_BsWitU%;-!|QhHYr_g0lY zgHbqm;qcj5D;6kjkb0~7l6jtR(&h3$Ak=_W%T%K<9WAS18`E(W8}>zUJY5~<$ugX) zD6%uLiTXuCZaN7-V&uTUG`ZD9HQnd@XF7`aG07^7yu1>##g7YlO2;ZfEU*YS3F;JQ zyH%hlA*-tIpBs3@Ru3ino4s2NIBL6kO7)WjqR& z5h~blguAM^>JNt?Oz|1zR$`lw=kw$4DT{tn=M6oTZM389Yh>IyQq%Ba$H9}$} zDYyu$%pD{6N`c- zf-AtOSrjgk-8=gYgiQ_Zj0skGKKOcU=)Pcfx%gh-W?{>gukK4pQ#Xdtz$ zss|0RIT8^d5wlIy1m2$~OqXO(C2IZPTIyU9&h$Uolr@OJF~`0V27?z07GXXofbONx zZ^i%tUuqHxsku)_5Jb(jL?eV*;z)~(y_l4Jqo}7s8n7`G^D%+S)RuYV&=i%ixG#(} zfkjAg$myFd-y_+OXDaBMR+0#eQ$kaumcX4Lb1-!tTiknJowUagRPl99HnhgUcyBd5 zyOaLa-xYma9Ypd~Sm#e(5RCK$f4xtca3Fj~`SPKXCS|0_K4o*b)Gp#GhXtReJWF+2 zm}*K(!0{%gvQrt-7J3Pa4)TpS+Dhy&vB)GSg+Zk%Ys1Q4TjB8r|0q& z>Z~~$#F;E9VmYc$k_5?xE zqlzP0kb8eVP8w$yov;X^{t`)-)>5=cm;JH1BJ)ut7yHE9^bA(h+72gwfU0Q|YNj$`^N!{&0jH@;u_Cr}P!KA|jPycY1XXH1BxjDG<*VT=s zIoX>3dG12DfBtWk10VK$rWHxCRb%sm*=xK0#o^`B@%1jdY~9Ov0q)7hDK4GtZO%!4 zW8gmYH#_b=;?iCEwym$79G>L${ukd_w(s0>UcY{{^(1|xJ1$?EdAdHMH`lCsn4-PS z^Y&M}zwyUYI@)@Ex-bp4_RuWloTqHXG2$z`cP7Gjy0t7ny}cX~zcxR;_o#QaN@rl@HmNfWb3+9kA5xODA0dzkPeToJ=N{-h5MAak@!`O>u?{owAm(C^-lJ$mH#r_ZhCE50I>FD;gI z+a#sq*9+anV;EEG*uJ@s{iz2~!=meT4oW#$8W ztmm9x{dr!7yT?r4WpAX@PF$U7_raALXvVhS-7C=1?VC4J`a^d~HqGIyM!3s$GX}?m zcSvNcaL2@oHJlD$${!3HO?IhStHxRE)!Mx>y(NXNw10m%?Ge1of{4ZBCpBy=PxgQ7 z4D}KldMGu)@b?M^&zE@ELI>!&H5Jx9-Ex5`8Mn#Q$n9<37pAlK8cQw}d8=s{wzin| zO9IaeLT3{|0rhrzcqk{>+UnPoh8H7}!8OGiDO>EZDHwk1^Pl_n(uUMX7v9l-{?%7Y z4^#W*z0;mHw1+E1^Zxs+oUUZ}-8&AUxvZ@OP4iiHjX5#HCAMJD)rsz7vN;ib>++uP zoGAlz`JH!E>dH=c#W8%x`|+?bQcl+Hzw3`n?u&=90Rel_YWeWtk_cFegfTRth`B<; z;h$SB`~>t_wqF&U;1_R5{ZF=Ue$x%l-}U#AGWo6QU+`zAbq*<|@3{}jQ}=sNC+W+4 zq-7!&j!Amq60KONj@2Sa;|rQwTgFJ>pI3^rn0qjAeyLISY&8c5>Mq=<3d-$F z1H!`_^uX%Qw|nfP|Q4?kS3u*>Bx zOm+G4FwkA;CyMN#8K*oN;JZv0B%s6G$uqO$2_Bj=Byy*_n}98^6*iC z`%1U-z(VYkydZc3(=&^ zl+Pk~ksN%7y8#6uEP9bP`C+{e&K&<-etal3`BWow{B!Km$1OPbE|#Y&S$>h#J(Cv} zPpULK7Vq}<^2tCj;=m?`qCus`2B#Zl70^z-YVL3fw`g;$187F~E6njx|jtDhM(-*R`;m09Cpp|VQ~hMQ3p3;m>J24x-|A?Ebxy}RFuZG$ z;09{jL#LE$Zbva;nS}_trS#+1yGNLkox8E0qn3?DrFBtw4_<4~oiRyLcaDX&7cHIA`e}-}lDP5T{TaR

)At*U>&1{}d$x{|6>~-H!UJne1`asXL3UYbp1O~f*|I#H+GA9$C zOm5%qA5HG3#&*b=Q00mIgyG|bIHJ3~IBQ8yFg8f`NJp1$jtqR+(M1zgbx`ed zq*fSwMTp^wD`E${w3kT+PoLUG-y$-HDoIYM%n;USTmE6FTqA|`(v?~fl)!8MPqYgI zVAkyrATU>qs0{?J*|mo1`_m7s8oJOD=2IGxFp4b)r%;BN1T*goD&|>nFr7ZZm@H1j zz*;w?Hdj7fda~2rd>K+`x6`bqQq>$Mm-kFOV)i$>A-iSpA%*>}(p3@O{x?nsW|!{bN6 z&}XWE*4HSNDzvb)gL>%_Z@Q4l$K-;ZwNq;%p9JT8J$81MxWZ8Hn$r+cCP7Gpp-w;2eTq(cfHo7g=C&l7eT5MR1PD@a_D6das;Dn;BWS4(a2%_fI0 zIBmv9?| zNN0{BDcjp1K!Xu698uo~p&J}(GmS5XC|7kUGD2ok#y$yQHC`!_E&I3n_M11gaV4L8fzqd^ zZ0Pwl#XH@fViV1`z_p?0y@5ESpw4FFN^I!)>2WL0PuJ})Cv_`M*Zu5|RB76Z^X_Tg ziu3NkCK{v-L)l2V8oC@`jjcFNcg7}~<7b|U(ru0NW)n?2ZVRd#d(bI#d0e;PyhTeS zZN0wvGa+SNThykX{n=5M*oxE6)FQgAs~dXeUD)OE3(*p`;{1_ct}Po|R9n(@d*fD| zx0~3C!-w1@rTY(uG>v{az=w>!%N)dcp_qlDVh^8znr@C=7#+r|zq~ul)jELp+K6PEWdG!z?J$q67g0Zw`pew60VY_BHYCz zY+9Wbi|W4T;JIBEqBPxEiIe*U#nI#Fik=n#tY*DSzm(d4^B)KPJp&Up0wvCY%Fm!S zE^Ifp&(89`XQEUqpzTv&7cn99!v7mQWlyy}?VT4H`)nlGc?O-stwtGT1e!3mA_(6h zb!4(JCORv5(GE3RTxZ#p#I#=%3NMs+{x7EKNlw!j=rV3Q>%pmt@)?86$>N>o>{T%? zs+7`v-5yUk8SvNu=EC`4f494uULbqYe&$W&A92sjw_{cF^{q+a#%&Z$xuj--KX* zEnd_lQz^W*fydh;o-RE+p#7)H&_LPh8cTC>Tc=>BQ7?hwT_g1kBL7mRBuK!#OV&By1Gb%$LAZ95(}J3 zTVQKB*=Z)D?Vs=kS+7wwjkvmxE}-gBnDk4Ot0v7>T;aFh=2o7GTdcccd4{DTTR=H)_;A}8Lg zy7Vsf3^^UNtoy~lQ>5aoY1k)TzGtHFupvV!hhf= zIYpL+D{Q`a2nztSfN$;bBuDRb1chlrV3Aw$1Vu>fmV8F<43;a446+qyP8QbDnq<6p&j* z$LXC_Dp#yN3#b3NGE;#Ar0|iH^yhhVl5YS?3J!Bf9{Rt067gf4L;5H)o!FOL=T_HQ z7jnBKmL!?Uop!o#^dRP61<9JnQ>IX5ec5KIU#EPJ%(*z6f2Z2im-N?|5OjraA}`b% ztXsHuTiL?qrZvV+u%s!j^GjIV+YZ}fd}^jdCKg16nMe*+gqE;chpE-dE<2KD^ilQl z;HO%Lu^O&c1D=c`(g!QRmS2bF@DN!oRt@BE9V1WU4>ia_cc+~A#ac3{l;0!o8yt2l z`e8zkt*9)MYw6|Qh_O#YQE*Pwg#nF%UpM6)k6$yqp#y6< zbU^{@+}7Az%IQ7)!ydsrfGA`NPAK^BdLn%EssB|@>CBXDqrkJ7TBuIJf(b-hdlBN* z>q@(zem?py)2=C|`DJdC48QR`h1MRV-Cj>i)Ehg4obT3Rrh&0T4eHT8EzpHtH8(Gs zdrC+{*f$Dl98z~$98v*NhGWKRy03zU*JNKYe2N66B=mL!)i>@oj{+OD8!%?O#4PWfb@(7@BjxN&kD5)@o z#BRG1r1e;AKQm`kv09mmNghv2wSdq2N=l~Ai~)`jQzk@9QzSdx(F%(+gHnP!WP)L!m0U-#Rv_hp5+SXVN+2p`@sEeax;ETGr6-0X=fT1y|jlzsySB>NvxYgToNlhMCsg9gv8ual1EP9;zLGs6eGC=(Y6k; z!X9Ocs;t!#6|%QgLi6h7i$3b`llvdv@|GjWk8(3I!HAr%6hG z?st*vK~$h&XDLfGP&{Tdm7W)9Gtp$i9JR-3b`Y^Db4XllM2MUtEE7ah(qjjb#1~|6 z$hzcMN!QYGPjVp=c_SEx*r?B1Ow_YxGEq4=rW6^7I0CCJQLpJJLy25QdWwkTOJigYm{iW=M$(dHj4tKjBEue zP^Dmr0^+iTz^T*66h+hdF$5KgNK!33kxEoToIBKm1)XYdMTmkd*>m=E8wV&ZOTZ#H zOKi}nTT`&>bQ&rhC{L-vzbWOL;-VVIQ&1`vW$AM}cl}BFqraU@D3TJFalwTJ;wLTC zK^`r>N|VriAMRp>aGWEQQpPMxox+8OCUc!a0p|EK&7#;+r+%nWrbckPa25B6DUPJo zm}W+EWK)hB&C@%iGn+dB2`KR|%oGS0_Xu8Z^BgnNIHa=4>Y9|i>LKBl9yDy|Q=xfs z?pG?sIk4KI8d$^b5k#sz8Qsf^*ytOoG47cObJ(I`6*<$cCLW3!;J^7R43mDu&Z1t0 zS9DUH37|Wa@M1RMjHZJscgPyF0;xGu0uEvF7Ae0FW#2_yDL@#8tD`1^Wy-%bj#Wn> z{3FD0RS$DzasiCSg$o!&k}zmRRc+rx6V%n1s{#*&QhEiZ11|lS&~O{Hc=;*6=lytZT({MIt<6tlRS!sO$F9OsJu2sMn#9b#n)7ELzt;IqnT(I&PT2Tg0uYh|r*30Fh`3Tywmkkk?;6_q%w9qIT69^c(#UY;@51hqGW}AK>;6IhgKVK5*(Qpj}u;;IihclgQ=dY_en3* zpcIdCHKsO~K(9fRCOpj1RPH3hrf?U8q7X0IG2uv`s7z6;(Y@uQJVHUl%qtQDa*ZTI zP;tF>`fvSCn%hg4aN2x0>sC46D9!~=dxs_Ya^{6=z%tO=$Hm%Q=>2{Ac6v>|izZlk z-40WPEmCKI;V(VK2c_!@|xD?w`c^rC1eT_&?LPsi|;diqwg#+ zFTlLMHl%CQ(|(#g?S3(Rxt%RBc*!@mN?LBG8E4z{6V8jmot>tplqD}Sm(qQaHHEca zK5HSlYxGv*L*sIdiDc~`uMjeNfN_!>$i~(CVu_ZPSBw;n%>^Qo8UW6h?O@ zs`u0UkOBD%|Ae2Zn0Yq(aKWZ0UN^$J?>ywG(w%b1^gFd1DSLU)=KVvy+>c&-xR6IA zbM~FI`@0NvHoE9LmeaQEr$J{XP3_K7-F6D|$Bc8)Ed5npT+3yLU(Y$joeCoyO*7`| zr|IDiR~^@fT;`aORaT3EeUARw5B-+&Q;oYl!_gPh!80A7%UhJ39k;joM7)pI`&`7$ z(lMx`1$H;StXF~l>ocxUa0~2-hjHP##&1vP<#u>L2jGJpp9SVq zSPz)%cWs532iUwDaI21MZXPoIqpzYY?|hb$veTP6IbL;5Z>sU53O8cFTo|18H#v1O~suT%C8kv2cK0bdW#zr{=27&wVMGcY{eM{o^A7a z*+V1#L!nFi{Iz@cq{H^MKHiJR@Ww0OM}2X3x2#|zUagpmKD)bnXCS^D_DH-QeB&`V zQ|eqgwCrn$n77;|h09M%iSebkRN>lvFbojpyPvG3ZoGLq_>ccQIXn3m7t)uVdiWqO zXV?J+dnaW6(|4d&l`Go>6<#K@cLO^oc7wZ zzSjHT;19n!81M6b?t?cc&QQ8k=D0H(4(7yY-|=G-NNKmJ*xTK|IuuHAZws0D?C$%o z8g<_&@83|}Z63xpH8p6F-Vtbzr8{VwT0z#zIDqi^RR2UWdp-v z#s-C9Yiqi7*nMkwzWMRrROq+u?Zu&NwB7!~&z;^oLMLnJKX!s(FzA;r-&w9!nP2GX z^wH(?6HWcSh0=bwnlL#muRi)FGYcXwL$`}Cmn+My+MQ)4lTz$t zjQCJS$^7QdJ(n`6==B3U8jQ}rTj&5h{w~io$)4KEN*KP5fP8CV@X7foMJ#Fjuu!=H zWozrDJ$GDqd$qv%JZ=BNFWftY9k(m1#xZ;^gm+wd)t^W0+x&n5@ZyUPqor&QTpBp9 zTb~fM^O=683DtS?mWW&K>Lv`{OSiVYvl_s8KmNo`rMl0!|FJ)tQabMTuj2t~bmwa0 z^^QKtcs%dH8g1XfSE1i~V}&bI#x)AlZEyVjfx^73%r8MjPp`?%SFYT9 zQ{6ScvU)-;w{qw{Ot$Q*;oI~GsnaQMey&vY@kw-J?vlezcixdMrQ8XRFZ%^5&Y%e0 zR zFz<@X;QjjrDxW6&Br5A&<8Qiu>R*D?<=4NgM#I}ldB1)GEaP6wm3g#2@b+^ndX&a2 zeTllMeM7#Qc8=%Nh0h-MGJN7CW>6~+j`<|_d$4kN%yec>`+-d@+>tTO|i(FRh<#1 z{6wb(8r}Ez?=wqHwbzHod5ePWrs>)nDn${NL#p8Ty;b0t(orJ~?;`?FXPf{XKUbu< zoyjBs%MDFfqzcsC_l|pFmOOn4oJE3ZQ;=RxM2CHr4iu4PU4}mt*EH81hpZ0bE(0Ea zth$Mr?B~DJvwIS(98?I>X1x^-`*xwD22ZZzkJyq%kbU2 z?%OMXTFeh4s0Hn8hA+7ck5f2n8ssSVVc21J_hAba!?){Uz*xKMnfu~@Onhh<A zb|N&h`$cidy<$w#6HTW~8!HkWJ}TgvqA!Xy?I~vIK%|<0a1f#_eH~9f)b0!jQe5<` zh?u?I#8l$SnT=CU+2d#KOs8OX&GBVlW0bcG=8sMws1~N0%a`3D;|$m_41b4JXVV~O zl`PmwM5*t=^qRa$9%hf28cP^>t(bZ0Q1)SlV6+iy@0!2*JCf7Zoh*U;JYqpDepuM< zSjy<1$Q3v*hr#kHrw``%(w!`wj5lttPRZQ)yLCrI_s%hj^Sc*R=akKMm#G9qX9m(K`FbB4oh-g2yh0hc)QhFjizwSkqrwSfCFq46+ z!TDfF4+{4wVyPgpql$3F^&1hZ&fc*{%P+$T#qiWY%W&uP2FpoTD|9p?<{-EPJWyAn5O|)%B@MNgq{4zY z6*a`5VfcvsI*rxVPCA)kbKMmIeX=1<%FHD#zrLz5r@J0;jV&u%<<8lU`$A>8a zNSj(23mb_kLx7b(oaFPpuX<*d5*7cEe*)xmzx(6dbI(2Z+;e}t``)dmXtRwAFgRp% z)v#Zu0wFE4HhTfRR9M-n5UOv{A)1wxQn6Ax5PG7KFL?Bt@hxqQRThDyD6JMrK0V_u zKBsmIngl18RjFALNKlZ95PdF`Lozi_0dau`xX98xbP6F?DSb5IZW_hc z2L~CZqtTL7C6&}2J)9(tsb7~T`wO^W@vi78tjpw``LfK>*`%zrruWz$>7$~%L)EoCEFR&XrTG+i3|UwWSOSg}xco^etFp_zEM^B0!rvBCV-Z@ot}DROqmW}lCr+}= z)ouFJ4P8F!Ifmc z443X5xO}l3#pw^xyuCjD^7d{y(6eC5=nwYn_J?TVC;LM*)*s1fI8391D^2c?zf9JL zX`0hRG=1(n)owXNv)JvAzr>jjmcuhihl@^5hK;_8gDg!s4)nELjtAZU+9bcgv7lFf zt9n_vUw!T6EAo%xie&j|TPpWAziG|Kk?EV?Y|0mU?&Iq~&;9Q7J3ptgoICgPCUv zQS{pYHo`L8JPBb5iz0!wzVKzlgy|qF*3<(tC%{)z4;U?Npx1vGE(Y`#tW8 z-fCCcU*;#~k4Lc=AO;D+1-jz{-}!`y6_;Pt_x^iGHOev2|R{KhRnoM1x?Yz$a8? zoJ`^Jbw3p<1um@tQBOduNFog9AZe}$Y{=83U|1|gEX`*~&)BDO4>2pzWMW&~zd~E^ zX!X|lGQAh^;z0O)1`iLB!yhP1LiXa}?+2Ot4RJy7;*dq4T-1apD_SI|!an*tiikxf zp`ulG73a6BS=SA%ON#MZOifK_6hVxEd6Os9vCz0U5ohdGqPA)Yq7s@NS%ad6$QIGo z5%WhcQBEc?Jd;bB3B+Ad&T?MjLC`Fq>atVWTv(Ho$OnQeq;%-C-XWDk zMOu;45&EnQu{=g!(EL~$WlOM9f2jX(VJqqq%AkWOFhS1b9ug{IGw7N_CR^8ceOZ^d(DYj3&*{twCqQN_GURpp6v7 zVVx{Rr>xnIg;6aslDkd-%rcQN+^doll$6Np+M)Z!Y_TsixPy{Sks<^prN&cZks42v ze`YCYBWoVme}}Pz(&#W07Qw~Ro|ZR)>x+$;5>m~`mE;zNXuu^+I8it2Nc2UQT5tmH z3&ofa6<+hO+=?${3a{Q0#o)uLBQ)+yf9;W8N5RG}?ZaJxsK?4stX~TQa#A;ncXg&s z$w52ea!u)Ce}hY#!hVjH!s=0_9h0R~azmuNTs7CLIlal5fTwyA!nPIXfiJ zMV^~hb^?QAWw=|VJFSv0NhzcHCM}UOwLhO0V8?c`_VjfPN(h|Gc!rBK+5l=62hHCe z$N7|h0lBSWulR~sh4J$M_H@=(WvTLJRX*5vr^@b!i)K3}HG1bgmIKM;iN#x>`3JDA zX4^Kb0yadle4Nrms-z4@z`;4x>rHi=NT(LVz9UV!iNoUOg@oTl5*o)*68iIETsJH? z0ap>tK@monbcbA>aisCJ+9Rt3dl*!)(j3Ymi07!Fx(8}ds91gdufv;)TxmW5DvJ+~ z1rG4u_$jMyhEx2=Gk4NnrQ6D8Zl9%t$2oG@cASah{Ol2WE0v*iTkgX`CG3lO>4|-Y zgD@y4GZqpd9w2T74R6fl1#U2VN3B}I5;7X1ybp=7H^U=KruwXEYd+!hP8z4mbtITJ zzEtL7>0^9LcQu|Tv-VzUR+(w0;pQ~kvt8-FG{uY|tU~i4rId|MsOPYCI7vt*vFdJ` zI^Fy_43KG}F-b3RhAO=VY&ercwWTj(@ybsku4E%E@C1pj+=rA@j=nvP z_aR!bc6Be&!QtRe5U3Q>M8E<$bS&4M7A3@FL%xvs#4O6Ikm8iN7AmE9xCIz5KmsW; zX&Et<2M7hR_-(n!hD*YiRHjwSUK}MktjQ2n-%zV9`lm8a01*$9M7>mHj4Ap35!I={ zFm8KgiBk`c0wl~q71{;dGOFlV_Jmi8_ZTx){7#={zRGG~Cz=o>_vp3lHnv$(SQ!a4 z$q1sjh8gM1ae=+wD@lp4Q`((j{E)TySCrN?38E zWeKL9C`ZAQgb?`)yR3FH5ef+0Vnp1jQTSMVKxZ7h5m#yJw+oiRTuHfL3*ib^Q+Kgk z##UG`eF}$vI`cxq+fL#`-ky<~FYKrotCOsyW-7{50Shos0;JywP?IZ*a#QX!h^=8j zyobjA=VL^Oq9n}#3Mij|mUQBWtW$f$3O|?4TxV?D7q+Anbl9$_3POR5rbT_Opbmu+ zfi6Iei(o}N83_uipG&w~DzgkPVHHu_Zli@UQuze#E0H>zO=wC%RIi0zvG!Tvq+_8# zQK5+V2c*1I!*XKXe62{&eNw^tsW5sG(C%`n%uHEOIb|j$4(?-X*OxFG+r!VswdyJM z5Z1RZl;T-haUV|wz#S;ZN{USu3X?_t zLSdjP?hc(hkL*m0h;s$lV8kqm>kWxagtd|&AErJKil7q>7l}OzEomeZcn$UZ1G;OQ zgsQWKh8>o^noyK}NoIg^jw{D3dj3#gF@Hkv3W(!rJD0 zN(rNDs5GR z>ZzR-cLpgvx5Xz6qG%W%1nE6@tZar6HimU!b7cHgQzGa(!@tNXG`!}DO9Jhy2;sQp z=(be^{^C#c2qBBUwB-!!$qBAp#LI+481f}Z6#o%oNFuU;ED3D*(E9xy@|sXyNmD>2 z1N=699+!~Z9CEnO2%`G1lZyKCmEcN|!A9}rq!Lo&^`R1#-6pRi(3WCdV9RE>E65$_~_=QZ_i{)2t|HG$y`zs01 zWzq_GS+>^LMi4)ykOFm!m6lMIt&MVCC=}0ftSYV?wMkL;?iw`)llx^(qt87k2mhrm z>x@J}G13EN@eaZ@Lh)7$7-Gsn9#^i69juBpvlVO(gyJFtH?chrwcR}j$u6M{@Tp9n zQfI};5SgYZ5ii0Fg@%a~mgVTaP#8$HW#p-R}Bo_T{U2+-C%N(cuVZTm1MT~s4iVZgE%E3HdFdk}LW40Q!9z{nL> zF!xSgXD6nRF02aj{Gt<8zJLFcrRi~IWY43izP86x8RsEgvRQs6b>+FpbVIwfY4t)r zj!Ud3j~&B3p0XkfHrw?pL|daP_KO=ktDWu5s4GcXVf9~%jG8NAqxi@^PIJ#H)IH*> zqx-yWHnQDjyh)4l5B=D7-o)6xvGkdpaKy-)>yb{rW$%=ebFE#QG?!k_iUylrTIbkT z_jxsQ#Re5Mfs0cVez$b=kY_xqq+;5@W0NhNG;RI$jy zN9db-e9yWKBW}0ahHrfg?be%(Pi7q1=yHbZ-Kl>izr^X6twh}NMPsw`7B75k*({n# z#}k*Cy_=`nwnGtHw~knXqIs^DQhf_?o=5X5`>(!eS1be%+H(T5kCJH~GQxpZ!OvdafMY|Ib$X9QhXW`B8tBIkB{ z#&c4wS+6gS?RT=r!)AGHv`DMhDfI8K;hc7E*(%+%dn~4d`L)ZFAzaazo6 zHQ|g#H{4$G(^_x%ukOA5b>@zCfvx(NEz->K4k^EOUwvdWlI2z3cbOO4vHj}uJ|2z!k$uH%co}Z|DD8MZ7r$7}A>Z1$ zyE0&S@#F*#LAy>e?DK=Llr2Aq&-Qub?!4=|v%exfyz70aE8@$yJJRb--k_~s`^#3HdG(pu&6YXh&%f{B z8eKK*^DNh(c;=b7`&QS~FVv&Uir=`QH@j2%*?%qjQKaG*PWrP|1hSYc0Z;vXN``#0h_jYk)rX6A)z$G-js zR?nNd56Z!nZCic=U8YNye*JnC!*uB!5By^|yncaW4Z-Q3**%WGTxhx*Epbc7_u3U) zMh`#haJfAC#_vWa{lD=IkGjsyty_m3ed|onx7bE>KS6WcEp{CT5N!UEAJ7$un^PCK z<66@!ziuZ3-T}}fEe?om%^w`XZMI3Z5 z=58J<+U24iQs4TQ?9Aa}`_i_uoI8iHazd-#LvgH?#_89;;oy0+4ZdcZMg}n$eC~7J z9v-q}5mkM5nC08k=QyyGd9HBIfIby_}j73#Adi;$Lm^^Y%Pk9f~Kv zclb03tZlxxx%0+~z{uYHBM-#m$PiJrEbYW0l}kJO;p#-)~o7U z4@<6{+Xubz?i}>-ore~1Me&N)7Fkgg?qnXgY!jHz92be?0X{1pH~F2WW8M;R5cQS@ z0?|bT2LIBHj=-Rv+!C6-efmLN^Su9-ulOnMp|xmTEf6f|({R%4R`!Yi)6Otxyp6@5 zD!v|MEM5xdivc=Nb%+6M#xjT_qyX>*uMSHJ93ZoB`c^#$KQ}KSiW_uixRR3 z%-8dZ7%zR7F@+lCR$Pc@`Qvfle9H$GU=7 zw&5HJiU)7Jc$v^U;d%>;4~|q;ihbg{8EVeg*RD}u{l539QYqDY-jY`D)oXM}4{Xl_^T{yX zJ3g#7IfinKzoD)GY<}&2Wf_z0Kc=@wZ0{{GIx&arGM9^fh7ao`_Z+rNj; zN4t7eJ^a>5D@7l?(&A$|g&O~W3JuI2yh|o@Ev~$QJX>Wl4_Ys}VMK7n6S|bIcxzNq z#!9mZ!Wgg^LxV57K)}LfYim#3m@$T{3}ps%Rc=+RF1wPfYdc(CF~(>m+Z-LDIlKFyXxrW`ir26qebTW{zz^J`6UH%C z)y%`9_;-Yb#e?Xicy<-AbY{&Z73G^$CADz~r1+O=apB!9;-nAqB%C?7c5;EQ=Ps^W z&lB;Ne%5MBua61Bw?JvxOh)Ya`gJ~j#i@);4h=nOe|^@C9o<9PPE>VyL6_7Geq&2i zjPW7+*x9*vEa88MJ1hCJRM~EC#0kakmlhbyZmMc@@q+mAZi3c@GO}W;nYaAG^4&CJ zV4xug!(Pg9T>QTI!4pR&Ih;3YJEa4-lv%!5g>d6{-vvS4aDdiN91q2J76ecYfNXtc zS|)_x4WAy?PxUNZ@w$$X4;4PU1SLKc3K(dd2sNu-r9j~{M|etG&sW4g{Y~CN<_lkA zCtE%6)*;+0Ey-B6#iY~KXX^8`BwcIz6FNLI82rq0CY26QMlhBQ-uXj1UVV0O_f5qq z9>#;g5B{M1jdbziY6bPiXt-qcvaxaLQUEIa__#C#;-PnYJrI;V>DSFWe5QmR9zOIE z7~x^x#fs2pQM}o;Yf^l&c0HLg;$&KHI|FbT8LnV;Vv*$EMM=~?C{@jySL9ZGgTETT zK&+s$WXn5hfhG;nd{V1s8E9RvtfUX67smJo@)$6UlF5dH8M2`l=F!L+<8O{7MLmEk ziq9qXv4^nXquKDUF?|(NBZhqAdtuiP)DU{CDb-%{+xJlI;N~?_k$!(4qIyuy##7L6 zkws-`wF>0|Q0&HJQiNpST)I^W`3-JTPVon0e5r_jm4zK08>vlME-JyCKPnB9kqN~U z0lagma({Hvg0IuQnhUb5+#Jcsij4|yg|euamAHB7jGKwB4Y0l9Er}w8&|nC}+NSXp z9e%ac0WONwT)|aY6NS9V#Y;Utab{9+e2a`^442AC$-xqrLDP24K6hRW81TuYMnyh? zeN41Y8zE+?dLdDw^5QcVl@hlX;tPJ8w}?xCIK^#iP(d~P<5w9jAw*j3OJ&K3MXK$R z0z?ZJG_^yF7U(UWnPH{$tbaA!q;Ybw3*Zout@mm^(in;sUikEDLE`;BhjrOj!0*T3 z4I3^IhQu;1fbYo}O-rVA9Hf_;Cokz*zjze2EG>{lrUaMLMaf7+*=twlgsicZd=ea| zLA!VbJihDSM)5(9bRkHRuN9!Y^ez4PpBkoRj%I)6|>@-8Tn3*&8N~m01Tefl!=vWGjE5=V0I=ES@D{ zmEPftx^xJciZlN4I0=tr;&@xVLh(ng_*zxu?xE@Rg7SQgk-oH@TH<}Hl?G8Vr#CI| z8>SFE0y!673wmIpvj07|a){=}4I7=|;L7v{U!wVu%a^B9zGuT>ny=l@_9_Qgj_z}C z<>xuLa#X${^BE4VT;a&cPg|4&E5FA#bvU^4d-ys;^PBiO$n%?TS)(I4Z*{2Vsr?^{ zPDgM)^O@zM_hZS{!P%ET!@(7D|AQ0mD1Woe)f|KA5A^5|&D&j5zOD22+l9;JcyJCb z9DiYID92xx)%qw-e~@Ri(|?i1?1SQR()0&;?yvn`7Py=q2YT#t6zBG^$Z~R`nn;#m zsZsY2*WdTKZ-v|aubI6dz5G_6`{?JdyewH>z4LN8(8Cd>kLw`Ma5$aH=hy!^igW)R zYQRyPuf5&;lf<$dB{MXS_NF>=!YfC8Jl99;M;uK?M>Xk3`8IKTwa#8|+3suDae!u# z#OkU(!>`5e5#_~WI3X%{cFFLV9%QGFAS=p>tN0kjdjJbt6-19ugk46=0>_zl%fbj8 zr|SBg>z>!J>eAp|CJ7e$<;PC6;BRGiEOC^_shK%ZC)R^v0x#~`SzCFJLxOdDU^aV1 zM?O*%MHfYsnqRR{zZwi%^{>oG>uJb*H)Nzs(>nFT4HUB(RH^w#zfbPq`WFI!k}5wL zc^vj9wEP(R-cbGC=${(Pe?0ZuvIi7v6%u+}JhglRg76x%9_!w#@HJ_E%;|~De=PW3 z-by}Y`o~EB1caOY>db8CwrEqmay>E8`+w8y z+OGeceQ9Jr#*CrSHdPrC-XJ-|Vk#^kj3+L7TRYoNjisltem1HUMPVb78RVYDtV{W@ z)jRzWBQoyHVkYYd6rOBFz`~6QJ|L2wX0gCEhTL@vTY_;!9vc z`~$)Rf9}@l(iMeeB{F^jGdUS05yLA`;m#PkgIxS9{{0$mFXX?#-|p~N_Y-(8CoOq*_Pz^vQI(q@AhUU zxJEb_##6D%pu#*dxLK?T`lh;{!|E=tKXW7%^b7-Th0zR-Oj2^K>KXPCNX57g+e3OF zaD#ssE)*>h47Q`inNccbu=|niZ<-e?*KMnCy~S6Rec%767?;_R<-!g35hz>>zosFu zhCa`Ca!;xLzwdjg!V_*Ed;(j7#Ys=PPNYYuAqZCH8Ykr9y!KZL@y0OkHdEIrvs{M8 zh2<-?S39zmWFM)QjOJnIu#8R(|I8?JxZS1+BouYlZq8(bml^CRkE*xJt1)`Ki(UIU zPC$ywu13^j9{It=#pR>h2+|m9()|?NkYVqKPA3D$n~8)-@^%f7QYz24Sv1oVns1p=Ept$nU6tLT9t-v@Hb;J__AiRJIKMrvY!W}t4cZE7h{hxv&zg%7 zJfV2@***@zXte0EHRq;wSi_~J83P3r#XpusqFmrDwaQRg_2&BSr&pO7k|N74g?aE{ zpvSU^OH7m5is6>z9BQnzIf08UHdP}{ZGWob`Rx~RaR#hKuG5|rUm>w0EIN9psagXP z2PbbT@E(Zw#Qy)t|0^0`RDUo0$O(<;R=aGL=>vDbs~&ZNEcr9CSAr#4%O?3HwQ{w) zvnoR)l;)eNrhBoV6aOoi%LZAhl1(pa-|UJaSLmnRlz}36ZG}x-IHi6% zF5553Vc6$xV}Jw1`?PQ}lB-|55)dDvfn#Ejyox#g}4QNZm>J6X<0_#M|6FwK_i_#g0=Gb(siOdFX1TesS~*mt()rG7jSfkBNZ43N*^AMi~uu z^oeMGphZhIKp8E#W{D|D-EgAEfnwx2#w>DRN1uX3gC>s{X-F0b8Grb_j`?6!ii5R!JoSCxt50dwbKnwd*b2862Vj<+ z8^GE{S@wnt`7p;it zM4Z3vHF|!a#C~FTW=yTwoA>l+VI(R0ei_gEKDn0(s4}@$jcP?Kvv#2#t*zQHYsr`k zR({bLo-5-m&;lrckO4daV4a@Lv!cIXZgIdfovhZ`s$@P?eV3scJ>@b5@Fg71dz3E# z>x_1N%zBtaEX*^%u@tP1rLB-P=31eVBevm% zrGhX17-Hul+KAGSS}JKFWW^|b;TLs6ORe&#mQOJ~)TL+{+*H;}X7p5S>@fw{x2Wnm zN6Y-XPgtbjvPGs8#bBi^8wMOJdp|4^&uXou-DlnY=pR_m{dT3n(j`*HO+1Q$Ams3m zkq~+U#$d&GE*$(Qi~m9ds#xrtSV15aFT<#@5KQ5MEkr2_t{{}QhA95?Ypy+#!UT|d z2}E&o`NgwL_<#C0AXnfqP*s0o_2GTW#@esom@04;b>W5XHCja3ZCxBG#C1)J&(>SU zo{kg=9I0ni)g{CZUDhp>XJuf(s)A{x)Ja}kc1tnLvPLBZb zVot2|*`}vrbavLX;fxz1th3eqSb+Jeq`(PrsWEr~hTOnxW?Zw)G$fNMlo9xX}wn#D_H)1@at+5&5vP-(ATl84B1{L7DY7 zDO`|LP7pUm@%!bNLds^59U4=&G98Q9b$^6=#)S z3?1FQmu5HebuHH-6veqyuPji0e;kZg{-rB*y$G0_z z@OWy(iy#QC*f#t7vU2GeZ^@vNYrIO{UJ-<*&Nu++5gQX^Qj>ZZh~X*l09N zt{%}GPuO1EX&C1MOK6QW2+~$0SXL96w-gNtu^vRsJ?95Ug`~WjB8jtTA>@A&^ zr@e^kmqwbP3GUtkGq->Ce_{Gf%=w+$yfd#~qKn_+qjUBdUMu9oPI^m{;U?vs7c-C1 z=IM4!1_zdAqslY&A6WMc3*v42afs@6`()H&SJeS`o4Mr%YMRb%rSpGZia%SA>&ad^ zh@R)5?X~l6oaC|Rzih+We(k>; z=Hpg-j)7&MT6wWP&|(?5fM8aH~b=2`+D=z z$j@%Y3r+XjkxX!Kbg`K(_EHzmH%EENcj2aB$Mw9v%NX&aY+r2I-iqz%JSU;<&UQOr z@mZZ&^h`R97bljxyEci=CjOd_ALOAgC$1iH7sbwPjGBGbrNcJ#sCCKBvauP_4?h0F zF{N=hv28u{9UjL^3w_xOdrg;LY2EPoPFQf#VR&#mLWkt|xo&!RnzgNlnC!3!VVr^3WJv=sQy{q(meycyoP(emD3{mjSUhJWG0zPQ#O z*y-S+Z~1WAu71=?CDlp;!DXA#8)Q<3`x)UO(T)GFPV5}pZ+1F9c~_cGJC52{JGI5c?HmZ z=wF>*4^MXS>Fblqhj#N>2GQ>6O>W45>gk!UR-6FY=%#*9AEx*J+MCfav2A?ov|3d@NI&=?UfH=~XB^<2)~9~vQ{QTj;{8uUgqY8~&u=j@*56fa z(_*{^P#w%Pst^C{$-CC+jMUvX-ohW9(^y?quk7pX{LQB?UmoS7!*iSCy?omaZ9DC+ z$i+vmuIEBFvFMLUC>%@-en0x}3 z+r;K8OklP`Pij5^=g_}Is8k+iX~u|JtJ zd8cvC`S2|cI1D2W4|&KiLzS$B&;z-v^yaDyxQyO>Csq|Ww~o_&mNUhidFt{H=ho>M zq1$@?)9s0L z^O9Sr0TT4Gc=B~jk5EI!$>E2iu1o0yJ98dO)wJt;Ny7|a4;LB;7k}_P^KLM}(2!7Z z40WaLo~F_9pPFp*QugzcTNAf;{}aUhf{iZZwz8wzNZP0-U+oSI|NAc@t#ih7tjeZl zjy<3%iRHx0=_4weZZbj2=geYP(b(q1H!QcUj$Q7Y&*D;VGwW4$#uQWTn>SBd`9}QA znGM4lz%%hNY0xnB^|^N&8&7Yyx2&tG$!Ph8beQ+EN%5>jTi)?*KKD7+$-&^9$<4aB zy#_xj``OPy3>+}jFjeihJBsmi>48plWtGYynH!%D=iyQ9^OGo+KQk0>H5KeoIP05L z;MY6fh~Vcec2u((HYem7)TUHU{W)6Gam(jrX+Y*e@o9<0qj>W#v|t-ln&@^l9!H=R z)Z#)^MUM2;rhYQs>gVLw#2+|aYcI^(l8*9f69iJmdGqSN5~nY-@> z^yfZ28g&F@@#5X=vKLnI~AzugjnC#SKF_-sGddH2uJ z)bZ&0ZEq{avN|`bkzsWTQE~> zjMH&Q7M8Uus==BuBLj-31r9l#wSCQw5zP{p%D&{Hx-h!=hfYk;k(kF8h`=}gbaHYq z7=sV|y&D98iFq*8*vv&`HT6E}6(1ps9vwT#y*gI%IerWiFGZn%!uJY^4Os3M#sy!sT&yH7k zXL!AqT&e0F+DTQtkDpeF4lYlpj0_vke@GTzRlDa_#EqAH!Vfu+KiZFn6>Ro7Ehs;4 zi%V6t`&o3&YO-44r#Q|*uI!l@K4+slae*onm&Hp?Gva(%HoNqW{=ha2Ir0tD#6fk$ zlwL#c1J;|-q40W2oXmB;(TQ574K@u}^FX_$VV?L_9hruxo4r`R<^TASAvQZsQXMBJ z$wMXB5s?2hom&BZM4TA4a0cnBMqXE(9WLb}!Sx#kEL2MF#4S~!C+eDHY2z;HDo;8` zLma5-*F?$hm43th$O1+6CdZDWN+5eN8{ zwgAMHVezH`?8mNT>Z9$LI4B2)c*D++R8vzSs7R2t;wO5c z57dl7Ow`7?R%S%SmD@qoTQ}NuI>Q@-r;2N70H{}RwX_VV>b*oJdwFaaZ9%sP`Nd0}hP{F`kCF@XcC{R+> zr0zs$;w&FfoY|9`199oUVMa%}tu)LrOU{AaF5)ttWns;7mVE4W5JuPu9#C2jE|=9C zfqk_my36v|c^MThoX0Ov5()KOl2iaBuHdQ$%#Zkh7q`X@N@e#Gue5}`o?6eN+74OB z9{8up8*8qoOlZuKyQZ-NDu$01&&XB)KNG-1FMs!{rO*GewhS(ebi~nb?(FDmW3$<0 zeZ(=B?}RPht}1=`><-@t>%V|jUD4sh(=UAW-%mR^G5dTu;@E#bt-G&VRK6F6&v8o~ z+AIeok6!J+S@!DkTsfTBEcDH?Z+`Q_=z|<_{N~B_NZ%~Ge=-{BxaHTnol*G$+S^u+ zIL3qB^>NFW%XifDwXq`0@y9vhhz!I2pyUp7wvJnlMv~#}`#a^JFicL2%G($qkVbFJXcI6QKc`voiL+>l(u#(sc5_hj zLup)r=Vl$(w*$RFl=_!3t5dWJm$-1mar1>D16`+BHI~Es2D1A8w@)6EXNUz_$_t8p zGc&QCQh(7X!>>jZtZ)Q)@s>2Ln@)Kmhpmqns`9~PR?U{t#T zK=l2m3&OJUpggP?bVeqw0;}RS(W)n~Qgy|fiM!&HPnHuQA)HG2lfji#{mE%hWGWT? z4pdK~A9LDz5{>MNN=tISGkgaz^E$_xef-G`zBA|dWLTGhiZG7H0zcwe`J>>!Z~$g& zfcwvGST%RFKRE2G2YEbJe^_$}t0>K)tuk1(_|&B2(?vv0we9HSY<)y}6iyn30|&pd+U zxd*?E*ln<7WS<{x{n##Jt-rCD?jA>~g3aX<`<>m=94PKf4E%>RKMl<*P2ZbY|1Q=0 z;DWiH2WM3#>4`DWBKLaM-q${W>cO==kwiZ=c-cyq=x_mw)7AbPJdB1Cq6{W&isIc*Y zZ%~VBIfWDdvlwv`U@6ML(r!c}Qis8m2a0y&$yp5oBd-uNcWF^#Bl4q2xBDIz6yU5@ zAf&9!f>tZET=Ui?@%O_IVqLgLV5&QGVorfLO(WYVXoxPEAwLkQV z%D*cl1>JQ@x?kHKvh(ppGmVW`Squ*HNawiS}f z8-<1KmW+ii5e0C#n^LYTwyIN=26LWN5$BC@?tq^1(Tj8hl8-5*Idney==RAo^hL5E zU&=FB&`)X4jo@*@b75C_c9%i!g3sr$x0>#S++*2Yt1_3#>QRl6d)T?9YvN5NHu=cv z@dJWiY3c*BB{-5O-Wz|7F(*pjH0#KrdUa~bAr)xSeQ0aAaHZM?p}DH=uP7Su($5T5 z!C<-+4ts+_bYa=*pLj_;Tl4IaSO7z|O{EY_hzNmMR2i&e;q{w=K)Fg^IQ)~*v=NjEWzr`%Vw)tRqx7RsIqMY4N{GlgAc#y z1G=-wc5#Nx&z0>d3JfD`*r}RFv&}TCA`keM-I-Zscbqvv3T+0}xq0T;TB@q!nZ1=6 zbXW`Se0(Fu=jtzHtbe+{L>-8f?F^&$4PNbbGt+DfAHTvq)m8FC zo9xdeAKq{L3)rj;=NgFSmX>>7-|eSJQep-{;_p9TYxGp1}e zDVo|S>d4XysIPX`y1c*ATRckLa(h5cY`(<8%W`yX5o$UMCN?tDfJfZa)y>bof*5>+ zv|5}7NhWr z3NkXK$Y+0qUlXQjkbfL(a8gFK;}iojEt+v9gEe%l9)bL&qI65zk@)BL;D<;Wii3BB zjGTGF`#XDNZ(iR)S;;v6JHgt}-kfyL328dChds71IbyV;lcniJitaA)GDkxdEd{@q z5*eAomNTSQL7{G9wVS}>`M@iWuD0UHcvNUHvH34gER^7mgNOa6Kn{2^V$ARbb&Z1} zyro#P6JnMYSdRjtt^$7-Di0h*95=G6n>pc#AZ}wLZOlamqQ#HE2O7wzsPej8q{9;# z<*9t-Nn1|c@!7c?}g>pYr@Sk%d%)`Nr)invr{ZdfZRki zL*K0g%BoZWhEb8SFA?i3tdHSFoLZ7%w(PwUmg6J=IJCF|avHn#J%|~Ma+;Y6xQrO5 zz(#IpP)n5ah~F$o8dMnc}9i?y*4@%~b)73RH_ksDE|Xke_2L*dqx3K(sgOW@Q=E-`4n`MTIX zgGHT-c;YBfgrP^u0`{_8a%{ORNnE2TRtaTV6aj-%mE{|+4N-Po#4jblO)LRdLp=fo zdu~TZg&@QK_y1j}CZw!oBy_RlkPV9p^-~2waOKiq8S-$V(69i4gV|vY+>%F%o6icp zdd~n;(PFS@d|vifNaf*`bcT@lo7ja+c*X#S7Qrya_eGR3$V)4!*HjyjRThwx+^W0& z1XKq|t=z1-W-nEs(utJ`-Ky;QI0;gOEW#N!WQgNJ$grzBG?LZFBs<|Ulmz8uhXXlk zuq}p(CsI=;BtXWsx~BvRKlxD#c@&=uSBE!$^_v>tP>b%k8^6LxO@S4xsuoUH`0NXX zRmDy*yY%9Udb>z+hS;hQ(HK&y#(3^zq_oOb_F}II@tf{Ghx7y&3& zEs+U2O)$Yo!WD6qp4U{M$o&|UCZaG3qOLgbV3#2FrYYdxaJkY{pK`h8QNfW%;Ax0w z&p}PLSsnesAAkZ~42z28r0OPIL7iQRKr`!^$PET1l>%Xbj2;I~je`4GO1zYmxWB;z z7Ui4RO*O~3d8G~}S!Izj;IU~=QJCwlv%mi|QVGgy6s&5yTGgR4RS#Y_t{VGog~db^ z0{MQ}eZ@&a0~rs1``FUTvVVMM_-iEvr!(9ira??LM2S(f@VDd z$gU+_8J~ps3`DK?J%&;RqN7@ zUQ!sL`yf-1OAdW?46(GT>V#7afTbv=V1fEHApb*ixoh67d`VK`IQ&;7EOoD89akN& z{Kyn+$#Tg{aJQrfc}XbYaS6fXR+Sp9sn=LiuN2k+f-D<& zn&3nXL~(m>9k!t(;=-X3Z~nDj>Y=oh3KpWsx^|UJBIq8gIG_$$CY66|*SxSBYLk>nU>HN#q_~=` zJKwJfWvth=D5FsuduY5?gukNVM-v`H-Xi5LWJ;X#HiDs0oY3yddD=Qy(D34eh8J68 z9LI?)gB%orK~2ePTjC%%$)rQo5e?#Vt+3Z=lC4mK_aGw1(=hwbKO_<=CIcmtITr67O-m8gp%huz`EcA_vK>ra^g7a7ZdPzL_HLbL?2E=uHM z;$mFraP{iFh2p@n_i)P)fS4~j&w4nK1NnYlHW5CuSu zoW4dlk~(*#jgmu-U~b3S))4L6DupI3vuH`AFL1+zl9Z?9gA&q`157d65UIQqOTvnr{ zWF1L`Ra8*aBEtbmeX?Q-YyZ6{HA+3mP!-B5tJXaZUy)oaG_zes#s!FDrdD$2o-8Mi z080!c|3fZ;&%rsqmCfAO(qhi{ge=tYShGY5qhI#KxGge;_gQq2IHzx<9Iry($#`MP{M$NhFOAt+S z^UcQ2whRA(Y1pSS`};Ri9*i4%^JtjQX7{~0rrvEewVJ!By_rho)1%(_*qPiaO~LDE z)8;qBFAt%M7bBIf&cxFWIoEnC*-{Vp{x+p3vY@9>jk4$q&mOD|g0 zEIwhoq)n{yJp4CND`n7)XrzehDk>dqQ2g!>So?D?9kZDB%HFqnF-^1cTbj1_NJ^vQMQ|<}PhEu~%0v zs(DP=&0N|`Wp=IYKGS#9n^PBqy6%pg-bUE#um?}Gtlk_BPcl9K!@Q;4vA6mxPj}qy zsSizVwO6>w8RVS#&h*)82H)Z3|5)hzXv<_4ci zIO$juJvEs;=$O2HH!pcBr~ld*Z`%!9es+p?S=f;`J0y2UfcRA>S5;XP$N(4CD7?$^ zp~>}!#M2AaK4W8b_D9=7JxI3?9@f>lbmta`!}o1pY+J*~^rjF#zi7~Ps=?$IKCdWV z{-XySTxR?G438Wx(zlFw9qKCIbgSvmccxCXxo|-;5WnhG_=D~(`(OVdmFC4_An}PHOB%Oxo@C?2 z>Suod%|??x^`X@ys=4-elLjsjY3+4tqx} zszRY?UZZ_zD|Mlz_?0pxPgSOqMvbEFFCU)q~;J3c;@oVqAdgO`W z%1`(X)W)3~t2YqrhOryW>?bR$^Tetr-v4b5s<7vqy~y)3eq*#cNoCG!>Iu8+ORBGr zzw@2_mi|>w_GpyBtyw7*u6$84sj53K6=YcVzW2RO;*St4HPK7;m8B2&PEXnGSnmzr zdF4&03^$OFb8`14J2g2ArGq<^H7GC7C#(|u7(4zITDvGYA2J?OIe`?PqA+?yG^_SkFOK5Wluh?)jyKb?%d zlY`OqoGbW8QdEO>7#A=`S976Z>uc9mc0>c;8J!vQd0IM~A7SzO?{!>{pfP6N)D@`J6JcTZ>%ufX=@9)^QtOm3byw@aqpdZA+VJXTSQ8e zGMO;6jSajdrSbLcSxYY4m`vzYn@uR=#>P|({M)XFf9f(PVUS~3m)xXxb)UPn2mb*mmnv?!u19TD zSFd9D%f`h~@9Y8edo_JZm6};z9ie6PtTV?7OsB}3?c1+299*b2FD~z!OAFoDBC~Pz zgEOO``h7pvV)WT0jLIKz`ebV~IWuw}9%lB(KUw9m%KoW~-}r{8RZqYC0+nFF-A|;` zmp(`n*hclCpE*5M1F&;RVZ@M+>s2Kp?>iNJR9uXyeXfP76?AE4Q-AH zd+FKDGAqu$-)nA~GHq^xL%d(N1q;EEC1!$X`f}!88yY^NEpTm#n$<1MbfjAIy*((% zL6FL#QC7-@i#=N|+1aiSO*xw+FIqB0C&A0ORTW%j1ivvoT|pV^&Df#b<}K^D8yj|4 z1-}16tj1z$B*H3P-vJLamQS~HRozc2yC?0B0S6d^+pR!k7SReUGkfFwzLzb6S zeQErqOAK|SB2u(if|t3hsw7QhMRuJY#y&{rlo&h%^i^pI(?-;eg1qH_^{^qS8RJ9;jD^5|_^oU>6q9XBLSRgWBDZJklpM#ZXZmM-TzBvym1 zZ`{D6*gIQdA3D^RTskXIlJ)G-iMJA&uu{6kJfNz29AV>gIE)-+3U6!xWuTD1HTq%; zrWu0wgncbDObz+&O-xjhlQyu)Z*c6vHtQEYYXE4>k;>fJvKuYwJB+?gJS+jZc6OR& z*!Zm3M-8@{^ZvUTplNb-)SROqqSEg#8`*f8g9ed_Db}h*!p8qwe^r%TAoq+Pnoiww zMpr5DiB+p%A!BJU&dn&F2~1ofC3Y)WRJaQt3PXS5oDb3O|iDH+^oFq6h}CM^}A4?^xRm5JbOf8!hS z87m)g<5ObH7E9vYPc%g>IY2vQ66I=xQ#nM;jUq%8S0wO$y*yO|kkGX46F(m*R>GsJ zb%CPJlCIM$tmPV5i?yl?wF-@&Q+aF~O|T*nz0iq}=2Fs1jH)#jy*zrb492dUyb83M zZ0CDbWfkX0?h&`c+Y|0&xi0p$?Hf0;;zPc(OWdA5K_sdqA_PnSz-eAD73s}&cSF;S z)OTI7D3d6=wnesh|s2D8zUiJoKxq- zU`mcVrvN=Zlid}EB5PhmmG^-pgY}xKu+zM9 zk5pRX4Tc5`*6vDCz6gg%Emh;|q~RJe{(z$~AIIy*A^NJq0=RwkA1SO-{rpY|R z095wG&{m@#Dg?YenP&W9avmmSpgIRvg>GKa%jX5OX@G$yVvcBe0wqG{l!IlGLL}Kr z1ZPC+EiH!?1i!-&L3MdB&A|B$5qYTWjy%eUED)P2jxU|o=Y=FH3M=wZNV<`OIu{bI zxbAGYHzP#VisH&Emr4$w$653L_-6=H{nCNbTmjgQC^I5!Lq9Mzoy75!m_@i|+*6!r zK5t0Hy5leOK z&^cECac5_`cg7E1f(QJGpcU~Fsq3=!#DnYMy8_x=g-dh<`R6t2%V9;`;$L;-plP{nBv>vcid?&2TZLEVUWt#KP|DnD*ma`7gEFNBsFMHkh z7vl?P%lrE;>c~mDAKxnb6H8y>;L4x;$-ut#t?ivR{~j=)@dDF6vYmKP19Tj~)4JsTiI)ad%(jl5*91GHco;VKl7Rwj#^cMd5a8Xb>K8`QK-P0H0pz;@)eUtmU z-!<#Le8$okM{(ZzZqw)}&Rea0?tkWGb2NndUARzaHGc7&({VIYUUaIH2VRO)tGRDw zkuu#+voqq!!wwHLhmTDd)-!cn*&ipr3PJNLU7D>3WNx!>u^#s$lT zv`?}!EDf*w((+#(_WQ96wojyR?ehV&ImFh{gVKSmHkqQ~b6ET5G(Z9G9ETxN(NILx zK3`wMFU;f3{`~(&VaMzKDm)xA$K|;H@Xgl%75t;!Iz_Vi5iY+AOOJzv5ZNTM_qa~# z?*aUo;JZvzJTkvG`Q4Ovp+5@tZt9N;6@WiL_Rk>YABH?;`v0f?kMaNe-?e42s|7)4 zz4Ft>U5sz4Y0oP63i2;Ze?wOpR1zCR^AsS@&&kmj{w-yHtI1z}(q32H{D=#3-Z&Gg zjpQq)CM}(#9_=ntl{NlNm_68&Hm!WH$Y#)B-2$!nT2s&cEvZ30BsYZA4W|^3KGv`& z*Xf0EWz65=^C07LO zc|}PDxo>X~Dc;yo`FHoNEIY^or+67)y#lCh z?jJb2|GwNzDXnrRnaexOk=djm-(OSC#3;#Af2Ir`kaw$8KXm>d zj9PVqi0+z`_R!r$2?KBd2;xq`Ll!2OVk(cCo0W-m8A@c0qg*CIvz6r$f%}XI11Po> zR7GTYW+H5eWY%D^He+!)hg2@;e@D zO&=+lVItWDd(nCYCW#lu&9vMntd5%3qA84yLKZ2xQiBjKs>^qx1T+pboMS+3lNok1 z+@OFI*v-ChHk5TiCq)ZCP!Q(=z%WsPriY-Unfx3kiYqp^(44*rJ&d8X%`aqUz-}4DD|cQij^+w&+>aT62uy6A+~>WTvjz ztvRA~MInikn2;3(7DcP%fnO8lSA}*MQPet=kPb-3KkZwo>SD{|RC@YNAec}wL4#c8 zX&bR6bh<{a&8|)PWeUDVLUx4LJ5if-kzWx6Gm#llAds;TU%`hLIaxERP&O$4DY!Im zY=y$tAm;%hXRwq0$KovbNaa#B{P$I>gN@8@q?mos?5HXff%KSR`wH4+gb@H>BWkNk zLU3HUM2<*Ov*8$s4fW>2ASz}c42?x4H6$#^K?uH8mQNGxG~TVEK@S|pYU!}?qBtp9#ny}@nhRRLI9e+r#h3C)A?ecv!jw0wt$wW#*j(|os zJUcEzUd)Hd5&XDp*E4&*nz;n;IrNC%&p)N}ae$FqxS2b_n`>$I4#%K5#Xc`{z zKyO91Ow@;zRt?xJV2c4hyk&|zN}f2S_k60KHe_KNBY2`=*sa>|1sXd3Q(xAIrn`>fdCIw8tp@@Y?rU>(;Ea(v(Xl|gaG_5>H1~2HjwL5Bc0u( zhRc{aYp!fF4|`HS@~~{?GBr;Cv`thD!>!X!KBGnu)k?tgJdUE-#lAx8!QsGXuG`72 zmQ=)5d@##{0cv33!<6Q zDBuFIMVG>esU`~&f)jQ{-*u@jP$sE!DxQ$o#myv(C`?F#aewSICj}6g1W2kovj#>- zvRT#3HF~s^Q1vSuKj2iC5*7E3J3Jh$cP1b&ZMf_byn2M8K;PE;s z5CC8D9(}`w9hFHwWj3KI197XJ=Ui`5ak+xsl1p}#tB*~f_0^NY!7)aI1e{tP2NIf! zu172jwHC`*EH&X=QB4Uz3kUAEmbqxxg!hV<01&Rwxi3_IRraLAX}L)g^Vm6 zXp^yQR%b4L07P??70@m1sRz4xo=9I8frF$0V2t{;Rd4Hxgs)ab;oSLE&tgAUs>Su zy*I@^9597CCp&}w(9fTOlrpJODnf7zMT>}Y=NAt`*J>rAXEjw1#z+K$4G0H?s0w0J zGO!aG8q|{UsJj=54A*Ev(Rex`tjucyN(z_Pei3RU2I2RhT{V00?<-Y;q%ULxcSbg@ zVa6v(c3|zWGYVD05GK_nqm#Q(`=~!K8K+Wo6Go^jilU0(-VGH73`+%&3l5n`Rj#1l z80@TF!At;mTMD3YJbdTwRA&)#s=IvA;ZMjdxAp>7h~IMaLws?wG~eKS5x`Mmi9kpk=FVv;7*QUko%Z~DvrfjD z9C{cfe&eMFvc*)6#NVj5;Rrz@wKGhJ3J*iI4Bt>`9HS0G%XeVmY*Q(hh3xiHoP*t-S!pi zCQBeQ$wBNmZsaXlVe^mu7tm?>4S--uQm-O`dx=0o?cSx>8B*~mR$w9}kBC5Jxz;tp zaBc_y*9pA1!kr@EbQ$+pE-yi|D~OTBdGwOnibN+>-B+p%saj>s zqyZ8WVHv4w0i-?8I23#DzcVK-uX}gMp=2fEG8c5RRqI$wxRbpAok$UJG3ZM!up#;q zB>8X{a^jt65=b4C1TP+Pbi$d-m?$$Bg1n#RSkrd_xjKgNqoM*(N{L)fL|5m~Lpira z7nlFoNYKp-_Bt1j#7t9WLM8sUWCW&b`e=oIv*lbhHT@QyaooA-jEUSy5l2gr>2Nv@ ziLNycKR;&r{4}i!aix5Fmm+?P5HJ5t3pKx>FxQ6sv=3`Eu2p#ZR9PuZc$oTWKBB)3 zuC57G$wYd`D1pL-D_v1|a>CFhC+%D}g%i!BbW!GF^H6i4N^8~=3SZ|Gb|KaNw%XrNJMQWvI%a|(cY0-d5CCii|fKzEP3kYJFcsT?VM3qKc7ChnM!$^}__=F1= zSK+!RosJu5chIgHSb0Ume2PMm*&#pX)js$e5OK}Qco;0}sYtOU2vsgiOJv~bkWN@Z zq-hhm91=^KqGJb4hon#O-gRvROWYH8E{}URX(O5=jqx2{;q^5qsZki3d2NkKSk=>w+Kq3UaxcQYKxkkT_?G5+`2;N{T=@cBv8X$`}ahx}iPtTP|FZRl>z1hD*LV^e2ECAxjp8 z5_CFX8(xwoXdvpU4*&7<4$;NPzz=3hPRzWyi5rn%Y+ez?vAR;m)G|vPkydi<-eq_EoFtxmtDNU1kn+~bq^fGtB4kudMGT)kYoJ{$eK<^Oi>a_ zc)Q%24x?^Hkx_~94@au*Yz9PuaQJJ|Oah$9uvTT(78XF~R6p$!ph#(dIG!%m3qpGM zSwMW%fC6)|6AVy{dn0n~k)~B5)#$x0fgU=g%p>Jg`J&VDm;tPVWknd*$%q2XJg^C% z!B_3dbM_%Nk=`4;{M6j4aTzwBn%L|6OedKvWxj4PqqLEYj#_KZe#`P{zCYuIo!y_M za%td|IY0Yj_wSqdw88y?v^<@rmY?}w@Z}gZ{xo5#PNy2{Qdu&+X93FkCHZ)n?QHCJ z9oEOIKH@md3-;n+%Q$k&%no;qMXtJyYA@|;O__irrFtX1>NE@eY-wlr%ZW|he8FN@*b3CZDQn6!adR23{7)-ICZM{h{44ew?kDFY~g_*Py+! zrH!b7U$^=1(*k!{*;~%-O_15r=9{$we=`kCx(ieTSbCep&kwhd~F6+=kyBU{Nl#!|GZGdGMRT?Ch+dzzrL# z=LYk$pjvO=ZbhyjNiWvizIX2pN6Y!_%?TE#S@uahZnop-4YP~wA}YhKM(Ez&VFAJR zpn}I?vsXo>xm~Cr+k0QR=YX5LcjwS2*V!3SsLbc`o9)|$nvC|4hibIM#`{lVF)uFc+laCX+Ku{#55 z$6MaJGx;C=`01$*(M+Dk2vFJEpM6x^`{#&0#@K63Edz=Ant5c!rqw8Z-zN#!gxJ#UAK?rrHfPrnv zlI#zk0(vID(-I%v$l0w)_wKZhB>3T$$`GEY3?+CcKG@!IsBCZFzOBOZ&AmBjG-K1Y z5<9%#_=TR76)*jop&fAXo;D$C;=F9xYsOC_0GL70=E6JT$<8byvwJu@*T~VJZE>owkwzK-lf0TR_rZKcB^U8s?9nz!xN~0C%3ab zovEzBaA#XShUm7a+|2W_au0^>DZHUcZ@eM+^sp`N1G{xw8qF84z>>f9sNio6Z_TMbweb4^9m1*XP=r|HJQ9JQ^9nyyCJYFf*Yan5l=1HY0&LmK;w%!3^aoz!Xa;Y z)FG&(S*uD~5>xt`l+w%&4p!V53b@yGO81xYAv;)QG@n7QC0D|974CoG6Iw{%(?~<3 zuz`&xhb^yJ>ZFMWaOmR@75#vCvvs@0=g8`z%HHCfs;GeFo!~hM0$g!?`f3I_VQJ;S zM*%y*2Vi|0?!EmssrnF{8YnW8)J*9oPGG#oo6RM7hKjKSPk)JqV5FE4)2MZ~lz&ej zXZhVH?@S9i{8Xiutg4^;xe2x1U_IbeT9$HNWt}^E`3_i&xfBW({#rn|LY6oiTc)82v6Q zjuI67ZGCf~eD%LZcCT*JLWNUfPwALgRekK|IQ~KwcEkx2Cu@A^()H_z>d4X*NL6({ zp$&8XC@xVMl8`%olFAZER4;rZ)roT|6xZ+H%Ag_mG|I$#z55SVE-HgtXDa}p>xMO+ z%eTboWtXqsr|J3-!Bd^BI3^R#t`S1z$~Dn%I4%Y^U?t9($YJB<<&h*$VA|@=41O*T z>Tz%K_afD1FBbutI6fyaoPm<|WaA5{tRyIY>xELBkYeHTJUsfv+AsLDc<1&|Q2Xm| z+mS!Lk)yyN=2m)<$4p;oho0napmw@29Yl!~)3R!O@g`q?dW8o+$v#2aif*l;p! zRRc&C`Hvkf;6#*L0-vMtMC%+Yqpbfmp2ePf#s_D$0xOwL$*OhW3Jyjbl#_pFyaH>$ zaaMEUy#X1C$H_ybYg=2CMQP}2H!?si3{x77C-=-nolK+y2OX*$O^dj|#Gx7i5u*4g5#v4 zJj*Hi~PK*5VUv%BSKgho`QP@`^02$1WZqZCf6&$FWAENTK5 z`Pe_jILI?D_R8lF%L$F86j^Ecx}=S{iFHCmwl+D*NzxOvgJ3+jbb*cms0Lvhl(CY} z)rFwo>_QHWKbJcbk)T!&P=#=sqEW{j%U4aLA{sq1ikQvC(gy4o{B%oD=|Xb(J=;1| zAlZGPF>sq+X!F*IZSBcuZfkw*5_vyS3ritF?Zb93wIuz^BF$Rt(hXfA3;e5cYZtu z5tRVMtZY?rck0>iW?$P^l3*cQUT^~o4 zGRY*wUFlg-O3qGxj*qsw)3rT?d-hx zVv4Wg?EkCXS8+b|scpOXha7`>X!`og&n~{bKK^olfB&mGM6*~QqFLz3$)B7xDURfv z@ksk6j=y}5qd33Ku@`dd;L5|+;(IoWR#fwSVu^@*^e2M1x#Y$9`%l)9zEXB*2c(@=c9O(IE9K~t=5FU7L zIzNgt9kKKCW%9&XoP@BCvPtD!R1r-9%6*50qbY&-M9n^ulN7DE>{ zbmW9Cy|EX1y^-)dvnN4B?*;x-!;g!8 z9Qc7OIbx3s>!RiX{U_btORdNPhl?FeCgDq{Kia*g8u=VU)YcRqvvQt>nmi7&arSZe zM+8E$PyB`0(U}iHc#6ydPM~_oTJ$BtSywF$mcG_Jf)rj_B!*K=G%eiF7Ygl94XPp} z$`77ZrBpIKg{PWnQ?nU7!_R;TXm+M9WwD@-%ovG7b;vVq{&f->X!(>auH<*ppk8}Z zhEv7R9ZTkx<`i=>H(TDZqcWT%r>L>SD6JQ>j4NIWE8kj#cPc~TDUqR#^fts9_(;ea zx~Y`UHQ76b9(#$%es|291VfwYr@1r;LK6u%C=8UhICG=v=W46o8oB0%ByHOPufO#< z<${FBM~)RFSiUyqk4U9@JHz9t&1!*JLY6=_Ii4zc1Hx`STPpGnqsRGj=MS2+`a+#}m(fvRlD5wcmimieI zd3<4C2N0~2bqNm;22BCEVhi24^vKx*S`}VMkSxw9nCU-3YU##*0f81NR1k(PgL%TA z@VM$*LeP=G5?DYpJa&WvrE1DnhzA0>*)9WqMsdoq?gLAn0i_khDQC&OMyZI!4#^4{ zbL&b$f;{zgjWGlEfAg{Q9oHV$#{Oznb`fF`uq&5p*5~#Y>C_+uiYk&p1Q8_p2-*yp1^JL}B0N6Fr=Ta%ENd2|otIJ&K-6VI*KmqJm>w3%qQo+2~2F%=Ap`PBYbxy+^ z>sLx`%EfI^eUMo5l|m_V!_!Z5)&9e3Tm=DYMgnL#RVDwth!AIW&&>I04U9y3btk7f zli=!+w&84shyG;%QEDOSfDOEr4G)@zL;IWpIDi1h&$eNN^^z%*JbS?RrxTNY@-7;` zf=MN*^v&8+2xM20gr`eYpw#1sOMLXAkSW`b z1D3L7R)b`ahW^y*VD2{iPyQR?oh*6EP@y0~zDbv-Q4U6-dbR*DnmSij(;ikyd>2@- zK$ge0E1^3WJP~r!h1*{Tn0Hq-zda853y~;w6BlxM>jKJkq)=riwRZ`9v|Li|!NHs~ zTulK_4cUUN%4I$Zv@$-Y3c47+CJbKVq1y`KShD7RV0ED}%k%ODoKcRIOt|GzEtlM* z&ZLMOD0BP_o|7b4ZO@wTuj?h18ZT_v19w7J5af6}tm@_@TFBR{VX6qBOQ=BeDZtmp zpHww)(y01Re_i}t|D0`5)(sY70QM2k&_08JHz==^qs?*^{MANID@cuyX(6$%de+Bw z6=CqC=#0*kt-_v#$VMT6-&~lZ@?S~2ybs~z4srvz7oW9$?NY9``q|P|RR?s0eW~Q$ zep7FDJZ{p$8FS4h^jFP(%rbB(ZqqyRvAxgo2Y3v`oTg&NPYxF2d<#cE5)-#{ST2h{ z+v7cL&4&cG?Qmvq*cn@bVQfY(q#M|RsuoH=b#=Q{?E9TCZ*W+Dgr;%&7P$RK6c7!B zATyTw3Tn(-juM88p%am#=s6vxdhjx%{@@aLMdRTdV4;JlLe}Y-e zlSR!lgO6gpig|$KIzJ#|k7`R)#?0a>dxc+P3rne--kA-4_|9$BH2Z`@P}}80i_C}b z7)DZ4PubBqWuFxtnPGgI%Zx-G$*P{IO)OIW$W`xlb7 zGIooOiM>Lsusa^bvoAb7Yb)Ea@+{0Ymw7)aC9uMWNO+292E&*5^4i3z;Gi%_$U%WS zm=qKVG7f18Rt1Rc+@91u5-BjlEVs@@I`PL*0IHvj$Qp^=eOIt-^e9o4DViR?5TFsGOHdnotEk5pob0`tAsDk)i-&rC%^1NXYc?SAIuvm6vLU zI$9u#z6fJel~-Gy28JpNZ%`{{ULd8PXU4eaE*a!f7gvo42D8lYWthy4#a1#`rq@vU z_v#%*CEZkU`bg2aTZpL&FLfUZ=#McO%$*dyQ4k0u3 zXgt%+vtT`#WA=mm4}?~WOxA8!V1N5pgmEkDjw@XS6-Y(9@=~jy`#^=@#&U1w;A6h+h+ERQ-;+pcrW-864PwoYVe*JDVRR1}I)>VN2le1V5 z>amY^(XJLT!5~}jQ~!gIK_v{F{OXK+_}T2ERy&$hnP;MTz>pFyrx46oIN?Sxpmu|& z%!53!L_H)097?km8j&w!L&CVDaU++2Q3M(sv@G@Z1xTf92k0^7hS?l)OWXKzUNm*| z2p6e521Y8*h77{wk`bhI#s|3)Y&s!cy}477Oy-#Ya+<(Pn&5@mS8=QhD2W8H`He-0 zj)|-~n2L%Jl2lhxVNM26VlA?&4u10wLCCOO0c=(WXYj?rHHc_T&TvH3Epf*|X4ZuWO7_;D=FV7n4rPU3su=>h%rLJC;7Ug) zE4(HJfo!|{t(yn|Av*B_(;yM;9JI>ZBh32ZN&(d1oC+})=&=*~xad#FqC^ZDWLPH! zByJ4;1z;8lO+KN8u6blqFV2BQBCf<8I$8RaBeveY5IS@SBzIgDB|>_b3qLR?7?7e7 zykPHg^G9z2Qn68_i0z8MDxjUBApE86lU@bW++=?kNG|ZXHV}P8EE)i94pQQ(BZz0U zZaDl~qV85A?g~N{rqrwCGBwWJ<%(|6Ph}ei$e<6*DXk`9IzK0@Gl(D}UwgoX@KS<| zhT0)T6_M^{R)zdR!%=3(X?Zuue9cELSt&ikLB|vUC_qynQ7f(PosDrPO@p>3iFmQd zN53!nK?G&wA4jhXkC3vu+5i5x@oUnn;Dz$43?$r860Q_%>cRlXAefHWrpoF}o&xQ1 zkUJCM0z+KjysMSwM!1}HhjV#|C66eN41q5p0nOyx#Sd70y&I5-;|9^2cvY;(L?uWx zl#vl_DIRm_$R`9`lvDVQffd5pT3`i3gqsv@b1w4J1_fW7uw7ppFjys;g5F zSSrEBuJUyqawmi8!2kKRP!3IRyjgH1VkacZ#~tbR6Ms#269_%OuFlp?Ixp;ie~FP+ z)voHm>M#ot9<{V2b2$SldRkbhbfvSDF$;1Ec92l&tTa`o=F++W5~b9v)lPGApr_2) z>VgR=BsSowR1K=<{XHf-0A&E7f)yk4C^KJyhK;zcsZ1&Wt)L<-mQd7C#aR#U4swh< zOWL$e7$YqPX{A6k^`b8M)Bj8buT!Jc0PDpjIgp>u$1i|5%N@v?hR(U=$$NK^2 zmb@dE{?b22wuL!+(KDEA9E1)dr&zBL1CFY&*inVRQ!0~UHK2g01OXw5ZMiId=22Q9mq18b`WxxGd2XmtiQc;`xs8M& zycZH90_}MOpp^jW&f?8I z+j?SaoS*oWtM&ogyDA+ztgl+nsxMpb&4+v}8-su8dfnSwZRTH^jri@@!D}C=AL#5` z%SWA8gVg3*d~`*Uy>97QnJMa@r-UA`ZPUiI!Z_K>V-^C z(;xGtcTC01norv`-jMFni=H2irw7aBh-Ky7=3rpEOTS*H-neg9?DFaG*l!J2->CQM z-LzG9`B5wMeD-zgJ=j`ahk-h8uIyQsYEt_8^PWHOm1(mYm#y-ZtzSV9Wo!Is_-*?h zEq(`cGQt35JEI2Uxt%rky)-*%mJ`lonQvh`R}9GX8Jb|`<6?Sj(;^7JNZd@y?Yy$` zAV&k1@rs>4Ww|w5meee7@Z;{B212LGA+x_Z9~og5Hk}lwA0ZRl^2t%Ybu#+xW2?V( z;hEo<&siG&2q?w>n*XTS7%*G8*vx)1uF|8D>b>oPWemb4~i zXYU;Quz9#UN9CHq_fOAOhLA=pYQnJM3(0Jh+0cy79{s$JeK>pybKP>l$5f*)JK*O z9fBuf2fMm&_IGz%1?>60t>n*Mo)>hKT_AbRiJVOirw~_4dsf#U-kley^bEn5mX+3z zu0r6S2*F$W_)934C(V#ldT)>IskL3cvcvf?PBn4j%f0SxZ%_7Gw{&x_!F(9Vj>pzl zTV=qU_&j@u0(tvEGkY_5`(dZ7udT`%V4AcOe9nbv<`YMS02^Pkh+&ylD1Ms009kk$ zjf959TCL6~rMdt8mbjkOq>!T<^yT&+{f=FzpZuv;Py*Az1eo8bCzBce#f?Azu~UaI zIx9B&{iZoNsgBUz^+GWX#AE+xpHNj{c{L@`*0|Y-{Errq4A9lQ3%zYT+F*9cuTXF`97WV zjFjeuZ5P|$o1M)8GslzQ^?jQbw9)A7Od7v6d4T02J)KMpk3BYTm|L2D)+r4I5(^w< zTTRYZ1nmF%)%h8g#!kCqK{vmH|8M+fRv-QKC(<6K#eTffPP2Mr<7sJ6ulMwi!Ho5o z0Md}&Z~A@rqpIJ(%_+pHqUA>-`x8I*jWY@UN^cA{2f*mXf)7zQ#m_Y!(E~-bcME>9 z{--5Usx_8|_j)6fuA=cRaV6mRB2O(*s1@IN1b**wM(&uDD_10V3|r;_6)nSz;rjjI z4F`Pr^37L>)^uT$#_#Q6YM?S2pPouYqyd-4%hV*!S%u(jWN5r??~K#@OgM{(o0X*m z&NoudiUS_qbMZccWtxvQU}-$(vZjnTq474SF!rCXTIy%lHEbae@xsZnLB4wA-}xWx zLiK_Am2sX|_Ec4Hi7#c$Cve^S=+pB|0rjYtb9HoagY&j;f*(VgkpcVbTn1p zd>w2YdwjyV8wFU5V6cY`R5PN}xVk^GwiW*Pt_xtCq?!}$X{@gKoYljJ^LY)R6yf!H zv$+~zYpZP?C1BeIc{nq8V3~5#4XC1J7>iJ|cr31KlzE^s*jCB7*z0ZYa2Bf7u`!II zF}Bjk(&X!d+`=ng9AOfI%SnRY*>1lNZKGLUJ8Q$zxD`Xj?k)asl(8Dv`Wq{NiEP3~ z;|bE71m^|n#O4BTopq&IOU+rC9>{<6Mc7NvFgav`!lM@sBh6>tVB4PhC^n7wm5=MV z<%NsC_L6KontM&y8im$(P=#02(`}FjR{Q532~= zdOOX%S6Z;Pw}oq|%fkXsKFf*^#%sZ=N??8atixNy=L*%Q3UG`xchKo(h2U09sYOND zoFQazCB&f#n3JKh)m*lIG|j}r#<$5vH?GdxcD9L)|3E#%Dw~=Xgbn%x5@T>3c0a;U z)qR+!2kHs;3ZGu8ALk&D z5xxBDuS>U^!PaD6_{QJOdsrw&C4Iq(%IEP4(Msdb&cy5dmdt=zxhb2@L8pX)Q2}BQ*(bMGD#^U+rV-3+~}*Q5~Fa@pr{2) z8kf5hkP0cV$%ht*NntvXTUJ!Bzg!+U-G1u#sdGI{cZ<@t(mbm$UJJrJ^Cc6w%Kea8 zGV+kDDNFcZxI%%2h?*{jLJ5dggxB4A7sW`F8sggMyA|s&%C=s$tT`S7%lv)*b;w(XVQYEV>_jaUVn*|=wE$)^09=W?ZQWfF(Dr=uXa8{r z>+YS%>NSW304hU|U^-mzLsqUp$>H(gNqls)7#_6~dkk!L1{kMjPFz=fRgc9cY=tLT zWTJGZPy`Ci#2;%s^@&1y;}BZv?FIG*2cuhZg__|fF6ZjA-AKNe;10Ewd^SGJUC|+r zb&6^(EnQ_v2{_nu?x=E>2X7sfWPu&+Avi|U@sMPZPmR14l_sdrxjH7Sn3LLP*-?I@ zw#22h>il3$8c+1=%yoa7BPTDuI2?YCBPaVW4)^r!nEm}pzKH*3JI>GPdp0dcPV_yS z{#G2tvA=aeM^5;8c^zE&Uem-8oQF;Bj^eNtR^Qe+Wx;`i zE54|p!WU^gj^f-KEaULZnFbb7vG_ty`#lXPJf6Rm*Zy!QDqnu#EL-8Xjh@GmOVD0o=-`gqXt3u`LtBRKZCpAKG{ z|23#|HBoNKr)~MpPe-Nts%o@+v8&PI>eWFU#gSB1lY{Se{!4rnr#-W*ui{XnKmC^N z>>dv_|3NXO;M>iC?F~O=drf_uu_|L@7^~2~IX_^IQsgXsir3Jox_}Dpl8M&Mwb7RA zxr@>jW0Ry(V}K-AkI@28BWC#83aKblk^mDt_XY2TvMbbSAcor+IC?b;--7igSVSHh z(AS0H*sNg+HpB=UE{l@sO4=>QlGhMmM8i`L1JJ>MpzVO+#;MN_L_YI|l zoHB$n1Ln{p`QAkKVxTcaBoS$N>;X)vWujCdS;EdRd9>|+Ue6itb*lKEb@_)t#H$|! zy(^;&Kac?C+LiObKiOXs%3!^hQh84mklp3ei1{TKgjlnG%EKQQNA)uFL|ot{E7n%*JC8#T_QI5zGW)!n~@A5!e7v<#rQ5a5o&2tSCQOX$E0zd2AtvbORYd2L8$C4ZO z=agx;SqFZ%I49u!N}(905+SFg|TRu%CP5*x@~R0 z;;dvrdB`^2ykJ|o4Lp9~+y(3n>=!gssom;A-!7J%MQtl(F|*N(;L2>7%_~XPJxw0g zFfwEtVrvBsCN)h4a}9sK?X%mS*)!yG#c$hGua=fAS%>X*YA%}-cNG8I8M*y~%Ouz} zepmzod+5uSJq1Z}^5y7iS{vmiY6PfPT{V|T9Mt2!fP54lA=d>k!hz=0I=MJffx8i2^hg-}%cObV~9flKUm{7ta(&xFBF#PtrnQBqIiji1QzK zg(lNYTla50ceXz2&v}K|3b;9a1Rn!H;MZI5um~#mD2o5GZRz^P$^)LsK$DT|0(EXDliVK+Su=z-*YJ<{NHbt zA-}}E=2~mKYMvaTimNFQj<=)Kf*E1*q>alqpQ^7>qh)Ld^S1bpQq5W{DG>*T+VwSj$=BEHD~jy9Nx7_qzNdRp4h1x6zw%#vQzvMKwx6L1 z#~4dAUV^tzLDi>A7(1SN{)05NRgzY3pnG3tIlf1OZq&p33Hm9wDHZSKeYDe7v+5x2 z+eYEA%!bdMPqBbP<5vkb3ZI&@Oq-TEjqIgMPcnKz7)E|UG^leoLON*O8M$(ZC4AcNyFXZRYNwlH+ygS zIu6!75Az;+ub0c(0_0Qn88)n;gX1(`2!4mIsVb6X$uS)Iy}vxyQA^YlePyM0hVpJq zjDjl;`9bS|MQ1#QUy!1{BI~eR%ALd~KQe|$TqlM4#%%zA2G$>5&o7YzFH)&BrY*s&{E4ZQR+7GR% z=AqVazeLfcjG4;M$YbW09f`2;V|j6T-aj;6>9E}Zf{O(|J3Qs(lOg`nH5EUd7Iq{#v4wQz$mdmCF(cklSunZ zV5y`ges6`%25?iC1{Rj^*CZdY4d66wKljffwWv3hVI3GEafY$$c9bp-M_myY6y|d7 zHT8`EVM4^Allemxl`9+`BAi7W&cLCyl;Qv@nz)`4DVB?Xa;1c)5P~NMF6x9p9#T>p z5WzigFy54KfxaZHg7U_gDb>q}D;HB`1+5D=T#oztcHrb2DWYR*vLgfv)a2MZz&+Cg zp%gB|fn@JCr}^u1Vg+1*(@M9-Rb|HWU{UQ_Ly{@(DYV7+<*tDLcA@-Xf+-dfTuFgZ zxmuS*P_Y%B-z88ps{|qi=O*OJnxkfFC1u{D!Hh^a)A4xPrTsuxtCXNa`0FsD_89C6 zH(bf`3VK10!oU_q%^a8-7*atuin~LV7m>`^CEMx31E;$Pf5oz@#JJ1_wz3t4&{lTI zU$*`hkzKOT|K(r?=b3B9kw?otYlR#X>nPQ~VvQqART!pdOH9$aJzTK0a}Y9N+J`<0 zf+C_&N6toSGBtM!{i(mlAgz7PXRUh#c^MI5i4 zERD)mgAmN|*VSi(=;Ot^$Q}yUg*qh_w-wnO>Eo@{&TwSzu%&&F7U6kRje;OCiaUH` zB?@7=biAc8tS41&# zze|DRuLVvSL7U;hmERFwG5Ui7oy+n{osTIw+;dK-NbxOu?a2)d@ob7Jn8@$P6x3%8 z((c;=c&6-HiNfLn1-~idhat2g!xN52RD9xQ$Lf`#Y*NG=$x?aqr~?3|4+~f?O5z?q z!8WSLbh9~Fl)x2n+G+$91$i)Bfa8R{FkoRDRsxUAQBG&TfH!eb1PHe_IjHSPPcSTB6TqV9EuJQ4YkSXxHI8hUh^& zMP`6)skt|C#L`VUahiVzNkv?@a9NAaYo|7lT8z)*f!7tZWNAS88f!onw zoP(#xkk;99L6=ntlUGi*%`M99UT5+s?Jkgnq(CCg5V6rgs4lo6#ptu8`Z8Nq$! z#ib*~z-ZT6AW2M>f-9X^BJ3qRZppbx#*j5QQmm*w0f2>##T_GwLN}7cLfH7WR7&A< zq?&UewyY=@j#P;k`9oD1c!8HYxGhVE#xqDqN^ml)op(Xr-A7gm&R-+RyJS(7OG`kX z;@J4V$@`}c#CwEp-OHJ zAx3hm0`33#n}OJ2B7u$%0wRM5vC#!I5zCQHk#L#2+CdTTq<1VaX5!={q0os6On{<) zH@PY@VT|N*+~el;)y0zj;KcZ0p(s@nmdk*sq#nVb9a)QlT#`!W!gV@TdPtd=xg=lymjj4Y4})4; zOnLmLNoLVjSVcs5J|is?ttbI@Lk^W)B^E9~9kd7#a%ZI`9K;LuS7GX+c{7m}wnEJj zU5Ov(?qojY6$xlyWL+7qYT{}!%xhNuE(g99Hzf+Yb1Q|%iVJV;yz|}Gfk;BixsdQ4 zybevkaF2T(`Yb1#H->K;&hK176mghN6@lf)P8zF7iJn{M$A+i2ni|XHxOaZ#o@Fbn z(m%#K>lt)Rgg{(IsrFl1x(cglW?mriYaq`%QecL7@WSQy$ip;XaLZae(AtzkT&szO zeUb2xe>j9QOg>$V#juA!6A4OnL_|2p-DL|}B85NbfKam4pp{ON0LYJ9atRchFD8PJ zki+9fqsstwah1e426oU$sRN5m5M3S|WNzC`CwEKEluHy%=UznSKrBYMYc1gKI$mig zA`F@VPyAj|Myt5EYko7Tpi@o7RpF6cW~5>aHrhAWv)n8HAA9c~B-?S__nmv^?(E&g z;9=h40a!s#WbbhBxP(D)fxylaMcciL1vo^#u$B= zGrMo`ek=hffBDSZ{&Bkd^yzP(?%Vgy?YT$@Ojfa=3*sGS-9rL!>RqZ zJcYd>+1*tVO&v1GKNP{J&(gNiA-I0vZ~Z=6Q>|IQAaDB_(Uwo zA@o=rj06pmDK3&8L5>HgMbdV1k>ojBaj>PtWn9E5_bm@mUn0QmBb=zvA*CvuBUWTaj;~ilt!80dAq3~r!G)A`PpVF$w8R8Rue@L%&iqKQ}Vwes%)Y>nHJ=Q5#?Hn z@|iFy`AKf&E)jJcncaQ~SVbL9JQh?X?rbU|c(^c@?H+Eg#VR$_7zJw)i}B{( z0%~!a`1ybzrDDa;Z?MwT?`t>DpNS23PRC^0=7G+=Dt$85HvZ)uJFwqSi#P|o53z9^ z!Tb4T-d#~Qy=0&5kLvJfmCpB{uSo)t3&XTmZGUs!n$@?sjj}%7S-%`KW5hk}n5lRA zQ-1QF=2?Wxrx$E*Bc;Bbf8FokG#2-FRH3T8xXu+83-mqg(0Y#Dl*L#gT5&JZEXCg< zFPpccz3~z!H=Zxs8}I!54_iFPuF=~qK3xGj2SNuIB+lxi| z`ocr?<;C)%754zo->8N$hJ|2H(4DUqZ?PwahmsR!$mEAL4o1BGeb}=vhpHY23ui+d z?s6M;RPm0R^n7Cz?C8tqru8oO+}C+w$uEz$?EY%{wNTpcm9hSG0Ehj$8++VdS+3F; z%=h|Lvz?xP#cE9<11QBzkTT$i*YMgK#jlvioodlZ4-W5Quc0a%oG> zG*UU1F^Ua3)O(kws*|Tt;8zcajR|vX@9Z<*o+eD}UVpxNwfFp!)Lky0eQYWz<@+uu zcNus~PUKEqclmsjlxlSy-Rf#PguN8))CF`f=Clzyl+?M`M51~aL#6R8dAzT>^d12D zq`x33w!<^L#Ow3&6AxKEpmToJd;Y1|C$M^Ua^SgpzZbDuUa(FnW|NP7^Yy8neG7Rd zdpAPhr`8pV%pB#puJW@X;T$t`>~#kpoa|Ywx=(!uaf$ze>Vh=S`wh>r6^GK37wLiW zmf|H_4&Pt@xF!C*SFK8EI)eZTbEPfp@7ww_FkEq z*_v+)A`b5G&+>K_-t*J@?2oDitELWERsb4 zKi3Ii8W$Ipwjn$ zbUKZg%V5w4Gv2+#M67ZA_+tm;t$W+*uJX>_-}-&L@_j2|J(`s~X7M;xRQGgi_LJ}o zezm_UDGv-O%(l8S7p636c{$Vqp4+ACF(A#t4EQubI{QkdDGB&*zCjeAFYZmJ1j7Ey zh~ZJm$s`dydv;?(x~yMRi&g<#gSp?&z{7cC+EDic=l^9)ANpsg+3i041y#7h*@+U& z*`l%=hEp)4vFAckT>K=9uYeFvV5V2MO(tQ|=~$_e#WUR{=aXr*IQU>3(b&$qUPc1G zbQHzQPJ<-_bUE0PjH;-%jd*u)GFJI6kFJEqpwY;pq`$PD(6Q{&)W~#rPQMuUsb_q6#tR8u!UJhPu^(%6 z7O4PVUkv!nZhpyJ*DpMi8l4c+`4j}5UVVhr`(GE(ybB~vR2%okNQKtXDQTmE<{Y*V zHe&K-kaY)s^`)S=p#f7)wQ>HfXJY*RyJ=Ka=C{D~W$}?l4nhEEZ2Mper^>d;ex#wq zmtE%0NlFz+21;8uRUv>(`QpT4$2!Z2{_8F*l%c^d?dJ812&oLZ#8Z3^e@04oL7r|Z zK*5M|PGCPmkn~BVI9#%6&meUs_P?D&ZMf&$;;qN4m-@2!JAL}qrkWT_9#ZP>H0ZM0 zzkC^K_)OR6c

2tdLD;{k_WoUk%T&9$`CO?*|diR1X>~$Ln5JbrZ~Vm;1pv7bUKTrMOl2OQ!@~&#SecZSego2 zR7>4*P$qUzEfwqc=nT;-hJm@hV0<;yM_|IU>TC$X>2Kt5S0#9 zH*Xnx?BGDa$8CeO|3jU>TE4ce(u}&1I$~AteM0l)bE9XZd9Ql;JSKy20%+=0@j{vk zaDb2QvmXmv?e||W+RdbYenKhwh*2gRo=y{S)|5Nbso;KjA00?%szJ*7&`cJ;?W3=* zCof1BTE8dX?2T1WBmTxWEq?L;G!5qvVna%)3;;cF;4yJ=H3Aa#XMWO+!GQ&XDk{=M z1^!Gm#bShq#doF=wOQtvO;fR;s#LlhOxQ_PEDQz!ZG~|LP`5}IVhh#q#mRwyXN5_+ zE3Cxot&3_T8$oep6e*F``@v_tk@a>Imvst0P~AR!QR!vb{2nf)MwewWgK~Wpc6H_q znW3P+z(Th5U&jZUr(cFE+YR{K8+dwx3|5ExmYlJV%M^3oeNMhfI&Jg?JafE+LBo4o zCy*D8b^WoSe5;?YJkA`i_V8AnXQKU`Gdv{IEuV@GJenv=MQ-(YsFErGTM4uht0JKE z1eqwQ+Xza}j`ND;YCHi_8w_YjR8#78OI0!KDV?^lLeTBAVKAubh7b;nJ7|*^C7Tl) z;3}cVh-FL-^Dfyij4-VV_k>2dhTC)jfiU!1F&&kut!(^h4*bfG6^!;RNt(oFG8?k;; zv>JBvzaf@W1qk0U4SJa7;M+IUj5vS_>tE9+vV=626jkvO%NF z6@%zF;TL7^wHRaJ*&+sDtwgbuM&ny&!4h~#VS`;ER^6X0@7nMop;=Eo&Nub{cGLYZd08%a@cy?qW zpbs2yfKOiQS$YQw8+rB)cCjH^tk+G%M^Bep`#n1bn4XRm(b-PXT|o}v2E4!hi#R2R zQ_EDMKna%k*g`;1O4hY11xn5#;SViIRwoAhstiMmirWERWnD!G1m_k7QpAbTYi?&} zq&^lCY~3Jmj~^uQYlKFG98yEUs$c};BW2sDH0(}~Wvn4*;kZKFp$^)q9U zoE1l7qClyHw<7@vjKrZmG4;pYA>eaQf%?4C%XreoW8f1KAy4Aksm7Z>FEPhFT*mw?H()7Oj{0%+nzSF)XC*4Pjnx}351K@FR zaHc;P$mb#3T}kP0be{v4U+WLM^lHdm6H4!xur;&nhuv1J9Lu`3S|gn!<$TI~7^-cH zIEGtBvsl#Yp2R-iWKgB2XrV60*y5=wT4hBf=b9HXJQen7q>PnC(rgQBkAX_^Z7K@& zw@|m_j|sZF7MIyzQ}WWKM8i%VBy;Om|@_w4!ee&Xa* zZocReB!rQi9`I49&-$-DJiP+s99pdpW?uV9|9|W7pcEkMS9R0 zLF47Do;&96x(R}EqGYTnUD+T1W5P2!gi)L@V_(geCYi1*gka6($C8i|m`Vk${oEg=1C#TatER(QMo{JQc4VLAZ zK|xchri|Ulb!lV)Q&HD6l(CB2Wg@rU*OG#fo2=Uz#og$G;>WS`OIGT+jJU1LRcYx0CG0D~21ccQ zD>VMJbPLvd9XT?uq8ex`OgPvG>Gi~_-w3q!d03;!&%OF_3JgG}vRD5+TrE88T%-V1cl*-b3YFh3QOjN> z_nui|X|D`=xEjRmMI2C(ETC3q^&5(q?N#NrvMMeTFBrw@D8WiVF4}G}*f%wu*mQ9U z10tbF%5J#Oa;)|uC8?!DH00gAK^YnemN1a_-xP@^^%SL;pC}|5-Kil}%x$R}*@&wM z1+ciiEFu0xlt5^N$N$>$tOq~B^y_j@Os=RBRr`#ihbWO39v1-INRGHrTC#25R+xyO z4YTBgrwt;p*Ss=Im;tfK-FwjgZ@*56X%iPJ`W(7jC;#;MqMTT`iJ|2s7OAkIt`>It_d3Sy=Y~6goHh^1pYQU?ELH?{|>xj zLVyFQk@g2#5-6U^h!~1gHZfG?h>^ZvR3SZW_pu;;#*yCV3OoV7vxEh1)~mx!#b2$8 zK*QNJY=bdSC-eXbKrX;h1xnpzSrV6@^>|@@d{9ZmQdMtmwP#j-CUTxCFzz?q8`Z)x zR!>q(WR!ZJNTB3nD>&#z?oPd2omS^B$8uTr@u)g?o&NwhUp@$bfbO4Adm#1T83oFl ztQ)3eh9Z_W@z`BA`%t#{;3F7OXoZfU2qWMpKwn@b4EW0fC zRzPPU3@)8!0MKB&$nA0dc0~6pzT6GW67h@;PA3L&UE0=d6H4#f8y2{)&b^TE>8+tK zk|FWHZNDtG%+^s970UL~6C71#`IyC<8GKD7q6fNF%#zwu61E}#LW`%(Pf2l$9L!eo z_adVq+6#@khrSD+Vves;U9AatN`ePtE*fu%u55P{tTWsOvO%@Wjq|jC3O85l6*_HO zLFdxt&#@1adS&scSma%172CFoZTs;Uww8LAz(weSX3d@xwAhUGFKx%0M@8r`=WZ?+ z3t_oJ;UX^B;9-;+g;@7&GvwBCzW%pLyH%SwA1Unz$+)?)Oxnh>E!xUUB@a*E>Av7Es<_H{E2It&DkPIoe~z*7%X`4r<1K=l){HY&RmpZVk+#=T9}-onEjBrfh8O zCoq%ssJZ`P&qk4Noe^}11u~=>-VS+vAUmpT^14!SN5S=u%n3O)| zQn)kyf;|E|*3)G@ZMHEv`xE?$9p~bb@eG>WsxoV^?2q@WuoXZv0W}hsW-#$$I|WuC zu@chiYCyJrdeG>!Pn;u6=@6Hhr|FnMU2XO3B8!{PEYzo%OKCzyL#Si?2_~n8)eK^b z?Z{kX_5HRSBQ0U?)h-=h^WXvVW;YZi9n71S_etq-pswsAl;am--c_^8#A9QoRxa`C zViy}=oBL)&vqyLuz*c{Nt)m5o%JX3`yS#%eG85CDy%e7vc9&K-L28upTQxJV#m0p4 zstu@)ISxZ8laMUYk86mqzQtcwNYj`C@L*C9B!?*KBxLsaEk&p%Du(iew+4ABqo<`rxd0PpPz+-sD@|9# z(@OkG#eaZ9FJ19{f145;;pkvIqD-h%6gdn>ibg^m3b-ag93rIxILib->FdjIi^g)J8}Z57!~K-Q3vlU>J`ILz|%#mq(hs?yA$k#B{8CS3NVGjfmZDo zKPvx9qNM=S*2m4>d1_(12up=s6030FkQWtM7fTdnKeU=zs%Oh_o2R5uqx7%RDaK2? z#Go@GF>2cM(qsz}%^1ik;w@sP(&{-#9a;hf8~Bw5yFE+&Q@Gf?kF!Ej?b67C@Hz3e zKXml5S_Zhx;cZ&7=ZZ1=*VLyiAt5TLwIEV6HF!y9TZj?DRM$2p!AHGdUTRnAu1*dp zn5!Cd;);Z0PN*jMi`gJKRf-v8sR2L~4%^C!3V9kLm^@drK#=Ug#U>U5S&v9|NfA!4 zL{*Vcjgd`KARhU&^a1=*Vl=&>dl{8X;l}Hf9ZM2l=G~G=LVF|=5>w{mA!+d;!sH6d z<0LT16A+^1zGU(@Fma025L)A)@Okgu5w&uw2NuLEP3LIY>g2|na#(JNsz3O36w!X# zSw}XxS}J2?oFr0OMzm>iJ-tG$vxt$-0`I^0Iji=Q>KzILNGE2Bmh!}hbfP01<+LpK zD|Mr0_3Evl(P^aKiw{_`Ubgv!cAVIGgOmem9x;cNW)*T0_L2m9Kxe1 zo>GdVz6umfW;W)KB+r@(mYIafTcxx*E)&0>>DO&?#RW2stwT;u01$D_a&^rklxi_` z)HV0-vDdj0YGe_VqGGDq=`@o?f};s~N6Y;TVot;@5s+9hhyvQZU{hA*5VjwdjNaGD zV8VG0lMv70SytlC{)4-aJhNK(91m7aEw38CYi1f z5pbewD!~_ofYVmYKGQLwm^`NK@=pF6N6M4X-$0ln%o}1ZA|lGrQ8nkp&7o}gGp6%G zAnGCMkvO1;)L}L2PM&brh zLu)I~Jgq5{g2BbvtAB5vy$~BmexRFu8R4>+gtuYpmW#)gk$TI>7|zgnSw)hZTt_UT zFF!V&mDSR;WF=Mju;RvnR;fA#%OB1&x?If&(IXgp#6Br|e{t9OMo*4C2$!P|#0w6PKIj-7@>R z5B!3UWep6;1GIXI779AW3NPhDs1qd%0bV+7U;nEphR~fr1|?-hK}0lP0p)d{xOusSvcVCB}m7Fp;R-6C7I{Yf3y#{!kZICnZ6WlRQK*!X@EN#R)tCL-9x%rOBIG zs*2!Yxk_$(K@B3u@br38Mvf6qOWq9r6H>ROH1lxYLkUT4(v)*)reaTiG)ufcIJ0mQ z2gRZbrA8)WuNb&uuF1>5OdQh2=c#A|kCNzUx|)GJrKOnj;^{v_Wcw}7sfv5VDiYAe zZGQL9z5#aq7H~m21n)w~;mcEQs9ta{Dd$hi#sYr|C*RY3R#LF`pG-K(uGvFfs$kW& zz(0@VIhZM9jW=&ZwTz7QTEygr(!_5Q)Hd=;Vt^4;ouJGi8TyzbRCe2$w#{?hl+|dZ zo)K_jh03bXgX)MaZZ3F=n%oq%)<+Us+NCn(l{T@o2QNw)B0FjtrkaLXfmG%*q&nF9 zhvYzrtmwZ?xg!*ggySqsgN>VIJ5x&0Tk*|bwYBZExye6<0XILTaVM@?GX`S3uL{vv zfas1T%f;AlZ2aSPiT@qr&P@n5++4H=c+rbdx@)JyIPQnuE4IeDaJ0TVHpWJgF8-Qr zEq!oWjaYZvhm);w*K_?xc=`52R8>9{7cPYDa8G9(wyG*Lr|e?c*u`SKSmy?^{(4I{ z4%e$EtoxGn0=@r*wKHdnA4Mr*Utq4epFcY8fNs$%n0*4Ufr!=LCcLqA=TlmoZCUvK z*xwjU%fHKC`}8-}Nj6xu$!dz*mOix=;>L^hOGT*TrL8b3zq}D1*+2*gZNxCK39B!9 zakRk$n(TaXYhnV~-48EorgKn1TJ9Nqq zKfLyVYArssbWa?NKD1qhK~aYf$A(kadc3zN0FObp&8-~^eAcS-U34p(zTH2xl?H$Z z8&!R~?jeSim4#pwulK_F0w@Nn&6E6VT-n%5v z@AKnFsGGe5JK&Ga}9M2`9_*GV$q7pfeNiyh$VOYKE<+nd-vMv|bJSwz0=m zYqAH@y4^k92xa5QPMLC+BlApe5})qbTTiEiIRifD?bq#v$Q3lePrJYJyJpXJ&YXz> zV6hydm5YlT0fq6`bqQ8?xiek!(?<7Hvy&1xU%TvMD2t+czG+mtI!i8|QSDurPFZet zcrtq06pM?i=SNev;@oog`e>80WH*HRlAg|al2UBNk&l$#Zz$g3O(PeZXq}Qb(*)vd z=7p?|)WxSKyFBZ5p`mgv={|OF zfRysAe137O+0a9&^8EP*rR2Xp4!Pt)ebJI*|&>&mr47nX~ifBTNWbhlXE@{xX@xuz@^@r&-_z4z|- zFQw(1R&MWa==}=4Q?}889%sGWDQ~(d9i+)(=l)y!XQpgGxbsduuGK03)FAGqbgH}6 z36mmrI_I9^EK+pr$%lpi=^_l9e!08Y`Rr36bUI}@y1=tTodNgPVngmzs1g?z@4r7$ zng^IW``;{zrJDv_{b&2B-uv#|V6IuXnFmJuW%>U1tG{?2{_Mf)EMm&<{jQzIQtH?p zcRbGarEd5Ar`~uuO;2^Z9}MT&j&<`7{m``G9T3Yu_R0RYFJ1bsrQi55d%8@gPJN8` z`XJ?fzx~C7X<6RAtW7lC?%m~xEd@OEz5GXST}ov+xYbY&OM2w>ZwvPy`>~xJ{uHf# z>24+saR0&IZle6*H)N6LSNpwmz_Y^VS9z4|S6(n4XumZ-${FY^jBT;|L1rwh>`&b- zz8=KJFBUuZ-u?RPskmwR_S>m|Hzr&dk=iLc=f4;*(OYf`z*w-FscZ%1gc!&4go&n4F& zB#e%ISsHWeQ)wF_NMZ@MyYt3(p}nXngAFX)u0BU5XLl%RBDSiIsglw@fgC zZuigJ9@Z~iTD;|be}UJg6uf(CP3zBws`7~33fah&NV8})c2mZhNq62^C8xH(x|BZbtpC|o!&OSc- zuYut9#Xj#kD8KaiBu=wal{WV_QkAwv9den77a>sW{;TKPCYZ<#Fi>K5=aSvx#MtjW( zA#^&1<;GYP_jXCN#p?e1<#)@Ka{pzso0bOWUY-c}dzovP^%laqfPeq{+0)T5HQ8b4&@U%GTtv2y1mreyVC*|!+fpS_21!Xs(*GZUo0 z@6_)<7825U8;}9~ohv&&o|el$`Xfr&%0R&Lmw|bFkPQY#o@iia zU_GhPv10YC1%8ZOvKJG+fKPFuxbsfb0jlsp+M1Z#c%C#REPkk}%1(7oz%JZOv?(|x zSZMHpCx%d#(ts&uK^Binoxy;!mT3!{nvBJ>^NRH;z=wb?m0T>I#~Wn~*e0nug~;Ly zfY-tUi~mR;h^p$$12pJT=cYR!b=~alG6R3lXVqO86tD9W@DF?~O%S&H!akkeUAc3e zb~DA?{Z zpTCAmx+xf50t|LDg_N+ayYFop1FI6L%+|$Ii0O1HyU5%xDNkL1k33YtUFOP$4(JTS z3ol0$;W{a3a`+hUXrqSZK`CA9Lc1~WX_lm)IyHSuadeTGPIacP(T(?EhX%jA|NecH zId$s7g`hdU?AREXRgJPpVmu!LPdM01p~P zqa|m!>XI%??A?%#7{P>GRkbZ0sAUN7%<=4nj*`0lg+SeXUc=cCSBHui_kEQjfKNKA zdy9kF)qrP=l2TPE$>McAt6Xd}2KZb5G>nD5TfFiFoT7h5*{X$X@m3g@5ae2jaY|&t z;7U-9h2w|AQWmR0KruF4j)#EWK;H5wFB{0}07Q~|M@>;hDS$U}$3-O7! ze&exhJ_LhD*D*#KwUDFAiF#JhI(Y?B@S)g#05Z5w;vNWPDX%+&%90WqK8Y$G*MO+)vTPkn zjeA&hLrn7+9-GkQN|)Rb>p8P3;w$oDWj1Z1M`zAKp|Lor*NVB7Rxv@kuwR}BK z7-u}s?G<6OTv0ne;Hp&QQ1>;s^gxzc5{XLJoow7?r81HFd5g*1_!|h>=$t}O?iTox z2qftuOkTp2eM9lGEytwq2x3jn=FUN*TufWUl^P=fV)D>}DaQNFOL>$y`2rpk+|9yf zEt+vj>TBrxh91v1bEqRp%8rCX>A*b#^4ZWcmG7$l)OTB@O*FHuIGaz^eXYqJ+TK{z z#+BEm`AszA*G_-#ls3^kfBJK5Ir-dp>|0Jg*ZZ2bz5LF>*|VKr^{pqX&*~jAzqfk+ z*H!vk=hwf4|E+JW`#WTwV=Iofy*%ezaqyonHhmM#SnrT|^Ua<;h%RrwSy=}uum0n9 z6V10QeEdt2!j!`sdY*fgtvFwy^zP;w(muy}k?k)}eBM&$1HKif{=hHD=ie_S<;}d2 z=hf+Y|4Sd&CYtjb{yv+__kBvb?CpKd->tKk?vpNTqRCruR#$%&X$J?ZtH0n|aaLD_ z3#HfdR-D|TbostDZN=H!D_VaIU7DxsJ?V0Az;DW5&;G0Yv&HnFUibeYnbyB~0n^f# z{$Lt4PIBtz{zBF7Z^P0VTuE$X+0c^&Rgc}Y71=6=I)0Dk0;P(xXNuJ4&fl4ABn!Hy z5CU&+sxNhP{j(mtjxLtl zK8dIKp?8CahR)O@R?EivgYu0Sw6oYaVpr{)N`Z#)lRu#iNy>1OBLuUoT+U6dvdF)d{8YIbP=%Eqnsf*HwaSfmac27;{@cB`~1` z5vi1Yl+kq)f53u5@Yj`wj$e6G*{J1hq)J4=-D^8EeMSg2i?f(~p*^EG3mo^0%(#jU z^PJ(KdGIiBy#m)uJCx9dV)k1-4wK);I85?T1e~KSgqf6Z&B|w3QRwB4VT&bq!;NY> z{B6IMY%2?3hF|DG;EL@y=QRK}paoMli9;OvM_g8OJ zE0Q2FTmsi!o!<&9-qtRRgWbh%>f9CQ_4Zg5gH-3|ZJvb1P9MQoGc!E}*Y$waO+u#$ z?8bSdnudj68uFX(dhs9V_His@sGqu%R~!UAv}#{3Ke0>Zf&P*INz#~nt3JQ(^zGbN zSy!cJ0)y$nV0)WYkGnU18mFrBR>q#$h3V>}+)c#J>+*3F;76lBQ-7EJeAm{r?nFDC zx1b%XA&ow1gVQMLGdDwacu&gu6hb)po5UtTvau*M~*?aLAxi;A}{iBDTuPs5= zcIi_6oU{eIR~I8ILZoY%;cS;eY@w-_Bl&E7S5~_Y>YS$)l{CRhX*7vEu|&f;AtJagIK@#lODQEwNWDN5 zKgyw6A5+LSX%iHP?rMP(y=bht#X?P-4#)ywIJ#cKsy&@xDK^oD@shY{WJ}0$CgTaB zJidO$ilyBKCi*`JN-%g>>TGH75tYRV>?vcHG|Zrh2*to`TdUR`Nepz;uKRXoU1e4% zO`#Y2wg@{-^hk0DH2ieLC<1*+8JREQ>sasYtoUPGtKKVIOjTRV`2Xztk-UI>tddq1 z+&<{9W1DA~Z!Bt{IWc8aah2(S$B>E;G$&c$8S_C50+Z&k<_w#ZFBk~aNRQiEr0tsn z1mGtdmI)u)Vv%h+C-+DAQ%VJ9x5Go^!B;l+7 z3RoS^mq|ZwiV#g;lTuqKm0U9`V+fLBv^V0ERxDXbh~j*?ZOGsM*E@kJD;Tz^zOwqX zuV1TW9-`oGAt!gvl^b;l7Y+FXaaJXE(+)rCX)NxtG}$dLiPujY zG=rQ6DB4=Apb~JGHO9&Gy~)h^V9$Iv6;C|YJ6L?!^6L4s%)%}T`VRLj8TEP$1R0TT zS|i6oQGVHCnJ``8iTy1)|G~l@7l_j|63#Rrl(Ae>Ck-^0mFTiU|E=&dYIguy0|0;I zQnNzBKJcv+E0dK4o-8QNmACOM&ZWO#1x2_i(2ZyM_2x`!&v*Zt+7~7P+dlPf`0j(98JIWQivzRiuu9tBD z_Lx>x@)NJ04sWeCI5BP5rJ>Jab@G3LQm)r@4>NJUUGrG9a5~ynO&zq=`ZvMGI8Z@E z?lVKvjG}((2hN1-{wccsg$@1E9?7;4IVapnUh>>bSJ6%Akq1cU!?x8cwn)6~0fcRU zrQLRpvA@>mL5zp3*xL@BxIhi6F4kSrx#X$b^moHbG)w4k#@25?J?Jmevn=A(5ylT$ zw)6?gty#BO*$j;IO++lmxY9knOxIFKvl739;8^704siH<0Fn<`bDMzxQ+`25Z?Bt#H%V|9ES&ABhVS~8J;p{@tk{p%k_M}h2(q7bK6deaIjrB1XXRluT< zXwL)FfRe6xj7WumnsOX+MRYDgsjV&T5eX{dJdbo?)F+Aen@9zj2Wmd`NDw`6 zNRi-SoXuQV@LZiJGid8zG3=#u!f&Z9hdkvGvQA7bA`Cdn5;C2RWt?Sjj%R|STXjk( zxB3gY;%#EVqUZ7=T-JFelJiv*DpdAM&zffn$|yi~yo4NN&$M(Oi;v6~3upBIEnYF{ zSPTnODp+Emp!_Dn{fx}x+o7LYtj)+SG_i?#IAShgQ50Lg|F#~}Xu}kHX6|)o~6lXF{BMtQH3nZbd zepd;!h%5{18v4wK&=yzP+eHupnaDtbBiz?1+;j22Z7g6qss{=>QVLv3=obFk3YP$Z zr6gxWW-Bxhj-2Q)e8QO!t4HOwJXUX{mW}k1BC6$2M`clU!iQFC#iX;P)67pEOZ{<8 zKLjFV3MMR2j)Jl`SQ5juL9j9)wum#bND6{<8=DcZXW=jYA+^z8r;$NnW-b+NjS<)a zaY)jlf|y+q@MBFqkcM{%AYg4?odyS*)2sb$PRpAGos6`|C;|5@3=N>rw-8zm z`08gAmCT6(!S(W$j#uh(n4>KyA(8B-8`$h>C5A^!%OWw=A%rhCtzfIxz|Kv7o)CNB z@iXOCOUl2Er#+v{KYe?YLbB3+#M1pOz{svWsFS8_jT^Y>`e6DE75>!pe6%h?# zWkj|BUz&3tixLG(J1R-A7?$GlzSsb7WYRwasRGe~u8YthzrqUI)>k??Q%7LZ5btaK zQhyXr1)oAB2-BLl?m=Q}bXA9Il8u4}kVl$QW5ker#;qZL0vzfOsT?=2mvY|NJYYd5LDf^rQxX#(3Vyx%7NOFDwd~6({4HbGH3-8 zx%+Dv)M;)zV)g8f1AuLfQ|yR~E|W=Z1*xlfa|>CrcnK9~x=%n}{KC%p^=PDRo5VEC zHYjncet}D54Sw#X2WSzN7lppt9HrlqGoqX)9byGMZ?Edd7{B*iL^zmL-ug4wV|Pp3_Z2ofYhF+AbI0 zo?ud`{HpCKsVU`>+bg2hGB~gdEt&YhH7IPed{lZ+aF1mCs6vbhuDm&dKTNwSD=6zP z+rIvVl)YB};y+R@<#(bA56xza%x#sLrDY~=E;LU)#-B%z2aXnyh%6=?&6Zg+&SA`p z=GH4QCmbfvdGjm;G+M66l5uQ>gUnnIy?InOjE5prS<;pGz9Ro%%`vYWYiG3o4U zJDwFsA~LnDmch;IBc#9Ubqct-f=JV60~)65+{(#9jtYe{n@PxfgqSBZ8Z%|Vgt2kC z&eiGP?5U1Nj8e5l!mfvgj|U=weoQ$}onu6L1hsXdK}s26QiwtC>iU1SNXm4USLuNWt*jK7ktRTIvg?Ah zIR!3MY2~3VhVD*yiHRkYsa5(=_YRB880K+dn&`NAL<+2+<&G-Ox^VfG$H$8Oj~|^| zY{jhAK2t$Pk+fs3x6QkdD29vhd9vC9m|dPgOUV=Dpr#f?8f2b zF4qGjp0?dB{bt^FQ`=%7L5#dS=R|Q|XJiB#zt_v)u7TwTB z?ad#|q1?x(XT=4gQ!sVR5_S7UM`o%+7?)-7c;qa3NV7u9oe34^6mC*mIf?7ElnLWw zzsRk#a*|uN)|SjBv(jpe6KBU@w&P)UQX4EDneo*1P82?E8qYFV0g}ll2+Wms!60v& z-Bafk<-BuuOy)pLXItLn*z#qQ(Iw9~IUzEM?|b*V>}3_qDMOdy;SX`^Vn&>Z!(G_N z6%@>eW{gqntR>Y8ce)~3x}->JuY@!ehb{q)F|RMrh5l5OOOcGgs)j;lv5ZVM5aB~& zBVT^7R3ap#6~y>k?p++krp+4Uvv?T^v8iOj;p-%TD*FIotzD_5+-*}BfAwe-V}CG* zgtZ8h4Z2KIZc*?iNkvOl5oR-DD?y&D=Ch-xqr$GA0+4h`(Ngf39H4?Ho7ZKq-}$4e;0HTmhJ;`|vE)C$tzTnl2f*@IsLkjxYN&c4zqpY_Lc z=4xn$)dg8)AugwI8Z8m=XhKW~Z$g05ehm1hIT) zR3_IYN8^l(E>#GMQQ!#Oigm>@aPyDaW(4l6Xiq1Pb50K)5GYxSL=gVaf5RDfUhIhY zb9@ub^WYbNlB^At)B`Kvy$?3b+!@gtmNr`g}p_+W?S7Ma?fkZ zQp9sfX%-Od^XoA)@zL^h)+Km(-KA>jn3NftcMF8zg%hms!B&@?T-lJDGOD%A40jh8 z%@@3h${gn<%Hnq0Pbq8Z(`hb*6b6p#egxp6TH=Z|DvSQ>z)5*JT*K^p2X;U&t5*-AmB;F)zVY2G5;C!dr0=upbcn)r#_&Z&K+-!}iB|7)WekW?*LjbxQk zvNZ@Sh3T33P?9@+imkV!}T_y4j| zrGQ8rH%8rlaU%awA~72){I-n8`ROqiXqoxP5QOBb1xa>EhYt6XC3u$KE7AE(j9F6% zB31V>a5K{{ga%^Rd>Xq#hC~*DRFMx}vu8aLK-B|RI)@YOjE_9tQjsyryjCIi&?uiL z6s!6fpy8~n^w0VPSbi>5{uWK;a(f@I{NMK|k~1^V^xG(k%R8y;sdGStx7uB^_r29PpXu`aFzYhOC`3MXzfvgXREq(LcSb+Igf^ zc8DlmAk!}7`U9Vk`UtVaP0ppr<2>O9(|xtzzGaBf@UKT9o=OQhUIaG@*p%etM+ z_yKaBXeRIeUY{Q)CvS1Xke=Gqd7{|7vwk$vmHB5LHGCJ@Un_Ud^nWph*^+&`!Fr>>yH4e0l5j2ysa5Y^X zDL%El_h`Jjlo#hMx}g-uR^$w}iw%@on-9B@uH-;+w&Z>1pX>QaiRDn#fK@ivxIOn*bk~zx4OA zBw+N6ZVZ1H;1i>1BP4GsQ?yzfyw$uSw~1B+9{Z}}=9N+~b)@XBU>Y+iB`eid3VLez zTt^B6e2)h|S}6%BlEf0KJCib0>6w(exG>XYbVL`V(4v`?LtR)M&UL9ceYLt=TgqIQ z)Sv5;ZXktO7+qxSQRsr}To%=}UK#DoZuN;{?O znI%g}5gio=1j~IK=kOErs26#GI{MeT0#Gv|sd;eD#_Fuhwfvg1o<7nd)2t;h_(~Cx z6u1`YCT5m0k~9|;ua)__{1|?HmJaeQsSnJWh(3+GxdO#qZAY-p`%XPIFw-nhIm&X! z3UP3ysc3y+#IuK0570;cXo295Bi_qLse`}?h-{00J)5|L?SK=<{9_Y2nntP7MLFoge0H3mf~#ghf{SeZ)Ml#2HXe^H zRpTm|GXWj&`Qtt|GWQ^cEMTjwjDG}z8UO@OMh-oN++=Bo7sVS%1VWFJG)ORJ{?W9k z2<0V5Qt*lCqha8w%5eia^^?jFbU5}3^C8?=Bm5hZBowzrJQ7v>9g>7a%4YXaNJe~I zfj_41e7XF&K3Daa{5B?!2D-q{lTO6y)oeG}Lpj$A31Hoq1fL8x3{WGQN5rh`3~%{Y zqX^TBW!wp?HIBcMPD20bIdVDV8E_*0fg52X(MeH5I)}R+hIvAwEFaO#H*ZpIV1iEw z4~#1!6?X5wqP^Z|wB4(=K}u5$Q28{Ep%Ml3(TOacX-fSh<)Kj<$VJ7D+*M9|L^Izb z$D9#4^PurIMP$v})CxaV;%ZUq``>>JoFO?J^4yiBBf7DGIF~5p<^hY!_iia&DS$}^ zxM2!^LO8g2k{L1I2s@e3)&N?>x{?{OCHB3vYH1%n=V9I%KDlWcYk= z6^{}W{g8Vi`hVZo!Vc2@!4EkU8vMrPOKPNoOkT%{q+gMV^284h;wiyt8(bwD13zvB zQv~LfrkV>EE^KSQVXpBe3TyQMA0GkHb6?-liio*J(>qY*62v?JLlPyR)ujz7mc%|J zAwYQn4*V8Lan7tW#JDk0WT^~iIyWW9obRhiD_0)MI!?EIMK9} zOpd4;bL0eEg(}vOyqT75GTY9Vg~`tGn0xq)oPA?Qou&LPKni$Zr12sL&yt}+>t!G2 zQEDwaYUF8!8bRrDyIp`qDICLd8nO*`HdN3&lmj3OU$Nsj!LLI?E34Bo-Y6y+#@$khIvE>N1S#e=S0Lz<14|KEnZTD zBIJ_d+k~Kre~uJsgHKahb2dPq*lZo@3!&wK*E?rj zFVHG@JWEXeInJ)qm`_TaUn`~I=;BEe^T;lYMiGdxIX7J|>-LKQdgQu}%8)VloNN{; zk_sh0dkBwL3J_bLD~Y1s>7E;1`~)G?>BCG{=D&K3(!Y3+aRzQ-Jp0P`qd`S|M28`d zz6Z{gjjN6{vvTPg13hk%acb3v_JI{+oFH*oEGJj>$4N+imJ!c=pdsUZ!3cOe?pKwl zk-;1T6kx$2VEik`51fjA@&V+4? z9B$kyWwuqydhCisI>&%ks!cps*b>(m+{HdrBM!f z^a=+lhxY9)L6H`tlj=ef%)aoS0ChmNj_lwDk~ruA4@RXy(QC@#KS5V^euM-MA2=8~ zFsbEYICI1hKap1IGw{Y>qY%dt?wRRpd}qPE9#J9!14quSfP(Tl=l~BAyFQWcsRzjd zz~NXU6?=9qYyti*SwirM#k+zVsbGwg#in)YTvGp_{TulRVjckCoPKANj)taB-o48D_k z00whBcPDknKrjpNW1lwr4ZTPX9dpWkCh+5&ccVbg2^2ARnx-)1#B2p86~yZYpS)2` z$I@r$A7j6V(R54}*z>s>&3fQ!X(#8!lV^PobN+GrnD%=p^52L$2?Bra6DP^_Z}Pn7 z2mpL=p?Qk;u$)Gd7#FW{nZY=4+fNRO^Uf(Ia@_+mfZt>wtdBGZ)JBAO!{x!!xdPEEY?}E}* z$^x=*)@m}_yxTpIx&n0maZjjgQRC>(mN-5Rt|IMfEEX@WHZo{}a=4=a6y3ePGb_o( z#EhhnUk|u|IAh{;KXlOWRv66S8@E)(pD zdJphKKSr+`Wez>SAEBszI4QL`QU7R(sMX{ZoTI5%h9!aiC%1}3d`LlD-7Gsf)&CE9 z1$y@6`+=A%$ORiL9c_i=eHWCDm946Aw)TuSz-Q$7v6ZiY&v?UkyLSZK@Q(?)b~NTB zyf$>`AF<(_=^8HWZw*{RBAw>Yb;pn|C zRZM`8#*w`?0_%np?Z5wtHWN5!s6DRx@XYcYEvcGJq^ri*mU~BD62`}=8qaLKo$axB zfMGb8LB4mK_yH+%d5g|%b$j=g~Qu26SC-WM|g-?5)3_L$4H-VKD% z_z^j0rx)$Aj+A2O#Vd zP>cipA)iop&=ttk2xUz+?h}UOIs;zji2IHxy}gt`7xDr-CAs;lDPB)B`QyR@9P(ap z_;U}uUD{PRQXRIAmLk5(Q$WX!2_aG$4zCPCa8T475%K6?AZ0Zg?JP>z_ z$BWrQ-QefQpRj()(q&qCDIg>ADl(CKG zl2Ne}Nf9g#HBb=By>Q6X$(rwtiA+EHpOek!_jz_rIUzF3ApFQ&qev!`H_!f#0x6ej zJ&&}Li>$t#X|fN%dSI9dC(kXQMvISxPY4;6M6W12glAE=_t89hB-7i2UM60VS5pq` zyhOSp5t?6;P?x>Wrg*}B$ADKT zYRZwdWs=3W=+9U)LD%x*JRysbd&oNs&9hxCAPoE$Zxt2RS*bV|1?$Fyl$ZXRBQErh z<_`|Cu@{GW^f4-Q9$u!S+250JH8#FuLGR$pr3d^GZB6nlZfK{D8I5w_JE=X=5K~Kz z7NS8%qc;e9UL+jt@V5MSAn2$6p{faT4vAg}?NTy>EX8Ia*ZP>|hef}`P%`72$#E9c z9P|OM!kTtCx#l}%0(uTS9Y8;1>z&ECz8{Yz6Zh|TfP21DJ|+k>zEeQrKlyH859Zjr zpQ8auSi2r+a>U6HGtGTi?=dCJ-RBrbTf&9A9xvdsRTl5C&wwAzEdM*wA|A=DumC&C zJCc0$Aeo~I%={d6&LNBR>r=GxKTwVU_#s1{UG5pci;-_8$rstoy}sZRr6#OBrCC;4 zw}<5)4QWWXn?ig>jc)4d1*bR@a04GPN3$La6FthYYmuJfP*y>Kqii0MD~=c^plJW< z!8ThE;y82yU#1$ZYFNo5Pb8VR`g#b)yP&tNxir;qN9rcjG2X~OiX+RyXm59ej-_|>c_><*HWaFs7 zeJsyJbL53<3$HiT8|xh5F62G`4ID{{Dhg_C))SRGE*4$%3m;aXr#U520;7bt-tiJw zi&O5u{Dn3l7$Ac*BvCg$4FND>G7AE&FZj%Ug7c}Dd>D=G6@NaEGYUCE`yFK^4JLndMGL>I)+VDn8z z*`jNVr^+(robSd>mME@6B-o)bjN~CX;$hR8;q~>)CiyTkjg)XAnrCZ`-wVx|xqUYl zeXt^UR$lq1?-{wW!_K1V<&B?xq5N4=1CtpvAfB$0UbJp7KFD?x8X%+@nA zA2!{gdj)RmGr)6HEYuM5J0aR|B)h^$IcalLl`^{!m4!SESJO|vrp^58yRq{%2OjX} z$P>^hRo2cIks`C~dEAKp36RVip!vvAMBn^$fv#Km$Pw0pn=_oelTy4gqemlBylsO2 z%Ji$ps7?jE&>;$n`icg5C#3if|4_g~K^Cu24g{n(ku-cNgD>ev4zb=NO2|o;TC`iC zjyz#5ptk)Qiod=T>=B-NKz)#@EpUBL1yvNgbT>y7JQDKg^&JrA1cFaO~5ij&b;4T`` zcwbzc)aWzfxvsez)r+)i&bUE9mAcidPzFOK6r@YIO?_ffuUv4*ftvC<1iBnaYG!kd z#1ZF%E8%kA4FNfyYtR)ljbAynt7b<$10QpE;NRAtWjv8jNj`6wRFVeL`pytn7|gf%&lEkZ4a~yM zCm8?-NMR`MjY-*5obJO%3Z2-RzS`u$8%rT41))gDJvl9pVCE`tU82MrzvYN$gU`SR z$}qw6r5_ZbmXm}Hm{cT+q(<((HYOVo<0Mj)>&O3UMjGgac%W`Vd^EumZ^WS%KUATA6nF=u zLRmu6-*;F{S%|z;33UIYBu1u+E?}DZ|JZvQ7|F8hzVEzSudCnG^!C2#ZH=14@%nac z@31*suWE-IYDC%0>E7a~xEe4`dLgeF!FW3!GhhGDaCtDcYL?Cwz1x(ujJ z)w>_(o_p@O|9kF7y?WKn-a6uc;Hh&NEUh+zT%#bJZ%e{f-kn4FJT<7T^(OnO9nYIY zL2JjY7*q@LiG_YtqSY*n~e8Br6hpU3Vv;tQVNL=1z8kR+&?yodb8TdIuLQ{*M0qVScsP zx5FR~-mV4+=;qC8IYqr&oSQ}8d_w(9w^eK5P9?14OFy?98hh)I)8y1WSyJgVnW^XA zX5MYe?o5JjcJ1t5ug-mkbu9rwHE13f#OeA=FLg@RyOAt>f*AV7JG;MI2`@=>+4pCcIrV{TyPPfSC5WgG_`Ij&_y%0h|dzsL3CApM%TViSmF;zxh z2|2&CsJc^3^me3uA=gl?X$6$!w={zLJ!qj7_s2hx8v|-$U63-3v%EL1Yz}gQwrE4i zdu<@gzA=!F$t}QhigB=gy?`Iek(Qe5@t5^ARC!q+Q*-lLVdSt}7&Nu$jjg;fFSl;j z32IX-!=%a#1)&t(MzSZ}mOoDB<14wv#%wF2kfssHx?IwG&@HsbS>mU-Eumng?M ztGuqaB}YQn8%dv>Cdn*uwLK(NmgT-)yr;m!;klZUg{FxY8ZV&75E+UZ=McH0n4 z0k~QBEfZM-HKeMICTWsDI_YhMu&o66{fvAP>f$KkFyrM&LvWd1O{hg09^ynjzCV`wdNhn++ZH4Tv3&I=T|PeL-;K+ZkPUh6$|qAb({w5#@Swp zrzG42ofxDD|1hMQZh48H978TWDec=nh?o0inMh1^6E7_dIZ`h1&0n6*s-1g_JtWy; z#d%w5rLw#0Or!zKa5+g5sDd-4WP075By21y(jhI<=u>xKrKjv($j$!lZ{Nf`Qswxv zyt4v)M5eWgL~C7}5dZDzxQ4$$H1|y(U*YS@l#-R?%EXJ5O)p%OQ+(ScEfP+Cr0!!6 zKIzvKE4pj46;wE_Ih9JdIIuhMt$Ltcf;mo~%GwOHi^rRdDA} zBcDQlzClNvdBlNs;I9-h!!yT<7+B$9+pi zKg+Bunc{L^8T=pOCe4t)A3q$GBRW^nx$IuxJyNfExl8)33A9_ZR0F6Sam^y2Y_%NE zzC?kpyHg7{nt(2o6hLSZB==?ulJ1}Xzvbn;p$z*p8}WAD)<`RY6cZ?^cbkOwtx&qN zvOqTAC(Mu&<~08eM|UULVn-lF%K!%c5Cf5uu-=xyr+s66i+j!jJzz~s-c|_$e*9LN z%yHK5C=vgy$jyF!dUq1BI28r|C|7UHNg_i73Xk8@2B*@0WKo#}xYQ9ICrITxlhtMZ zZ^G2w<*7I=%dC8uOLg9sSf3>&gAp5-jF4pA{{~L$@!v(2zAtual zSMblX;O#8Yz5u|8tNv6=cK)nILqNgX6GD1J$hK9cDKhPwg0~|#d+pBx^zAP|=VcVh z=~1Salg*^Ky%gRHO*J5@)GbPO`wPBcPFy+Jy1ZB_@L_(05-Y$@gqM?IiIBrjd2Pv? zhE#L9zj$u>Hn+ixX4AXa3s=~J>G<^CWN+jm19RP*VnuZy` zab8<&+cid5O-`w8n`++#IkITTRa-S} z!;u&L%oG1@30wewber+VX`Ss=J>@I4aT39}gYu#Rr=2FPHu}US&5%HM2)}veS)QGQ zVtyY7AkQ0ZZkRJwcALt!MD@NUN_W(jvx9G0?oU%B;SkzoJ>a2VpMe_K33)j`Z{^#; zxI@=nGPxe|dZR$Sk(R6|i^F((%9RoG{5}rw2OxR-+P5U!?q3Gv+X(DMoZlN=ys}Pd z)s;t~WhwV|G?gTB)hB4R=u_(?B|g34QB(I4=xKkS?0&f~xaIK*h3#<2VV=6#F#!zJ z!9A}JtQPl12#(Y|U<*HdRoYx8+%TUgw!}+e4sOec0k!)BZR?!_ab$`#x35<6twZWo z|A9ne#(SC|m!WSOR|>405<;j|t~m3=|K@0_fzIWWAJ@)sm;1)6q{GQdN(4OLKn z-!GL_SeDetz!PVW7f5+YC+l)vfzL`Y9SVuPH68Dt3>~VnIx08~GNKbrLag9LsHlCB zfpJV(MfP;+F?`;RqaYVUbS(PTvD;7lPgBql1nxE@!rS#?0Aw2hY6+Akq3d1Ey|t+gH_|+JYH?NsyhppQjlQu zjPfX3BVL+bBogoA9C1s>7?T5aERbH_qa5cpjz!-hrpAwccSidggk0-8$j7W!#SY~- zr*cx+w}P4Bxuoj1%7~WqZ7YG!A{lts+TQM~8U}itZ;2|I zrdN)po?q_c+^O8B)BEMVm6QR$)_DCWL=W)MN1AoI$g~#n-z2FfReY)i*M;NN0bl(k z5?rk*3VB0{+mv!5_lyE<>vTj;6M&qODiY_O= z$1(xP(0RajG`%gc1|#nB_pAkN8bAVXM=#xG)Uh^r+0NrUF|!RNXcO)Luj*neq6xx# zAwN92t5`c0cPsL{F~4cv-L<4Q=qbr-2-^4!h2}7HeJg~&qC>AY>Pl|}{99?}E_EN< zy$f^Bdgl?C{~xG#9^<>VFbDpwu)OokIq>g1#&>OD4*XqVdFPpP;NN+S@7lr~_`AaL z&NJu0zw;R1wS_tGcZKDhXU>6t=P|x(3v=M_3d=js{9^{ZPZ7;`XFTUx)^WkK{GE^f zivCB)oc_%t@W;&K|IGvZW-b140^aWm!tq_Qor1oa_-C9U@Fm=;i zx8LtheAVfov~R6=4*Xl=^NyAN+Xnob9Vfqa0FKY=Z+^VJ*Eaqrj<)uXe&sEi zi*HiqZ^HlP*l(sK-9^otjmMiE%;YVwG9YJJ?z%tS~DdszVKnl(~%}iGEpER$JGM98$Js=6$O- zZL2v!IdYk(H?VraR_)tUWz|}0*_B-}yI=)M2iq|#8PL*uT>>X#VG|>(ccjf=$%?uT zMNKxuFHlQGm78_U){}&W{W|uSTC-bg3ag6+`%?Yz=&IBNw3Ky!Y$$^YJC&otVrgZG zN*`=4)X~k((a$0j$vSTLA?Sq-%;;4yp-Qxet#7-jEcR^0E+hj6D!AG3;Wc}l+#&`K zFxv!=7bW$>fq9~h2-BjVCE2&rWQH=I+Y+!U8 z<*{XN2J2aw)76uz9(flULI}2!V=tXAyBLI#qC0DeNJy)~!yYGey8U zO;3WAaWQF}+h#YYR$D2CZMsC^N0?QKbRta;ce51?A1u(`EkZ{?4uDOxO7kUJaDq4# zTm#7!1;uXvS+QO&P?Tg8n$c3zM$t!k8CH==484VFm2B=24Z0n;P0^5&!oQu05xJN} zNH8R71*zYE7NggseV7^4H?GPy?GY06r{%~BMy86n8&2(5H&$ft@e|&yc2Hph`s%q# z=+MVl+nE&{fhP7c3Zx(g(@$i*8&O8l3S)k1a6(O`u&d5`A|1-&g2h2NY%MvZI+CG0 z681-N1NfPRgNCjc?t&3J_F-FZb;xIpnP-V=<$fe?>nmT;2P+=2DkAg)s%#eX2pY95 z)svbjQN$&S-qup({a`5;eFWqm3==>tS$CsTFu4bA8=IC~ih#?3ajo_>Oxsrl)mN~# zLXR)}`_rsg&=j8yb!1BMIH7olzMQHU{@W;z#YP^bc7Ug43n+`X$+f-0R+j-I+G>4I zB1wTAN^iWqlB{T9R(U3diC0GpjuoRjEMZo~ws*JLpN*LI=4Pj)sjBeWT54`8$;iNn zT+D<+qAA_18O5Lzw}?!VHFOscrYca$J0evoxSe3c>TBPTSvOn0U4S&YSS{2{b*nL{ z_G1%C-=%WQH3)LkdBZriw$j*AMN0Fax0>*z%$RD6ZE?#gr^0RLbBSy9tJ86;s%laZ#58ETLdZdWud!?DlcZx;0c=BVtkM-=&KQO9$?7%o&_L488kS?S_ zsxR1>+R>7#lnc>pA+DFj#zqkfECP{JAoOJMk+5^};A1B~o<31C1W7CYfQfjz?tfvU z8(De}Z9{spL8Wc58J8Oc`Y;V8>{Z3czE?de*6abdov;>mv@2d<%vS$){rFz_bKRHX zz9rj8>ukeRTrX{**sz#YprvCc>W|o#b$0&K?O&))Rco)yTmoX)4T&yCmlOZqGleqZS9VmCD{7IHj!GV=R#mmG3d{);(J!GqjaX*|Dm7o~gK4vn8!w4QmUL zsZ-bn8`K5jL5Q4&S(Rw_Gqzx1!PZIlVz=C+kAWSS#kVT@EIC87_a<5Qvm7w(zKHHq zF+9%698=8RAL6@MT5w?Adl!QFKo=jf!LVR?Wql5IZofWL+MrmAd)>O&IMolau2Nj^GGh8#c&uh| zwV&?(NUY<5t!!5Zb?U{P?q+}g*Z!L6)U?8p^t)NJ9#HStPP;|<&@ixehYBleTj<#~ zD;G#u*Qw#fiPbvl*z!>63mmzMmB?mQzb_viF}CR11|LSs;$fqov0(JfHUJGrq``G+ z)CwK`*lpT$n+}8bt-?kIDI0lnkrE6J=pV^jC+BT;H>oO633BF$r-v>T@9iB_yX8$$GWK2_pCdtu`;aL8UW@-9>bk6 z7Rk#D(u)`DjIG6d^xs?xe}7qEpfmd#hOEYm`j`;o)o(+3>I)soL;6ZTI>hDTmaD>_cSgB8_6TZ={1?v zw;R@3>LLlVy>Q(uG0Y4$asCjx!W57`v@>|yRNoG(s0L>(jRXyGBVz@^kg@8B2kumM+}t{L*zn~ZJSotWinBPIyhKy7MV!w zz&OkYXDcf-*+c@#0#@PbQBOs`AkfKwji#5p2-8K@rgppzg zTS_Pl*a%z*MK1Ovbn%Ag!Pf0yAO}U{T`C_vndF*7vujoqhq4RdDx^@JqKPn~nr*AL zTPLsxg+{hi%ndl+f*D{j`HRy2E~#z#yed-qW&46XN##)2kxnOBdY*jbQaVx9`ffW| zL~@0KasUhSB3PsAC%=TvIAJ^$!l@11zINBVkD9Wqzm!54XZ5K*o-c`hpJmmGUYXpw zs~wk>%#M#RFeOV1ONKTE_4_RI(7d$n?s?y+JODgRmtLkVR>#1EHtr2sLLrLjeX&`r zxOvCY_8?JuDpg*rEn8=UzOfj8Vm;VDwLni+sxQ!u9;t5O-6B@04*ha_)q+28uKs9p zHZ%Je&M+xf>R(vh_E43~$8PMN>J4lA9K%t5VPp@5Av4zwJ0GQ!+bpxuX}{|Ekj2O? z$Ovw4C=R}<;oD>ZiG7x>QLv}>03M+wiv2u&244WLX%sD-Tj=d-&sVC60Y@GWmQg-6 z)&A*EaZVT%{UQQ+unxoJNPjF?JXhCSj#~uk{|&jeK25QioVABo`4dCpw#;Jm;rbeP z=DOhgWN~6xNI|k!7(IY2E2iuM;`UT1LnxMTK#&i8(0^qELznDCwC&1<<+!r}80;FM z?rpso*k%(3p4+!_ceDHb*u56kCc*Ya<_w*3S4 zZROU&N=%PAje%GqD9&w`U6zv~GFM`-{=zxK<)eLg(`>!VR4eu;W|uAWV*SJuc7BV! zFmb!Fq5^|pe6Dq1ebHjVW$Alj|KO%%EUy&k4{@N~Wbn71wp#5-KLZ-1?Bg^J ze4wk=?b&~fvUTpe*~Sj#Oy#`Rpf}cK6d#>}O5!NaIkT;;s zEl`)KO2}F!i<*{C#=BxyMG;w4hn#$Zo$5q3XPlg-w9;}~NnP5`%V2I@RcJ_;OOtb& zDV+HS16OQgwS?suV$?NFc&!(C<&}R!QeeR~xev7Wb(u48ppN9Ic7-d6fuyv6p}FDk zi3H?am?!odNzK!Ps3<9DYYV?x#-J|#+F!RbacZAhRuic$GGUY(v@% zUW-3M1%g;Ju~U1d271#zwKp5JkZteu(Xb`2<9xP5TAc^VjY=bp2As%sf@b5*jT1tZ zeb&u}q`Gow-I!>p&u)0z2CK@lP+Fs29?=rKa_yP{Uty_bF^m`tt(AwlQFAxr4Mhci z-3d~gwmJhNpyU>z+D266FU;ENAnbem_;HU?4%fVHlVTs zkqKWj=S5+}MLl?RZqLcGstL6o-`=RZ*j$hmB};`ZaR?Yw%`Jm5A%aiHC~SIaFr=`t zI4Rn;ETQ)*b_LTgvJ5cEt!EQjYB7a1kOUM3wLaiXiM%COCMn>c_P~)RQAM2yQ?YM31WPL@6CaBmYR}Q$>KwdMn=jGlHW?uIDJxM@R%T;1)F`19Z*mV^( zm6mr%_46dQYDY_cYK^XJ5AD0w-`Q0ELUq^*_hgA@EK{+~Ko`*{=2YdJ&RSZ~*P2P` zuXsTiQdDM##%mv&Cwa*WwuPSHEEvgnMu3$X|S29M! zTPI>>YxvAc6X_YQO$`$(Z4oj5fSx36Ua@uN!jQ;S3OcA(#(2OwaZACBV-O;Gqn&=Y z`ngqc9~{kt6oyRp;>XD{bR&tC2A}g5D-v9?BQ^sl_z(kK1)}^7G|QnDIW7*Exgz^a zDVDRowUj44Ww?Hv+LqlswRYk2p6${S$4cpF+e6w<;t|T34`h>)n2!PK2ze-ajz|PV zLnOB+xh=^{J?WOFL(e?2!^{k=c~8^9R+vs_3T;GXA>=t1N!?O6g^2OUc5GX*(^mfM zq2>#pcn>&RQo&KVj}#3!CbsRA+$r~@X_Co3R9dZ|#O1N|pgiwN4tGUh%g z3(0LRJ}V_fD&f^vS~TWLTu_V(gT^$bq%`oc585EbEaVB6^UBlB@%`s@TdJYO2Cl@l z33?=ur(t`&D_N}6=Ie&ZE~#9 zo}f(j@M(-BM_`rdb6wlfq-=E^zx4|FLPlbn)Vj9rVF&kgF+@S_w$C~g5wWmGw^Li> zDmSc8UPRO-%M?LH1xQb~J`0X+y`zaXWRvjKb|1un67M{ONKsYPaFr7RC^R{;fAx1V zoa$6;ISLEj;W5Reh*03Hz%iGIlSyU6X__Yp)Jny!Rv%2XkA7VF!fix}PBTgS8-#;nVmS1aCn7V_*AT}^GiG^A_!z)Zz9-LI!%I1W zU^jl{?6tWgRN|B)SuI1X5q&iv6_LCQ3OM^Xr{Hs>g$1o45~=GsXAz@FP1>A7-^+DK zjoZyK+mkIHQyM9lQc0Y+eC1#Ka?5feaiN$mpQeZk4eOMGZ8=G$SI?vb>5$$vPvtZu%Ja6@dmVVjLlIU|-%9|TSt=+Egsf`QZnZU=kBMXXW+ z2AY>a+>=Rt+G&#SM-5|374z$6*JQMNIffIrayd#P7*28~c8DDOcXQTLj>O{mm@w8< z%GBJ{&OoJOa9FKwqnOh=VNEyHI?u2pA(?oc`ET2L$a$1qxq?10LE8$lDJ`3O1#JP$ zkc$YiWX`bX1O$0NXIjQ1H%@ZD?f3>s+>G&2`IxIU!TPz0SY}S!Wyg za&AuKZ}aM$lY|Y{m5vH>S2Z{{$y>b`)l%>*nipf|n+;x2lp@z!p%uXCfi0>dxe(qo zG0jGh5OY}22l~QW=XxlRi5@wf*&dFW$fqsMivrK-(@mEYNBPnUDXTiEwV87hl^v%* zS>o^^Q8CH*X4||f^mAJ|kGTe*k$O7OurVQ<8;A&IqCw_bQabuIL?a31_!dsp$mLKR z<+2ju%w(ms?|%fIb53vAQ&|?-5C#Gx#=<^T+ZOdB)pQtYFs{S-fUG)FdeQZ=LyE}@ z&t$7wXGz?7msZi<_i73~^0lgb;ll@>zQo#?rk&&m#jIg zUO97=%>K{sO`BG~zFUo0w(NitZrqD36+K4nN)?|ptDbj%u}Xe0iuEMLpR-;%FuvTZ zOm{XhZIF_2YmHZ6;NxDOed+7wWAu)1m+ zH2b`5?cWzJvk5z2#B4ETBae^RGn-rMBY$LlR2AXIwc?-|#jWi1qk+A*IZ?cNtv>V2 z_V}gImBSR`XWrHSAMN7g%Ug%%&wtDx+N&;p_Nad4y&d z{hC-e#4%rPI!3z zJSXmLmY9Rpov>eBvuZow+h#ZF33q`!jq#boKJ)OkUK+4)^$&2m^ks`ltJfg?TrRKs zhcTu}e=s==Lkm~;;)Fr*!`A}-9aih6K_#~_hi;q!1~2V^Wv4TUMAp63XBHq9t~AjK z>vr8!u!XX`#02PcN^6knoUAT0FlFcD+S8MX+v4KpDA!*6(`%ELom#O@sVJ5|I`SO% znkMZPMRjn#$+aIjI#Qd}h!`zZHJR{T>B;Z9hPGny z(Pytu_KJn2gQnRjI-S*}-#MCeI-Ni9GrvOZ&il@+UB*Ec7f)8BiG}XsyDr|C_)@p~ za7<};ae4U%8f@t-_MW{iTkbo!(paGF&DW3AR*%@qaQ@5)TS7OSzu2I)8!rCH(S-Yd z-E;EqYWdM;g-__6zc@JDV`)kKNOooA@$p!3T^~uyg*9un3Q?R&r;RA;+I9e_()@!>jht4wh6H@AS!*;aY-BU%3%^-XxgsYe1xYOx{@j*(R z&<}pu;^N}F-)-ZR%Ek9zcw`r+;0xe8>GG*1>M~yVqV=+f7}&axQMB$^G~Lz$i`Bqm{LH^1$aFz;C1kcjYi09Wjb$(!L+j)`ey~nfx=Y=%-Huw9DZYmgQqL-$6TiE&v@0 zyZR29f!N-r81pY(lKO>({ZhlB382!&#oDWOj38TTtq3rf9H1|9?Dm{-NVD( z-OzdRNjCxi1By!LfR%iuzdmXo~; zD}$E`JJI`V-#4Js6?QdEr}OQn$76Leoca0RrMwH>gsU{XWi$4Hs6fA0}|% z>@!Zby!`1;93Bed3;ma{McOS2@PDum*H%`%J{n`vnMs;Bz^{w}{@K5Y;3|8n6ANB- z_tdEt=!f3l03A@5%pdCn%<_VPDNAw7a##`-WC1)dpH;ilIrT2AVp!zyoaxGq$6rGW z57y|GVdA^m9?|Z?!j&tmTe~MtY;HCYhwXi(L|M@biDA*9rfp-BJu9Wf?%>oRD;1yE z@jCAQ2Oeh7=d$AT2P_uF!h*05opSNTF_0GDzVhm?BszPtcwxa)vY9$aHGggYnq?yWWTKtE-Khw;r$V z7TilP*9d}`$zUL(TNW@!K$m2VV2H;9=x`{&J0~>&olbFzfn^8S;F&15vivjae%J!9 z;j4-shlAa;u#i2TPRme$59J0*ffNT%hBK?_vRpe6P4lPI+dP`kjRCU|TTb-POWKOZ z|H1&Rdg#H?@$IMglcenQ3tt>3oZ#Kn!DP}|IJd|r9lp%^?sxy5py69chR2qBn|%S_ z>GZGce4Xv4a*XLP+g==d#Akya8F5{YXpBQ$sCU$(m6iIXM7}IjB(m@OYpf8oKCqYM zbMz&Ga&s?e&{WV;t%O()q*`h|&`$s_!+4Zyx6{?951#Ai5OM?;iZOOVA?c>m z5o1gqt<>&x-hJ}uC>cJuo~R{1O7h7QA;jxixU7Hu>$}V!&PTD+S$Uu|+;>m5lel%HuZRxl5q%YXACJ$ z2R68f5-f|2AOu8)u@~YsD&bQX0>G!7j=CQ|AY`EmhvTLZw#j@V91P$3lkcId+oixs zb1nklS6Ro@})hVAJNh4J?#MBDY!=^;NSC|hY1P3 z;XX`2rcIOT0v^xE)B;b=1-x5xq&41>=FiCoj>d+z2UcD?WERqA*7oA$$-#x|FBJfP zB~SrdIMy|^8Cbm=@I76=I!@>aFW^Lr3%!%Fn5^_W=in%hUtDDP#+DWrFJ5RS(sIq^ zs~OlvJsXdsvDEiy0vvj*@fo;^?J)x%6a3|VAD^JL{)N^<5r*oO47}F(ohFi}Wp`=C zhRGRcon@q>OP2M=N!0bu4p8D1#bId)rfpmmgU+`CdgKA=*5g^@ zH*#mS19~e@DKr`}+{ps&6B#!ta)k*kITMwHxk(^p>Re}KO6gcz`FqDm}s|C&f($=kLc=#jMds7$;mL~->VGSbq0`ri6RiLG{lK@FCqeP*MLM0?WNiX-?kCyYyg2V`_007 zp$*OKA^L^^o$8VCSe7D?i4WU{B#IqBO7ajbcLZw6Il$wXIoH;v1xpj8^P-7(Stbas zwi~G3YHONGIg7_Tt*thSM2P+9;5e0Ii#ck;<9Soi_pA|iTjFjIyAGNIsi-Ve=2X4f zLLAkD0&|=vd`k8%o=izRT2q?KqUZ!@L3c!~s3tjoOIg;UmNQ@b7wU?&bX^I)i#@hU zan*0TnR)6YPwhGbaCZ1Ktx3R$gLOuv*-(1yqXl0-ZD(5wyONvLK8jFr0%@qHpiw_t zT#-?ADU$$4uZt7fs_c@O5Isq#r%N$s)iWru$|$DKZLnkMcR#75)lF1X){UZqPppcH zb_FWJX3k@h*pNFx(dB%YNEmypB854mw9v9M{XM#m!|UwOwo?sBDsIN?}Y zxXneZ8)52E;HkZ2_Wy42Wbs#q#H`U*Ahbv9#R$u#P8Z&;I6bayRe^#r&Y_7uL?c@|$MA{5{lurLyml zmRBrZl9vCW*jiq`e*JTNJXgGTkhMHp9dsU|_HSAB7o|lpw!A{Th?ZY?*{bg$ei^gT z@?Cp3q~%keI(UBlV~Y2m-`ZMQe&&bE{U@mX8^5tsKhYunt&#y)JNxoKZ+r5Kzs&P) z((+5Sv|QZ(CE4()Pd&F+WG#E?6g_(8hwV^W=#l04h+%x9?a{O+SqnYNTJ~O<_T;!n zEiTXA#D(F?+A0o58JF%Qdh`nxKaaPYC~t}R!3bA2nB}-^(~MK2Dav^O27|$RQPQ)r z+?GRVzBvb%&Qc|h6KC=S9mW}4r9+FuMBKA2ZUwR2h~(W_RNlT`=KM3R0=%9mFX*pk zQ!0NYJECpxo9(GBh&i+K95!jYC`;Ux!vfmj|H5v)#Gc44H#Bq7TRaHtCkY&FmX1O+{{& z=e*~TF?cJwS!KG4-zn>4W6Nrr#1Wu3X}%SlF2vK!T_YB%H}G$o@do~zW;Mij>)Jh3CIFspMQ=p;Ce8<9q6Fr^Z#~ z9mUJ+n6A^rO?xu8^&AtYIOSoC zyOc51ORSqbWPrS_Tk-5qMtzLcvm8ws^A}&-+gRWc_@DlpHrn_|h&%iukgpAj7Y~ME zTs?KF*D3vcHMB8s@O>kOERBBFtIur}%~Scwsnl%6SoQfnp1Be9bqRJj9oAusQV*5z4V$>)j@h2?ot#$v8u1|A`T+XwwynFwDNF=N2W%&jArRtFvYBeCDLHSc?Vg+_y zBD%Sk50-NI=u4brhZ9=cLCut&8_*8VS*Oqxo6d*m?1C~xW?t1wf*m|!%24q=lk+L= zpJA62>~K6oOON79nrfe@n|`$-77b%=tmButDb{ys@@`-|%SET3DBo7bsEE;C9IO3Bz6^(g~wG_1q zkkbPzk*q&b3?<}M>1~Ikg%M#5#jTqrt7}wONbpE8Dg9iC%1bzd8modQ>Qd}zDidpN z!35)*Oo~Hk@cC;DL@b|3P%}TGZ608meoi-{kR)Z;&&EaQZXsd_iyR#+JhIZVM=ha& zP85l4hbjdYKnbq#wIwP7DJP~G50Mq*8b2|@l{v>TZ9{%u8ch(^l*rH*iEA1r=F%`8 z z3q7E&r$zPHp1KtPK1kPPE1%fnN16cT{B-nEDyx@JX4h;z*>GXP05G%-ESWKiR_-yR z6EF#lfOk4T^I!0)*z=C>dOww;b5-$NJ?x_<@y*-j;K^8ndmL;JcsQ-0!`49~^91lh zVV3xahaTH5wk?c0zQm8yyqLgxJtUs(-~0&+z6dC;1EvRr6YC?+1sKL69g>IUaB0#{ zl#HCN@)gyA9h`Tov3bQlK))+Ly<^)PBtyBx9Y{U%klY6~pQfu%#t^)Gg)V^xz!o64BethSiWfAuFti9~L0yHH%r4`r z4~Hjckn4Lwqn~m;xq5|lV;eKAKLSAy6N}H4b`Br2^Cfp(QE~|&){!U|M)AI3pP%K@ z&UmeZ8I3S7pyT%hBs(9eZCJh!&)mk^!ipzSVI6!L%_o96ws>L7xh$;(9B`}PxprUS z2ZH+U66fT(S$^E$t8DgTo1iq<=e#dqJ+WB~cQ){_13JD9vHaC@ zWKkws;bOGoG%KBg7t-!crJT0X5z~5tLU>7ma?!SO6*I@?A|wMSyN&q3Roa+E0^TMv zn3~Da7>_g3G*y!o=X~)IfMqqduICz*6eLcmCAB^!84UG&T8`nFQF+R-@^msD=U}Sx zBw%L50jTe`4af6ZN&-_nj{&8j-OHphNXSxKoz$M>)Ow(aq&qnzjD0%mMfWfvlVI^+ zh|1QB&;J=y7rK#ZkpvAmLB+A!&7)G6azR-{eJaE$>9eYc`0bJl{$g1xH1A=j&(LY+ zP$@X(kkJg?PSZmiqhk@(mb6dk6ok&AT2L+eACTJupKY?45==C6nrs`@1`0X%yK;HX zY*<9CBw>P#&R|S#hk;(fAW*Gv+BJSuW|7GDvcQ&Frq^{tp$5-k}ZY3;8M}L2#LZ%_j$(JdVy3=lAQm=n2@-B&SBoxFwVMyrF9F3rki6 zpEiuZBNU4$E{a3~TdOYHQYQVl`GQi3tCCixu$(|0Azj!P9VNs_xOGue^+_X9dCd4C z)!LF1j>47G$h8O{tKgoG$&_T??9im6PNDGZ6O($k1Y;}UF1-ZM1TcL1PbgsWEC zVrJz-WGGNYWFFqPWDX0X`UFua)+c@afq{{X;N75@y-3+iK8=95!IN1h$6kD#}NF{Plm$(cy#T#@2E#k!c7 zn^q0Q6Q|oPUJ}`mg**hYsK2^7hk=8Vt7@Lyq!~fU!2{F}EHhDAB(>uzHkMf~+k)>(AeJKmT-wr-TY{I8 zm{Zzk0ch<2b*4%_KyFhA%$M>wKM9|^bE3fQGCOBUa|O!yl1x^z^&YPCRM`8p%Tg5E z)rodB28ePmpG;{fYC&zOnZ}g#kCTYF(ufhZmeFwO$`-EvUE&H{iBL#ySur6$^*I*% z5rt%vw1`s5WvWAlbR_0-B1SkHsANEbi$dy-g2>Qa@M)%?o+k7Tg1q)9 zU`34b!pgVEzs&hKS13P6*&W0m2FN~y&RfLtz*&{`N+!VOk{J%tt9e?JtKtR6GN(Aj zgute`8%~IO@AN1Uid1C03@P%wm3O(m5@HDc01KLaSWwy%{J6X=Zd-{Iw_*ufa!W%P zvGgyg?>A`!osl{1TK)>yNkz_uw{9%NSCl1>)|eeFC|`OBwA)H%YI1l}?}pi-I54#I zXEzkG_-bOuY}eAMbV|WFG~0p1oi$vo9TX8`_NZ$;T9!uyqChVs)_!`mZOJn!U`mLX zQ${v6Ne*({gNhi=QZJcORbcQyu41!){O=Huj><+<`!Y-2=qOp3W^6IG-*68k=k5;JXI3#@tD`LUXS4=6n4s*k5q zLp9sUEt0_a9YrYEYmwG7&KOpA#0JJdC0c!klQIa$T|#t;tm2dk%63Lutg%8HR0*ZB%pfGtjP0hQ}zV_Voc*sMwk)Te!#IPQiPoe zSr3jej}bteSR*oB*pkaUYQ?54j22_&dA7P0@XQLOu*#%ru}z{W@&lY!S`xw5+tMm> z6nZ37>&(I2^AwTVa7MJiW;rvM-B~5a(WUIoMH7KFn=Pt9p&P z-PGIxrls9H8&wum!x}E?MO>&TldU7-eOQ8LDIl{yok22+8v8j?P-(LBEPAhGSbi_`qBc){{ zvz<74j5)(mp>$Q@8j6!;ye*Rx3P`@u6C-49I56qkrKHG=SV%6ZBYFN!82X7s@UKP^ z(O!|nBwKDhH=AI3rvA<}0aI$H_lN61UbiaiL?T*Cg;aKqbMXWNr?W?PZb@;D%Cu#g zWnOLJ^FSI(vu$dsC2u7Xof)xT$Yy8F=Yt|0(BfaJBRRKEDQ~}&^MYFP!O3o;k=V&M z|A$oACLF9)u;FNpw3uZwU+k8sA&L=mp%x#~k%Gux67&^@%NQs|=Q}5*3ftILeEhR6 zZh6R9mz+lxFuoPlf|9D28|O|N5|NCxuENoN9WzUv)iMP~Q7&|9^ixi6Cx#~!J6VFi zpv8LMcCFyfPiCT(mQuAhwjQes(u_qRG|^T{B;m9NQCX~1xzVxYj&GB+OGzbpXW{!N z;h8_JQ`hxk{9t4_%Y=;@KmdD`M z*WHz=(&!u(V|N%QY@9>R&BN&ZCrfKSYTSI>z;quLWgPWs=b2_{d;gV9wu|5FGR3cy zUoO5}mVYbX%(R%+?Z=z)MYNUWi{t96wmTdb)yo^B;)cEQYVck;xoW>--SqR?LgV#f zzv*mzTi?E|^T^I}v};{A^L%3^da{0eHPp-XpN-2eZ>{|2zOw!rf4^o(&rZGgk(Fwl z?<4EM7B(en?OE?c>Wv>dIEdb{4{xOtrJt}5KT+Cu3{TiUxx80(y=(g;t@qrLykvoA zUaRh}P<^}FuD;Le&tFO$SoXrmo||x|uskzLW%X3_v9^PeeZJXY#o0czpa0cf*xzg1 z4!5_B`j_{6KUeOQ|JO4Xzpw94MH^huUks>uV!N{Mv%!it;hbI3SVarx83xR~*7xnD zzU%KWHGI#hIak_7Xy|^qIpXK$@e=n?JlK_krs|itdRYwb2fQB)@uLs%ZPL)*=U)r! z2md^DHmVKVdL&ip5{}jE$I_Q$Io#ZMdExb=g?!s&^fi{Yzm)9thpNYW8&7}QE)DAP zZ`QEhU~t zz0yc*dAVsUaFXTcFBU>rT599vrK=5($8~z6(S(O_?&rZ=v@8(cnD8evx-q6yoLK(o zwTAe<<)ufO3Eu@S{mKtEj8Jds^4E^M=)m~e5nmIq^h_q0vhdzbV*UcupT4*=vE}aR z$6n&|Zn6B}v)471d&|VEL)G)xKo9D*=7?Km53KO>mkG9=d3t9;y!^rO1Y^404{nYo z-fL}9?JLd1uw{AZ9P}5K_-PqWR-Rm1Qk(Sb@)3ESS{)7bkm_>p8d}QkgMa$sL|RVs z=rYevF8_re;}J@5Irr?>(;lCne()+d@jT7X|Lze{@4;2#GK6|(87S&pFz>dgre(0{jAEx$=g;I9F9Yuftxi zr{$S;mzGBEozDAC+T}Fn2O^8r$Z?{R-SM?u`s~H{V0Y3BrEo9q<7DFFz1_1*@jQpW zv=qMjdZP1H_0{K9e!e$(K1Dw4RR=eC_IRVEKp(T&5*hki`s zSyhib8u{~wD=VXF(i99p92~_(egnm?x{iwQr+8M<8NK(M9$kAG=q@cLY&jV|`RHVf z2c5k1QTfQ9P>*&xd);z!LrC_PHon4WG2eM|9$R|h^%v=Ac=X)0*9-6(7KhwJ>UBT) zLr7!Wvma>aiIuN*67Qhz-Mb_ILkkqFTRRJ8P2=KJ)>kC(nHjMQ6@DWm4EX z!#3?@`QU^6R+jwvN3UIz0sTJ3IQ%t+V+uU+Qgu+_4||LQOQEV?Cg#EFlWao(fB*e% z_y>PVbu?jt3F9mvyhYjcV?S2!FaXt8`KwzzJ->2r&`j|B&iJL6_(jMWuP0uF z_pl={ZOZp_uesy9=g!6PZtC`W~I(9E%hFC7x0msI(_x3 zq?wTX?5`+JoUlsZ?U$m>^n9_;+$|a}sRkeW>PqcQ& zzmtr=L|CPXC$-A*Z~PUG6Dj#*v2(iH4(|spjK@~o-{BW)14*4dd!3luetI+khz_Yv z6b8bGZ@nuX*5?7Yg)zvMi;vvrBLUy-(xYALoZE1uPO=V|5c!Bu8~OG;wktO58?vKXYm@9kb4TccL1 zgn``?e+p$T;71qfq@BJ1&PC_GE6--&?ZFS3t0UY{Yy#D2b0Y5=L_NN^!qZg@L}!(+ z0I-3dM~KmSve$J96m*G}7xmk7dQ>fMHd0AyL;=I2(WLhImzoK# zWF;O?eDPWEVL;BEQ>%1TZX8yZzxdVhIM{>BfAz02S9!eVwb!WKef&d{|GBXL?}t(R ze0BD$L>Uu}Y`Idi){{4D8UZfg<=G)jOGvitAv{ZOI^^Oh{)@l0dQliu>i?<9QL>?_Y((o%yKFU$4f#*GQn`N3s9 zbzXKaXoW16tBXIPuMBuVm**(7#y5->o#WwReow-Zb3IPR+$vjBX$bEyci>YqOtqhYZKYl4j+&5q=}`~e}dlL=K(&dh_#*0@@a-i zL3^PEKJ?W}KCM{9TGv;lZ``KfjQmOt=;ml^@T@qs1K)WKq$+6^N)Nk@^*8jyLQC|yxAqoaoOfr)4ZX2 zw|fjg#fqq->fT6}sm^4meq3m;q%u%G%ht$ZMKRZukTP!@(vQ z=-|LTbbI`4(x}*f)EJhN_nTXkRLr%x9U2=CoGZsKHWS!^a|NxOT9Ae!(4H$^Kh==W z1JPhY5#w0w&UVP}sL4%U6upm`do9aJzG%DmM;A1Q+4}~td(h|fBEfcMpPW}_X z>?Vs5hh20o%;wKf6Ik4Rh%NX$W_SGlWUa%3^g7325# zpz_`zhBYklP>DT?)GBfdi^c~zeo3{w3>W0Pxi|7+9rs8v<*}WWgZgM9=@-Y72(r3ih(KK^EIR!{;zbb)Yly@7%{b1# zoQgIs$Om(yaRyF3lA$nUDLFMlBC!sDpz?aUh7NX?+5Q<0ZVDuv8imqtwQdN8WVsIcd(DORAiFM!;YAk3*$E83CpPL6bGV2)7T=! z$xZoWAaJpI5|ot7}Bs{jEJ40Kr@QFTu`B(zbFwJh4O@) zK)-qc$Hi4bF)cTW1E0E}>~lHRG=;k6NwL~W^tHUMZnSyCsePo}R7+_s?y@PVcvJ3# z4Y?C)PFbRzCK2i!Os-=Ycm08jNWO!Mh_4By7|4hV8js}uf8O3dMzSQi?~9lHw)v)Z zIIQg((tX3#BBpLg*0m_QZ+Iq#i=z=!*H{)uXQ8=13v0rF-}bHH*1{5o6eTYR!N{!} z9!rZeJvtM{LKdLnn34xa!dlCaeGmlrakx4S&osS|Y2!r6MsZC*Yl60q{KqH2HvIX< ztDaq+NXzse&hD2#A|oOrBO~+W%a>UXibJkV3*WXpMDw{_jqcsM4Y#{@KSwAo?Y)#? z+qQe3`35dOhzwtL*Rh~4zwP$r@j%bZKMnQ0d(CwnQMy;Jznprx_nH4l)Yd&X%MkO< z8u<(RP!Ikee$1w4GptXom_rAX1zMVq`()$DVrV8BYt zEZ8i;My1bz@)Q`%I=gQoxQ9uBimfr|@U&Cc4F}A6;kv7&{^ntkASyjdUriZG%IiDeTj=Q zx@V(`+hMml)8L9$D_FrN4tdqLZ~lp&MCk(kVO$DwK~OIuS@HK1|5Pl1)PD+!bm`(7 za{MBVHb)Iy0Cr(ySa+!>oQ3|4Zfw?XgnlqRV#EhQyZG-1+xr9Zl8f&${~5uzKd|cd zZ0Xtgz$2`^PLpE6adX-oF-FD8bD4mu`&=zpF!ZqNV4$$z&KT+p>{|YdPFN;PxS-(C z1Gr-l*YTe!C!sd=)QdDWOd)wHuqgLxBn+r$PM`>x7IR%$SWky=^sOtS*)-6Bfm z=oax~E+T_0Tv6n*liY2p^A}V?69SKe%dz*2-sM z{`rD}2Q@NxvT&VVQ_U>UrYr5d&8JaFsKvG2q>vKS3aqULF~ztH1rMV zTr&J|(wz4y)JIP5T1S$G-x7|%g1McXcu=EQkjGelsut%l7U5TB{~W-_H0AES_B__y zmjYoe%sy>3w+~R6(|r&(5MHfSPYLmyeflTFFI;W1h6Y!eSGTfX%0W$%mRgQt>lWIn z+Moc^Mcg^<({ohFv2h@#MvJ)xD+ve!!lJC(zJfokS6st~OY7P-iqH7v#LWk@HK91d zfBYva=4BYH3wTxr5{F>YBvO&wVKdfEO=Q!>=Xy1@H?yTU@}Hg;P>NV??6EC`EMp^} z{d*M;nzGX;q^JSUfwjI?&O&@4>n0x6g}$j)3!!=-xE0ivaCEePCx~?eOKFmO=R)W! z@NWGVSowx8HNXHobj@T&{IW4hA$!(vqb|%YLuHeNguUOL+1_VH3&S>%aDNfQ} zVy+j*WKJUa@^C2~^ft_mLIhh|i6C62a)=b5UNgn`1wb%wimfjaGy>5qvuihkRw1Pu zO$H9(hS9>Nv!L(WS4i%GNadGcLPg!wq@i)#X~CtGNgXTZ$YrIbh%?YshI1)$u%1#c z)k^f-RaSOGDAg!P2tOxfQopMtD`%nN%?T=^cv~}2cTc^3^&h-#mr%lYap+}jufPaa z>~13W4r^TK4KCuwOt4`WW;b&hCn$uGk?#^{-!)kKJlTD!^CLtdC+%RXAtX|d_ZnogpfAQdh~BW`T6XV0VJgxtWN#Ya3* zdixHuSyPemq#cz1-L~j%&kEn_IjP?X1=}`nBmbS|j&~oajyXwTOBQHW7yx2>wOAob zsH#|qv-cY{wr*$Gpq>=#3_h`$<)HIXSo05M*8-l$L1?1l76DsjR_)>9;r1P0kxgdw{Mg=xMjDGKl`JwVc>#IB^lYG&D<;T9~w zDSYCR^rpidNl+QMRUrV0hhr`jXlHazPy&xD6pGBpFxMWeEL9vl00t9PxtTlw6=Yb| z8Kp9sCl?i~Y&b@SL7K>)`s@Y5<*bS_qOlUt2TylO`finCp&l(c)RV;{ zb_F`qC{>2s>s&NVE@FVe);u)}xB8kCZt;`G5YU7&aNbBzZE~%oR9wSlEGEWSQdKG3 zVHAv2Qpp>H^3tSi6)7R@FF zGldoy=!{G`gxD!LAXOHh1nSAaMaUns)rIN!csq1gUgc6+{XGcN5ufak7D%#-CbH@k z1({n)TI6FL7$eyUL2<>$P7yMLbPPooZVoZB5BONypZ)C%c&`XdRRQrQ?x7@2mh(}7 zmm^i6Q4V(!cpzstv*T57>U~aqN;z>;E(zRnqnmL?q` zF7%kGoK5Np(bI)_Rj;Y!>>Mn+O(jwJlU7~DI8lM}a)Q1DzQs~L(38!7XhN7BGSXtJpc!(q3LJ9O!M|L)^E0~Ys!rz9(vLyZ<(d>|38 z`ha&Yx&HKD24jWMsYQ)en}i~oBrP){wswacb@iS2MvEvDAdE7|C`W-9KALbmfalE4<`L2Pt0a?z6o*w&A*0zbf;Ow}N> z42l!ewLs}}qRw^UKu<|gf#8!fo-%fMGlgUV9#Q0vv1zx`PYIF4yPqgUSy3-@ma|F) z=@w(zqlTE40%RhczX)Kz^S4OVL>pV|2Hc_@0VEVU`Qhl7JIF< z+XGyZqdVB~7)2FQIPtmzM@7=eT@%9K;@@|)%VO1n^a5ZMpcR86uISE>jEaO+xl;SO zYqZSn$nAK=HAe?^MmgeulWDHG#2+)F=Md396H(vcMDy^UF?4G_xTY3Tc@|3Z~Dga%aL#xvsJqc-=zZsSph47)$)bKeXW?NRylCZNeFN|5kMmIiQ3dum8_op3ye+dXfWN_ zDQW?S;F@#g;8M#NBdAuE7BzTW@@47@PLcUBD%gb^{PAC6w@9|Eq$OmfK1Des5gWWW z6vH9FdW>E8Wd^$Lorw(fyk@M87W=E9ITzXutr#f&hMrXnuF{3q77MS_AN}`0sxv9p zQK-5S2+;XXHH4%`Pj_e<{p5*)rctv{NdYOf&u+tjUliL_ zw?X&JV0t_8m;RDeMcsE}jGpV-2`naHLIpUV2pC$lJ}4w6!0Miriaom{Mo5JRy zEYCrecD-AXA60|Sf9bE-Vto%B86>!+B^F9rIR&5&_{6#5AmJka_Z97dR0(PeCBsOM zj~S<`qZm4uT%}b(NLQ_Y>=_fj=sm<_qm%|CTx?WcD8?lR_ey|i2ozC7rV5XW1||no zGT}lVX%Oa#HjX?A%`t4L+?r{a>h;-%MDUjqcs5TczmiQ5@>Uuz^Hmt&C8o>9&U>mK zg9%}h%D-npvW0@Rin*yI?4s^9zWjMoF%#}7ixDlayQXFGws)`E(TUyRc6-(?vkPhe z>bafwW#Q#U?4K}@><7Ov)GA%(d}7&ttUHto@rJ!IuaD+sQ#RGn)ElfYf1y-9eK0*O-VF!X^Iv(hoYa%U>8IN6 zL}%}-6>DFjor8N=`|I_5wmumAS2okd#=m-e@=O1-){KW2wKUqEmcp-)mKf-7CGN6< z(sz^o$5H7q^Us^{f*<&7X{X=WO<$mC+sdnl!gy5_x{ohd z`3rQfyTU7IlwDLe&?)V)_FCB>|FGDWnuLX~0=ryp&M_%pqaCc;vH!)IrmnvQ$4MpU z&dMK9!Vwm?_BC?OYdv4bc@^0aAM6OXZarIU9T{*G<~>K8Y$j^1eSM-s74?16Wyc_mu92XvYFK>deGlRZB$25-KA6dU^5 zS9qpcFe2ZX_Mfl++UNZWzbe9fq+3kyc9&1D&i79X-{BkPZ^qAIf+wr?3+?9RUt~Ia z@SJ|)mLoZT`S2tvKZxGVgF%6>zs%3WCi^ew7euu```@`sz`pFer?p_%Em)B6KYLE` zh3&oXZAnh9z4SN~9^FEG!BGy)!p&w~11{%1!grH99LZVHDvw|7pHL?@T$0-`5VAM? z@jx&;(vckY&CYyND?7+v?Q4cRF6(n~p|JNh8S-r%gJHI z$pA&wrSkxO z^VV|N#D2N?FR&Lq+ABA&-9__Pt~~$z{dbu9cf*45X|->+4mTUbvCS{k4O|Z2`8B%h z{?T{edJTiypIli#etjtWFYKWy>N=Thx3x~n;?A(Cyk|V;7Q}&`@^BtKTqga)?Ur*N zrTZ1Q;O1aS@#ovutCh2lY#!Eu!Ednz4)&S%yt=pZBX(Dt=@&pl^34VHtoaqmG9A4ah`kj-@4_bue3Kl;guRphtJol z^EO|7&+1pNn2r{F{NqN*&+Bqb~#z_!cd)j)>iLgvoF8obHqMo7&}Labu2}r{T=^0s!GHbp zP&|9>?rT98dxKvlPKMmAU_e1Vghm7C^u?9S$A_nPRnTbhU3bD*qYam%#qaOm(5>FC z9jIAa`<|n0F7n@FIetIyH&WG&%UMZ_s9}}*XJt#-zPH_`;_GHZsguW#V~{i$I9SeY zLJFqQ+)(ZLa*N8k$sCO*Jh^aFc<63L_%eo4C=@ zqntbBwYLtl)GQPbEXep?3c^k^5HDIH16z;k|^<&^(S&!z!b|*&_OCla2|H17;$S zT)J9GiJy-O4;>+3pt2eX=V`U3#UqRQPaxD}l0ATYEIwrM=pFP4ABUA*wQRVi_mDV7zVr7X^T8?UElAR4Sgi0#d0q&%=AhADot@g@#EnA%+ zX+U-~?$RDf@3UTL)QO zZTl*0O-VS&^L?B|jLZKUr2EAv2m#vYjev^+)z!vxe$>^Ky1_0EkuDY~H4kHkJbXC| zcvj|9COrBJ`fds55iPUM$BdAi47yz5NlDz473%g!P;_5Z(1R_DPopDad5&uBh`A16 z+#DMP%`5TI;=yKe4H%G1M%ux}4ZCMmb&H-SbtBcN22z4My(}`shZ}TqH?KiO|6wJt zin~1qn7P|Qr7*t+{`}3~ z{hYB33jh@6{P+bepKw%rl$30ED}S;^Y`JGF(%ojJQ-?*lAt^ z6>=O%&>3G{B-lH+r89*LBKma@6n=cH2AJ%t!ccMMwt_aHlyG{)RYVEkehT!%Z2znG z5HsEWWzSG%7ZN@*n%&SR9c1<>EQ83Y}Xo3gzE>L8%j3{O5J)A2l;Tk(OR4}xkJtsC6*xab zO?Fzgo2YCvC_wS#rur1f4H$uHREfjY6xyz4rn@9iR&WU5l4ZlC2M1)&beZ0&gShZ> z5LFpWun6}{nB0}_G%6vJK77>G3QKy~kZjxR;(zy-jUzZZigT4i+4?HZcogRaHdX5w z%<%T{l;bbA?!Eo7kLke5y?f6*Gkz6E-;m+p%Fl>;`|j0eGu%FV_{m?=@t4EHJUsL0 zFu!N>H*Vh+w>N5Y8!mhm=aX>Zn>v;Ud)`IC$nuC#GCUgeVOJf+ zp>jGFH0U7DcpOOKs$Rb?Svb&>vOxXG@%W351wGorg~Kz$BaY(yz5GJYbpNOHouBdW z%&Ol0m}Sz6(+zjny(5ZONvOnE$xR*HE9eX9xYKoa)tZpCFJdFkcC+LVkNt) z_g<1+bnSjuXTo!S+{7*e!bZHQJS!0$$MgscqFY=iNIT9QnMG4BuG|&>MvSLfT}>3+ zBZ^mw<_4FI))gX7{Ii@|c(kxeqQani7bL)4J>%%vhG-RF1!#Y!H}fI8u9Lahl1@M! zL!??L?|fpIJ%5$=ckLV2cg$_r^U7a9Un{+Yn^eaUpd<9Nv~~-I8<|~u&~mJ)*z$m% zwAYM=?}N~{+H%|3~tw}CkP!(((U!HiGXGF)U`Nm2;^ zAn87gh?$%Sfa1aPDq(<@Pm$j+q0xl1rFBU1A5>E`!m;3ja;C9Ej|g(4`OixkA(&jG z=j8E^b8)*9q)@s5RUWzGAN(bn17OU+4_490VNB?l`6iZfBFnZ%OsI&~tS?wI@j&qa z?m&#u@V*2PQyE5!K-`Jl<*uKu-XqZPwYoZKnM*Tesom+S1v=fg8MzEJrG5HUVXI`8 zOv*Z|>!1iK_a;ZBMvFfgXN4m;^OZ9uUA1X1srDz;5DDdKs{8m9Gr--T7NB}2Iwo^O zrj1ovrV8d(L%ixd zrm#l2#B3)M0MPmkUUtPOR^_dqHXnrR&Hy^rjarg9B?-XOoWll#M~0n-VB=W)soYpoP%zh&{k0{4>oexX~d{170gl(1O#+YyYMlHw{T`WBm@Yh>Pp_-rJA)C|jmocSA=f&E}{fx~Jc& zcJb#1RK1SQhos?s^NDfbQ7C(WdI}t-k{={O?no7e5R6iiZ6j0xx1{obHm!u z>H^DO7;nJK>!l;%Qt$!gm7atnBSty` zNR$W=!GgylWbm)>vo$0nz0Th(?5rBihtQ~)&Jf{P5Fik2!U3om2CjaU?g2|5W%a{T zn_PVn9ylp}u1HNyQxVXs@@TaR4;#Aj@4ky7*KOnDVMAo;Zj!?{e&c}m^c;_AN#;yn z`e;-#Ld$j3%C1klZw|$A@GuKWF9{WQy4+qPjoaCMFbbrP84N0aP?gw->Jq<{WGq60 zWZ&uU{CyC8DeFaXGxEa?{Vj%T-@vOh_=a{QG>rMN~VmP?b=WAo$Ksn zVvWe)J-WDvWSFce6xnbv428HrhzsxtNWUPogz!w^)%Qub*QHCr+@QyuDRlH>won1W zDN8&>sCO1h6hP4MV;Cc}t1`t33~Ukg){~XCOlyrYXD0YkC|d0#d049y4*sJ9?wV?* zqa=lR{MQTQ@kw;Vus=t$)Yh&ed?e_SxF;%sQ%)AKue=dK0>DnPCg51{gm^}r%B5;Z z^%%T(fPXquuAWIrZMbAra&C<(*;Sf0%zl=KLK`_JU5@!l1RpuyT`AWHQWUUwr%Z6tl}?;AbQk7q&i-wVsXp%g7XskjY#@fWPA70?Cev1Scr0_yg58MOfg+a{RoFh>YT`8*_bevrur;yXlP zI42TyV=PtN2wlGJdCFs+)dKj!2%}dv%R~T{!%eRYTulimZ(_)YE(J_Sf7vZAfU+|T z^i7FQ{%naCT))T7NL8*Uoz}q#tD)YL1IkX?04bfTmnf$dVJ8ncr|p<4-{sw-P01{Z z|6Uhn@n1c|o$}P0rK}-s2K5s69qJOcB(2${DTl0X){Gd+lB2$TMlj@5%a}-?DkNwe z1_Vl3b^^Ho4qMWRmV^Bc$NDi>W*3P!(pt<&MHLL{rG-{g(k)cgBSF*3S$U~~2ijFj zs8`@XUZ%uq^xx|=6CA*XLl_Qr!k-E8K@LNV;}w{*j#c86n4f(iD%ggy>blbXBS+Az>GU>3{^0%)sc;o4|81TPQZGWG)pO^CqL*K>ya3tgl@F12tT6dMG@T} zPKiOE9i<8uc{vfq$bI>C`7eK$D6T;)f2Jko#7HT+b4p65ZuU`;l|oFBaj0;Uv^iv- zMixm@1ImSSS4>Dtu^*I5auRTZNTOu&#KKmt1{JeHuZW}} z;Uls9{{3j(MXPoHnAW;ZQV+~eoF4bD&jrmZ6ize(Wl}yxxx##!%*ZZHyj+wokcV*V z4h6nAw?G$_Sf=s3(D5j+Cct;0@@D{DsOnu#xO1AR#x9;AEWr3iSJJ#wh7V*xAZ6id zRkOIFS#$(~jGQR-fWCYN2LB-sK^M$Ioq}d0j=3}!s9YZ?n}MC~Tb=f$_|#f}5+ha+ zVkr;*71QuePr>RNZZHkmtx)t0LnlYxg;S;+gz22&M$sa?_#h^Q^7a~?k+m9;$u1je zSE-{X9i+o9PZpRCEqAaQE0df7S6P!>q(6q)D3Iwy$%2e2v>#R_#ytnU6`xCK`);;Ll?FiH?qVV{D{tw7l1?_A!= zl9T<=HwiWep$Rmen$<7uBzs$UB;<(+?@nSv;$1gW0r1sxhC~=0K!~fvN05XC4S?FQ z1kFT@2T@UilG!vKa3B(kn_L zaP;dgQSI)Nt|B>FIGbN0_xGUF8G>I^8kywBl=3#90@5{9V>+3S=>UYr73^FPsupWk z&Q_Jl8;?@hH)Ld_+wAIGgJd1=tja85)E_S~70N~Wd}@ObCUQ$zfXc$_MuZZ|ySQLm zwKxRMTm&RmbUUx=dk#R(rS(t*w1ietGoqEWXq7ANEAIRZ6AB3L5G3!{Nt-w&QGZN` zHKQqrHD>?Av9$to=_e*elUHFX2B2IcY}7uA?}YOl+JphLYXlnI0$30Y^*|@mlXTBj z0ws4mkrTy8%g`s@>dE*ZEZyYBr7vH)CJNw6lj;r(It4x|nE!>)ldBU3*KT#6*isl- zM1qwmw?y0H{CprK2Jpk1*RL9bkCL^rVo|Lir%LCR7ePh_CK`|&Jh_nPT<`-&sV6Rr&~?q2hiD97}&)n zN4Ow2G;^q9ER%Ti63=|eKE~kD#fSUpc{Al@hBfo4&#lv~6_`Z25e)RaniqL z@wW$G9z;2>v3_)D!&cLj1KZ9QU!1;ZwJ*K7{ssnzm`#1sBUw=McZTUIdNu+2N+;CV z`i~ZDsG-*CR|~`JsoMW_VxKVkQy*J)FJB+Bk*^W z68Fif1I+&ygT2?@^BZd&xJTC4hhbE|@6M!KWOj?TPmb4{Iz}wjKN(UT~~Wc_!yj(8dn@(XCT{IE?kf zI@!>;b;3k{@vwG}j~~YG+N=6~uUq-0*yBa_V7fij(($}Z*xfVm@ILAN{<5yRAF-v) zqMW7(h*66~ST3XCd*+6;G8@ff+S}P0xm_^LVvZlx(lgI*PR7eY*IbnCKt&< z8Z{3JkGedWz#dk(aiHhmnDLq;1uykOa?v3g_M$urId@t>f|KXUaLi)@;Y z=8*_%e&CPIZ?R0jckSBwoGq>UvyU9#YMO8Pmf7r>w7(Z&T7S~A>H>3 zmj~zPz4HwjJ|$8s^y2bZM{(?E@^CHP%yGAoda;M$2b;WKHPBI^o@c1+W*(F;tZsQVJ9At0I_Pb2tR(6z&7 z>jthjA3l^UM@L_OO*MM4Vf`&S$nU>}EZwWGa?`Gi1rzjACi#5@$ud2K3;Q6KpQ?jl zCCg>Rn;$~q-}o?fr*zRF8mj&(TXxOZfPK_cX-1p5lfpQ2AmRAS`NqWs zS%Tn5lLLtP$@jFMLXmK}led~OS=^Bfs0?y3Su$>^vf9l=8eGAJAsHqUGy{NrA{%65 zJAEZB#eeM`;OvL*L(Q{!7+{wPrW5S178}wBleg|x(nm+HeO=t91&a?IO=8~$ROMBc z&#Fm>E?F?~P?>j8{3xTS6tnpF7g6-LKD9lxo@L5QkkAl+>=UEnZyu;p99~MrUv4Ky zDBRdhjwR?Z7u%x%n;bL3G16Zy25^0DGR~Mpjvk-15I>w zO@!F6Re-&$gUGz&NDfr<`T1Pcn7KKkquC6phOnqhqh{7Lzw{(-Q-?D*w73x%bJjR@tWQ59b5S=kqV!5*OoZQut}_gYp)%u!K9g+r98s*gu zk)6fs?gv8{^npixgb1`-K8737zL(-1%_|d>Q1)Ws0x(sOKMp)#Gih?lEF}b`Z^p8c zo{*&wpkz=PE^f2Mb^wOOQ-+%BVF(KiO7V6uy>CiiJHD&%=;+p)qvA!w>C1x%B9qx- zwD^Fj>VL@Y8;Gu*KCyVPLF_KQUjO5N9uuE__*f4PSiCCRG~a)yV`JDf=U=26N5PEk z*p0BTwh2oIjl^^po_Qb2iJoLynFImb32;MCZQ+8R>!dq+Rh6WA^ASGB=4FEqAF97_ zj~zh8;`82WM`goY3?1+#1|%`8Qd_SA(-DHroOwr2axscgT#6RcY2Az(0wSk!#s#~IW#$Nl zGDd|r8oT9yr$h0h)A9>gyOUL#aRHxTXjh{?lk6&$`o%?Xo=B$H za+y9G%I0PpPEgc2sNtTbAWECvJx1)pvwIk-<fl8QI~dmu7q zY^N6dybvWHfWi*p3pBC-$$0KY?kFI2;dGMM?N-6A$ZE6(( zK-L2s+=i>vd}m*nf0IJ6N(D4DWU-A;x*o8`j=pAo;*~R=88IeJC;;=2;vP~wDi@kV ztuQ-A(Y*OIG#@z}OYsu-Ro3L#UDQ-5lulQyT>^bSJ+)rxhS4+f;T0%@f{&TrD};-{f)bMN5h|VOJjvvaUrOK$PZlqywuf~mY`CQ8 zSlJU-i8bZWV+W}KFv3j2B}n2@7BStDC~f|pB_=XbX_gVyZ2~oOJ0-&sy zk>c_JPc@26dxU-A*R9o&lm6wYeUjrZh&_H4=LL@BaOC9Cm!~?4^ZlRuGDmQ9>}9nJ z>v$B$?&}E7yYEg9$Ac?}hvOld!^5N=4!iLfOn%Si*6J(G?g-BKC7Shm{1Q#K9=UK7 z2U*_T(we(NG(RXA9*y6#QTPH!aX3ViM{!mw4%6gOoaFLj9HL2C*p(tK?<(Z@3t`Ig zsLNwPkA{&;eY}$e8N_A1&hOc9EJ)N_$?%z7h+c-Rc^)o;`Uih%JlONOJQmcv{L?zf zv%3AVxYWw$Uh-JbXGZGo(W9&pvjA~ne;G${hN1h@zriZZFJi3RQNu>pVB(m};Zuxi z`ixg?r_KJADeJ^~iTF$&WYOu9#F`odCXGAA;>nqEztsRbWg$wfiyaPh?~(~o1ePGe zbTXVZG19t4Fi@05W_Gp^0y2e&JFR9C5jkKkjm|(^A=Zs2>coP9$`FGBwH#?tlMpwv zM=Ly-t$|FS7CznDRR%HT;>t`uG6{y+@?@p7EtIbTQt--e(!61?AP1PX;;0<%*E7Vc z$P|<(z|PeSyFc?LVQujeJAqHU`Xu)MU&KF9RHbtNO!omCRpbAi3;zbZZ%ltf_Lz)s zfPQ28Np?d_t@8W1Is*T}FJqF3anjOMm$)h8u7~dQd?TLk^X{XaTvzJ$JQ%|? zzICKKN@-?K9%XtU*~$wot6u_rZ5Tw}H#a<`7w_wAUDeFBEB3R5ig(;o`}tzS>Iue* z5!xgG4(>ybna8RwfLRHw(}`fv)T}QX&X{1%u79%2Qg_U5R+b6)Y^KE6N$PIM1Ad2P=BX7wr3f8IGG1JPs=`Mx^~rFPV(z z`umD^YXDdwKn`c>AwTALa=PJ)f(}NH1Wl+^4%|dF${#Ir1&NlfD{3Dbizs=TyjbiG zKa~I<5Q-ONUjmN&=RhFEqbn!fQAD8NKsHg9#av3VuA6mJ$(V=)lPMqT-5k*SjKS++Y06i+5caH?IDRPyHsS zXu^YL$zSjXz%FqS1{xw0vzP8F)}_n}#p{Hq5x*>*+IdWRK}KV7^9@;Rt6@VFS|~^+ zvt`O@vl|&omt^B0EpfE8HdAr0ZW0jg*E<(bL`C|x?B7h>dk;EjJ z=^(po6QU3y7jtyuC=Q*d3&(Dl`d1)om}!Aj*>c<+3t$-4+1;&F)ha=`Tyn}gu!Tt}C`ueGYAN@X1hBA`4`-l7rbjOqR3{c-2O`ylxXeH~ zh5B@*{=Tq2^$ZlWb*R6sfZ;slp^=EMq!t_?1`QR?v=C5D_&|!x-IExa)GqiFAly-{ zRhG5#f`2Y2s`!QTpZ~YvRG(p?tkKv4f4y%5?}r$P)C_=A6HRfoZVJ|H)m=++;vqmN zR&HBM6Ydu1a!t~`h?oRqbf~X z0msoJ(kH_F3KUXuVHm=|Kt|0FW2e_*ca)j)56+cD2@)e!CF0A#7mr%vq67e7kVYL+ zGm|(Va-mXAGKyR+T2uU_3pB#S#dG6u)(7xau6U{`zw;GXV9BFM%{U_x+Yc>m{TVCI z>{&5;*Y@!F!KLG_;jZz~z_5Y)ZMdkS&NnaMDLp#N(%{M+aFeWrviQPkWOyyowW?BJ zfKRVdXftkzwx}5|I}=vU)NL_K`vXP9QG9V<1zIfJW@ps{MQCm}67z=Rm^>k1@c@^z zrS3)XqDtzr572OG2htIfhqgUnVXjyo6miUuEy73m8!lW_1`z|9e_+ALhg7y9G7dOt z(Nhjq0w5!>*tT9Y1VrH{)pqv33r@Veur@!i%_W37lcdInS%UgLZA(&RRE+Q2cO}z? zm(g@Cy{NXv!Ci2x;y%xa!1N$M@5?fjW{6wbT{H?ybZ)F?5;ApxlCdbqg07^3`bOnM zHIxVX5HnK6g3cbLx9hKzIfDcxq23-OkX`)%oKhXLfh8Iy!|?*|7-FO++AV5fmVx2j zL0T`8CiqEZae-*5aVN*{?hF0W;c|Y5&c{gx5CaTrnY$1P@O>nrlsd_sd=&^J9ztDO z#m`$Ab3{yr1mr;`87>rtM)bRBb;qy2xJh=+94x1_W-PIxc0h2u+tzoGPF__&YSJhq z#=qc7wn#Tl1ywH4Y3!I#M(szrN1Ed50jZ^t)`5#0f*wCik|L6n>0DzyMuKDIfNSV+ zW6?NK)GS*10iFPv0;|&Bq;I7?2#XE)=>Qez@X{^QCv9prGAc1$xSIZm=o#O6>LRf# z?E*ep|CkDL$I_jD!Pf1-Wqd5tp4~1*|F>^1QsC zLr)lsq~!wP`plYuw^9GlBvx*!1u=V{3?k(Fnm(`Pp+QjX?oaX;AOjF<9DP-13!N&- zmOkKW#>Ztw_@TsfRi%221%?K&eM$1dw`a#4RkOowA&>?642&nP8BOy^It2*|C<4hN zfzz@=RV2kv#yc+ATa`1GMv$aQ7u0yHS}1?a7=3Fc44NDJoGX8}Q?TOcD*g^#17A9N zZFhQ6e;`PDVF1c!45}j9!L%%=IAT{T#HG6I#6o_bd8Y85F!n(p$W92V;u6;tT8kDl zlo#^G;+PQrD)Ai;w+1xH!T6Hf2#9h&)12LXdYjaqaM`uh*loMyj5sTekldNbdm?*IyF;w#uRe-j$8-o+7ikR;a;~R9#C23gxO+r%>2x3!gvNeAA&!^&y<7!`e~ecy zGD8IN78HQAD#*tXEr@~^0*OV{edJM15Oqb2+{OUsDhL8}&U7nosdiy6|B&eq>%rCgH1_@kHhvp8E>q_MRSX^0_u*TsMvoN{W z(@$nj)ZwJ+GvenQZ&U=JDAZAtm2~7{BI)naW)ViN`wm5LTGP$NkAG!yWdTVvdLiu$ zBUS}Ont8b6k7`rMa})y$q^U!gh&87@2rdMSC)gD%Qi&x@mq)232-7(}D$V#4Pe~t* zzExdtt-RmYF&uyGKevXTlp3=sEL5Pbbh;sV@Q75$v?~aC={7v2`Rh$k4PLQN6NY(> zkxcb!0UuykNmuS-g!w~Bt4xn@vMJP+LqylwmJ%Z~pkiw5Kvmxj{we}M-byM-=NjFG z8fD~FgE&m|QpcwY7D!-+XunISmZ(fl*|kym4XG);r6(Umkw|{l09aG;T-g$!zM~}; zQ~@{!yiv}1jI!yuDU_Ga@oUELoU08ysI4V%g?{@_>&4o2S4BIZD4Ixw^w1T|TjHjp zyP5!mQ^1tc1K)5>l5#|YG6_Nhh;)gI^hxeGDM^(7MT+G_%M&b$cMyUrb3q$*@qx;S z7O}H{%FUn(uya$X7;>LgC$glF(W!dLafUUhX~(7nX!X)aMo+5B{Y z=+hb@EthJl95gDG)(UFY4_^9DSMh6%*9xeJ)`E>!qIn1%NJ(e}d84anw_YUTRHr$T zRS32*1Bw zss{{@3JBpdp)u}>b7G+@TaO6nI>Igr=w<=YV7RV&l|ax|Uu33D0$N}~_6TK?jjNT6 zbkbNl=N&)#lQjnTegW>dvj!L1t=1A&qWUNiQHTY3;=cKNts$Xqhm{}TCwl~5B8P?- z%^@)}Vd=^n?u7_m8aCP7Cj%jml}pY=rUVL4se^1-0pK5KUh;vLl4%e~;Fb#JnU$EK zC|>;_8aRNG#xoY@^GjTryBqfiF9N`y~)E1@+foCBzZ zNL92l&#ojsP{%O=uCrQmKdP9t05$N0D_5=uq&x^iKJFjp>LfbtP%m!5*|YZz2EaHY zY>35dl)WjEgt7!QE&YwnkF6rVymUEy3eOn_B=qj0hVRG26@TI=*)MV#gc-fbT1Bw_ zI~}FszPOBhdG$gCG}v&szP!0oc=Kgrz^d!H=Kr+28pa$T^(_FPn-# zGr3*tj|YcpDbBf#?nQTtE;r53CWU|h0&UK&FQ-pm?|!KGrI**2!e77h%-)*UCjLAE zI&|o30#PVB3n1G{^K7z0{TnMZxu$}IZvZC`3@EX&LX|uFt#?t#yZUuJ+H9dX)J!|n zMyvjQ311V|fG|IqQ#aJIqM@4%gLZ}pm9M982qGTTWw%oBkg>hWfwUcds_l zd^l|KXL+;#;`*_Dl6%t+ds*J1Exh>awp@6#oEQ6}cK$T0kpHUl@tU|a8!p}N?CBpt z9_=eAcPYS%dd}pgvAHnf$QF;B%FY(*(u)<@tB6w1Xo&@NGb*r{S)uI3gx znhm$i2aG!GYnqB`NbEJ^yn7tnG*8~Lt9C<@oPaU4xc?~z;5O{EIbk3k1Zo-a+tyrQM)0dWUn`$q7Q`xktLo^I9m+~-8X&R_VgSOuGR%B0` zG33MzRORRvJ9=5y=ABN9uBuFeYWe z_NOZNk7YP1eLt+sP;)P;GVQmJv6T`oV$wYxg7DU@$Dw@fX`zK#wb$%rGI@=iFz<)m zT3l*5JbZ5pwjG|PEbL9q8qAW~crl?L0B2f#>;`q$-Mu?CUW{HoJsk+!HbUvE&kjLg zSFbXyY#_V;4(awP`VaeS=cw}Or@eRoroE3mz2q0*8`9*U(GPvt!0C$Z`9r(SmrUHr z-J>3xtu@bRk^6LSZ?8L_(ql~b43UdFRu%GvDcg-Ubq@j7XW3PEF2!@E1GA&j+PNE};!&|39RXC94%OZ-#1i0u(fvZE1<>+-*p_bxh`ee`0cO|$3D!= zG8rmmgU%pDfa!mU&~8qB!`HedXS2J<;&yiawfh(YJ4__gwZpq#7nB!P$4OOc9Vp}Q z)>pr3I8GvMRHIXxfZI|xKc~^D$MPk09~nGI7`k@R6SfR3nEaH3Awe!H=(zv2r4#Ix z2uG~F$x`BB(o%*oeL;i3krX$%bpEQ&jdV}@l1$DuFc`!h&GgWfFA^6zV#0+3E1t>u z#CxUP91QV}keG`F$;xGFrjilz*tK!`M`48@<-5Vu$F)Lt^dk+78W_hjMP0m}`9lQA{d z368qQ@5$nir~Z~o$3RrHEtgWf*-Pj}7Ct&GzKcwM`qP3;*^{S1o_4@J_$oGB_K112 z$Nr;`N~MILIX$yN zH{nt7+FM*1f?m^5lZt1cO2vjOp3}^P3@$*W_?*S3+IbuD-=fOT9x%dy((u&~Fq*v& z@yW7~#S;X@QWDKWW%;@Vn&&n#XsDrBsP{fZ9ebod&{vR990y*h97f3)FF>(?8_v0M z2nj(acLvgG0?I%c%TP*%30uuWK%r7b!IXzeC276!5DhcDOrOPOOAIGTAu>|BQ}6*C zDSkZ+6@_!yR`~~f?SB) zthOo5M-66J{P!M1Ky{{Xp!XL{QZ^ zNz$MY5?O>3(i&dK_>t8${AzMY5B`)?)-_W!lEQA^+zD}sg-OK!&EEUR+Lmp3UGuDWX-{7|X4mDR zC&fz~wVU9fz~oS%xjsc_oyO6O^x9br z6GAnLOI>+LKF-=Gl>wFqHBgaN&*WuBw2gu~+&nPSFsC}Vf^i@-mvsFbe}Q3~vCJYn zV5M_uKK1C&eXbApbIT&#sDRgms_bkk{0b`7xctSTN?00Zr!kS?msB=ZSt!rI%t98X z!0)|`rOH1)L=$;@vir&ouZFa1gsXLOy>rD)^=FuP^DvUKi4nN)Y|jijzfpvkQu*3^IO~3`Y+PFX!*#=Z!0f8 zNA25N)$Wg+eAid>_zRD{(8}(k(aJ}(q6b%gygx+4BRKEs!IiJI@hDEygFLslJc>gr zw?9$JcaFzjG?v{*k-v_!+wqoGkK*j!%3tVdtv`xG-XDL-$AYMxW1+QkJzT`&FZl~S zAMN<1oCbyk(|KTY19 z;?%*>H!gAK2Z4Io4qD-M+bHj;VDp?= zJSpG9LCjy;qw7XZVwgg$T%BktSiE*UL4UB>*7A#kEu9&eH}pLSpgqH`^w)wvW))NU zRnI_J9)7QGlRhV4;_gVs{uQ`xetyn_vE^4N(=V-5jq*92F5aa(OwP%)b*9~-Ineu> z!i1w%Dmtf$9ohL*D&+NnyMDlDA`1$cFM?h5zfKj$E(k`RvjtmYgIs;Ck?3qE$%rM> z=T4vY0d<$A~Y4tvr_dyMuN+BpqzffMPcf=Vmz87edBE%v-I4(zC^!`Y1TX%j@x z(rT&YMpopOkKjDml?S-LLvBf9d!S82)^~v#L}Q<$O#kdxxt9rrMXs;1i@qe|F7d+Z zAnK7UwGCR97OxaO$D4SXI8xn;HQGW{DUS>fZy*kHAEpl%f zv(Fv*Wz~*azD|90pBsCG^~Cm^Kcc2k3W|217Tx*kX=C5%H-vV0pHro=yL#1V4NaX7 ziM?9o3MKQnYU*>+Mn8Yo56BbrHXnhtl_nn&`Ld5vCyX|v7 zFUv_X4 z7!}T{g1|*aX-pN@6FiN6aOA`}82Lhk{jkGP@+6c>)`a-kuwI=tinNupmswcR8Gjv|f@9)+uO^`hU1m&Lng*USRn1YB z+!$gTyENt1(UZkB(-!H(ufyhgRLPwTmPc~G%t<}(;B}VV68SwX@{C#kE!HA>9&{XT zynEp5q^NvO2Gv>~R)RTAuU-&x#fhfoVxO+GqE_Xb=U3M)KtAz@skZDft+&UuG(m`$ zt+LwBaAG4$woTetAE~9?NNYJGm9|Jl(P?V!C7)64>>?x9E{hHKVqLZNh_6bX|qIEs5$R;1@s-QM%cCkmEh4nH7Xj{sU+*sT840j zO0cb5zffIOCbmi)AG_&OCFD{G9re4!GPceA*Q}PjG~lrarrixzM&hbL!uFsQc2!Y%cGlz6jH?#C=gI4iA+aBZ5YZ% z6WybR3;yXeBbE zOcFT;X_akKl>?a;eUln5G=UN?)7mF;CR*~|OHcO^sa^e<;i&331r2?woFaMCmj=m3 zYq_F4kq0IC>@@Uo>$Mzt(p6p=GN)r@72;oMN-*b8m-iJ;Jl%%WqB?C=5OKyRE!$xxw0X=%}}Pkbl9%Rwe?}Q zDbb&|3~ix;i~~TeX;js`9`UMGb-Ea$GNkf9q;#pZY)$Dr3jjhq2ALPQsKsI~kt zbQ+BG=@h58cR3t+9#ci*sqc|drAGzt`iWZJwg&BBH{M5oMBEJ?ufTBVV#ckG*vc^Rv=^nB9I+6Jn34mz5CSL{tqqdsPARlWfrV zCEnC4>2+$EETQsCYW@$1PRn?>4AF90H}99&d!$u@RbiC3)OiK`W1q;m?VVCOH^&$POQ#a#nyuC{NzY{` zd+v#tybh|WG1V)|kabnst7vzz>Q&yd4Jyx4rLWUMp|@-LiR^VsFKSCAhZ3VnT)kM8 zdwJTF=fH!%A6B5=&8j@h*Smh!2zfN(n%ewozQLP)_t`a?ZqM`GTcv~+s&+;+j2u=f ztwpy;?@H^g?Y<<{^ZzOxm!#^jrqvTZQ@@sPO^4B4LDvUD=?hh>x;D;L)(bf%VuVp) z)M;o;gRBo(ZLKwRWNMYP<^LX!{sNaKt`V6>EDUDF7n3fkB(q<28N|5$B*0iaWc~5hMH>5S4b74cl6WJVvd3}VVlppyINur`} zLZi`nzSknBpNcmHzSpVn4mVfu7Hi_}-#Vq6WJScZSiP0V!jY0~s1#{V^i<3J!n>y- zG#9BpCvEwkVKM&g8zHrbgS~B#x||5 z^tp6G(T3;>9#L@5HT~tTtg7iz$O$Ds zCDX2#Onu`Mr^bhBWuniDD?@9(xXMyoCA6g;y*PCeH36-f8CG;9(_Ypc{MZJHJayz` zv*Z;OJFBrD+PpI~f8fRldVa~`xZG-IPi3mis8KT2QY(3|$)Ug6D2X>o^0i8qrk~-; zyVM-ahdCN_NvoNWEJ|0?Z1}Sv6&WdY$mg`Prmq6jS+V z!}oxd%gN_BZdI#Jv5Qi4xwqbZtxPZCYk89;I2t$Oe}mI^<9B8nAE@tE52Jyz748OO zSgc+?%?<7k%@1bQjGX&hxsC1o`Fqz5?~|#0%)0uTj*5u3ee&oj-94vo`n{MgKPv0D zYyKuz5L@2i!NV<&rm(!|^LdbNc6{LRNzreJvnwmr^1aWN0k>S+isijP`-GAWTFS2J zYH_zyKa$qSSr85VWnDe{d&xhJr|oKvp8o?`rCu8JTKeYu7i&YTYH}t z-`^BXW7=JFwyZ67EiU<3_6a`@bou;6;d3%N;ens^H~Ar+EnT#SA5Lq&1$oG=YP^*2 z5$LusrXXRtuP&dj=x!D5O&;!hbRO?Lp@H2wU4Hyzn#%iS{a!t1rt7-qPZF`W3(s3z z)*lb<;jfpiTt8(Tuz&Fbth`6xZ1trmT~D=C;z`#xQ)PLTsM{JKRNAORT60Hf2Q&g2 zHSow+TZ2C;|385^C6e3veY(Qq!Ih27aer00^PS@)wRCqYOzflVhr)RhA zInghOnz%vnf++GwZMPv`^9|^(Nr~Rdnp;C7)RCn8Vk=#{N~MWQY%k4MAP?ej+nviG=@nlS6RQEjU#8*sLqbp zOtdF@e$(?-YL#2HQ+B$^mo=fW^t}Bq{}RgAFW#%0&4cpz{DQ|{I6XeT_@FY(FY-jo znTGkD9KhJ`Uk9b!RsOzijlSSl?Bj;Xo^wld4o`D`pg-IFpx{`qBWmURwB}>b#$Nl* z_9Ev|BN%6v>)?yEeu;+TvL!R}+gl#!DKEaGZp3~2&E1YCLLR+*dDhNp)73#;Sgz`{dvM{!e~N*A|y=^N7LohY$b82i%?w=$9{dJ08U;?awyZv|Ed0%Z$#; z<}LnY(GKoWn!NbwS~DVP_*<>=vXa_^vnac-)>}olQtJ=?XyFa@IbU*DcKF4U_sGiQ z%cr_Ev_DkCFxOMy<@W`q4GOu|ZaY8UJ>|6W{NcoIi9W0#&p%XOX7H-cz?6}q4{UH}GJdgFZb^w>#Z?2T7 zoua-UKi)RA#;KD&cxU%XLwV?owQ$QZ21A*8Qfa znX=t#lH4l2Rn+^}cvGTgDJukXy4~DTYnonY=WBLZ>+*6NS(}}%+H3B{FN~r89E-?n zr_%|mQQ6bW%`HES!ZT2~c=&90tJdCp^a&OSrdTlXLEa))@7hC>rs_>dBLB z6Y$n@r$5r};wqY}w;U5Qk&Q&A11WTdz1gHcHn+-mJ4%o`;#g_*=lwhEn6F=4e2kYr zsQ2EJx+CIZBj9?jB9wFJAP>)3@f+-ib-k%N%%5_%D%|y)UYQiP$quFto|nAPG}hRS za;PHoenYidt)-{B2r?yNJ{JIoJVD zfp)LPa=F=6GKe-J%G*z#$XxN~0#$o@w0)@=ov&^=VNvOkZbX-8Ed2h{dnMEwxBWK8 z!1<%8mfodRMeUl>h5G`2+tM4gD#yxvv)S!rbJ^3hKz2X&Zv9377!FqajUe#cSAHUV zd3m10eApjGqvt{?U)jvv{dZE>H+z*Yw47 zICVyrR#qEsR`gb_Z@FC1(Z(x6E9|aq(+;@gx0nQB&G91t+x)JLrUCdXrEsTj_ET)h zxq}%bE)UYV*@`jEPoqp^-oRc-ttRbS=q5 zCVFhdPtVwG2z|-cPFAX!DX(?6>6%(Yns{j$-rg$L@uU2f9r3{zP|jam@$#sS->a4U z^74bnrRJ&TiG~L>y!&%}1qRXQPo8cV%sKN6jgr7h`bglZ9qWovd0jY+RNjzjy3Vyq zPC3m`XHzF~xMQ9_EL-XzJ(`Lk@YA|KK*?HV0xZ!lGLb?gpU;t>YpRSu4Usdp+GMj> zT4e~+&4z6H;up1Zb*kyTytCe6KP(^o<~%9zxMgf8ee>g|9CbRiL`HM2Z>sf9sU>BD zU%+z+XcQx?pk3EwX?!*F9g+$m$}3kl@qeQ5i0qA;I={I1Kt+#p#p}iO@~Jvz2jgWL zm4A(wzd}Qe<0FU3E5Rj0K-x|@FF`lNO*m@LT2u_y$E{kKuG#;sOxGZl7uhJetwB0X zw>7UI7?r%a&+(q;IUa-$3zOrjhM(_Z3?quQdZnX?3PIBoyqTT=0~SBK@te3fE%s9l zS6(;j>)A~_ZiyS`T(XjnS@kJkafeWP zXU9}am4nG1%MNn_d=}5^T=`Z5zrNC8y_ZS>@jOJ+V(%85= zNg3zKOqoQL(w{4#xOdJ}pW@|#p1gi8sW? zfg@qEv1=MbqCklp(>xYJwmBBvL57T0q*gY*^i!^}r1_AcHQdvtkkR=Ky=mmTkQY}~ z{-A7jDovBLFZna=~F|Nad-?Se-)?bmuPAo zzeKYe57F%ULp1IA_vUZNJa1?H!Ik_a8og+bM{-L35)F1fxbjgM57CTYqS^IdqS3=M zdKBmR{NPHx4D(o8``+*Ezi0DZ z->HXZ3cnK6b8*>W>#?AZcB_&P8L?+ZD{pBgwDNAx`@=>37kbo6e-uZ%ueYK{adx}% z8~!u)BE^SNhoO9up2^6y@>+M1A=jfc*9Z1gNhH^7DjVe^u0B`Ukg3!~OC^uAN)2t2 zti=-AWwncRMl$3gqp$~JsbP?PR%1in4e;sqUdcjfJXL#h= z8%b$ze!6zh+JSnvUL3iG_1YRo6+VMeKSRtuB=+i}wM9Es>ZyZsyj=5s?8QI*hf4eK z2`@7IlI{hc*f71|C+wm;;Rg@6aEJ?4;Kf&uj9i@6E1pNWxzed$XGyIJeaLW53|DA+ z@09PW)k?i->Tu0&WtH=Ovk}DdVe`e6`n2 zN$)U{z~r}?V|qyi-o>x3$Z-t!HOl5|-$h}gT&%KE+ELEP=_*r_OCe;4*LBLFR22l}3{#?mRheq&aFp5P zLE2R3a`|eZdnr@ZMYp7~U0>%2s2N!2>xNVMq*xL;Gh%9K=v7n&*`==N7a|uT5&6GFi4mB6Af;LdnBa*q2Setd72df9sY;D5;q)8g6+Q_fjHT5}6((a@0OgG9+>(<&>w2J?U6b9t@{SX?vC>uF0${PtR_0YeP~0Cl-0h%rxDGt0wR7d;tVc16(H5=dUf3>nKUNOR zy*h*6d-^K*$v?h$>dWGLbhT_@uZO*tNBU6vo3NS^CsjDft(!|7A0OV^Z@ss-Nc3Sz0$fj z?k&VwM?r0={P>?9wmRm&%0oR%*LEm-oK=OJ!8b-=9L8c0G*yyTSgTF6B~#C6;CWvz zTO4b)AZsKF*(#f5(k|Nf-QA&v`bGVS3$-$bQ5dCEijp_xf#_Js3x>Tfq$-)WS;dyC zON5L}(u*zO6w!U@)EGiT9@4d#&7Rl);ji)1nPaOIEyR0RUS#8sY^vAjC8QPmN(Y3L z4VxtRU(=K3anguo>#|d(ok93@>IVy}O=*zot&pTmm2^m`BmCqklRu9c0#@iHeS<~zl*%kBdp7Sg{GSV}Ro)XMd)fgjXt)ogJw@K=! zi4KtE(;+kot}ZAs8Y}Z;gc`;aDbWGkJ@Rgp;O3m8J@YL{WSutG?B;B7)hI_BcCN%a z4CO!g2UHelDK(0KbbMV;KObK7d=8~d)#_F4bJ5V#Cw~_;5=vSg;Oo>#xK5BRnW9DJ zgfuC6@==XW6PhukxtxxgFFKsl$#rm$K}EG&(_fBHcApjN0L@e&nCdDdUgmWpj$DkB38F|7DSqRQj9Pebe|4WHa;W{D*Wi>hpQfrjk|n5O=&%?oX7P`pBb!Ku(p^eJLG+L*iQe%p#wd+A0831;%@SC# zH}?AMBk$!SZH!bt9a6~nkWgwUIgvK(AN=)9uGO)x^I!}{U3q6(bG+G@7J?Mwpstin zS$4T0Iy)hg)T%T_g!HC=IC^|mqIy#ViLy#jC<^NvIw&TT;6J&1X)EW=e$8Ctp)kj% zSB`Y5%B<*H*E+1Lx*po_F{3BL6XaF8W?55{^*UjwyIdzlN<)9SX)pNBO( zk=|eM4P}k39&C#-d}NY(uyI$GT(iV7<$BO7S9sGLLEbo3C~&72nyHdgs({F*QOY#6 zrW_%(DJM}L8M#atPnYOTEJv(f2x9+ApqI>2)2^J*(aS86tn2u!25(fAj<3mOvmHxd zYMUj7xy8}g4hlnNmqyn5$SZ1YzvN%W$6kxsF>rJ{n$CS6fvk{A}b zE?DHh!rh|5r=eg3TbCA@za-^`*HU+(PXTsiTLrAdSN;kmfgbv5sj#?d6kDsjRt@ul zkzsHjw-!<*C@SB;#?1}p&3V*uN^MfbF2m2^d5NC3ZE9gP2`uK zP+D2&`mXO0chtNG=clD=+BJ6q{@_k?K6RqHbSgj1zwH)JP}8S&Q=5}v(G4M6{qc?# z47DX*EpWb&!eFi$LH(V6RQ_hC2I^Kj;TdFCf9faYD9g=#waU7>>Ul)wtb-SFFHxIK zn%L@!r84Xo`KX<(Ou*PRh+VG%U%8EOy=k(i&HhmwtSRrFBkQ+VuDMsQ$;~4<8U0YV z%VSR=a1Z&#wL&8gb+;;Y_yGoTMa$1^Q0IE6M&(bc{Fjf(3A@ycSV57?h`T>G@^W)= zkfZdO%(mj>pJleVQ!iZ+8k?f#Jl**ENAd*?;U?8`R-)*Z(-g+==YFNMiw{1~-w}%Q z_r6d!)Vdg7%d9Rg&NR$7UcM}q_8(t8BbrBVuCBOBJOAd}jI-f?7S!Uq*egBI6RXwd zYvAQDq878hz12fB>+fvIa;;BfTAAM81bcvm^S&O%(c=n1Ezb32bNhf=?Wvc3v zKHOMcZ}Oj|`*G&d|`^it$aNO|BhFam48}5I6P~N_{_<{}~_6Ls~ zXy`0I^354hwLgfE;JNLV8z#=bsbA=6@|Tn-5zI)R50YRgEphd7B`%v^!9Axt?A3?4 z02#+hSFcPW<2WTLUwv{@H$RX3=AYc%Vwam|wf=Sep&-r`n;?I@su~^V5vBM!)qqaB zuW5K6WEg*!^clc&-Kdv~i;GKD*Rd!6s?bO!zq)!>LBrC%6*^!wp<+kS94K{*X8{7! zk$20T?JABfziWdO!iicpqPXvO{cKY*ryKGs{RoqC?i4KujfCzFM#FmZO>Sha+gL>H7*`M-URvidwFplm+w8Um}T`|KB>UTBlqRz zgJ+s1!^&6Ib3KA1;jUF>BZ@qC8^`_)bB2c|!%AL5l6<|cRr&kVhCI1rm8lO`D}GaD z7e=kxaHl-RLp1A0I<;Wy)S~h{)zA!+#nPz;MDn+12iy~VLy3FS47iaRZb*1WUhoJUp1NfS|pp0ZMTj5 z{cCD9;)+1eQJmX3x8xB(ylVHunBS7y(6(BS%qc*6nyOa%MBmy0)AUpqh+NX}YE^lS zeR%O$L9FDcRazH4Y(~^r;jH&f_Xks1w5})({K`5la?J=w?(8fMEJ43F1{jiOmqRe7Jp1utU-(VV3%=lE10IHPjK+E`Q{}#!j+@iNg$Y!E4-XPeVT>~Z_1epQlsgopB8F&NVR4^d`2V@Qzg(C(UeyC)J~2BfZt=&Gd`ObSo)W0t%OlcH3mG zSo+HQif7EKtryo0zF#P>K95yOkE1W4%W)3>DjZzNpXi^GG{6OYbsMaNF zW5rJ$JZFzOhPhGk*nJaf!y@0J=8qbsJ>C_vjO1n!^s(f*CC4DQTG3e4N{ozLGuqUQ zN()C|(#rf+B{rCgJj_RNWX9r?vdn0BBlCSLgg3cDNe-f=HYc28k%Lxh`8|KJoPXsj zdK8Briq1!I_#GR5^`+L!i~L0zenTdI73bqO@|S4%?U*<8$O(Bq{&N2H{t(T@s^=?y zOGb~JtX6uUXSeGQu6#tt{lS&-K+p2vN`~R(9u?{UMq$mXDX(`4G+cou6mt zFZv@m;}?2vSu#16<)NbGS8@8U1@Sl#j|H{XxsV?}7PNXkeidi>sB`(9U&>#_`RU)U z!|#I2cYV{OcM|i)pS!B^^RB9K0CUC3kJ|A&8r-mbzPiyRPDb)7JfiY2pKsJ&@={x0 z1Nx*(qBVUW6h@)SF=ah$#ZX9q=)nyc35b?Me9XZEP=>>JifqZ$XV9= zd(lsv%LRefID0zly)0AH`R_Rw>N*EbT2E8xqMqcs)ZQjVQ?#|>B0Uq)NFzwqXpjcD z-B*`3eL(rXfKxkVi>!iboc;4&nchMkBl(F`uBh5n4^9(RF|(}?-Ych`S*nVLO;KKR;w#$5Y>zcrDPwZ8nXI(HXoQL(;~(NlTp`RSLU|gA5~*ZMH#@2U~{J9+>MiqSKOV6O4qt zf=|yRBT`-?jrgM#fBt}B(ZET?Sp7#uAQi7ZGHCN_qLkn9Cr+EEv}xSr0@}!sd9rEL zOb?QyQv7X{o%#=|EF%n@Y#LblURS3~1gAs{5=$cGNCJyZ z*|Eftvr&j=k-BI@k>fxA=hKKxNph(yRvqr1XIb~m1z3&kz{I*=7H0Pse`fFTj%n1x zBd0nzE_}t;nU-W`QfG;>>FPVmMhAMI7qYulUHu?6=74@SZE~)a42i=54qxv-=8(+WGWKoS zk?E4C$;!6L6eZXsk?CP_?3ueiI}DND*eY8}^oD-(Z`FgzuajC&OYb;N1Mab-p9+?e zY0>T~?Zj2P9gF*_YmF?rI?~s*dKB7@s(q`*eXYcOjok@6D_{M6M+3@Q&qxDMm9xvZ zD8%HpgiNL74vbW^PKP$KgV_&48A#~}NK;pss<}yvOPnJ)GN($DXQj>y{wT6yt- z(#`a}J{jjuDjtf=9a~fqB-jp`te8gl5y z@>eBe7!9Se9bV6LPkL3-bl=qB4v_ORN+>Q9DYFDh%x)PHQBAUXlN1=*NDpZ1v%GXF z*=w_F?`gA(T)H--MP|dqNV_$>sgWN^ES9vGn_0YjWrJGxCfeB#)t~nl7tb=ZSX`-K zK0#?|{H;qOUeneq%VJ?VURqumdD1#@3e~n(WFV1gcSl=xLHC}dBNnf;y@eqOC2efd zQ|icv736>RPaXMGbJ+o7Kka7bp$c`5AIhmsabx@2D+g2f84V;pWAvxTwL2}<+$nYT z|NVdEc(;ug`a2_!xxy*dm!qJOYeQP5KRd~tfgN-+zKA^V9IEZmxL=Q-;n<1q(v_a# zY59LK@ubvDBh&Iben-#uX+@2`)&2UOKLJyz8qR#lA-F@dSD&|9(j)pf>iBO)E|&J8UQj(RRPIbjMP-*A~~25(?0EAnia;wGKzmYx(bVFH($+OcIC9RKCvX zf}5hl(@*+$N~@B-G*Y|A+<#iWkNE7GmhkcxxmOD^ZHvrby)2GHCDO>W9n?eb5+`|+ z9c+#5)e>=1c53(HdKi_)*&nC*EnCc^sWoP6TQ{ZL>So{22bS{J3T17(l4GjnWs@S` z7-YMDtS3i5jj2X&b=HSN&LJq$uSjViLaoT5oe=n>4_PfAIuUfz8|P3$^{)?7xuo?Z zTApJ=mTp-|MWy;Ts;P+WKmuJ`rY#kXX|&pHr5kYRe`E@&cz0KR)!&z&!DwPpke00k zxg0f}FYrf`9xCXcWROW{MlSyEG9wxGoZ(2hgCa%&`K-z#oqi4l>uMC_af8h_!=(5# zl?LmUc~U>=t%L3TG%nPM)J6@`7J6-?PyR{AHIl9JG>ONF#Mqj&kVV#dmMKX$=Njp) zeQDOfs`~%!zd~``fFKXwu*%DHm?shuyB&GuQJhxg*tFKM5K1nDepwj}=>P{5?Qt0ZB%M z6t=yL+H))W+HD_$HjjN%Wq?gN-uh$ZcmEct^2P&_bAE|NCB25Y|5)RK=B++T+TSTy z<9Xg#VH0;Fv~iDkdRtQ~?W=98sJ(F0Rk=}8_r5^Y_o&--B)s0I$`2oZVPFd6#?Y%( zw(>@Ah5eBJEAG5hD?K?r&1)rhpoV6n+0&$kEMH*!n>-K`fEg2cCEkh&q<@n$Xxdbso_Wy@J+*`Bb{zgc1>BW zI|`&B2|f=Dy6xUM?*U7Cx(Y|ZzPBYZ7L7i;e#Jevsf|{2myl%q9F$b(AA^^3mX4;+ zD`&Jl94lkkDl*5?)ajO#d^`7_(G<(eq_Z}a=Del5j5fQmq;O7Mz@>=ak0fdJ6pWmk z#Iz>4>y_ekRyC#WddK{%3O4VicBF_J(u&_TStF{nc>pb)BRPdKPlB#PA+s%2b{FOv zsf}#hkxQ?0d5)+XuSsXH^B6laRZx8)l#WCu!)`n!A$!!C$HH#uwZ;y}HkPQ2KTI|o zQH55|bW=n5?!T06M)oK0idbEdNTP<&s=u7x*u%B#nuHz zhC<(|_H-^i%`-&K@p3drbC@@|KTSG7)#~vxc!t_kcbn-P&hr8I1Omo(t~J` zFu;{ z1&TRX@vYmlUsg)ZMxwP}{>!iz@SpFc*aQ5ATr-r8<&Cf-2FX2i^owZm6QJnlOUa>?qyy#b1NM;!I3e`;|=>7 zS;CBZ0RB`4_y@gSEP%I-2xhe1srS8qjD>Rm0l$_eHAwhRkStl#J2N;5Dz5%+9XP`=dAz z>i(WG7QTbr%RHuKEYVAY5M~s5&^V>_tf!jMkwd}A10{Fl&QdC!bGFhlJbI;Cm5)|J zGitx(f0hdgCG#+Q1M*f9Jp)x6%4k@cgbup9n@c*kvN%$?JIr2AE74Mo?&w2V#xk74 zODj31**oX=Aj_iDu|#?`mR(DAPD08-D_hy~jOSKnE|F#X8O{Da$b(igu8ajoD|I+_ z<=eP7R*%1MshN+Q)XjPR>PxL9^hl0gHNW)3SC5;16(=7#dH3CspZ)H?bMvgU`PXpz z11zO{AyrXKZ3K*dn=>%s^_cK$pbxa^s(flIM2$< zeeI*)vzcozztD5i%2|JyX0+0lR(M3|mYK|t;w&>7Tz+T%w$9m7`~Fs@skbs+_ZfW~ zkK#b}``%}Nv~nQh9Thvf4F6Kz?K=BM@f=mUv}5=1{w>NlgUlz9(PHoI#CcC|uZ@_; zd{VEsy*L+hC`YyM9ob8*MX5t0KWpXx{`hnzOW-S&iIQdayfW(E0#*Gqc`c$(t9+;Y zQ(JpLm2Yu2cRHILXDF4evAsh6@{e*^u<3=_y*_sxQgnbNdZ#7S!#mlSlqLaLjcM zT`dENYmzS%6mG~{1gQ$9<4ST>w!O5yI%@;PY|i;KSG}4&_vA5r z;WB|KuwQ(%lXUg9WrZnCreMP_^xku7qFZl2o=}JC>_s^Ii*NabLl`&J5h| znEUG8@zpz?*Er%eWm$A~5Ks^F`_$k6ci-n7zDB+sx|Zy2(Nl?I6RV}CX4;bl#G;JC zI0$-`3ip|OJB_Jwgpxx!?Ip|Zb>i((BJaa^P`PyeFGkC4>WY)`-*1d{0u2H(LjiMcoro_-k-s7Uz*54;8 zdQ-^m-Rd)y&hvz7%ND4wCNfqI8I7%m(gV?V_y=;<-n5{*b9ZS1M&D;%J;$Nry6Myxjw4T^9`#MpH zwo`d|>QLD6oR6*J_~>SBW=};C!t<0Z;yspK$a^{URQoA>4C*GLfn8aMLL@Y{{ zoYfgdW!!A9>m_TDC~V08^lT_+v*#7|NwLg0^|b>>o%Lx}K0S|drX(laQkfmyI9VQLW)P$JIIG9zsnt#$LHBo@u&){TYcDg)d2~;oQs_g;S+0hX zb3dZdgR{7jvMDHr{~Mq=TLS;%=&BcJN533 zJ#Fb#_gc2BedlxYd)xe(I%8j9Ry(`Bf2Mihxf^m&c%aq;y?p&kht_A%_q7j?{dq6X z4YXPLYF6{kb4T@IglQaJu>cX^3x-z_OE<&Z{628>r&S5 zep<{Ur5#wW1h>T0<_fS1?otUra^ef+Z zMZp-!$nqmVMK1QI(5wnRkShJO&iH$J`>^|?_T{s7r=hvv{=9W}9i=^wVa-|h&ep5) zcxx_+u8eFZQ@i(U-fP2N*2rRi;p741UT>f3f{4yLT;ivXV@npP5zaz9j8#9(ecVKU>=0y#LQ1#?oJ3lc)B|eb;AFo@xzKodK@2oODpv#>J`Sx2`5g%HYaVL9{6{P%Mbt7 zlP30N-K&ds0DSQsEA7}E)+wA5yY{dX?|eJky)FLjb)M{p91Onu+^-nuzwqSVf*89j zcr#!1B6-!X1+V(wNXF)EeaotZ>Ku@n9*??}UGqQJ5w-8@ZZ8*UTtq(p8N> zC8{c4c0*jriDTqfBWFsI4U8@kX zx-^0|9OKOwj$Fk%va38=YC0O3{(L&E)JPA9&4b=^dg!tdGCZ53vGlv>#^9(-E6W|4 zjb@`CmQ|5#64Hfp8-ErXG^&-C5s94C3jznZ}z@L^3hksOrhR{hb@9D-Ms6oRVXR5)m5 zb`Y|(q7Whr!)2=3Q9AA)i$c`OGM4U81B@=ma&Jhz`b!x@!cCNnZ?@+Aj-#CQos;w6 z?~9&DI*uyIGaL*LISA>F^p51f(<>b~4&vA+2Nr5myRrB6m5HrG05bcM?l|=HvJrC7 z?m;Esl{(j%L+ae_>^Sad-=#*km)`78{2tXlI)G}VqbhVRSjI3WM93luDEg@C;vIvM zW1J*6V>j^th?)!KWOqhqeA(L?TuhD9ZbIvNt> z3_=~v`z9SSV>F98PhV~o%%e&(9cY?SN?(!b=!;->(Dl)(Af){ET9+RdD86OeXKQ^p`?!`wYk~atu()I8Ai>I-NcBE02c4Q&7OLxozpS|)iG9Q&8+HFChm$l`dwJ^|wu?SND8RQ9X(7A%FPAi5oNS zx)#rZWbu3}%D2?Yol18cuN+EbNxf5k*K;T2GdS+Fa>sE`BTv5X9_OoF@#>;itoY*! zpMlk#km0z~^&Q7?SD(@PR$94dS%1df_F?}ofBx`54o(;Kz?p7afQ01HB#SuU9iNGNxvlNp!XL>@%iu5SO5aqaOHkpBy?4%7)r^+rR(x zZ(2;i1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd z1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpL zOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8zywUd1WdpLOuz(8 zzywUd1WdpLOuz(8zywUd1We%nYljYzFoMD`jDDnl2}Y9St1w2h0y{8*`IBI$mgEMu zAe}co;Z27C0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ ifWQ|5b+qyB%2C9zZ2x^tO?sAN?1s5d(=W>WsLmUMZ#l96 literal 2097355 zcmeFa3Ak-rc96Bsu0vIT0K1--KFD~tVho66R|P^3^W91#O~_6|iK4KoDkT(>a8jwj zN2l|>6p28TEd~TEVN0SQ2wW7&r=sqv&2C4cUG+?dN{k+u!rvFZAQdN7ss1_^pAY=k9f$-0=mP+#q=Go0Kf3>C;Z)i_$}Z1;lK0r!yo?kZ~gE;`u1=Ajt_sw zcl^VD^zGmFZQuHB-}>PX|090?!LR)Vui)up>eo~Or)YBv#=H%DOP%b{4ZOA)vitC` zyH7V{|9`b{e2(pv#`#J%YyI%G#zQ~wTDPWOjb0mJ^jp(I_7I2;(zk7fZjFbHSXk=@ zt$f4~5BVCe^&f4U;cp$|c22i#)DKwqGv_sbAAQ?q_!-zfw{4!~$3FMzUajoDIBTEk zh1>py&A>z7tRr^7kZB{V{S3Qda~o@~Z1lO$e%QDcZ}%Vkw!^ShF8z-K!}onyzeB#J zhiqW$bKjVTUOf5_ywp2U&jUktA0GO3jfdR@@J|57mL4$l;@h&@{IGqc z{D)u|5X81$Yh^yjcD|7`E!hT6h*7by&w;)23ytwam3Qz*xfI#ZB_;_P)G^ z%r#=J#$4y7Y@NgHoSX;sL#{tFkT)l>K7@I*;4*JAtvrhR$)AgLqU$ zet=^Z)HSNn!&ccISf}RNe*g@bd0jpOJ{|ZZ;1dDq0rErsOMp)U%;_B+X26`4i3RBa z@{ZB4W30Dv0dC9IykpegTDGPg-o}YA!2`!?o`ZiqAU{C)px*~r zi?0RN@ucl1cD-2hM}V@IfZE|V0V5CX1ZDaiHV42tHKzWgzY+K#ppCYIV^A;rbHKL% z-vp=|zQ(*bM(g=II{Zh#?*@Js@X5fB2V6JW>E}NM{!2jpR{ zfci{?W{hv-udg2tXftH`5L{y;uV2_fnV?>sApM5`^AtVyOt8N;*2fr*>GJ?>t=sS~Rxf;aK;Ai(mlpJM0<5bwNg>Ur z0&fBaFCN!VvDff5zo!444qpyvH$a==?-KxHT5sc8uP4CJiyi-fAs=xDZ|#OmypARR z20+;lUcs;te}6!1O$750zArFp^|Jx%B)C^tFKwiow3MIUVJ&lu{}3Q{uXC=)`tlT& zAE4efHF&Y-k~vtbmfd#iJWGE8Aa*bNBfy^k{tduAOHgK>#&=Ch3%?q$-tMK^>(6tn zt*q9LaeF?Fb^Y4_?Z%pO{Kg-7Tmk7My3neX~hg zYg}Q-tdVo5?;p`Y+8o5j{vp6;uRz{B1oeXP-w1pzAf3TijpxRS-L@>wdDv&fH17B5 zAU*OLGVu@Xa9ifSum-n~HE#~%{E+^QfY)(p!FoFH#uZ#g@&o>Lz->d`e8ryyd`^d- z2gtkL*5H~Od3bI}kKE*4)7GSAvE91XTzlpwsFxq$dKk3pz_(KW1|U7)j{_qI&o^oF zFy0#0dHr_4b6A-+*26e0i}%0Z^GDuuLNJ!RaGRF@+rVE3^dq<)y)OP*z_sVOD@YHJ z_uLtKm%QiZ+W_}8bzcp*KV%X#-v-DFuE#$LjGUaOk=w|@{atWh^%^dW_+n#P1MB4) z8}%~xp9Z+!IR^1h2mTcBCjs|#_o)HO2d(bE1H@U3RpUDIob){LdLun#=3}gX3otKp zaW0KJ*1vhUuL|b(bAg}J;b#IP2Wul(6J?%vg7acJu6bj-4#k3bjJ&-5xE7V0r!^FQ zGVm#Y_aAHUnqgmI(B7x~6hM9*&zNKE;~aIY#xghY0Q-%++*_STdFAdG-WPj6@9VdJ z8u-P4*mL)bfG-5Re*Z*3`+p7irNEa0`ZJzjPQr+5t>m2(Wh16C`H{znuU>GU5Pv2h zb}c&xzHx6qd3C;K61<+fmX(i~o&$pSi^dzE-g;SAWu6I#2&B zpiEHib_W<|#bspy88uS{bTz;Gb&Pz@{&6;+Nd@-<= zxgQL0UuqehTXS5%<1z&Kac{axT+1+0hg69D=3p5c9%GGj^$?kn;`!@sDbmXB=^BBCiRqFYi%TdoUk7Itdc{8A1nY1wYG4_$i zh%tC`@Orb3>uV0@OK_c9GuNJS>;J=mcLUDHF9YPQsh~`qam>TIN&jd-UNC3tZp@K~ z*In}%ygK8xEI$6@-FxLn9^NC4yu9w%&pzg-jXKXiL0iu&*NQa~3!bmmNl@+_B-8m( zn~{@wn`1j8-v54S;ZFc!*QHoq`fmZh2hfk8>~8{I%e@A_r-Roq`2p(JGT%r0eZbH= zPUAGMU-ebqw~V-AuP=i)ruoPZd+!&`W6XhjvU{Z0#IcXMcb<3HMma~u(nhe4_g=pq z81rYW0iMf)9(l-150Ezxq0P!W-#ON-;~Y7R_bbfn*8#>t zzt~1yiq~sl$i^2?na8+}8^;`kQ4i^n$5^NK(T}gYUBBbKVc*aB&w!De`FY(@ zE|~WK?X16J9p~Z5!+Q{A=Bdma1n&=B>+58Sf@imdJEtHM($8&&}_6d&x`TM}nw#I7{ zX@R%vu6_~VIp=uI%X>lhGS3U|IpmEeC=)A_9^lq)0-ghc@8zv?FqZG351F6${3n3? z$m4$l{&0ss2x#MZE;y&IFKP3X7RH<^Q#aP$z`l3t`8jyc<#E1>J@32^@_Hz^CwmX% z`K!*)Nc?O^{B?lmhy3k4Tqou*@?7s3#b=s|AEi*4)L#sEQ=YsR8ji3+t0j`BXyC&S560R_rGXJAHa>_B{&o)sOFCh~>3)k5cdT+t}91^H_fD=Pirv z)-|AP@V^FdUf1!whAR`iR}s7x2+A7OXvgte0N3dN`Qd+H_W|<~hHQ;pSNa+<$Gy%& z-H0o8FaM7L>DxKU4}W7^Vtt#(h%xY5W*qwto!5r{2~Z~Z`KB=ktYdq=doMF;s!ou1 zu3W2*-+kNr33=tWLAkGAybo6=Kh~*pCpaE!G{F7yS+qLe!|~i7He&DNy~o$TISJCn z9Ww9pe;m-XZ+`RN0elVclRAt#4eT{^(7vbZdyVEg&Sz;(vxK!wxocB!P0I^S(ps<0 zg0BI_Yjb(8^S%#dY~jb;0^>Nx!fjgKWJXO})Yd9r=djj!&GvnY^|gE4FDk!%4flGv zmPwB~nSzk0>(V9 z-(NH@?*ZJS&CR@=<8jaG*v&y0^=aJs{l<3I-Q3f>j=N_F>g5IBryKCUb{M>P$i(ic zYZ&u6;)|`3C^Dggx@*e>1 zk+<_0b24%e8`GQz?OZ97_ufT6%H$n;-W>MEb#HF#JcdlU_mHl0={2;o@cbFHbLhHP zF75n{`vJ$G+%>OE-s`kB1J-k(ULURxX~FBi*A(S~v3%XAU%~xKet`EI(!RevX!-Sf zitFpZ$iuzI8nvjcl@~mheLdpZk`}zT@I41*t~>Rf3x0kocrM6W|NjN>Jp{eVyLSlo zU-SAL`G_6cIv;Dd=8f%oQ>M<>9)A(=HG|-FR^Dr~U><)7kTyr(Kag+Pv{s#dtn&ch zvtP%(P5a)npA!waHFNKE-d&%*r~5Aeo=bvxJMY8BF$`LrFvc}}ehh!C0w1fu$13pu z)e5-h{SUys`Q;tyqKSnXL`v%5R(Sacr_0Jp*U8%^DZUx-Zl^^O3$+k8E-{Pr)e^4| zxlB_GR~ZNau)gdzNs6c2xkMA+ZQuUfUD?X`6|%b9_ccgX6L34-*WhJkx|!}zHv`>K ztxJcKxKYcM*L%p1M!N6+@%K3Xe?wGn2EE1JR6ditQ{6j)XLkL^Q`CF9-;&*8Kl?N8 z>B9YUmkxZd(S5?zGQLl(Y?us;=$T6>?C?r3dJlZIuSu=~omFFjH^S1^J^taFhQS_gz$hpZrr_Q=qtGeOGeFX&O@g-LebPW85%6vS=m9l>pbTYSC6-(hb=E_c*TQqS@xIP<(S znM_@a7D=3?g(3cwZ$9&{jVdF~%XY)+RYJYjE^bTj2yPp^cD|mwlr!0>CaGt66P)=` zhLuTI-jS>X<#;ai(ZHZTyHq?dELJ#xW- z$VHq`22P@RSxh38sljjgblJ+$0zq7IC@JCvDpYA)`IO%z;n>o)Nt*z=rJ2Gz8{krp z(I@d3{SDzW7}LDrwmie|w)jRTm5actCMd}f4as8C4McTCpUD{H$ZjPVD)0@5i^*cJ zNH$HBp9E}|kC3LX`?sww+4)iGF4Mh?Xae3`)cg{`Vv_xpEGGKByqsUo#N~X;q}KGi zcxfg*(1~2~6c~X{%u!}{BbQf|?kZ4vxe>@g-wo6T&4`4>WSW{Jy-d@gK48#UeN6Zc zOa{_qyM1E1%xk@T5IY1P_i?9v6SQLTZNJn1ae;G;5~3kjV!~xZQyC^Hu*YJeMH7rp zXUSMG6O)pCFj+Pd%^Flu*bJx;G5mHfhF-itpU==DCycYLB$rJzvZY&PR=G4?G*lAR z#@LoK*{LR}a$z$}SVPI4CFktQ&@8x1{`Wt=NIphMp7+kV7W;IctQQQiy_RktT707j~M!f-@b2k#u_bSAJq6U#X3I4C*V% zNarJib1{1V+m4nI-u8dH?6>tV%RkfWs#jUjXYzjOsB_xI*;?u|f9_5GHF4!NS-+xj zy=Bq)xFvoC_IByJmzVE#`SR*}&Xrf{a9;gq%VotQ`XN8~EPr)Wtj)@rNxI&VEP{JS z_i9$z;vtF1_I7=5+Pr$M-ZCxs^Dm!f$&F^!GtF)4N_bAWOw%WS?Mm}%$@*#yZw8+3 zt^DF^b5~8ESo9wq;?6Gi@@~t`ikH^)*?4G>kXv zx<0c=wCF){>0l#ZlQd|q~rEho}wH8M+UyItvYE#%s8tMmr zJx%NK>LX{DbyGVtFzovj1;@<_E4>NjCVPv!q<^IB#&Cz#vz?F856d%NjWdl(Q{C;L zWP4*#p2IEFjkIq0SVg*FX22ix%V{=KNU!WLCBz><3UP+pRv zse{mmUIM|n=SqDx#BL4Me9DlV`zkS+D$#Q;lG|JPHzlU)zAeUDGEMoN=;rHr9jyyt zB(3WWFPXp}SiTQxp8-pqhTvuKYqP#pp_ldiU7n=H(@S_CqhP z|LAM;ul;>wZqGHkxq_bUZPfDSBE4z@<|B*#+7r&a#6nu;>BUJcqvFc z1j||^R-jC%+4iQ~wY#BDFMsocOPBSEr|-QG_e!57B(3@^-LvI($+xfXnA|t?J4WBB zf+d4$m}0ZBX)vatakWeDM_=?S9Obr5g*HvfFkCMnX^-d!s6%!tGLd9Yrz+9B{mLxh zy>WzWHWC6!0F@&+8jP5O2lpsS!scaR7&lxN+qzI|BF;=XlMBTFhrj7Cq;(Kye9_2g zs5I2;l1%0E+pptK;Z%qm#Xbr|aqw0#93@S{-qyGwA;<{Lva>MTNHK*h)Appl(gYnf zrnNKbAYPdQe1^j+PM1ddWp<34bV#&ABy_CNNiG&qQw}>g4VGNxcQ!&~OZK;5-Fdpm ztcOLF2%9$8nq+HCW;!l{Z4|#c@T2}=*UqTR*3q}Zw?t~M;@-}F`X1dqy?P!l1A48- zaK&6IC^OUq-?!XZe8B(I1Bd~4RMe7ZpHsabyT2J57xV}i! zPR$@{vtM{ryZo&!t~nQkD;QlB9i|IW>0UCn0-#)ASd+{q{lrQz%^1aJeSl=rWqQ)o zB8No6Ib4)3DySpnF-bQ0+3-xM%fN){ou|2GUQww@T>{N{@rvh%mAGiworMDEEM$zO z+%i{{5Vj@lq*i$}W>&g{l%8#X$hJ`h6nssop&8VmWYp5GvN)_MCJ3Vldic|K3XF1) zv?1x0piF!+OVbtgFCgtcGyn)N%$p!YZ4EWS(gyN~vha;)15Rm)p-VQInr+xtn>ECm zS<{Omowo>Q{ot`GXXzcP>0%`xxvyoaV-((?PuDexkK#lNczTsJk$~Ho``Eq3#|^l*_YI4> z;+l2ok~nJ`Njqd^5?Y(&rtvkIG<)&)pK~uGkAX3}jAm;)$Z{qXH|TxJ;8$2#u!d3; zw$(<6Y-whW3)qVxXt-K2T(2@h5vjZ>OVQ2uLT_zE-6Bz4GmS0{#kyX1triK7xy(SoRnPdULSV;50(`yU&{3bhREynKczkwvkAS z?gxHGqnIPr1!9KxC_RCqcG{DT(mQ~>v|RHRb(J*Y>>BA|a9{*YBc?<0kgo_OE#g^r z-Z3l{TzM`Z_w(BQb2*2aae{fvL)_SiOsI{b=N0XKR$1vaIs3_;*}E)cBLY+g2@%Gd zr*fS#1Pvgf#n$&Wn9#ILEgH1Qca2hrmQ^mVT*(3mQn3h@3~nxyrmw>+Bc!__IpZ`M z3lB#A$RQ3>%2P&zY%2MxL7xM_%Bf%Ec=MRucm zK|GGMsO5m9I-rW?*tB!A!lDKZM+J4DT5tSzJF!ldm4dFQ&&E?Vb)GUVI8!!j54goU zl3L{RQ(AgJC8!uM zx(>eKf~z9=LgTZow3VOkyQGjNIEsx>IilB_;E)OSL;m*9o{kVeW|%~#m&+>To4-ai zIyzHvo42AlEg1=o*(B8NvNSeYXSrh2AqoUXfWqbmMB_kPZd`RfFB?07mRQZ~%$7U4 zX0d8pcIyR)GMYgVm3?C}y$lsY0M1xhMTK>H46zW|(v+b-4oMchU_Sj9FCsh3(;%{K z4s<{Go1~hOjv!h^Y9^Dcf>N`n@Wsj|4jCa;k{o4(kZ%x!NL+18YtHv7F}kTB-mFO$ z(mYnVwBn*g&u?pzkXaeZ-WYEa^tPNWDQS1rbkR_6;i@Ef&tbP+9p@bkUAJ%f28>QE z9otY$b0{f;YC9@H^EBRf)65~#T+*TC?kJ-eOyB-OuF$>uiWVP)fRz&JnO%8M6%R(hlZEYfv-u9#-_K?^?9j58)|4geA(yUaP zoaBU(t$ma?C|;dnD!Ukx#m?&pJ7uv^%aUK+@4=ecjK&sFDhFf{DQ{bdf4SlOF!tcRwkD+U_o#)+1Z1r5&Lq0*v(sH43U|E zLVdM!iy`c;(ud6^a-868)ami`)NLN# zNCo(#J?-FuA2Km7RP8>;y6;033jhuECwJDtjuu71hm%t1UhId~qcwZ{%A^1+lsxdH zP$>MC3nF5T43;7vs`y1V75;4+6pENg^_nG7IdY$!sd8b|;+lRK&;E$%H~p;%IFK^d zuJ0mGk;VK?-nruz?&=CDuzOrZHG**bO}4$Lf zVxC2$HYdWq;lb4kMJ~yg@gIM0VA5z_&N;Nq$A~Cnp}&7XPB;6GJ3^kTS5<>r8qQvj z;EM|?+r|CEBT&82FfvCnPh8sww%e!I=!o(3x{CKtPV&3mlT-O+zaUiwxWw=N3re7x zu4W^e^$Xzr8`~(auG;_q+V4+wgVp?q2o-D33*hQ1;&R$;@j|cNmj9dwrtY&|;(4+H zvjpb}@b4??<@9LgOl06aUzO%kZ1}xCIvW2I>IIsKzoOl|%xEoPdqVp7h*e&x`xASS z%idgY|3IEDI26auT(-}<_Q51K0o%FEFV*c-TQ1wf;|QdjzVl2P+e`>M|DG^%a{a)` zy43pO2M|x&eYWN4bv{(pN0iTC;^peL2A@t1H@^15H|sPg_Bvi` z7rX6FFT0kCig^OI`xzPlVI-*2rmmQc9XJ;<_LCN1B6UWj9;g>WwM>FPxhh? zMU0mmf8PiU`b6vL;;?=3#heZpa@a*~1tmH7{qbn;(^IMhCKXh>C{IfHRU3@>|6?&B zl4^mp>0DxU2DqBV6yr+V&hIUS&#i^tfov3htawYLw^4XZ;B4zD@n9W45SboCVs`i} zJSS|+jX!&-6$8i|wtIa8*)qtJjGYrPS|OYTfI;sQx1HU>%1&3e3O_e7dbO?a7Z)u9 z1)R%O&4Itp6%83d@Wn~oA%_eeF7I>s)WK9ur3m@X6cRGE3wc9 zJJx^1)j??~j)zl;@yO4|GK0p7-<4B=jj6BU$TX~{V(_VofylOG%c@(m5pApSEL6{m z--^pT@5KA$9Be|aTd6h@l`UG2Vd)FPUFR7J9I2N%v%@VMYe`I@^Sp7fvb--mU+gV= z5<^_P2s0h_wr&trf|FWw+SjAjbKAIhrJCn%%vk^WKaT+`br;|#+19BVHJNGN zMe@>Y`%{ z4+1g_efx^syO(B+ zV7qI@@-AA~cfKUYw^FVpuxYXFU6eF{?FCQNBB0vM%tRfgbnc6ZvLp4M6qJ)io&IW> z{-~Q3h0D!?Ks(bMLt_&m?@VBxfc<_>JkQsOy>V6>ZDz439MpYhc<}sCLe(c;^|EXP<~(N_$t9OfvGtc&>Q$Og@YwD?swOIJjoP zv5n1Fd+~gw)-%50Rtcud(&vg7!#`>GurCnaOw`1208R7W-d4vtkijXg#q9D`RE{sa z@Ylc3WS^@j2(}N>zn0fqb`rUsOFmYS(NXj95HIBzFmwJqXHDp^f4IoG+wh5eeO znQ`-bM809v0iLE(63v_-5SQ>^dS?-)$klhzPb*SvD?Li$&6d}R^cn-;Jjh%xnWp9? z&^iI)3#1u@k;s!7{>cUQh!Zvm?Q#ni=Pjwc(Z!^8n#hHutA*cQO^I^`Hlx{VtdAz09U0-zkL0#miSTZ|S8DFK@c#rS+a- zcpF z@Ck_@{eVw6!N30XKlaCd-~-!V{%KQ#VD?HQ2X2ev#^Tq2X}x#3w9dH6-(|Lk_ztdt zs0?w1IJQouKm&=ZTaY1>AL|)zE;PVm?P{+ndRCLYOIg+}eD)sLffYK}v)9GdMO)z$ zdTsK+4k)~VB=^rpvut#RVDcEG;$<0I&LtIMVr`(B54 zMs!DT%iB%%XMZvqI6M2Em2A)Ax?z!fV|j1|<<(~RY^%R&wi_c%<21y!2|<-zwQY>y zInoYL6553{>lRmGRF41wC4ZANn<%81AL+=@cY`X1^~zWFkT;RSPPh zU1n%|qib-!<_$^1(bXd9Q^w@Pyx^Dyq}NE!7syrWzm!9W5rPLF5>$IwF1(DqeJ+0k~TzDGl3fZV)m8#`}hn z9Zf)ZWCM}3d{UdF7PfJ57Otr#&YYT;Q==y@+XHG#8g}mat6|T16w~xmeh1`5dpVA% z-QDCTHrrBlc4OacD<0`wcNVA}AZ8O|?Mpio}t;RJf+UslM^Q8r@21l(_6O7cLsx?MeAg2VN*V4aWsT`)Ef|lWHjFjff*a zLa6UVk@cs^LIRjQIJHZs$z!vJF2RBDgoBv22SoPeH=6<~jmxg`T?^T#H8Tzs$XeLU z$DEcsLFnK}D6}V`?tL=t(Qy)3$#^7MDhI%UN?i3k?DU8hT=peEY~6wN65VAmat&)Hfm z4`eREiU&B%7Gm?<0D z_ZhEP*(Z`<_2-A0nKWeNipPoRf%paJ(4Z)B)VzvDylc{m>};c#K#?A{$25r(9WgI( zv8QKnK<{nI2vn`yzGmfiIwVqPqsgIT$l&(`F71ULJyf?>REQf8BI6%=kwP&p^#!7B ztT=22%C9Qc6tsr7!Ui{p8cJxJ_lhfB1NogA+#lcmqHN@5GDG#4;dJFohE8UL41?07#yNptz7#gkw9bCQj6VCff-sz& z{19TuP8FIeTByimw)m}0j3A-d`kr?VuU5K1XU9Opk#BS(Uljm-4MoAOL%x!l0yS}! zp%F!NAsqEbwAW0>pu=f;F#b?bf9oAp4lXdz!@2MZI&@ufJL{^u4n>?z(7Vf%?=)h} z&mCZaVuMimZCz7p0Hm_kq-hpn2o~JD6noIr9L=Ikg5uo?b+#ytyk!wcBb%nqwstx? z7OiQ*M^Si&`n}J8X1JWiP|^Fgz;1r6FML70s!pGqbB25MJ#sX9mxv~-5a}Hizs>I4 z8OaKo?`T#Sm8Q#yD`Q$S88n!6KTEh~zHYTBYk_o)o(DAK0(GGv?70XIYt7A0p~|Lm zNbn2Ly(U34dcQ9{M3c~>UZCAUEbQ=bBek>kVUjnBk&-6wcD3VyLZaBD*Aks*iI7Y| zAnY3&Z46>b_$*mey3Fw`gSu%1r=a#AyaSv18EGtMdWx_TYPL&LV>oE4R7bYW27QCW zAeI7`pZYrpvLuGQ1z*OKJ>R2lF{F)1d&$N^ zNaab=NOnhs3sh@SU_lKEA3r43V@Ek(b9#BuR7^NZ$9d0DdCNr}Fn=qrj~rQ26%Od# zkIB%~By3}KKqge$7)EEzHTC<$ov3%g!Xp!gD2zAYJvyW+FS4wS(uB452ykd1QNTjU zOI|>E068PwO$ti3>4Rv|IqWq`!<0eQMb`*;7fIQkp!n@jrH?7ePA9z-Z6zZ8HdA-c z_9?N7LhxNv*tX%tS&-B&eVArV9zuv7Q@Yj^BxxhmEQC&LV|hP*`qXbRt%T5{Kq3iz zsGe8nHvLVsD7(#qj#8x!uQVTfML7+4oFRjwaM+X(+ceauWZOMX3g{S%rY;%IS%KQD zN6WV-h=#D*%ki!^DDG^^)Zo;y2;qrz=y4!yv>pY8#~JFGBm~w#&30)5swUUkByCDk zHQT{W)5rNuvJUBQC(}INpvvGDqFd!XyUGCtf@2Q~Nt{I+8(iPk&H>Ja#Zkdxz3^lc z{LFFm0atq!G|F~b9BkGQL~eXtdh#v76xLB94Ro>a(V1hHz&8={+&(+k(6K8Q6RcJc zH|M6VRMYgSUnASLJ;3NW@A$@U$;l}2iYls-6wEM(OuFtfwk#%v z{ID47`i6CyXcUdAU63efj~wC-p{?&V;5J1N9UhGjLJHwH!dwCZeLvQDsxLvy6+ktx zR>KAcO;pax@U##jk5w1QG>_>>0d+6TH{3>Kztr@rc_j0c9@RWcvb|x$sJ#Qq>FGXG zj26@usi<(U&+J9Fpq5*L);Wb?TWwZQS9FrmB>^W176A^1{N8&UNi^TlhS8X!VX=*t zUWIH>M62)lM$g>z(V9`wsCASq9sH_{Y*>Frbiu0i>Yud`U0+8b*%;wu4LFJE{(4uq2`!M(#^2<&!H0ORCcU`L$k205wyEX(;<7r zZm_M)$J+Tg%c0<+_K7GXzXkuWAC3v)-Vz$NCj@DwYk?3rhu0uiaKu4~urXNgJf<>A zmlXA5eU8*|iMe6zx@*?-|%C%@H=m%_@<;a*fIsd{O>5u6B3B* z;k#ab_lY;gu%3=3m{eZ6hd=uuPx|m(bKK4O?WYpFo}m|BXT4le2Mfv%9@(S<#P_Lo z%*gCpVzQo9Mg2}1nRdLo@m6t%m?$iV?I|j5PUbEJz!tWc$J720oFMy}cls5#sAnvv z(~fn0pg;#a(7Ey-@JE}cJgb4?2rV)Kcv*`kTdWO9&?U;>`O%JbK=aD+ST3k zxYR;fDB(o!C>(IyVel#i!g7ZMKSY8FF5>(!yEA=G=qKEwpppm}UIXmxQOl7BfDS>) zk9MbgeA%RIt8?34xZt*)wpR-I+{Dj}PfX&W99TQ`if@JQj-*xh2kf)(M8bCOyP$l; zY}{ag$0LKWcT|#H@-X8aDFxAwl!6*p9N7h;f`AGIiY7yWK97^8HC|*2yo$t|o+{w$ zKV^dJ*smhV^Uz59M(_CY(C(@tW`$lQ;+t<<2)@&Ntm5{CCcT{G{dNv0I$pkdIC}HF z8anZ&`Ha=*4UWz$)yq^GPN(o0jI*d#<#|%#K0+sSIVM4CYsV);J(U-y+7}h zBwgCmCAfTgne{stYsRU&y-@l_>jN9fyAPN?@@FFZt( zUiNQnHQZrDh34 zY|U8NhsuaJ>A$+h#4wMx);V6R)a7|P7bVB^GEKGWC38WK4_dL0;!M-&vK~R~IH&q? ze@F^&(70*^=PWC5PIRWVoNiAKTKNTian-uAM009c@Yk(d({5St=w0zS0oaATwoF9g z?e$~v3>d3EZ8?~Q@)2~G7t7u5agKsPAIymx^h7*aaGk6o`tU{Cc~AbK`m7mPF zg_+shkfFN4wtdmq6`zj1rX)Ph^j-0?g;Ww3TS`|;49b;$wU@&#t@(m=a&w=yQjXUO z3Lt)RaIkt#e$*XDYSBEdv<&tr=BwaYs@Oe!3fqAdzdwe|feL3%X~l|1Q5zx3B+-!Ug_ z4jAsD%qWQn7LBcLYHO4gUzAg!SroZGayu>ZP66$%t$gOS=#4Z2C1*f^v-5Qh13AE^ z@VF1U6}}A@P3|<{$7Bj((Y4{Qmf<6wKCa3Wyu1uAZFTLd3bwVUIU-hDv)&$3QJOQp zXPwh(R$52LQ)uOQ#B4dOg#4+F#*>mnZ?g=tVI$ORV{Z6VoArs={y<4p>7^?G0=AkI z6SDJ-rI->t|CP9v%o9e6o$*w}+{W08Jw7oL04KCXT&CS#Zl2qUZ(i8L`&Kjt-Isi{ zSaf)7FRrae$HRiXmJgthPj-8H5+@07sM6|?oX;`B^N8ub4ZhWYGo(}u_H(OUTY&gJ zYQ=rCa9e4F49Zi^Ih?JIYS#$MBCGOj_X=oVXw(r)v0{nw<$rEX;P?`ZeT=U zN?K11!vbydU=z8L(>AqRih(sXK*GHMDhG)HIJmc%fN|b%KeCfA^Yt-t36T#-CT@Q5 zlD*P@%8ye88%2EH<0(e+Hg-8xDb6<#1ppzN%BCh<&Pf)@$P+9m()+p>Qu8Q>uXz)d^6#R@7Q6$=w(!$Oq!a?-GUHWx; zc!!dP^lDzP!s||J;rc|g8HL^NuuCXl9t+wwt|JCbG;)b1_C1ser?}!JrEGVlAZ5!r ziKfe^S(7|}P6}9c>7^z!VwBcvQ~LQ*0S!97TzG{**pN$G!x0lnz)6tHShi!u*BKqT zTpv$G;yOxs(+`#6z9U55vI09Uheaa1%CrEotlWL#{Z6zgj8mdXS=g=D13DsPQ|L95 zJ9|k^N#vQZA^HifeGI3ZkU-A`YVlI_LTuga33;Y+w!QS-8UUw>cR3*!B%dr-3bhPAU;Y)>|e47Kv!AkfCOGJFHR{VfBl*F7LCsAoOe8y;1yGTUW8 zOZLI`*u+hXmkNJ@;fX`2*|uiMWf8aDm+cg96Y}+cWt!f~<1h2{?trA*uQ zD9*I~ihPLX`Tp3;z!$e3T>0`_57CT=XZm9><58Ucz{+?~Z=U=$=fu8!hFK-X@ zJmXQE{y@*vy*&T?SG321dQP`U5}wb7ZbXELeINAJ*A*7j;~kOpm))8T9m^Ge{x9xJ0Yt+@0iv9zav*XyzSHrZ&S z5$3}0lAz=YVns{JbMRaJ1wR`~_gt5Oe!mYr+xoR@E}q#Xo~ijr6@4aOv3pI6S8M%> z?mk)uzYj;p(!KR-XG@X=p9IZr(ZeX*#iI&i!R8li)LDp{5vP0EN?9#B+ zE{m1C4MwNVlomX>ssgCl(#XbOm3oXe$=+1sodp+mb6BkZ_~O6kZYC9d09K$V5G*)?EXD?Yvwgy$<~em$ zD%p?%Hif1^+(2nHBqa&jM?=!EZA|sdK+4g%BSK2m)lg#El-xoB#Q;tMHuEj}&asZVuGEAhPg+m!N{C?qS+$4do<~D?XB@!K{-psAAz~rFv{7C_DL@ z46=t%0D6gCxE&wW2W$?c`fsHyd`grS4mKMUi!8MDX8;rJo!|Uss0`bbecXfHy_-FQruRImle-v#!8vCqv#L*Oxq_UipYEiJjaHZsrjOI zH{Lbd?6nJK!gmv#i#?xy@95b0LLu={3h(lwLXm)Nkcs~1jKgV0!cA5t;HnQIE;4C@ zZj^^j+mFfyu5vW2c<8NrLBJ>9w1y!f8|Yl9PfNm~x1zg1M*{cv zUCN;uZ1x@7k>3Gj%9sVruMpYZ+tjtR$kg6&t0_hA9!Gjpg4P3wY&%Zlf@I(2d2uIk zWh0TBT~vfkLg`pE=wby!$$$b8gCSXIk8;K(hoG=ovLa+$7&$sJElJg%^EvCfWf9dR z^v$8%2&X1Ia>dKBE*yCjX&}qc;zkM(6+6jo-CZDBDhOI8bE|o(T2~aCRA&>Ntfdp8 zIMa2I3xS|0tQ>_S(;~GBS=z=WsgtPw@~x551)>F_bzS&%*t0ODm;zPgQ90-=iY75M zq{k0GfbWCtW=@-1x=j{wC2Z$T-d=_&meg^sv;1F312qh?TK zC!JSXSM5vFW(;9E9lUfPjA;S5qar#VY+Q@2plN2H8;}>)il~t8lcp;0mJFbYMiB(6 z;?bW|R~E!I>9EDdGTE)eDqtvzYzwW&8Jhgemn35d{xp9K?iR!iels!QNcMfOJ#G^& zmb-<5sOAea)3(^;ftjOnv@A~;X10Og$N^NF=r8JcTA`#S77#Ez%}mZ$t@Xg+p878u&N!X1%RtaFy} zDvQjca0q)2y*CKuwKE;r53qBoQqnVRdX=?HQ6KNaaXpljwI(!6fd+(1+NKPJbV?7t zWidS(=|bOxT&47?U0lGhXGkVOL5(6>pm2H?zOoidN}CZ)jwz@&E+2z@oRGJZ|83im)vf+H){E+J<+Kost%uD;3ST6*r=vs8J;WS zcdesNg-odSU5gS*g|quezUl-hsG{$T&_HB+%$;ZI(ffT~YEHB@P-b zqnCC|U?fQ20s$pNZTOTWXmkMuhj>86S((22OF)o-F+B=LQaGT16=tIZC>)!;6z&Q} z&~_O>Y9-$?qwTUi1~uu{c5&u&NUJ$T1*_Vf#bTt41oKy8xuyKE8liH&<-idh=lER$ zO3jUQy9n#Ma6%M{OFsr35_OEa_HPz8J2ZtOAt0*>9}otj!cnbPOfOtaU-PXBIH#gt z-1X>k&c7A&9Gvf0wG{SjD#9MY^sr2O_Lw3K*xeVakrFCWbc47|yAwBUg`$u~Wr6Rj ze1YU)nLUq!u&HoqKngyD_*f3umJs)z3O4c!4_As!}o4Olo@K1el|p7 zxpVBd+CRCTu%;J_hsQ)XzvOxM?&PqJC&R`SvxHqCo4L-u#YZ^3kl0j@SfIs0Ib8Gb z&%B+hSse>n(yB2{M2aW$LY>1tG4_18;&fn;hw!~Z@+NkjDu_N1zxn7HeBA4WN|7|D z^bQ#`xbQ3#q77pq74mCOB;dM`{1HjMHPF7`q-bvSw>!W5u;M8p1D*owYdu!FSHELY z_d88W+05oLm#FiMkEqwA$;IbUy`nnQ1BQqGP7~G`R!(=uMv!BAorJPy@ViHci0x^2 zy`k>tPuoMuGWcd`RGe(_Mn>$q*g;Ls1amU;WlWBF$3YPO+TD>W48is_P88Qae;4Ml zd*Z;O92srIL^o12jq5E^XeI|KnMdUc#e26rGL+vTsubF^Nq)Ni`#%>WUNp_{Vp}w* zfDPZTIf1~b*z~U;ZT45&4a1t=QPlF1D>|Mh2)?h4e0pxXW6;2kuMvxb)hzChzwkH1 z>r3+QnCXQ2`dV(Af1+D#FYeAGd-Gt(6L`#a%}dK^v%3mAbBUk#(@VshuOoAKdW~?G z-yOHp?)n7J_X->4TZUD3JjgT8@Qi3~h3GkqoF>jYtf|Wlj8~<+sXZqOaFv>8>*bRg za{a*`_*L&;^UKm=1}=Tu{c;Lzo5#1jCE^38&E`Fyx{Iky)8~C2%Zf@r+T^7A9l-78 zrOl?4hz%gVoZhl|^3{5I?zvLzwc{b17f)pKe61C{JVY};eq5_pfVkSc#3HypR<);7 zIhjU%U%lRJ_)hAv<@@yM2k2cc+BY*_enD|EW9mC7*>FM+S$5qmfA>ytIJa{2#krYC>o1kcLJS9ZJYVfP}| z`!_#+>3(g-Qh+FEJoOiVX(ibK#Y>!Z+6z&z0Sz&uuby z2Hz&|x#uGF4iYn=W{I}@99Ai#gl+PU*ENmuUV`aitkntOx>i&?eSAvXypvfdWs^v! zsUr51r^l22_~&aCHN#cCqh@L51tz7oY!X*Y0GMwUiYBTMaA3t0^jbN~uT9@>n#_Uc zNDk`VawdRzL8{!=B{mf~E-csbdmH#x`0eiTQ+j^`q5})Ks2Vo7f^93kSjgt`^ZXzd zD5Ni*p|UhZZUo-)Pe>Y9(ye=ZJ&_KDZ^Ox8ObR8dS~mWAmZ9K9>jW@grax8~{%_k; z`~k2Pes|ot)v(G!9+=^HEqVB9@9H#U#+<|uR)BbO-w5pA3{GZ zC&Zo^*Wy!-mp#79ak6zPj>#erQ}HD55{;dXj;Losl+#JM%5b%*8Ningo=Eq+vl50G*BQ@uSol^X?rRHdK1M2TTP|ho z$;Hu}gFaO?P_rGVF{~L0-)K)@fj0^-Z+a11w*9Rd>d&?kO*=LNfUl?!JRDno%w$uevp$^=|H4xn!>epSE7lYJGJXsa++tT7%} z<%LtAPTou$^}T1}ka}w}Cr*~>JhUjR_?q%!OQDZdV!ApgkI0eb#Z4*GcLMc491?wm z45c6iVxFq_x+eBKg5wG)>PA~$6&#Q9Jb+!qWLF>mdRjcUf?k$sE-DJu5GT6GQYF{S z+BBhzS`;^+;LVVSXrd@%suO33i(DW`6;*NR^Tr)JEO07`ve{myAvEhu2|mFWl0tA} zSgYPD!)RWWA5Og=GCEyGU;x2CzdC{2xb%{_wk;Fpsx1N@E3c}?MU#z8(@T~l_g_FVa1oqHon9kzsR|B@G}#B8 zZ8^xCkdw=yfL9RG8;&YLhKWg1d|4yM7N>wC!D2=5($}fNgxPk(i{hii_2Uq^Pe@#z zcCw6%k^bH)Nt`0S+=gfYFCpIejzB6#%(lN?wm+AG+j>ehVVf5aFwf1) zyzgF0Mas4nASbhkSp-!~=aX&Afe}TrOZoRIS0ie@8Gb1DRpA1^?USbIYyR4eM{(i} zU&*a^iG2L!gCCrxKgOdtFP+|)57E5z(&y#_EHBkBdH(YC^Lu!R=B*DNeCR{d5P^dTO9X}!0f z^_6coe7QvW@nL(!~qyL7wNIZ}^25niuPGO|O?8wnuS3*dN9D zV_)Ha#hIS}txVfI(c~}0n3g~SY=T*pjsnL&*BP@qD?>CDj%f4-Ji~6V1OfCcjVNgy z$+S+RSJO8S-8u~l=j`BfA+pL(wL5N|cAz03Xo(c(LWJcEOJ(=cmCfW<+wD>sr76n{ zhAtdyXt`{clK>sv=Kz5@j~kShS)JR4d@4;hbTF5t=S`iKOkokd!u2$t|E&3QCXpiW-~|Os9X~c z0iiJ04H)iHe?Vm0v~=Kyx1*62T&bmfC{>ziKu0w9HK~oRk*q=X62qQhWZn}O-E`WT z#lCChYyL(pA=jHD!LKMu?VW2TgC2v*FJY!rV^fCdu4L~pYoWzGVV#VFqbwDsp78b; zer1j!D}*dTCK^fG24K5cE6$hUNBVA7OD70~O3RWm*Ed8f&*;$Rf#D1ZRGXJBABuou zwXJE?DBC+w7fE!gN0kVUmX1X6I6%3}C(35~P@67@Br1wpvTKxS`gB>RcJURh!wHXhb?raap?dR&1u^36ZYVzD3;iO&S|uNyrb16#tu1QdjUe&p?2J~vzjK#7H+XE zkjKWG55GZE3nBnocJB97k+O@HTrw%Jala_FCYg;cWOK#;=}(3Vjy*>Mk}pu)ZX=6L zaqN^o$BHys#R6GmPj#+;j)$P2Lz+YzV%61aL{>^3wiQx+V&! zOMaLNngnsQ!+r&_M78a|?}I-5G@5;SZkiro+RRf$0vKuP>kfyHrr>vz0u+TH?F@o~ ztF*#KO}=ce8@B9}^a0RyoJEh2r~gcq9s>3a%7RM@u?%Ht5DfUGRx}Epqt2qB$0nVA zIw$D#+@T;xl&RHAi1cs?)tK5;-EW#|TP~+u1(yb`O44&wEnZ4i(R7(@>Z@Gz0bQU7 zbQkHdTWO|n`lP==vky`)5OcbQfP9WqB?G&R%H-hKu`pw0KiW`A7k^+GtQp^s-j(TL z2|T|8Ay628fH&715``mNmoN{Zfk#MOex)gjxB~>HlbHhh6Ev1lTTLg~%iVLSHaE-) zi~YIG(C>gV zPU`|8Fol=6@R0jNWk8IE%9nVbf>M;1>AOwSkN5|WK1Gzm?Zjt!Y7jko2ac{b^F)!A5qm1mgBx;E$!#qz7Hid4RxA<*{;`uUds*VC}d05Ir z%7z@y*`H=gu;GU;(`E-M#5l6O)@?kT;<@VNlS;)lJAqAFc#!iQlFUS<-P>{c#0f93 zQ$1eV3u~}^N_W%o`I^x~Au*@;U{YGvq@sX$F-&`cj^vKC2;p`Rd3zr|+E>#@`r#XU zEU@CIN>P!J*4O@FyDd=6C`fP(B@j-(K^F}m3RF=tchc-qMzfcSY|3ok4xO@}r8k8J zQ8opCo0x>syIA>A%>osUMdBfcxHaIAaqt~7mom7`Aiy>0$aecakezC>Yzl+ue8!i? z-`Yta3WAGqP(=#_6z70?A)0#yW>xI9oi3(t_>v}B{ASK=xCOh16PhL~6qI#NZz1>r za^XlcP@2{i!@4*{S<)b$^Rcg#hk|T!$AMXy7oC(IxEoajQFqNQux5wLK|jK_L57}Q zA9C3=1HV?mR>+Z1v)3w`((D=#9s^jak$#bJPxh@XzfCAB!<>EZrKXQGvSu_Vb;W~N^RTObCVvX_<}SyDsc&PO7Zr9c>jr^38Rig zmgscZm|9X)DM(~lXaRg6$(IL3^TLsBbxxY^s;JKuM9E1CI))=Br9NDp>8^MrUEn$1 z@Go;&R}Qqalbm78w4rs&XM9OF*)}$(I&r5~(AUC+H-iO6e%C8TtX#E7PY6_=eUJcM zUrJfA4I2`f+eD!(Q*)ELkVQ!HLXk|S)YpT9&qx)7eoCX7OZW=!kx*4%R$Mqid zPM7`Qhv+*+kH%Z>@2weQdn5!etc9X#B@~%f)}^<_RfD*etR3lZc5y}J1TO1d0e9zap+(mry7WQC9oHAYrS~pM zA-oA^sL^J(y*`qGL(p5iqneh*SFNULeX@b0KVfErLuXtfI76zf9&o;Dc+on#Gk7^$ zxI9Z2eCM4=kPeI~JEV|H^v2JNOcmAQd1*3Pdsj%QZWfFcQP-rknYUTqH4kO>*nhED z`l-7W87+cFdwwJUoFnz>9@M4>GORhKW_!`abUc9^p@;ZB_6-72f#g?SPD7rn=$b0| z9vVD%TJ2N$8CD_%$11D7sNhJBuJyAOf`kz)Py2L+(w8H_ucim&KYWL`rJGTX%e^BC zneyFap;{X5MZ!1X2?SkiOKYJwT0j-JbTP40Vr7NH0u3C{&b&3&7)gSoq>OzNQ`6re z3b5a#C|!xRyTpFhjkvevJ)@%=r0#H6BwWJBdXe^K^1%vm&V)LHQ#R4V3(x2@(!ejC zp^g+27zi)a3zvxuG*S*amnpIe@D)dJ6#UVB*+jSG5$~v=Dzt`$JIAqC5FK*UI~QjH zjHb97&_P!WK{sY1I26`ZdU+qe|#OX2*cS z`gi>r+S2rl*IUCtQ@4>CN517x;60hUSEn+<8#+NQcq9TJ_(2rZIlR_~NivQHa42{A z119oFh2lH{VuIWE8YmpD@sNIKB)9_;G)1%w-~-;DTdCW#Ip}H|TB%1q@`49?zONSA zhNaG)!SkR-I1PHK3%Lymhn<5^4Z?t{NH8*yg5x~X{KBTsl;#>`b~Z)c)@Z%#s@U{+Lmet0Yq^kT^aVbU?upmIfvGpOlyCwc7A%y} znXXPr&I0R$leXBO(}V#p5BWa)-4u7*aQ=29%>)k)?HZp~1z*2ywydlk%pfL?Gw;U6 zOpcrDmk1}jp;Gc8mfO#woSUu)&c|p}xbw^)Lp%`_xyyEaU26%Ws!Sa)RrRzDQTU#7 zq{YFvcbs6paoYw42U%*_;tYuK=6auYy!Shnk$H1(o0E-y&TgBfJsCcsMGT)hU7dD~ z*}JjpHr{QCYM4es82Fmp)8f};Tc zgrn3+bEJaC#~p+T5-@*vUJI`~lg=gFQQP~g5}YsyUD+88+PpM5!lIi2JYJjyH@K=&V1(m zY7{6XXiXYUrOD!x!l%u#yBV%;wjw2uEX!Q_H*&mdaBO(KeoF) z13;Q%yO~@I#Dvwm?0q7-8z1*-?O@x(6|rQ*Cl;i|g1pD^Di61&tZ?ps<}-g&6LX?< zK97x)&BWm0H_hxglQFV3d+1|gddQ#AHBidQ5t^FEBf_KQrLd!77wZ!tUnR+h&EXCu$%!owx=klHrHRJcuEJsQ*_yy&5P@GzWC)B!%j z%dqxdo0!U*lf;zP%L~pG+c@aCLEvK0U}y&Xm1B7sKbvXN-uC%B_K!9+-`*HuuG_ZN zHGvj++@F%C&F??V)(&rIH-`ATd$sg*qn|S2aVvI;@FC$Qb4ELE=H$k$?KXEGYYOlj z_#K1Vw%hscy=XSQ!KER@(4{dDXALiDjfc68YW0|>`EsHbH^p!63Z%E z+rPo}b!L~!lS5jrZQPu8nZuCfW!=8}G0P;tteUt4>kE%quOC02du}f0_)ZS?{MXZu znLE6zQ_1)HJgP^Zz7vO*j~}C@tzrGytr3%(dN>U4yfX)<*%^k(S zPlz0Ez_)~D;Nh}oK6EPJCBjZv>EYG+Pyb=FylK~*@CvuS=dkUQ39H8U770k)_in_# z?}%ud`*<%zHt3{5zaJ29Rv^G zawgoiVM&X!jX+2a`<8+yPw*N_e9}l;Z=UX)X*AF=$87!G@8W~%{BZ_A}%FEQwsN<1~X^d5kVcL(@}a$+_zS z4})~W@aZi*0anY?!lWnA`SCQzAN(>3ZUwKMhRDkp@Xl{hm~obGD|LL4q{M4Z_f+oM zi+eTblY5`>r@2vgp1T$6(~O(lWa775HAv>RN_@jG0NFAOm^q(R@ic46 z+3+LoGS@#)V8j2^I=_Hz|8HQ83aTK2?)#@`I?**XU;a;Tg%8QnIBZgt~ z6kIm$cv*j3GakVdlTrOpeR{l^k7advJQc=3ID%RbZR>EAczJ2`C{!COupmOIosKi9 z!}%;3kmZJSNefFZw8Thtaq;P`w0iP2kCGfhli+R7_gH%WR|Ir&vH){pf)ob4QgQb} z4LaRK+MY1uQQNZ}dp-bW{8Y8Qukj3=t04kNFl#(Ux(&J0jNfhOB=D^#LZtRd%_`{0 zX{!ajQ^Iw7)sXgQ_8q{Q)%rNw%$vC_{*rZ#Rg^V;*gg4thcUzI6JG3EwY6j(RSN+r z6rVC8v!@fO!}{n-O2jD&tKdZjT~}yvjNnZ#TbubY}rui`{C zRc0o0;hzGIyp*3;iJx31XpSmh!Li8f$Y#8%_S^8APaw$@5rIv2)qtxY@vQL{4Z6?1 zQQvX`d?BC0+$eYK6IR?+&Z^05o7BQ?$8d%L8G)Xaa}vj1x)5gG6mDnKbJ0py`d+vf zZb$F)rQcaGymyLm_XIiM?1ydCz1Eok5aCfV-5!rYESH+4SY1xcHfFr}DX|Lbfq<-+ zb6t{`w0XjN>rxxiWq?;#$=nU9;_h6#CmgSi^Z_!>#bBMFAz$3YE?|T@C;$bxz}Oo+9V3uvz6j&Ac;0Biu8@2I+ycI}r-#TdC^|E|CJWJY zt}anMhFBI4hMS}`7D<;8?*=l3@B9cJhFfzu?%UOUC5F;g=YCiq0YU|_kk6y2h{=U9 z58*R(YpwBf#llod>5kShOx7}p01~!f!FOeakCoYf_1hO6Z4jp-dkx0oFtbRC;=oIs z8jlo)h){YkJ@R57T0I_7%8O8U^H=_eemmyk;@LAc z(eN8G`)RZvV*ATJ-1?Ri_rCq*?XNGt`ttTi_3JP1y?0w1SHAX#YRk#^lRw$Fp8TQO z{=!zA+B|dKz{1=1FV!ZRWy8vOOHOUYxwib#H+t>!HW>_o6L1rxU;T)4kZ2{(O1y-}6Jl{(rMAXjNNrhL7LqwTJ%eI79zc zobUCXeD>M7N4ESb&Z_t5cG=i-+j}(Jb}s|#*Yc}4dwvxMFYEW~S8@L2?`8l9v28hR z!T`Ph1aT8l=oOwU5p&5!%cxFMp_*A+Ccj3tA@VF-=LDQf#C27e+O>G`Nq<)}HzeNE zHdXOm^AkLtqId_DyWaM=V-bMY;>1^5>%){%4}p-zFFNMxE*5VA(exSktocT0SMK!= z2K{;d4TjMxD0A4bLAAFc!Gd3cyV!AzyXc%~fYu7Y0l`tV#fmojFMb{3zXi0vh3@|| z)wx%H2LX`uzYY9ydidbYzu@$j5zj-tAN=vYjN$TN=NM9-9JB0=zQNF$Txtky@K+j3 zJ}!05XV^fy6?I)kEiv9x#dksNnPcy^cPyoMA=b#oyv!&@Ws~y;5%qy{d4h9Z0h9VP zIaqPqE@2T1#&6SSRYE1;5g$GV&~^95#v7ZAI7bM+7eJeOwF<)qae@;^QZ3Y$cSn0< zfWvu8qheJ$ct-#X>2@o^bwt|S?Q(z@c)eCJ=)RZ#^hCk^dPTzZ;cI-rT@pzb0;?1~ z^?1v(c(u3}QQ*C#RzkVh8akE$B|{y=K`jk?l!5*M8gY_o3=2Xbo*7AeKvdvT^(Mqe zXG`Yr6*D#Qw=m!<24Dc+$gC`^zH&=sifc&l8IBN2JUQ;ZsSpqTwQvso0*jrRztwOS zxE2(O4tXYcr`1U>e<6maRMS#asF2|Q)c>pL5DF?f-NR*Zc2kAXv+{`&%?&YT%cDXS zD9$~Ke)CxsBwN%`Hh7|@H@#LVS?HF?52dYU5$@&8x~!2TlpKhf+Vx-+3)%(aE-Pa3#K6`gA!h%GdP6rC36c2T?J*?MYq+8P-59Ji~vO! z^(ZC!HtUXDM@8dM;sCEQRYv`l9J0+Q+lc$Kzq*YVd+>)QsV3-OC_{v}8nWKPH7ADr z4w9eY6*EMq3!SYh0@+6SSXYp<1VC0PoewgM5)3pz^%4v^{mg!UES5bL7}&pf%M6x% ziF`3=swD_(p%(S$Rxn9OCDlO3t9KpZHF%Bl8<`;Rx=A?S^uy_^Tn0VS3B(Y*N0}n1 zhO(bXwC0M@g>OVG3!&|;#gc?rVnLl4U*Q53ptGwL^-yr5CpuU?wu`W_OnA{`#@m$= z6tK$o|oCOd{O`FPS|+l|Ie1lO39!djKQ z0ZLi(ifE*QH(DWwhC#EIN3%9V>e_K9JKVKknYZLI)Uj7M zBG=jyYNd|GCo||kjwvn}r7$KOYBn&Kgbo3c(q+B3jU@ zLkRjAAC=ZFQJmqJ2(CGT!)x`0AZ?3C;stIxj&6IFV$4nA1PTL!nnC4aYA*DLb`vUU{iH zA^l7__^Wy@m&w6*0~G-3_}~77LeYs>@X!T_>Kd~?x{3&iHpOrnFAg4%#N{W7(13I( zFZ8*$e3n`tmc{0mpzN(z%1~}Q8w#HYE_(2y+Z!CDYWi6x z9Iu!x(q9QW6*q-Lwc9JDi|-IkSp#Y&yC@9*9t;wa%u_xC+v`9=by)H4Y6?7zD_*j_%c^rua4zug;uJ zBR9!6*=92;L8d1)m(bF=P?_DJ&@p?}i`QG~Eu-vh`H`x+&_#v3XC?zMF2b$R1O$>6 z!x}Q*&i99$qBeNa@C*5eVRzN<33S6aXP&7!0sw(jU>1Rrbi*oVsp*r?V z?ZraK6?Xry3l28UZXGr%jKHSf*{C_`yJQPhaHldV7!gUIn^qBr6U@@S23N1qXOc@H z_lVJ1p%u}rmA_bo3J&?1gGAdDKSq?a3P2Pf>Vkj%cfzNt5&fo01mjkH5qQt!!gLX3 zpjmq4d(jOcbxMd*2L12{T9tBi=#8C|74vnqLC{E{|y^ zQbHqFv}(@+mSduD$UVnv&?B^cXh@PjT{rbd)h0V%DuiL895Y(wzw6b}vXhcZ9z`@w z;w-Wk89cNklCkXep(f5YaRWK^^rl&$DK*H&rTPNCu>sLRQbCmsb-hC^juT876HF?c z<3>cNSc1hwiD+QnH6)y3u}6JLQTMwCOiB^b=5ms%vW0jZf!J2|yD#9Ds6;)4kFN!$ zGXW+Qxv(jVfZ}s zT2Ml5qi2J%-gD#<>khR5*Iu@rt#XZQSTcTvWSEvp0aLMnBQT+bOWyZDbSPOFM+>r< zTK*>kY1oF+P-vOgpA8)ND3!{;@h5N$A(?m5t%U(w6yZzjab6y@L}lsau4S7s6{I5d zPN`CV!v#??$u)UpM%mXQs*4nyJE;lLIV7~ND!rnxRy^+>y}QxJJy;&A?3$=X)KI~( zOVz-E!#U0&iI;H`auqy!m4mQa>Tt#-Hnr-cMUj7425t@w9HS5>i>a=$1*_p!I&q<~ zFiZOj--TXaTc?TMdGb7v8m@Zab5tcz50-E4FwD}mKo~pum0BMhRNe9&@Hz@~{(Ino z2%bc1uKA(1piu=3ASc~XxcCF1jy9(v*7A}&>Bn@NCCXq5O*Op^dY}ex8nDnM_0Dd> za*r${DS@c+i$$y6wy;+j#{j9OXd5UxS?FBoi_9pSZb@Y7izMCN%krT90VRtf!~WiX z+AN8y^&XaVvFkKtcP(iI?4Bbn)Mtm(0Vw1%E#5gAi-s^K$*c19w4QG|OwI}jo9Iay zP(}ahM*d6Gkpd9Ja;OiG&Y@&W+oukK4%v|l4IIlEQdLUW8xR>RJ&J)#X@nv~K&Mq! zC&5r#mAPDQMZ=}tPQxtcGF>21bP}gam%eW`{!@Qa&9IQ{_PekR6~=J>wn1n#yJLsO zNG>+%JZ1JoifD(%=MijqY#7?U@h@r`lQK3$S)bIfA9Cb7i53R9TEkOeJn=g(_gh-w z-RI$WM^rAWaUSiHm(1!rLQb-lJnnd38YT>mvyI2k8K4`-6MOehd?}wXC1+>m1}_mb zGxHqbk@0pu#q@6ovw!NYMZ04h+hJ!|=O)?i2m z5W^F)bM9>bS|6qs!DAT{S#J=*Ma~-5 z*@kq#xtTQAE&pX_oi}?Q8p|2U4Y4M<`Eba{=gGsE(%dl>?yk1~s#)V1&uIdG!h6LK zuYYk1-nz#u-rY@@|B6o=ZNpDz+$54|8hh;-DPB&Ktk{YZ!aHUlc(dCb#Pb+1omFj8 zBmJ_W$0xKm)ViOg9SqDUBS=u`{ZRnXlV-nkwCqX>gyYb@1hj@GX z{q+be&&wY@@xpcohEcyE!)d|nzI%~JwRv}(Pir0tpFf)?*eCPnYP+@5q^&qlzh80l zOFjD?Gq1I0Pd-1%%k$^#8@pMrkA6DI`tHcBj$+Ax-)=wuoNWy2i^n#t===L$((l=< z*I)fAA@H#GH@-pU*|hZOJ8@9Pe&J`_8#_bZeOLI)Z^RY(S-<7?;h;K)uTrj!--*h^hj1bW&e2abhF3n?>;|) zxx>nNW}P4H?aY7a4ig?nA<;@*)ZF#9V-C{EZ5Xr5b_{?4`^}4)?$}e3Bc3l$u+opP zDDlkrq!v%vbA<%^mf9&VUUQQtFiAXbjhkbr8P6F2&pI|4nP=w~2rqh&A1x;)UY~Ft zNy@@Yr!*jaKi1Q|yZHSk?vr}YuY6L0_oXdC$P zua*~k_KgVfZpwoVpI84LKi<8oNzt0Ls)dOSHaE+h2ADa9OMF(5-v% zu7Xq$ZQyuQGoCQ+Qu0Qj)ZoP>;Jea05RiH?XMvsZxvcr-w@X)$_?~SuHKrY)4YPF)D^FS9^H9L3us#kAItc63gX;7@$e& z45srzJz6eyVvzSGo(~NedF&3SW3bUSX8WYad`g}3UouG~F2ad`2$ zVwt$oD^*S^RGTf~VzYYm_%RM=`u%PmxvD<88c6$x*mRzYNMH7DZ;y$$3wZ{}GBX|^@%cx-AxRH} zPNNCg&|+)} zU8S5jUhJToL+UeFGn(SKLhv2}jy+I1Z)o(OGr>scbS+HClOpYPH{8z5QQm5nnQPn6 z8YtVPVAZzyB&NL|LiB4ALB@^RgC2_sTh@5441;VQt;WY5X)HCy*~c3HnACpA&CJD+ zshKY`t?_V2F4=2R4IYtdsbPii%-{Dn%(Bax6o&{^nkHf1Kkbz0aicY#zHu{#mRM%A zP+VJtID*5DxiC9%)`CJ_5`d-R;_Ya7^@bEV2D4^7=U0e3*8L@6x@vI&P{cqSQwV|Z zfrmGRsc;W&+>^UpU5f)VJR*j3fhkNtvr^aW?zLX@OQ*b46c7t=@|PDY%y9L5H}TcU-hK1QRbzai`C)@- zfJ7JKPCSUk0ZSwY4&hO65`gKLLUo-1vu;h*vsqU4v#2v-{Bf*A2ypI2gi1OTELsyk`lXNSQ}1!lKx&( z0>y?SFrZRlhKN{%=kWaCb4tz<1yV&|96ByWf=;{v3b=ErH@q&E>t#|4$~gdcm20kp z0rs<)MLTSTMAE8|Mck`onLK#0cTp(0szMA|!?a9EX_ERYd`5`-MG(A0&Mu2Wi&dos zfmiFCq6&FM%=dv5tnf8Y>wIHUq}W}b1>*}TWwPs7#y_C{Ee!MqNLh&MCp`r5Es2-d zCNH#D>6(xwn7Vk$K!5khYCSD{Nhyk5hL3=IY}I8_R&OmZ z(yjC>AeJ+~h)*u<1uK#;wdX>v5-;c2ia)b>iG=yZ@DR(nR#mUzOWD4PQ0!~5ND1@- zIA@m$Kim2fGi{>LmFW#Ot^`=W{(`IVOSN%j9Q!7kw|;~-*w>kFeY0=H`O4~6+h6XM z-;No7@@swj%a6V>)^Erxn`lOD?9uPpypPwM-}*}T^3BEDdvA9y`W>6oX&nE|g1`1> z%FFnBs5QSF`)};L9CwQ4=H*+wO~1arxq0iGh4U+4ANXw@HqrcO{X)<90HSE?ts`6J)_sCxExZN=eNasIm=%a7uXnC#1V`Oax{ z6_n7c58pM{wDc(Z#AsHe$DUv5X0%jFf3bf+6`NA;6GZ|uj0IO zFy@fd+%SaMUR>kF+a?RvF7ELe!BL+j^3L43CQg~yV^+xGS+C6~mY2x@yhTUcjze%u zBgA!@vkhjPdugF900X#7O&7;7@}Jf%&+4;*Rb16b}|Ui3B= zBs>|P)3hNXO<#E<9qL8F_0A*^M$H2uW_e}C>p%Slwf}$kWoICM*)_gUA?zRghcwzZ zT$nULbO;#lZQVBPi>26V*lheHG=MfWPmlm<1bAy(UENw#Z4)#Z?>+qnX3vovjZ-Rl zCKZ`ya0?o~>f$OJBGb`Qwy_gtJtwf-Z>oAalHg7@%ENAk%|SB$vaVq5U@c5{J~v!e zb7ngZtRwNJ*{D*`w)Tul1-R`_76I>@-nZ?HHmpUnHC9(;`EpD?RURsi9Fh}sZhl7( zcShpUZr!Lf;w`~x!|{TrZhLn3`fM5){)Mj>CZbq~*0J|oq2Nz>7vMEO;Rq7q3!ufM zS6)U>6_HT_DwCsAoVPa*niayfR3+C{DHaK?5Oig$L4fN(?@K3cP!YVppu<{ka)>60 zF;+IvdHg!04s5qlCixeSl^_={uvk>Z#=Nn8&^(7AWb=|Ej%8FrYqKh6`Pn0ak?BxG zF-jcS<3KhD4gcitkf2?&g6?a;beBhz6tdf6*kmZ!y0wWYT+A5)Dg8kwtGc3y z%!A#5`FgfjmIJvyLwmMVUe<1pvu3dO20Na)KI7${dm?7MTw_ZbGwgz#aFMXDpd3@4 zM5tipr#N2F2c>l!bXwpc?AQG}(gUG<@j9pmucs1TsaU{;8?R3|iV4cVr4<|~Iig-& zq%xxn{FP*(klk9}29)E4|L zaDOIViIDh~f{$y4BWs4M!pjzxGeQpD_^^r!Tt&3eURqNi7^>t=Ri!INuC3mtJ0;>u zS=ciqE%r+DIJBu-5AozPrl7^aVn}u043GW5(Kev3tVNn%4Z-?2Aef;D;A-VCX2~(I z_R&jUGs8zUcF8;JFL9=QJo7o8%Sk-rtjb~U@0z|!=ko5{O~w_WH`=|COJey;U*{VL zDiM)W;HxI%Bo}+aYkOo)PhAGq!Wu_d32nt~huLHyf$F026%?Le!Z@06!$*@zn>dgi z=p6Fs{Xvy=Idc>Eo??F!3@G(cs_oVS0j~lCf;Kbh2tcOMh2i(?@$D@v-|=l{;#^Y0vkJ=bQHAeSL_J1jj0j4ul$k+*7eGQ(G8wiK{c> z6s9z@~&?I z*0I3ZQIyY@NS*aO!DkI5^;H6R_mIgVTiKd1oU)4~mUktb>b$XpOUV|45WRrn5(Gzb zREuxgkN<~I$vGoQEgm5Xh~*I7n{es`3fkgzhMr>Ny-L*+iA00W&;%v-2TlouwJL-1 z>KqGna#%Oo7C9FmMOQ7zmz`rK6>%QksaP#O$!Sfy0yPx1Bsk?#qY}hIioPklp$sW1 zs={G=;R=63@4YHHl`%(1Daxx9Eyz;PHeUT}k~9^rLUbNNgdA}EwI5)8P6 zVt^XcPCk@@JBM2>68H{I#d9*~^@AKQ1$=qcNf}A26iF^D#sH<0srF%!dETSJr>@O`T0o||wQKbqZIqRUpQN8M>Bk3l>q)nbz2zT(w z*-%0X!OGs8YPzu^{zOSA@Wr=3bnd$94vmWqVjY6L3bp6RI10eosn<)1yaYk1uQ?}h za_G`hBU8~!0Q43TDkCV>%#^~H97S~e%8(h%lFHiTY`v_T>k(z}(%g(=1!?vNzJcRA7MY$=#9k_9PdNxYJ%B$@3QtEZCX%|^#Wt!GA+8@F7qf#9 zcoZ_OilV4;ScHzwrBvHPb+h&B&DonC4XobFq9p~Mx$4n^^F4B^)Dd=5P8zJZZ8xap z0;76sbmTKGN2?<_XfXKt8cL8Hjxeogp&3Uidjs|zdo;*@FwLV>rR=0Eec%Fpc6dHr z8=BSX@X!4|POYukq`VdEs|-FR?|hZSR8fG!OCnx>v2dM`u9aj`d95WW=mQtMa7oB) zW4#xl{v_tCwH^q=jlXPs3M!NCAqQ?~ z_?El`W0U_I=%AS_S*Sd~Bp9{O0!LvCam}kB&O;K#4t4+biPYGA0TO3kl2o_>sbjE6 zEgh(D?VtTWBssf;?yx%(4&lA&5Xm9%=)OP>``~*aU-K8GPL-I3vFcG2c(2!)rAj7j zKGZ*-cbF=FjRSBMui1!w1)tEfB>!7PSz>3FCaZ$epnd{MkmQ^xDASS{`lZ^WxUQT?TnU4@1zMLuXeIx(=>b?Lg%!X ztkQYb1&t0c2M#i;)&(yIod}MvR^yIIAZFqvdG$b6z;Celirvpn^-quT02h!H?f3R7g+ zB-HFwc8Fd}1P)8&y)&RloYu4PGn$hDsrWOXXt*%s*~h8Z3{TXxgWj6g*&>0Qvo6%> z>##e7yq~O3MQcK1Q83`pp^_S{DoWfNBddsk0D0LUa3Too`JVQ|2E@Gd$rz=)bYO|X zTfxv#u+X^uS*76hx> zDHi(pO5ghGk8ptyo;h}98pjB|5$6W7H;}00=#F&9C+gMMD5PZh7M~%OC0mgzN#iZV zIw$}vnkJzvDS}c*4UwgHu7tVc#KFrtyYROm<#!P%;kt97knSW(@x{KzhLZwKDa5QXBK|hmq>*+;nf@Wi-HNkL9OWJ_ze$8jegLn zNCHc--ZJcX$cc6^U=%!Qfwm_GAljX@GjE^nol6_5%7IV6@5t|Do5a_4WLJo_q@V4M zWM$eq-;MEm%*m-e-&`Ie{ad*?wx6NakME)#iH4ddom@X>+t~4u zAft>GTc3KY~Cw3=WH_eeg&$RuXEb0O`zd!yka@$jTVkhQuw z%pHUDl={G;%3Yf&n4tj)wFNN-BAw*9sQ18Y7En!#LrAO@d=v@ zrpv=qi#&e7_3-0q7}|^dc$2}@K5b6NJ@cBGeR+7-ZZ}U@pSB-=I&Log!pC1|KkNBp zvG$LT_1@)X@KHTD4pX|>VK>`}HN5fAp#F38FN9sj{uUS0TY1;4>A(2<)hE&J^OA2= z{~W#Jgc^3qkmrFn(BFpG+s_!wO>Ft-+xcMy`)7WuPK%2Joj2}h4-w#X|5coI^XrA* z$y?LL6=62f&>rw?>b0hmFwYdhTN`Z-FpotE^I)&fv#@)n@H7dtfkhiv7~N+RlNW!d zleGMo)f3$$md|Fawmc~=%oEe|oNRa4?T(2Z|7N~>sSQ2jaMgRTJj=4VxcFRNw*7$- zHfK;8p4qRljlW#Iq&E0%#LW1?cI+Ip^rF5%^H_I8fp*uAhtiREF4 zke7>(Wd*(fS1jsL<7=7w+5Un535{~%u1z#?#Vl>xC+@02&UWtw+g`qZw_|d*r@!rZ zlzg-4kD?^W)mEI{b>IFXtAU*#6x1h54D>ka94mSGGE(7ZbF%$~Z7}JXBNKR<bUYG6Q{`(P%k3YMTH*a}s;2-!6_ndfa$@%L2{2}=8rQ?gl%jH)&4~bz|-%otVHr%f1 zH?cgwIL_pK{bN@Fwsx4=7G#(2e*SsH5B^$HCzviSY!>iOp7iOX#7BrHrN}qm>Jve` zo~*#p!m;B^wPnRBvY`kiz7JD*r#6#ylovM9Fj)zI&2=rY<>?L8&bvzJX7{3dqmx)| zxA(Ngb3@w~@U+G=pu&}S!s!Q-=9q0CZ-OP7cUH-vG?h@V%oG1KA6$cp)rlb|9~Q1uiqV*FW~ix zvk&~_(qCvm)|b=giiH!0SRSv3%lXLg4Oc&Q!duhzA^2})!{OfI@A|bg-=WeT5v-Bh z%AC2^vwXEPLN-rp&j$$}HRD;5Ih1&2JPj!Ebe?w^bOGpCb62TDeSWz1z-}kPeYStG zQ{sIJh1FPs9lH&gC~wSoYO$IhG~=xuKA*AX3no{p;A`00`ZUYSbg3z#Rl<|RGvoVI z(sS{xT@H75%=q>0lHp~>KWRxb>oxQJB>eox89wIh)pK{9FWg$4GFtPh?~CwZYG(QLTzo!KYfu!2x{ zq9E7=0D}0%iQhaurR=ob46}ktJab!)e{tfE$DWL9;=-={bG4$LI{Wmg60H^;pDbTI z=Fj=U?aB#j_U#?iDeMnHXW6Anr_rBhJo1FU7-t1#tj?2S8-@%ve2w3m5dw;o&c8D< z*qj&sf+fcW&do8CPx0b(Co6WaeXhiFzBo^EtbLpU@%Zj8sjV32Xzf>j>5iT-d}!zq zPw{E^=(p?I&xC)jl(L2GGN|=IJcIuENt5952A?i3e7Y4}DK_`4 z#?9V&Co`~qca197!?XQ-B2~!m>G`1BNLS~k=XoNQ@#C?2T>TyF?YFOApd`g}%z-&x zQZcNL74x{6L$NuaDpy0EKrLbdqn#Np6gmt4=RajN+h|s^O}$s*`vAO3yrZQ`oK6s; z3L+NFF+QcVapHX$|nj&t0G4XtU&zGZ)V-GaG)~+y$$1 z+c=#?eW*#I%jOI_4AnL_5YBR^ZcNolotf6XKs3#G8`k@0$D~y@%fyUR zt?|G(UeY1zTHeY#l_=Rw|JHKbM=E1p@=oI0;71v3cGF3g?x9MwJ0Wd0&ohDzEeey5 z&&_`4uYjQcqamW$fdrKjIfy8J5(;CE7FLNzA`{_fE0I9A0;f2m;iAU7gE;P|?^KU1 z+DdV=Lrh4ugp{_|*AwFb&v|kO;+i+!iRWCLM*@fOBCXLEO?JOb)jr-5TxPJgM%B7nP= zmNPt~E1J3PvfcsW`&nlz+wq5)yUT9a1p4GMSytotE~Bf&r<~yhr!zBtb$1Blv|%%` z2zNN1I>pS#OAxi>o>SptF1&}N&e@s2>kmQ-T*c6l$O5kpuArm%t12m6M04JrhqB2E}#CjjuOP?q3GDaD+4i6#93Bbc(#klu+tT0CJ@UdWSfDHM@XhL?CzSl2f} zmm(iIc!Dsm}M7d_Yq=w zpMMkzEg1rLm4ggnELLWJ?yq%`3!y5@f%7lQu%xT=gr`o2j#(r;yC6F1C5eBXRd`wR zgJhIqiL;-Fc$vD`Po?Dy5x(fLu#>35UD_sOO}5uyaxttA-3t$~iq~WnzF2s2F^e~! z!Fbs%vFu99iX(fL4hI1}b7tw{F8Js|_&hF-LS7ywUZ50ADztbCYgjvywemR?hWw8G z@&Db}YVz8z;ymkHP4;`QtvD}V?w0K@U-`=VBW-{A=Cb|eo7%YYExY?N8&-bq=f<({ zX&m2RE6z)BZCrWTH?X{X**Emuy!=XS!MXY9D{pBN%}4oGZKAO|ZCsI;1=sJ{y!`K} z{X^PB!>{7h+jaMk!1`4j#iDI5eG|=U`Guaop@-*8mu)cGiZh?sT!a@T{EW4sC+F3B zih&)%{da9@6U}tZCYnFgKX~{WJv&kRYiBRi`p)dj=Av==Rh+lKQeJMq@*^J+)9vkB zwMB_-K|iV5TzOyWS8*=JeQm`d4SI4dy#&X9*)oI%oN8Rc$ zZewGcHbN|}9n3^y!4eveldS7nh7}lVcv%4@2k#Ez>aGwxFi*wGQqZl$Mo4U~mSiTx zC2p6j-r$3liq@ho7VEw|@gYdfFfOf*C*G@@YO80j<6(_gV7-U_h>hP?uH5-h z3k$?0MeZ%^qRBlV!(M>Ap0f!gIs{oI25~mRXGmD*Smg#bhApB`$-I3!WJ0qTu7s;v zbR>>;3-IWjijG#>6N?t@jA{%}F||Qv11lBbUWL0nT$X5yD+uN$;N3ooLFTb2&=Ik< z069iZdZ`FB_ypls>vPl#Ia39L2_nO1%^CP;VMrSkBZ>e<8MrwUFk4&e9`On>5EZtl zNmhq`NkBg6^st)o_zP$tWlh2B?e*lwG!`fyij)~Y4OnoBrnO9jp~)vg9%TX$Y#jGs zcevn$2(VmF1a6nV65*S8c(d6Lk5ti&|26iT{}d+bj6|v?+NeARHO&sBsJDO~r?wX& zeNuLq&^rl0gGxnHDNjDBu9KcHoWdLtie@CsLu&Q{p@S1U+MG~l4{;L)yr0T&c~E=~ zOHX**j;mH&Ox+beP=-f3CS^8efUU1l(jFBS)013UashoVFL`6PE6h}DzzdKna8_j& zhCUc~)*TUjFkqUD0xw175+ zn_RNU@;BHX3@!a z7Rg4a=I`-Y4j71$;c_lvcw5^n+`?`Z-DKk>R}J2CU?;Y5X7jPrmXA@8bOFMhn`?Cy zY)zf=8zS&fzZD#{N#k>A3Y@sq`A3oKkZYETklmUe!hv7iQu4;?Xl6H1M@E82@}`$$ z%1IzS_t|dWgC^<=`}KED1&e4g#)`X3ZWLLJvA&_hBM;0S17;q!v$8{ww?=!+;4zi= z(Ai;+3@Q5eCfP+i;o zCl#c@>8oy-@Bqp4N>()$9dv7qI|XBg0rxuwUh~gv_n6y$OQGn)joTG@qPk|w)}k!l zcVx+pv3%P8eNKDA@)Tp{Y(HTz%rt42Nw7g{_36oNkbzvGwOwA>I@&~(HrP*Ll-Bs)<>FcN|MXv$ zQ7VoeT2+#)K2Z>M6{Om!fz<*tIN+6HQ5~emZ^42c90)r5ao4HzEZR*?dePnJigiX* z)gb)WR4tTfI%lyg+>17Z-?+3k(T)8gTu_8jp^wmOJz#jNJ43U?k|9`Nu~ZEV8g-Sl zHCEeHp!h?im=pD_?BJ26>;;Rf)`xO^dY!_BCjZQ-(GF4L4 zgzYi}jk|Oy&MTB{>0(;&Cm@1WPHmW-O7ziH5-VKvILn|Qk9S|aHbRDsL3#YD4QO%e6JL(N*xbmGb5 zXK3WmIVXe@HX(HwE3X7CxFDjlfuloo^ke6Sn{B2apfXCW#C zilBRw%l9}G=NAD>UeP`)v1={~kQF$qQTcuql+2g*&Q``cCD`}o5;8an60+W>OO!Hs zt%HabV3qMASIh*)hHs)fS|H@C1?0oZ0(=n@U`=fu=%(79)?TD!teJL$j zDKXDEFo}z)YjRoWQ<>&VNG=hdyoIo$KpxK5s`6;$73XdESjZUM+)IR&Kr4QL10ByF z+Dw&8NK1*2`p|F|Tisvn9!MMC3cH4Vo8!hyK31ud5LnSLj*^Q07L9xdVlFQqN{Kv- z1`>*QQRW=MDFGxeJy)rCZ!V31Nk%D_<`Jp#po!XH8p?rc(DN$Puch zz&S#$D%3>^;#T{5JguBTa{s|!X>%fpr)5z(ndsaXc$EseL1{6j8mf>yq=wO(jSi(zJASSiiw4|{ zoN5{p9H!7KxLwHM!85ADcEq)iCaWM-tDSPrSW6VE#z;+xC1Nskc7 zRh)hhd=%3`=DyGjWA7DJ2t}Z;M@%e69xkHnL(&uj5PaE_pkC7xfv3~r(s&Y_gt^19 zI)f@I}{K@cL0MUnMZY$mMV5Q%e#{5+<|>tjq*ND9wvql z@G8N2u36Bigy#t|IRv30U`i#6ew5@31^M;hj__@)bm~lu+~PPf3_RknLyG*)U&433 z8rO+RykDlaFY!1rQd0$RfbuAkf^RlO>yXePd*ldSLB1kiQA5yDRSnHevn!4RE@S0T z!SN_5bcj2M=%KIdkw$GrgN|@%k-AlDDOXB>;6oR_v6U}|gO}Hk^~za}5_~Lwyi;xV zbxi|!!BkI?+}#@8j#6=dK5{_UUbxN4JZMWa)t zaCog)i^YPxsUhcJcytz(DaiK}O^ca6LMvLTK*sP>N%acwYZX}e5mWxM3!}#pxMzZ` zf|S=iUQeRHdcW-Z3ILl);lZD@!nhdd})hg(Tdu!4R&DdP>O4=>-dN2Fd? z6oIQq6$?skaZXe75C8%UNdBQOGRm-UJw#; z@JffI*}l?iVpiu8WuOlD2Y@eVL4`9&xNvisi}83Ee>gxBqG>og1YHnCk!nd{go#mR zAw3Z_-IqK763dVhn3Ms(QVn3Jp!uDd5XU;G@)@q8{M|2J$BAKnf$pGsy%KX70tn*h zl*^$`79=`9yrLItchOgT?f_H#l?ouT5P0>W|J5Jnf8P80vc1cK8f{}w`L8cme(#sY zKhIw8cUN0IpsW9OB-l8s{Q4p=TI+4sFcHW53S~?EwXI`(KOFPHf=|W1H3Sp)HtdpT z)Y``q^9>Q%u&awX>EX1wv%S;#ALF#V<%6ZK+wNr3IIpm|i;ID-#f5#G>`@^T^LQHb zU$^6D5Y!#o@h2~kVc}tZa z`OngWD{G$}*Yj|+(-42`a^@d~^K;mD?^q7j{^DgA?kM&kqA*LmDl*A#ezroMtPB}pH_1EaJGrQM*EzZ06Iy?5#wkfg77pta^aj||LP0Z|--ujpF zH~H;@bE<7#v)3<9%jx=bb5dR_-^ee;7xQ79&c3V3q4V+qW_*Yn*v6t@^KL!a#@0*T zhS~R080o1kxAqR7Hr~;Pihri`&Wd0+7s2w^Ps?3xQ-@2WQWz&N_r3kxZ!lC+ zVb^IC-iot|*cuzz=3NB%VS7C9l$nc#{FxCFM{)Y3REJWDEeqYHsCg4jN9mNU3{k1) z19Yb%aeI|A?mEHoIH(f8%_wQfa+U+|_*K6-&A}Bu?7+3Zw}WFg50M{_582e(@DsPI zv%WOs&(5A+G>zrE;vP-zd;pb>zdXff(~Y)$Ph7|RoV*|{8l=4Rs30W``)cYB=58;V z!~EnfExOv3BPo}co@v>@&AW8^K5TzHw20l@+ij6fy8}I+=ctmTuw@(_I1Y44or2Vf zl%XZ#Y#6@0{av;7=-!!oy4WNflPx2>asf}woW^jcZTaq}sG!$Id~K>3+n1&Vi4$8V zce^-d81$D&Ne{Q3vkW9#@~u7JB~yx4l5$?gdNDO=hC=y=Hy8 zz7^+qa?{{`HvO~sR7yXdzVk%KfQpDN+~h{QO+o zv^OhJE|=bMbl~F;IX5OayH~bp^!)RiLS6g&`)4jEc>X7t0YY!b*=(A3)9BW<`J)D@ zN4(mCGf;cWB_>PzAD@-dOJh18-4f@q#5KLfNti$v+S}9q)X)IRIae?*h=21|xjb(U z_wMoC3r5Y~y5j-!v$MYvP74qE?*#eTv;Dsn_Lu$|j4V_4RKZcg@EClStX#-nDBMK(qw&PdXY>}@ z?E3aW-~ak8QWO4UnxiB>le0sk;kvIv|IKlQo1zooZ&>e4wXrqw!v|DKb3ogW6ID(#lp- z{1_<1~hh|06@E zPaHpx`RtkW9k_W+>AwcoZ$2csNuJ$F3`cS7=7i0(WUpaa1lYB)L7JHDyEEm}__C)M zD|Vff?q-@1Ep;<{9D2oK zg%#bh2lpn*UNf1<&{Ua=H|I?=$(M*>eGg`9)=Bry4ck3s`owqlZqAI-!$Y$O;Q_&` zw0>ek2k=u)GujK+oZNYn(w)iXk)$H8)A-p;qNndu$ub>N&8p@(8x^((6}m9TU%NJp z*_)GLj>pp}+H1U%U5=UK-ON6D-2S9dz|8WZ95By#Bp+Y+0K^5~g@`m+tVF;k;8vt< z>WEpFS&&f|e4UCot=RYAZAJ|;Ja0BC*xi^lI&qstASQK84rZ7nL_cMbMF>lD!DO5@ zy@RV3=Jq93P(xSxFdB7cotpx~nY)$DoPfP&c~g{_PYhEvjq@%lved*~ABpJ55MtF` zGKMF4QpoXHXoD{3K-5!rG6${h!|XD&q)|KF*YNH#=J;y(n~(aa9$cgw=dgO^fgK}c z&kVa4%aSBnM@N_2f@iJTZ4}e%iB#ugqQ#XC_~a+w3#Sfy5nbeB2Wa!v|JArTMo%Q5 zCvIn&sEvgl zZW)P>VD+t{mbx=9GSLSd!NcJXw}p|hGfHmU{gHgL_3b4>tf9AMFuW;>_?SH)9?2IK z3J1MpQe-&NUce`NYferueHaOmn7xEINa`8wIY%0UYbz;qoJ;!IT-0WsiwiE#YCf|v z&;alr{kTa}>u4{iVY+j-t)wI6l1QEGz=P}8y$C)yC_umdW#Hl80JFyO00c~0EkP2k z3UcO?P~^Q`9t?UKb9>etKi-`vn(VmQrc!&loy<^M+%i01)(L9Thyu5!2O@lwaYg7l zC+0)I2YuggWBAB036q6y@p!sa9^W+XXW+4%d;Mm~VJh&!=`~Sf87(lV4DY6i%Vc*u zIe`}ZNkc~<1u{Q}3SvASA)!+8Z2=lD_lh1YRFcgiOAC~3`=L^|o&`u4@+sTpwx%JM zgZtZH*DX?Q6<+aToR*yewTIYRp8tOAJ}5Ff?X|-T|D9kkF_AuNw7{ z6c5mZhzhD27|?dv9iXfXjRkyv=#<&*RKpyFoVu;_0|Y4GtQ810iRGtenKK5BI~7{q zb(p)j6`~)?2l7rWppN;9BBacPCht&vWbkaIQ(gvFa97K!E0rN{71rTo$#f5*5Zel% z7i6I>g0AQj#Gm`r@K&5Ja^xhs;V4c7M@~3;()U)6;*_4FI9DIA@9QISJ&JQ?<#V6Y z2WxA;SdX6Q19v_CGT$A=xq6?CkH1>qXLG0nD;F0p4X_;Y4jCQjsUOqd=O_-+>X96D zdHg;{aDE3J9$Wj>pW;Z)&CrGC>+r{s)c) z!TsC6-PZU0JpOI3hiL9UKH;vE<3Ja$oeEx*lhdk8(b1&$srw4bF49FUdi<{Mjs-E- zesw;t$AaK4ycGv2uLIHLHSBWr;9+s0qc}L&gUQ?z{Hi@NqcMxk+c!%^Exxn@y~|Oor9FMN3pP+1@)`i9;!=usz}_bk+0RD zj{)iqP%#Z`wi_d5D4uwSle%6c#3~`C_jj< zn*JcN=8^cv2LHj5_(8<~y*zdO@rqPY{tqsYF8Y5GK5FluB%lnUzh7H+)zt8dOSPgr zCbQ);!mIeaP3V@iOeOFP|9ULEQci8EK6G_c2a}urYCsFb5d4M(0z!s?kf#Ypof3uZ zJP;M#buM9xrq^3CyjcTnj86@FKQDKLx;*r>ldL+JlcS4Q32v+Yw2W@ zs-qu)#@DzjkS_-!=E0-oO^m_Bra?~6aG~KZWl_l&3Vn;$bAq3v}ULlaY&%8TYUWlZB^9ojsvM^yV`~HjaCCo0JKeishag zoo9dSzeiP2DIpyllP$2j0_6y`qBIOsA}W(bECF2^1u{%JUeo3aU~oBCLNK@Vp0@Pr zEQJ@Lmtu%AhOwudDunfMge7Qc0a$2iy!#OiA&XEpMJ7t&3~MEKd=>;^aZ$bI8wZ@QEYxq$l*(u!};u4xPpJ8mt^C!|pD55GrlTaodTvY<`UQN!5 z*+m%2afMTl0Q+oLOwALJ&7zT=|J zolAkD^q>w4G7c>((JPK6?5IM@7eQo{DaJEd`BBNv5ayr)hQigf%k9Y#0zfbj(dL4t zpxwM3SE=IH-9*!-u$8K?)B|J2^8vAW8_opV+Y$}!M6$VRNI{R+b&2ej3r*Wx!g4Xo zr*5|9QYiJG-eEPOvJ?TW@Xi_)czi;(L}WFBfDB92xKIWp2#YCDq1vq0rl^OkiG2P~ zGKAhiiX>SCQMC*YNYr#yal~J#cp|{3y*4WHXdWKUsPDZENCm8AQD^4%?Fc5M>T7Xxm9+T+`SBK_V@NptUWcYLZ;HD3uv|4=8e_`FgoaZXvlPkmHiIAfh!V2=2M(=qv?7gOb|% z3O3}Fb)zR_9UiOZ)r}x!Q)p*ONG$gvJMCiV6@;rY3KWQaStZp>W`YS3^c3Jhq}?c| zv&G@0gnKBcfCeWNq|m%%3{eFiu1LTcvTATum4a31sy3lnVPD{`CTmV%RM~0@7aZ)w zjl!*3t7q-J2Z+V(0`kHIqIM%Ds;7bSI=p}l^rZf;cX+!qk)X$o;PZaig?2l<03wCc zhH-1_a{SoYQxuNvd+IHY!LfQ1cAq&HnHXYfi&I;;^;8|)e5SD-;{RcG)X>o-jhMNZ zj3dXZG{2|<*+Tj*`EX)1%sMDfWz-Q8$0GVsYn&Q+zR_oXd4DfaknOafDQ32=sMBJE z{&r`{PbDIyOwkb;Ofx0<9gKj-zm~x*Fq_LLa8^F`2fQ$AS!bv?(lCy#>aZ^oiDIhH(%DU$~aLcu;1baMZXBOuaI zlX?=?Gi+~{LQ3DICZo!9yG-@Gmxmv9er}fNxTf1nM?}*TrOAGRJFT#HS?%EByLMP5 z-cA{cGkXoq4_%7@C_=DXp-W+rw@kw5%8-rbzVPKQShBk|gpxrx^j8v0> z&r(l2OYV3Co8^fuEeo^I*3KZI94(>c?5HsNPE@Q) zf^IYWr9Y@DkVhm!s=)I%w?-u_cU+-_=_fB0 zXodoDNfw)-IPK=eqM~Rj5yKvd4rPGjLWYtAxs)ov3~JXd#2~99OVR;TE{Y2lB?@x7 z6nYRR$~qY@7#;tyBN-*wCokpQT3ZhVy^`A)8Fxg$=I?V?Jm(N2e5Tda`)XhAdAPzQmHODImFwS}er%16KmN$iT@3J($+(1Ny9 zBp){TqvA+3Rb`NKE^$dSLU3USf^?_}W#|T?!2TDN7zsiQL7n?i$V$#I%LrI%PM8|H zL1LXYK}4`a`)A=?x?Hs<_rGr9=YqiZ?217ZtPn|FY8Iv|}Jtb_jDTB-*vd zpa;3oFv=lfTg)gWtYEtI!TlvYkWUxGg9ooKGtlG^t6+?W&<)!0x5xc88@z zMea+1!o{mliKEbsf|dl7;L^k|B2iR1#G#~l89H~DtvEU<)xn2D>=3P;viPFLu}}r- ziC}6l%;3e@PK=!7HbszFbP9V;MPP=ici5x`@=tR<3}I@gX-ejXW`VFA?`~0Y4b~Gv zWc(@>0FmVt)PiR3%jqC~n`~GSHWuJlHfLq`;4HD~%M=YgGgJ(rv%&$iKv$JgcvY)Z z{i-S4FgX%eT%q4An1 z6v9;_pn}(Uh@=If)Y#TamX3Hd6LGNogknT9;1UHmiDFA|2Dwwxcto!*;FX3ko%5P7fRpT$t2A|X=1VC`(j>Ad6Kvg zju3X@f{A!bU9u*;{O>}DlTa}iAz7k=xXQ!;rbiD1Y91D2C!lq8!aLiToF9{ z;4Ib*WeSoAgH1xT2yha+=8|{y(-efy_=HGy&NYKH5Nen{%NAZ7^zaXCpjS;GoKMWP zAVimCBavAO&5|%vB}tUJ3P1brh<*-E^-xA|vL3Efb-Yqjp8NVZ)~m$zQdk*>{;jE~ zak1bZ?6S}lU1(n=!MS*NG7n&7cfo?hs}OwNB|R_mim6=# z8`V)6I4{DJl`H{WgkVJ7zcLls0`7u*ipSQFE}~Z_hd9{PKn|IBru0n>1SDAxQWbef zA#|V`b#;cQK>`L(Ct|F%LaP7P4t7dV5Uyh8o94?!i4sI~i6lslAqDP$qmb}oxeU^b z5SyAVBB}%s?PNPuRpL}JvqJw9e1-WT;eVk#H>e~xHR;65sF|m=MyP^9Cn8iXxNByJ ziprDHg-gZ{phDD`4yM{t!gI}o9Y}hzUWqEV*hx@4{8y-&nz#Tnh_^w8NUktAuEQ9) z77gVcg&suEYqBHf%B5=3c~ZqS1Xd4H|HTZ_sZh?P@gYit>5Blq{8rMKM3}*qa3Z7% zltIrRSp(?EkY~-#;R7;913uv)QNVah91^i6$0qBdd!L4;YF<_q=a29%SlYz*)Zn>J z(!@@SJ{_oPRi0Er8cdv%*~4|2b6jZAtVmQ+-QrzjE0i3%D(1h`yD%thtnb1>AweN4 z8q!5%q69-Fg!zTn981tiA>Tl~tD@pt>%m@y>Nj%>VT?rE^Sh}wR7=6q7Wo*13P7` zHU-U3YEDs8h9qi?Bu4!rl&k)~h@GKsL^9_B=fq9So3K;0x2S`Kqhf6sVeSoBoQ-Rt?zTpXFpgPN7Qr2w$IOoKjrFMX7Xy_#IBV@a~Ht*i-tvJy|-3B|`Wn3JYnaA;Hb}6^l^J zyIyLdMyaOV0_6#=2$msW5G9klDo-SXiwyHc4dGrELrQq3pU*@T;IdmY9U3p=?Aj}0 zIZgfKnv@H!1nbAYqufw=0Yh*_Lzt_^@_kaGmU=Dq*tt`!j&xCN3>vX0<{3qOECF^f zKjMQ6l#eQk1KDfZ00n8OZefbgkZ5K&^MF}A*qcu6H<|YksCk? zA{Dt}MTD6muUG3Bf0}7R7SjIRf184}TfXDYUHg)i6g~JZ+LoA*XL##Z+rs4Q-{Y5v z9`Xoi_BfxF9Gl7GB{DqO#Qt{PJZag@}Z(R!cT zYZm)$XHPqLJ(|106Vq31lk5EjzqiMWy~AF%oUU66vzOVgeKd>z>;p|N2%PfeC%DNq%~EVNbs1aky=^hp+KK{?JZ&#(DzR zU*qXtGjICC3piI8@i$&tzqaY0w^#nUOi4#9ZFGceCY2y}@n|Y^s&ySw97ws49$J^`n3|}4` z90V&ZB(MKnD;NBHde?dVUUeCrjc4<-2v&XIWnTp6j|%x~^;cHe(r|Y6=mKxZcg2+^ z-?|*&oy*If_tvye``)3R^FkZAb&LX-ZoD)vNJ$(k=}N;keh&0pFst8dkh#3X zaYrw_ae2ud^QYRjM~VH9^U4rr8uqlXk2KhFj4J1BkUu^<+nlps`|}*ddFz~wqE!m} zX}Ze}o!a+4Knk#ZLfzUCQ>Bc(yX=wjCHGQ7`)V%BIl3I9l628m@0Lcq4QE^7a?r(S zl4&c4_8HX{tpa%ar8zTC-JP?NF4(1v8pghK!7h^uk3RWHAiBKxg{8R02VaPYpT7si z#SxpDUHju(+QufBw#D*^4&3zT{doMw3!Df6-d!-V*rYw3_I)XBemHZEzohhV6CK<~ z7`%*0l)@PfUg^TdnX*5cBIms4Z8&NB==@#nS?}g{&MQuI45qxW_wbsXjovyQKYV+; z9gRLddiaOF9W}hN;yv?mx;f_M5@mniul1LwF&@9U&%uOu*&cuE(WOp=eCf?c+w*ft z@%iYj{r$+K~+Iri7Htv91IdP<$6@$n0fHht{xk4J$a zIm>Zl)1K3~TQ@Er$z*T7dC8HSJ+{PlJ{lb#=Sk_L&3ZyzJRrjGaDVo*1;dYJ_&{@= ziDKT^+t<0UA}McNqMW2y%a*adx2YS?-=96)20ArQY05sQB3ewgzt8yr3mov)E$x*W z@4rb0Gz`jHZ+`Hou?hQh`5c1bm-l3NT#SQG*y+X9%9tjed(PpW&>CJ*F`K(;IFM(khW8%BPm^yo1Kqf>tseiCuS6#& zn4t^IsT8$;fB$>m>$mLQ9&J!&M8VUi5qo>nsqB;40WX8^@g#+Pv~>QfuReMtd${3{ zoEv!K4LRns&%JzI(q7cD55~)9KBM{MKRGcO-roRJ!;6GPuNq#sqR2J_un6T+0uC7R zldOpk!(#XXl-inVjGNqoznBdkZ?yINe3XLTI;R_tkGIHZnrwsN@%SFY7Wy(3{=-R!WdrcW$9{<7%cznQT>t#WiqZX459*@}iau%E- zGvRI>AKq76HIB~Cwp$tA$zgdMLau`AZMRVk-@-lOyh$H$pPZNlx=kjv1NLs-6bA== zR)gUsQ(Z2@BV7%YeO?qb@6MSq1>4!rgTk+}g&M_BGI_Y<8WWPdZak)E=bYgf-@Yx8 zY3vpZJ-&s^%!GvZR-)D*rLh{`wS#_Qq=POgJ!2cvN5LZ8@C6z&|j5 zF2#!)iOHDb;lV#NP`4g59&>D^+l?uABN(1X(QqLpE-!V6X1R0>&$QNUrNdF_+~rbz zkmWapI>*o%dhgK*%?YWYMWL#0-tw>_9N3ii%NosXuffh+&GDG5EtH9ZI&5 zFe}bx@_4g*_qJQ1fuN-MUFJLB`S?zb@cYvlqR_?5Nqaz3p+@tco#t zxM+17LIQp>=o13W@_R~8ssLP8gP>L(f-|dA zzbICUXhaCs=Aqb(Bf!e{wNx{ns%Jnt$mXQMls!90UVsn$h_iDll@c;!*rw)}oU)Oh z1n$)kkm8D|E2M5wz0y~qarc!5aU?Dg+KLrJEo~b@o zJtv0S>j=oURo*RNATdM@iB35WHz_8HDx6*|Dpjbk)Z~XzNPx>4p~hOALWc(3hZIV1 z`*IGuRw?ByIzeD`cY?;9@OL=G8N4X6GKAs8iv47-iaRLGAE`3e7;s)pJi&9-E zR%>@stPJ@9>~c(hUeUGghNP&09>5xkutR$jiK3h)qDrQ^>y6hXd2v-RMB@AYJjJe( zG<1WKeHBskrELc(O+Cm{)`zd(=T30Fe*HCc zIpZh}y66owzyJFc+FKl=>AyGV^5luT4)h4#-sgPFljdZMF6+{~Ht5ovND3_)iF>e% zAZJO?g(FIE^}P*;jQTkz^=pe7xL+^plLl$8uh(>wbXgBaakK*0x8m4h!BL#=vFhB` zTXAgm20dU*Jkv}}Nc3tr-={I{_jvw&_fp*RdM;??KF(jbyIjwsh&4N4`+l~|9_GS; zf7jacQS0xX^P?Sawe9)2*;hGs)0g>SN{5G~oSc;O`WbaWzv^ITj7V5HZzt!MX5#9} zH@_s<+FRrcd87#6tnWAEc>*`q$UALP;Ykb2wM~3$?ZpKrH!oXzKMmtCo%8q)Zu=_w z0OEG_ILl)O6O|-uT8&z~-OWyRc1TmkZ%4Uh4TAOpqNZ`4BJi}YF(0u9l)zo?VFNfD zrwFYB337t&B?FK$^SflfSm*f#PbO7-JM8gqD)B=@mHb0XK$btQK-&LFA*5{$`TI#h z69%no^6wXEp8E$2SX4&%lfzD@zaOPr<9|d@mh?X{lpkKotc{Q7j#6%;_@h0sjfLA$ zryl1nO-SY?Mg@sX@mjY)f$@n!ubg`~o`14jbMMd{QFOG1h0oD*csY_$ronn#8OCgn zF<*q1pRRsUQ$n}(-0ZP)G%*t4l=MP6=!gU>KZ6lrmy}qVj|*M*suM4)7|MSYbhyLT zLN9#}(^Kebqb$WFXXTV9EqGsy333Zdc)`EmJzd=F#x!FHGiol|pDs zjZGr>fU?tq0vCqDDh408LGPM%MQCuPQBB;57IZ`DJ;wJvN;G9C@R&s6ZqS$Q1*~bI z4(PTNFm<(O@=+pHsrC+O5H-XOBDbpGUkA*FG(}?UL_*?3K;3IgG|4=u*9;|! zA}7t#)X52>kYoVdIKK8TklW2>q^!{wu5LCzBGb{ob0A@gKP_f8iHtgX7G_kVIBp6p zcXPAnyCdRSzT&%(K#PgBkg{8ye0~QsY7!h1!W?&Na$Pn{mI5=#&z=q~{*B60_5aF0O))LM+5;){rbI2BO^AX@EeB>e;gJjyt%eOf-Ri5v-v3=3gd7V8|9ziF`}j z=ogsO?vZy209P_0F8I->U8XnZ^hdQ+ z#YFYQDkkknauu?{;va=V;mWLxL7~Hy8sywM;$ma#fggsyye*+8&_8SX?(sz9~|l!~-;A)~@j zcw}9PsKQ=j5-sm0YRuRxsFVo>hMw!Om8s@vlF4l=yi^s;f-^P1qo#?{nrhFHQ}`sI z(WkJjEo%#M_LO%@>7Y!5ibhYft{-EkLJvXOpK@g$96%oA$7Gk@TR#a>rs=9Cs%d!a zwI2yF9*K zb2x4<8v3e$y=#6LwzjD?FunyQ`JkgqV!i3hCCl(ZJ9d`0@`P5A#k(t3cL;4-rrmsh6+-?R_{lRL+eTRn0&)~2JOcgKw6iU++htCZUAIZTnG##~E6(b-3ns&z6 zUL@9rshtTuhcBdI0@%-XtxO#@U%*_JJXgo~^R((HM-`TsIf&wXsf|LxbEs+2+$t%F zs7GXzPHz?<`URlm1Q-{RB1-}2ZB`H#YbS$Vfy2@aE0GgKp(Q*wQ7AR};HoE40#iA_ zVPL4{9%(4Pw$zU!m{LtWKzC#^b|Y_z8*{q==EiJQhmezVUqX{sByCxUZh%{N(^iI{O)$cuh*(02L~@A8gGnx7ABIR# ztw48GO878_2pt+^YCz+ng%r#Y#E#(sgk}JS4oJBQr6%%_4M_r1GS>KzsJ3e$@bDpl zl&ci(A|8_-S4h-j6ES(N0%(9s#zYlv0cNTm2fFcj5W@>s8loDqVv2ENFGCW3%t2rr zC)EQ@M1|56$EZF)QXvMKZ+nP>x4RG?E z6fk{XpbJaQrU5v7Q4xx$_gc+dae>%X_K_wo+=pJhRIY}6l>iR?R~3qiCXONMTpN~nn)RZWk+R=$Lp%ccHrU`>ueR8FUcVS2|##x?KHW-oGTGlvKZ}!qQ1)xe9 z1Y5(O{;985C0AObTIIQDtLkXY$2sBJgnV*?F%hq%^0rdCD36Xfz*ggoY0)Jiz!nIc z?aLzfLS%|aF&uS&164tlAkl}#ykmBd5-azt*;#55Vz^4w{Lr$Xn1UfgvIbWYx_zuZYnP;f_t<^y{!Bx(rZ1Jc+b5Eg}tNrt)lq+%V; zLGg=#uoO?-j$t>|X`s2*5@$SD1q~-qh#FV>7G;X^7m5hZpGe?P!lsXgj;I+ScKlt5 zp+I+B3Q5r@YJv`F?P7@t@(3I2hQKWaEAoI*1-)t}OH~yX<(KN^>Wl$)DAVYW2kt5X z2A6_ok~sdf$`EV4$*1` z7uO2cYuKa1UqjaIeJ4VQfIqb^^Rcd+=lj5G9KC$ zmXXE~3 zA&MFj+JYH)lqS^Xg>cS=fQAerz>Cr4tcAo!!AUxkyuVT>2WZDC%!OI|iZoNpE}fLL zKp1zE%p}UeQu9D^5bqoX;E928fG0(%R%}7`46bLvPsTRsaI)Gdw^Len^Z$r zvX~$WvMV8X!MV`|R|A~{)I|trP^MaNz%4c4%P?xt+sGIyMus<02H=QUS1f zoH9kI9}MVCoz)C#x2s$cPw|I@G77F=`TQuz=db_odMrGU!Qmu#ANEM`+-E0M_!>eL zF@}gcN8u?6$My?rWP%*Y2+c4-g*0bLsbd2jo04tYz`#yhs3@bhk{Woqw`_ZAc7%AT zWw^OS;!}?+YOoG@uZ%e;)1@4&yQu-)$VV;(SjM)Nfqk)iRv9WB0&G>zRlZ0If}F=v zO+Kb)~*#=-k$Aa>!GUot&#x(Yef3R2ni zH9|^I=py*AA9msf!#K6o8?Z`4L<0iqyIjl%R97q`kLEa$M{>3~YxMa3r_^<+HT9LUoaaE{M|Dlv}o^^^k_C(rLT2` zMlAeOEoNL@TE`5(d~0jAv`_IB;k|XUeCJ8i^aTstd&^g7clHK@Z^dWG;E@;4V^B8r zk(X#0#rk~yke9&BS>tl29}&=2;Y@q1y$2zc;2LQ;W6qw0le^9xqdz z;m*Xf|0DkgJahdo`}Qad|GT(}{hqeB`F(zj{czD`D~|1#-r0qt5zhc^p_eMK^4epb zS}tZ^;}A+`<=V;{XXap4Qp4qvcef!W3vPQTgg+REVWEJ|dHyZp-H ztJ_C>zKC(T(_i!V+GsAgrNgr}4O=r#5O^B7%$l+1%Z5Hr_Jl)>r8HI$FQ>6fc??-v zxsGd&>@t07@0+b+>`_>rEb(;xhP@FqBHCGtxAGgX_mrrT9XP)M2m*L!=T^z*q z?ts)U806M{IWOmS{l9yje&RhST?c%J-lLmzc&3Y}hiRh6;jg)G3-C)_Czz&PR}#bL zUf0dx&Tn*=&K5%LM)5rzq6tj9U!|}E^xE9}z93aXnnv8rE!vH8W*1Gv-BPFDL7lwa zQx^wVfQ2cKtsEeDA;Qzjo%kXkC?C%sp#u1`4uEcFU0f8)%dTTBN%_6Y%aD|BU0!Z= zpvNSY13YIt9XymDpLi(&>@G_wHqNV_#fC#Pf${Yo8$C`&O1``-dBk@QeJ^Ux^U#t# z-o+9qGhWVdS>f3-K&UO^(_>!V?JD==taGG%^5@Z!-=^YVLO_)(_aFS1#@sq~T0ds1 zC%4G(U*m90L`pYqMW=VjeCgwxNA)PqjYl7plG1dcec+S)y>Zv^KAZ8qH~LGR>G;Dt z<#NQf>~>kom}4$-#r?hMF<%KG<&96XrSo(&`pQ%rtM~W6bZyf&&0*6oEJkk~jxKNZ zmx*oJn{8b3)%nq8-CuHU<4FUaybm4Xh2PRBtHywH5_UdK_KW45=W zQ~EQ1=8ZQD_=#s${B$#$-t!l}VDbJxXDR*bM>)&zUvG`T4-HROEz>t=d?M87NyaS- z9ge5tA8ED1ccFW->_l~Z?~PJMqnzJ+k8Ww6e?IPT-Uv0N&ffmm@DkL!cJt9j``@QG z&d$z(xA@wMeV`^P8fUYu41avg&W{eIlCflxzZu);G4ND|=1n~}HXBOvwcErYkW904GrLns?UBFNa?3P-F1E7IE6-Mo3pY}4}g z21Xw*GrD=_67Kd0!+SM6E-%Atfb2EL$7g4Op(lGXe8LH2a5=18y4maQ@#77&WP-tD z`2343i|Lt9XhPwH$cru1=^Bm6G$9aR`jQnm8#A9p%APyvYez|j#%EJ)d|I7pw#c^3 z-Z37J?%mlIe#YYHz4tsuY!+KZU&hUi8#3wsUb@*bVULp?w;ZBrn(2`YkJoW8G|V(I zd_F2!UY7I2b=PBcR8eDZ*d;oQ^E7oxOLpUy5wcBh2qs$`Q%k{dm}}56E%A8f;BkIf zY{dH)dXrQ9!=El@C8d)F(C2)O*|U_~+`VRDj6N#6G|Hkg*QmR5k8x#_<9@r{;sa^5 zDd>jB;{=?3KW3qcF~@>rcn(X{mh$qAo9ckQ=H5(Fj?*2iDV>;*od($f?+D>14W3ne z_xC8mVPt#ku9#u1Z-E2#XF;PmA#zh*mgwR~KuZyf2-Qfr;PMWu6X*2q+@TO-MlgW$ z^hQT12fXY9aphxfRAl(0q^X7uo0JWW&60svysH$o0bRO96 zJRXVg@tosTObO)P!2#S2;K?>NoMzjMXl>~+{I#3%pwUQ7JycT+k1lz7H}Lejpm+m5 zy1-;;akJm5@5^>ep3j&qQZc-5`@j*8m=MIZJ3la;%HT{rdGL6Yp){#`2+urc9ZdX; z!HX{kiA*Z&_kPxJ^zofLT}N3$Q3PD$WH%&f%8Y1{^B6C~Kl0rp4Nyyqh5P}NIUWLo za>Ex&P4yu6%8}rLmaOm}i+|ZrtED2PkDU1wDMI6fY2BJyGM~ zDcO#1aRW}CI|NB(OJ_0ZC|?8O6@hLfu{;K|&8Bl1zD#7{(MV-%8#{c8&*uE;ed>tu z*qP(u-e-;{?Csr~^`SX_xwOCtdb}5Se$q77&?ATnBM?E|GFJz7;TBK!O7dnIlu>yG z%z@#ByHn@*7hha0(J4Li42SWkdt%*5*z!N7F=J+J%|^9KvB>pN03$_&rW&Vl?7LPQ zi`nXIOPnpJAD=sF!?9h?eGk4ldOoONACHzFa2w;RRgqfPw1bsOY_)0%c$(E<`GA|o zwGU^tt|OUNhBqt0IJp^y;kn`MOeS=-k;bW99f6~FbP(nT(jj3MkR7!!t9FEt*RTnw zD38)}O4ii^R!x=$Nt?~k0eWuKpyp6n*9A3Kjw2rV<`*F;I;Od@CX)1>mNm668*Rw|t9h%7Qz zt5vNin1&H|)PZd3p$i&AdeudY$ippt|Lti`FbDYnqeM<=lF+lg3Of zI^iakX>hO0r7!AyE7kHKFO3GfsXWuI;Cf?!PxhbHf&+OtPh-7GYFj^^xgCAf5# zE_3BR3PQ^?^ygJ@CA=V%J1txp!;elXGaaEa5U`PHWZs69i4-gab=GIv1>fvbR&Z3H>zDqK-ntcmuZoRhCe05U0}o4f$O#8IdIwW!AeB<<_Mi-x*>Md95=MA; zp&Mkbl#Lj)2@P?)fNZbCRR|W-L1RJax&F`pMk)A|;lV>!q0;2gBY>(vke>4bq~cJl z3AZTmQ3#R^!x-2|Y=!Q9U-MOpswyf~tAL4KE#x@E<^Uko5vaWroHNB&=mCJQ!hu_O znqH*KDgdyDS5Ui0cdM5nF1OMP4`2UBq_}WkL-B`FtY(Gk6(L1{(3c8ogf2U}*dbT0 z1a_&q)Ex=6q@vkRHs}T_?AuSxbo8X_1X-@{aqIm%^Z7D-{!<(^dGf^O_25eXQa$!k z%1gV$G>5Nc9bDOb@ryhv_->oJ3KJ(B-Y~)8$=s`YFAk$4qu9 zkB5gJ7Z<1XA;?AH*Sp8BeT4;PRF5bfEEb>q^{qI6{uNxP;L8bU z^p@@@gDnHx*n}_(K1i1N9Q3L%5L42s##?gMZCCg%-?+1C+v%rTD*^WxcP_$xbdkW? z`QF~YucJ8a;eGtM*h@Uq<2g-v-EG}LM=dOdG*AFoF7n`?Yow9CxByr2=6x|+-$#0J zyDtdMdfj!P`6LjRP;;};Z+QvGJGtG0z8J%O?)KKZ91m((&*88&KX#H&PBJfAyT5L* z!Rbj^Q&?N1QMYwwOygGUoM8_BV#(+a^jSO80}Z&%@<&M?`y4`GB}0ieET3A|^3dwT z3eXn8vA$q5-ex__2M2jMKm2*{|L=ozCX^cg{~PU8`JqarABvTM|33{sRNN2L{oDTp zwO%W1F18hwb7dQU!vfEk*^zTsL5a-@Zsdz$_T8#)oxddvPET%GHqBm3!7VxcjhiP1 zO5;YK`@8OC!H0rN+ytI{*Y4Q~=~S368*mDdn&pV8_bv}`3vNvPB^^av=!kXZhof8-82gbaCE$%F_&hBZK`=@9w~GK zk~$bAiI9WlhDFKA9+NL=fh*e)$#qQTB7tC~>Iuvih&t0|nlq*eWH&JV&Q5=hlhdVrTDjM#``Acn_| z3A2fd`& zNwRlbiBJT{G7W(Vp|xVpGdGEn;XFkORWw-9hTxRKy`e=)6^RfBWKr)ZNXL~5Du@+@ zD<>iK64cf3#xIg7&wo_Qs0keWJFo|T7P;d=K6Pk<8r!V!RSgCdJsv-(4L_3>Q4Mak zBoWSC$4nS+}bTBWvi41fib5TK^6xaW<62`7O^wXVM}C769-dur&Y|12E$6tFVx%wi0d^*vrl261yCdkOo=(#>$nW zM#&%@MU`YB5e-mSa6M-;Ze&&PvIN*OTw@3V_*=hQ0VzItsKW{RHBc1xs8xZ3))Bh4 zLj0>DX)gxVAtOjMfp^)pQ4A@3U{L>IS8ig`uh_xbjlALS4{U)Y9p7#Fq1UhPgu`3{ zB?kqq6pcMZT&Rz|whr!w%hUzw0m}_q@t_YX7fJzBMF}g<74&yGzn8CpFJ|#EZLugy zRz(0=3f0br0?`c~-2|xQl+0?=L^3HvyFhimsVZ0?p>Nm8G~!t5L{X&Dl!%11jY0fh zMMw@>R4Iu6s`+;&7ETi5J~sPtK%!s5ei}WW3hU#yA@6Ie%9tPXyMQ=tQj*sa3bNJy zgYkiyyJ|GRhj4`Df2G)~53aXAS}+ryYvn~`@wBM-)_%lUIys?j#Z%aW(i}KF78@Q% z+5^6w5o-7ZIdfgUO# zN^Z-ot*`<0K*dT*HMQIp&w}-A&z9#XZ#%rG$m3rI`)~YvVlc-Yri1&Ehae(@>g7l<<;=w_051wT< z>n*=*<4!+k3dNQi={>c=3&Iz$uy=t+`KNrN*l3=k3LEhrACT7IH0LOtn@rnG-%57} zivwrwDn}@n#UF_oDN4rz0?!-_&*E_&I%8I5w&3>N(ifJcSn!c~iPy)`9yG=?q~gG3 z{H=iN0dltV!OQlozhwo4BNsy{$ee%x=n^*}O%687UDUE7lu}&7LMctSuA>QtN4%2- z_iWTem4y`5Tk%z@e5ll_%va}-PYv&P|s1cBP%_N>;`5 zBMC{w%;tosvB=9_9R@?5nLOEjC)1QT5^HLnDT`Xz4c0lp@8D{p$a{>kwp#kSJzxq| z8Ta#*K@oXI)&pXuZT-;K^{cwQHfC8VGZks>2m!9z!qSk*G%7V3vDFm>xG1T#=wF#y zyjURxk%C`E!-US~co6*1JB^ZIUasUsLB_cT6XDTH6c@stnUhxdxpUUPQj=FvpxTh$ z80%Y#z1R4l)E-3x5h}05!df0{ea#3TiH@ zfZFpx0TZeMLZ~5Ilz!Jj0JCBYCHVrbJ?Rk8)gU*>9@u+_5O786@uI}eOh9OMWNUK4 zRS}E&8Z9}+E({HESJqDzp;%-Rp-+$=r0Tk6!X>VurY&k7{9}Katm|p^(2b~3hw4rZ zM?0@Q??uB4TKr25PivwYTyfN%;AgG;nh5x@yMnCdNgOnFe1w0j z<2QbP8Zp;@L=Lk($S*PwS~~Htw!tShdYSRtqr$2@NSY#B4RPe)(@FAlQvxC(rRK;y z$Au*O3eXVp|Dle})4SVT*_c9g)d$U_Vo!QV37&cYu$%Uc}TX5*s*+=5}oQ1$x^l z3A3*O1kt+x$$~t>2Cw0x#Q}r(feN(TO4FB>5djKW`AG{hxqb+FV43?U`*JPo&jrv( zO=+?UJCg;xJ+(u5OvR{@!?K7@P+J23)B2KK;+Q)vKRq&u(D1A_<;K4NEaQ_!;Zv$i5aozcy zd%Nb%oyW)4opx*VG#=B{t=9N?!qAT+S{`!=xu(^kS`6W|7{-`&9Osgr2xEotwiIWh zD2{RZu|+*|XXPhC*d-ic^CZtMCV;RQJ4V7JPH;IMOS4`sF!4G76DYuw#9b3vB_Xn2 zteh-@{Qh-c4=K}%*G5kFt&dZuPMtb+>f_#9^=^3y1~eXbP5cRO)?_*H0G6C8L~NM) zxS+wh;G9QmL`*C^mCLfQ*}4iO!r-k;u@lkUbAv%UbtwaSnI6hhPI?DnU{~IWmYC-f zS+rJZJy5*vKfV5#$ExY39clp*eMb`0sF_W%!gE zSjy*kp4)`ss_8v2AdJ>EddUZHBmc{$P!!wPz)f6$E6(H{wqWA)MXmUO5l>fWh0QZ_L`>KxU9VnKq?M}s87qt;`U zpsIQbc2P+*REp@GG81Cw?4bG2p!YB3JnIDk8To*mPA!+J(EO$_0azSw!P~Q{vphyy&rS&5=1cI583^jJ!KIv9nrXqT4}M z%QuV#1!NZ^4nVeCJNZ?^@T2iD@^~Z``nG+=Xe=BL2#t}MtWKtA30Dvi5>JK4juG9U zgOqX0hzN5@anq_c#XR^WawOq3aR0+U%pnP*i!K~8Lj1)(OQ~TaxwDE_n$Wi7P|7Zy zI|2=g#S-Z~3(3LPyAiMB0cU5eJL}>)g4K-|#1Vuf-4Be*DR>o8B^=EJ3S0dmxjS1q z*`-SX3{wlmhLy)!vlC(UkZP;=2*EasqsDLzoyBM2;ozGRhlfr94@ek^awbJ6a-+VU zL!tumLQ14r6B!wW*Wg2O@o6=|wlGVQIb{iEZu1<7(B{?`7mbk%GqDOYiZ^(Bv zP-rFd_$;b6Nrp4cBdRMoH~G3NXK4_c#a#c>P%+eDEEklqDl z%)FH>T`_RT)IyT-U3M@!d67bb$55!M&hm_gL=&CKZbp!aEGb6(0loYxOus_-4AdiQ zCKzml6PFk~8A(ptaJa&?mRq2TJA_MeTN+V-niX&b5szHBz~BCmR4DusND)Atm7TbK zCwY`}GfFp_e)KeLPc+pil?lob56`JABeHIxHg*U`CWJE88#fXhTbfZmB9kvGMhqQ< z74VmKFUlhxMJJ(LZp87_p}0STR=rI93J@A`g2J+Ww=zMIsSogCBEh+iz;htlusmZM z%P+Dy!kGRF>8Di%&jJkEjNz{KZ(F zHoK6DgOu1IQ#Ag>7asfHZBqYQZ2Jd8d-=@VF8P{YS~uU=4sE$+54*is&F)mw`o?Y% z^OXJAyC((_8z4OO`|&1UjV-5*)s#iB&7Gb&wf;eJN66|kv&(!{?69GT z$8FdP)s;|R^?H8d#Jp&m6V*5D`CUJF+;`(=e%KDG^c%;X*ee#RyT5g8ZG2DL|J~uY z?KkYi<-KaOQ~$92{BEqmgVnpAPM^1@JdWGhcA8ALUtn0<dbWGJTuam1UW@MQkF$iVtnunM%e@fm$NZA-%^6R^aKzwV^Q;a|Zey4h(-i*3G`DisB+(|qgF8)Sbxpn?_orcqkYrkT9x7QYBx?Vq3 zZBop3_4(Tcy>-`~c)E(ePq7GbDYnt>a`;B_gJ!-<9Tz*-H_Q%vXJPZ*i!naOok7Xd%Y*TUcK^yz)iWZ+Pq*=QdrNuf_5Pzo_?W^vGDV=I=!(zpn1m{vW)7E6+RdY2~N9K!EtbG5O7Z*(|R^B&! zk=?6y{OpAbZ?w+mAuhbswu}O7kH7APtv*I>_D=R6+uNT_7-@E!hUL!6@YThFVWO;V zFBYx*s%;e?U6Q|I7p_lRtw_f+au@yn-W_pS9W?vxvj_EH@N^^UGhZZku~PiND~pA5 z6h~a<7T!A=NrvOcFI<3Iu9356a&=X*6!Q5(PjPZEXc}YV@|oWzx46M&9`g5W+Jrtv zpTd)WCBd!t=r~R-+3HU=&BD;jD&N?dxDB4^jwqe^dRHUKw17)BlnlMIqXroUzXku# z_3l3tqc#%D&U(Fv&&MeLfwP~wa^M_D__6tQZ&z2(Kdd7-tG$OGUhJEl;rTv$j3>R` zwXe(<<;v>n_19x_eC7MUfA&Sb%W>?H2Nu^c7<=G>>)(0VEBmSA^SQOFgZOcM<)hz! ztl!cvht>6SO)R}%-o6c&^7QF>)DIm-gD1S zKfw;ngVoi?2j0352Gc>>hvjZ|hJa^u6AP0v?Z{Mg`=|7D;zE86yGMDE8%IJ^;Um!N2cAce&MlZ zL1{r;jL#5V{mP;x{l4Eu7HRkPZDfE86>0z7zh_j^28=b9LsI-E7{xfo*0`5!QkU)Z z`(^(Iep&AAE@**4Wm?~|3E|o`tZU^R?_mCkD=YWh)86LLS@Fo~>(|klo$bAPi3UI* zS^8?V{g^Z2^vm690rwLp;z-Rmtg9EhUN^r2H@Y5*_iXVja5{@ma2c}^+Ye5hcmj)u z%W4RIr#N6`u|)}X-`e~kZy77zdH=UlTb3t^+ZyHV#JyLp@Y=2S$Rn>JlfFTv!)G+W z`%`=1W*`5wz6W*hz0H*vSNO)m){g2qSiQXEb7ULt)lROme3eF*u{04w?49jN!qu={ z`T}}mypHC)#R;m3mE2d%8L!qK`lA+E%Cc<}&DA^Gn)|t%6i`*`Sh|YR+7T6>%P~83 z-!2v}mYv1xHdV0um>QrO1j5|At{)yUmh&l~SDExzR%$cGvf_B}3%!RL>H& zTiI44(^)%pW4?&8_{&?V(c!bHDq#2ia6=<=5@gJDlsJh|%i;&s!=Gk~c6Ka0(J7vV z9m~plf8g4+`JDa}?!QL7)H4%Vyi`tfqctbuAPhs2r=L zQKb&b@}Y^b(AvbXwjKlbbt-9(oLMxT;vIV^d;6bm8f?^_SZfzl8X-kRGej)Ctoq)@ zx#6P{qm;Evx4kVC!t%=!7FIA&VS_Z^U{D3EGa2>*GSE4vUyP{k#0m1Y>ZJ~ZaNJ2wW>0jK@4j-EDek{JYXJwHhxoy}o>{+>XI^{QaTZ7v#YN$E8 z+F%xx7NsYC2&Wet^tAfeqs+-3s@TIX0>69*I zYo{;F+LotlgDbCRF~gj4d;L!~abBRwVd@SzG>uaGeX58Ir-yq!Z!j0edWl)GM8=1H zI(5lNiJF@Ck~ykE^Rrf!(t<>1phBRTimKXm(9mZ~GKifgDTxVzeOlGAS5D_mI+Q+7Or8s=9nP=<<~;lgt^mVbH;S-eot zoCe_h0V;=uis0}}qMxW46T*lqTm;;YqYq28j|A74t}(t@mxT4&41*T+ zZx6%;BNz$KXomX_zh;7%-8u_RkmocRK?Q(gbdqH}V@n8#Gh3)!K~Amf-K5A`kPB4x zEG7ASckoesCaVa~&>0$Uus#;*v_Djg7>-L9tz#s%-ZcPugf6%Q1>H3RbxuwQy`wU$ zX-~P9qTpAVTL=S7#EwNA!EhAYWXEV%jV%CoBFZ1dhUIik6j3z>=#2m)FmbYFS28XG z5uY067?!9gsksNBmR!*#6Ywc2m7{9%5KYd<-;kS!8vHZ4&ahdsthlG703K7PTz!j9 z9GJ_&R%5Q-vRter7ZEz+8({`Xbmo_mQ@i2ytl>m)E_8K=q=Hwt^+NH{JSK@^qn4QU z?D~Rskd@^4NJz<&#|%mDLNrmX^|_aJ2b*+D14OrZf$vf@`DiBjolej+R7$ptEp&K@ zD6ax?x3b--H%d+L1lCi89!Q<4VejI z&<)}0rcu$mafz1;sO8^c{KV?#_CGP5ZhTbSrqc&64&Y)h zuKW(U7j-3{Ppeel`XJwsS=1Lk`(e1;9NLG)?WWa_es=uh{(FUTe`50d#o}|TaPyB! zmQPmxL1g&mw`%*AxO|f{Ce-M67JSX(xMbn0IO5Xsj3w8oC~}Psi;MCP4=;8#I?Od% zgz)UQBuju>{+>NPQ=CRn>SS> zi(ma}mf@fMj~3ANa5Ls6R}b%i)xS9h?|Am)6LwG@#>lE7P9`Z%TuXlBH!s z?1WzyNEph5;UitBWkJa`zE*W&ucbTB3-Kq1$()}S4TpOYLPv4tl>03A>@RES!-c6A zpUJ+3gNWtvnD#l#7T7yvp@exKG7KS$1%q3~2~FFWQ*~B_4!3Vk2GxSH+ns9U9_yRW zabIDGNi7q8c-|u8UFv^M^RwC2tBH6j^TNh%menAE^;RnxM0}2s6aSXkfBWm;|12o~ zECQt$--F1P`0zc_sqqrN7l)(txB1^CSA1LYp94{TPw_L8rBNO+OhVz(ZH*=YpTZ-aKsN)>A_;{FbqxFidw*Opzm45wQyrR;Z{IgAz>5*C{ z%bM|OhG*m?B$5(7L@A_qa~y)(f5MjixA&{u&h@CTf0ssJws zCdup$(-;ZJl*qIsO11?JsA%~DFW}h`t{1svnofSJ}|}8P!UK8JHgLH3GbhtT}B4NrOc%( zIq0ot$$JHGsw`KeEn&V{X@j#EcQsH=%LsEx>DtjHdREd)8Vsb^6bAEQt)i`bThLdV zh=PKkqSH7{(XwBC6EC$6Wc0AGt)tng$>LIHy+^>#p+=WvuXgR<`3^CrIItkp+#Td1JW?yF z=fcT(sSHukLy{A5vdU9V?q;DSmLrgBRw0bsZbMgoGO5R8-4LjpA<9+TOcq^D1z#1N z=?D{CisYc%5`8(C=R^{%m{=f`ov45nBimtIJ46A|5{7<|;6jH_7ol%K9u_iVvP7;T zc*md*irXNFfzmeoL^LEPw3A zNh$ZxH$|RoLXRFg2|l;r3_=S?Bg<5FAyGLxaRWzBObxL_V$_$LIiGWS_&`rynYA&L11aVaVR-??hxZ1yp)+`PBA!6! zv^a4EzG5ZpeyhgyO;ker_ty!|2wZm=e7+3Y>lbJx;ZDn_i@ zniMGUBxA1H(2Co*!6+h6zzG#_p8k&Y5Vf%%|6?jj5&UaylVJ~0yHMKhe7d~)E8H?f z(W3+CK(%G0Ag##|YYSutBdJr5k0_f;iYK*F5plXFb*Psp3(QKZ=%TQ6#a%%w9S0~U zIkV>o4xE6_IEM*paXErSAZ|xRVbsW{bBbLCLcDZ7;-Qi}5|yT`mlRJ#?~)UOONP#e zL=Y#tozD-%Jf9~WX6y_hEp>>L7duqvD!ukP;n5D3{C)qGv;z=}cyw?=xsh{R;cQ7U zQ;`g~oSeLy$Ea*BLg|TL;#$IbB0%n#JseW7)h;W<5mt@^9H|+ZgqW(FtX%E$==(0w z+)y(LhYO`BJ+BtbPDWVK_z^Y<`N^y&=#ln>j6z~e5Z?tvQs%pWL1dY*d*OC-1%*o~ zgr-x5Qe38+_!I0%&;qKM5+$R5Qiw_e8QikLqgn`|%1BeH%<(IKAHIl(oE>m5uIskT z{8YmEEAb+zvSyo0B`KD`v{q1BB1%1TMWr+Xj@vs5Z6AW{ip*;gX(x7nGSdZuWEhD4TE@99Nfll8c0Ik=cdNT5wwdrY(7B>e9|L6ZwT7LYjYA+`=%Rf{cmEwWf zjHCBEFygK(fg`(7r2YlYg|4T{uCOZxNODF^ON!QY=^(g*(lU5nY3meVU$AD#-aPR8$#QDYdn-v=w@t zF!_pQiin<&`ao{1Vc`xV^u3fCbjr&eix41|Y6qF{m$|ZZhG-USE?IO>Gbvk8L8<7n zRYN8Fx9-!N3aI1r+q&7;)GD<_ibL7m^MUADC7Z2jSOwddavecbBw5MDldQ>JffyRn z^k^yNw^4KzG&19c0}fajvuc+)k6&4fup>LH?!u-s3!a26#I9L`rI-?(D|MbN2uJqI zMiyZOt#Z(iP(Taigd{(Jpo0YV9%`a(lF5}rLt9Ll8Ik>`CT2!ESk>c)1blx%Xy9k~jU1&IL|k4tp&>r)YgvI|muGa=K2V8ab> zEBmug+*Hzc1LMr5Fc{$+FCrpWCshv6zC=}+kSZvj1awK&VU@!1gqS$IMn{N>BNe`f z>#Vs5lw7ENN$&>6m%~m8l^Q-zJxL8`MI-7KRD{P0btOpmu@{I+eR+0>jcI+6RdP%r zyJ(oN6^EG|z0HZMSaKC4XEsVBQqi-Ru(CkuAelwcnr)CMpR2Ui$?UAG+WaV@2pkf_$-e#ccyk_0ik zDZ7#-U&|;=2}O`pKqZb8bU7GY%>E8;gjsU4pZIu2-E~A#<`|Z`zC`mw07*uefm{q{ z(Pq)WnuDx)e29kT1S1oACS*@9d^%PhNy*{V;bPYWr3;-U%)_^+kStdS=|==3mh522 zox5E@WK48S*_%`^apgkrEr65@u=)z#WNSsnUQ-@|{ESix!%#DgVuG95jTYOopqE>l z<5p_=B?=suR3#4=@KpCKWS-m`xHn|d4QN&X<(F@=r<^cT$AyoR*V@n?k{s>#{$uG) z8Rf@ZDd}5rd4Ui%<#a+9BIOj-QV{7af-GJlQS&L+SOiYklafgfc91G!3`%hIjJ@j+ zk2&(Zh8{61PcXQq+&pbWmWf1A_clvh84kKk8F?8@avWZqtzfJL_FCAxMeRibuVWuL z1oKm!4esfY1uG$qFYIKt)kdc0_lkrp_N+i>LZJmgR7IRjcwiF(b)DBqBq7QJ9pMf+Mwz z9kJ-H8ISDLr0zI5aAZ@GDQ8y7IN<=ur$_x1os)zq;Km>aiX2V?Ro73MfS`XTw^dGH z9(VQiTBh4i`4-&=XiFIeT{h)5?MNWAcyoDyw@HuKB`!=-ZBtVOVsIfxV9lJOD+PL& zi?4blHHH)D)YwlUhu`A3hO#KWqUT49f9_2dLgd8GiRD`mIqV>Mp8J}Tl6XW)j2%0k zZsp<0QSS+j>^wUas3yN>GYX~d%aMaz9e~NRd|t6g2isgMoDoZ1v?h@3Kyd<5E@6x~ zIrDaolHNfnNyz3z=ZObU`0mT@m7HI*0|9jksicfKk*CO!3UQ$5)(MP~%Bn*vS%ESS z0%)}nmFmFElJOFx3oPZZV9=<2;8rS4kXx`N5V=TGf}8#NtN2f-@_F^ii7}~@ov3GR zEzTLX;%sd+ru_H{J-xQ3Trh5I&dIAi*vh86q6-|zt9g?#;@k=~-Lc>2K-c=_&s2AA zREzrcax$Kk-kYAX;k7im;0+5HRHFH7rn;#<_48KFa(J^$yT`Z3FP7(TH~sR`Z|)Ws zHu)8z$OBMnT@;h@jcr7?J6^}>^(SJAZDS+U5x3Kq`R$FW^O&+!FuJJ{z)vUWJcf=)+W0A=;Xzxrw?vSpt{~njS0>l zyjbqV6i&{o^Vt2gao_SSPL6CcmL>b!js2@MoqIyiuO^uL)rM8 zmQP=n%_H%%^pJ8GXV_huB}CiJ!Gp24+`7AK6%bX?vmm(|qg;L_*;amBT)4>}z0|%;{85gE_(eXk!5*IjHv0bL{u}LtF3g_Q1ob+5SkUXrnKQRr zj^ePBwr%HjyeA*Ydu(jVei1tv*hT1)!kEfUA}ApgQRI2@)jD-+mX*M76-oCd;bs3=Q@h>{lx#ylg`Xe3adDrpRUen2tqD)DLXwIIUT56Hy++J!qiqktg zn>#Y_&7Bs%+}T+)9Qq4aSCQCPb!fuT`|2w{(~_&|-}wW$u$P!)L22}FnofS8_?Q(R zQVJZsiMauKXB!v}DB#ABBRRBy4$pA*s^a_+N=iw2PMvz=jd?6-v{6(+6*k#TgmUAI1x62tHNetrvyWukO;nSybI_ zRZhQbP&^%|r&CKRHMQb)>X#OC$@0wQMDJTU_A`$sFIReJe(5U<8vk@XrIHx&J<}^v z*~&e$@1TUxTc2Sh{S*B?YQpKW$F^wzcCuc+9I44YE3duAfL9zl_S$ROfL;~}&FdQ= z?UdYhZZqwvInVZPyr{-Mh2o8!|2wH!V2}(mY$f9;PRNSypT@+Ejp&up^LssZmfueH zne&D!Pd)Sy9*+<;$oG2u%;%Ukv$I&d;%Cp^pnvySBhRFGdJU&BoN+Ej80v7K#O?RT zS%nO+*e)9j*JhpK&%LxK#q&uMhf6=DJ9}7swfV4mfSOCqSJfj_L6m0<+TlJNzRFft z4%2X?03?fp`5YCZGiG#WW6UXt`Twa?wlELj@=i=;d3@#8AIukpD*ZFsg+DxPQ&slf zcig^iZ7;XafJrzXJ)+R+;buHOAU8FM_}cg$ zRj039Qw@sZ+BMOw6zDt2;#DRV9}_)5HJ($YvfPd{eA8!F77$?Z@B>012Gi;`xlp{( zm)STzP#Sl`W$6?g36~`dQ(2xpYeWNsf~&H;9`!lmzHm zbB^U<%LjR6uE_BIhfsxExdW96P;JRb5rTNH_io*qH(<*>jQ|+=?aI=J|CTT%#l_BN zQVkDn5y7^4XQQ_iP)0f?it6?D=%6K}H*Po-K$P>HJBvpCi$hJaGy#fcX|R?DtdcVv zsKv_7^JKOVMx(twhdRbLhZqC1HC#!x*sm6-sOAH=8~(hoH1teRzv6n5ae%os|rdsZBW2H?k-LBWLYga+ z?SYeYcDCnE5yGB$^yvq9j7fUJ;l@=ktYw3Ut47g9sC?ku@n zd+`P_%0|4FW&S9iC*kzpIDxiHyDeC=htG}2@;AqEt`cvIB|}|v!f+0{zP&p~7D`4% z)V!0B;fcdDPHdBNdk3QTC@D7C*^J6aVr*A4HuI(^XwaBUc#d1Pj2$P&?60R|)U$oX z(r|yD8{q=3POcLvKS!#JdBv5xwMg@wd}oRYHZjh##S>CPFdW7P=GZ?xfgRn+2_De^ zglsVqsn;A=fC8Nw*_`l?eM=Z1(*&`96Hv5+Db~6%i^mM?n|7s39b(%|d3NaGlm!#tt#{y+0)$Yp3N!{2OiFxthNcV?KzNvw#C`6Hu;s{M^ zRS6d?5J@JK6a?@xBwT(SIp#>`5^T829t!?bKqJ!r`iMlx5SE;p4omMRP?V#2 zjz^2xmjYd(eT-2)GI8lenBYtp&yA)ed5W{Fw_qk-w67 zOGXsg=Gt4iH+NCd>*2;?iBlVjCFO&8g(KJ#m%>;!I87z`S9!yP{&EL*)|{8DOP zo=I%}1)k*6jIRD-GwvmX$WRB13x#6pB*w;DxD*#+gP-;7@-qP*T%6X+D4adjNkGR@ zB2N0lzahjCP{1;nvd<6%6sWX|s~r^T8F4;vCmeoChD|*vq~jo_tWhb#c`n+{i~x zx}!LYs(SY4bmXM!4z7Ho8h!XUj|^^A_3;nOACJG9xtv@c!P&^iV5VN=<1f{8<=O7Q z%CjHLe$CCkj^daO(Y)O9CFHN^0R-O(&RmL zSutfMUu+;ore^Fkj>{ecvNHneD~EjIuJ2l`kZC=l9)9P&YUg?u%6*QTO*rIr(Rt+9 zV|7lB@;N>##F);vbad<`KL0$-r?U2JT9IB!E}w8E(`PQQ6{shj9 ztbCHl)1*l^KyF(Qm&r{$C`upHErjKBa4n=Zvy04!$9h86Cj-wp%}<`>Rsm6qf>$L; zDfF}ke}!#{_#fO36pl>F1(e&|Xt$JdNQXo}b_jR3RQ8}cOz|*82>};*&PU})wVQ2F z536oI$JuV;w+`)tf7$;31S$yMe>p%oe?cJUUp({M$_#JA{KXJ=2bL~7s`&qcfAWX6 zW?R+1ZCRu`Wh#*)Z04FpJ7r&XEsEAjEH%p7)`VqS6vdV$3yE_ap}%QOHA!@t;H*Wf z6=qqsg51j;^~9Q4nm#w4aG$}QU7Q8leUsxgJPm)?c9!p!A+HaH{16lqC_8VkX3e}M zjktD5L^C|U3Y8HcEzlvk3!-;c6TSGnrMEiDK)?Ce{J1sAzQ(U`e;LwAQ6@Z&|0K2- zZpWtFn*^0AZ5Pf}C`^EELj{O!^Ac-j!MBZfHW%r&7ftEN;nVFhxn0~sCku<;aE5CEhjLKxHUz894b4$-0F%=c} zx>+}GiCB!wGK@PbHw`QULN-83-K^p{?PPGmyB(eWk)d zB^H5`IrwBgRGo^L&^L01790sdUWO9a%Y-X@6c08|C>A>+Cz!fmq8tnSNzU?CMh|D; z1X4~+f92cA%dob`)A$6Y+(zk@3eiB1ZIL-zXYnLBwkZL7;@e$=;F|=uxnkfUj&X{N z(vC%Lt;{XWu*|N~$6!sa`dk_56LaOt3Awa-ss}(4D}YR-0ZC$5es#{0UqJCd)aPPS z2{*-^X6oh(OMmBmj=agXLyE54P{G2=WQA?VGA6J`!Y4vpjwinZMr&b|sWMr7QVY>K zt|Mg8?L!f2?Xdt!6DzCAznl~mAH}srfMD>%Xm1gM#Es_i%v-8;Pc;-jK57WG0isBO4d%|__+yam)1Z4>hhVZA~M_L zW`&r)0b&~_VwCiY%_IO3F>0swB&Y!te%xbymGF{P*!_Yn)SjMkteHg^6mje)ha;{U z4WP6_dM(tSJCtn+ox(vTaFzcS*cgkfwJo=tBJLVy%o;Lf5n%`Hbj$dVAZL4Fr!Y}F zh~(iTKLU9!0vs0EL0RH27o&${5=+3jHkSeXA}Y)rkoAx|yrYzkTXtRP7PoMybizAY z<0=fMR52j4r_67{GAvmeuEZsXa@=Ohb0oNqIBbyu&b2NJQJ?rwa>TU~(T>e?bo?Uv#V6!(*01zGVby-e_vZ}Bh}l-{)X&fsj*8FTpaIELj~CU?q?78YV* zhw!tQCXtCaV(}04-eAmzVs6j@I%vmwk_x&q@I)iF!V_<(8`nvkT?tbb z7U`QkXS~hB%t|b&9Dtf+Bph!r&&{9_kV4C4kAIYmvr-HbL&S*13aRuVb5z1M0dgr8 zpM)+vPAE_+Y|9VT!FR-ytb3mDZ3bPM>;!^;=>a$hCt^C8CXgfOMyX{?#Cc>bJA$;# zr+w)G{d7Y&Dv4c+ie#BVM3_9f!T_LJ9n=%~-bKU#{@IYBpat%xfQP%-ir@$&@8*)1 z9!yf}glTmY(URy=WPwE0a)h|(ikNFk%CdyJA$}wQTv$pNmqjHwcU`jMDS{<9DP%}4 zFXOwJqV1F!x(aq_E^i4@EKaUU6X#=kKMcsjp$I$;4pDBXZ1pU8C@cChLUuEr04N?BHvf^F0_PDgWF2C zQiP|=)&jiW!lkQq{vwy>aF;Hc4m!E$0wp@lc_m5*g)J8w@d&fboowI~f^2HwY~z`N zXRvCSBZxzXp?eWH0to`Il;cEV4#?FZhmNhoYd7PU4tGmQ zKdF?K1Rc6eJ4k6w?y_C!;!g94*@S?$FD7C@ok+_nQ9_bJGt{Ny%gK2a0Th-F5M&AQ zD1e{1oa>MaNU8;Tj)9C^HM|HnBI3w@rp^v1f!}pRRc{kLq8XP7l=I&;R7Xpg13S=A zYZVhC_(D?NvZsnBKYJ^b50qZpY zFPSQZ`3EvL=(|`($;nEO#&RJNgZIpAM^dTXoj<^-KcJkg0v$Yw7a1_3JbkkxHC+Of zsD}c(qG-^!nh&W741keJs5SCO#WSBSV8IDQmq4OP2$8JBJH`bvmz5+b01Bo;LuQ5a zG7KdOO!`jDG$|n`Lb^vd=I)WFp+JTVvs_LWZXlVPZAJ7LQ3ZZ%%(L%=4T$`;F%}2$ z1HaTsBy-NC~~na%rBN;LN2V_ba0aVEjhaO6iz_( zv(izQ80Ey3PD-(*%cm}L%4-m1Ig&8KltgBPAZ!Pb>K}!V(0RRxfn~o-tZe(VoEj6EBE%u3$^qrapi98ZywgROs=y9?QegMT3A8o8;!Bu-Y! z`7TI`(GX&gp$jYxSQtI;QTqrq@gSgfjw+WR;1xv^YKfL5y=G zJB%T8m)*PMl$$Gu7yzf>#3zSkae@#_5E>p8=k74eecsXdoG!1eu!xoy1BJM3?Jt&F4SMXnn-h z&_J|~xXPor=t*XIe*~Fh>Us_27&Dlz7@3+bP=}d(4|kho_zd!00CrWl2Qo0vq&f{%yG0I};xA?g4k;(G*N#RFWO)8C*h`hbWcxhO|Y zh~T)CO`tv!pvJS$qhEZ65J8zp@#vNdf!Qh{@dC|j$Syk(1d?dkr~^UI@_`}LLCBdp zG3={M|1OJ_KSW2@rQ0g#JKN)Z>Y;|M=Az2OqZNo7|$G5d_j(i{5s&?O|i#5S=! zF;sClHqXm`2m>L=Nm6x=*e3{NG<57K zJ%O`s6ZF>-bg9-8sw_&aDo2FF05b@(Ne zLe=W@M6lgV+UhBX`ecGK*73P|D|~r9(iS0lz4efepUmyn;W%l7_aw9l8@ya^*{OLL z*003Fog4PdwI@!oSZKHAke;`4mt)yJerpT1_rqwlRQ zAJ|9s?Y&hSwkVSO?5YUAdm&bbyKGpVj_q1;KfhDPVfWpud)J2k>8-kYX#ZGjV`xWT zKyxFzo{ZQ3<&PqG-16vO;xx!_?Xq`@-*ugv-JV+;eFrHHjLjy=qnUo=3dCGLOE5>y z)@3q9^ap-|!H#DuC|YJqpd`kAlbxDfBW&Z+$W4NtqDGS{QiAywk1Xf4`A+;Jo{T_(FWrAG&n5H=aNFT0K6rFuNZfl5lr3rqjX`o+Z$oJ^^DC>_|lqdytmeNeBH{Q1C=XFB>&G2KeX z${W?o^-E!|n#Iqc`mpvXnrO$b(ui?z#)_xQ;SC<0qK!dJHXClyZj@Wa-2?m50@@9p z>(sl`2r^pR`EFaz!)Md18|Ea0kNRYX-9vZhTdydauxba5I&da|#zA?|a zIXr_l`serWIJ8m=x!J4N65t?D-f&sIM@MlY@e3Cguwnbtg*GwGZI0(sW%<}Xil-Eg z;`oHa0L!o946e=>JVsm5muPq%@SZAf)a)JKh~&28KlI>Oe%N8RsdMt6H@*S4A`IZF zui}K*u&gX{Zl3S3Bc@?$+8j=ALl!s)fIc|bbAc_#EPZzJK3jIkagfK069W$Nh?{<8 z6@%jE=8+-$^EoPy{AyTB+3ebF5A4z(P`7@0KVJ&q6By+X^XKCu_wDY=zyFc(e&HN7 z`LP=>@!q?)xv96+z23)qRNm=EG0_rhYvYIJ&OZ6IJNq#*1(vfqA6zL(Z}}9=LPInOt6ZCc%X-^L#~H#NYLOUtgauROXc5ZtwMev90~;E0UbAIpl7q z4RvXu{qeVH##jDB7?*u19-m$4Quyj>l@GOAH*lzJNmy82G?+iPMacpJy123X^ z6uV1+|#!LIqajZh|g%sZs4}4n(gGAw1uco$BJSNZh?cOFS z{`J@SWp@V6ayUG520m=K?kv8)c4?@wVl?83nF~)M3Xic<{7xVWK1QjGRAyzyiuHCc zLdYr2y+i@0dOkMCULKy0Jq6ac4g~npdR|uN%ejj>T1vF}+MlZIc3Fps87uQc+kqo`wFFjsoi+|>RrS|)y`&%|vpIZBaUsQ9I_jqE$30AZV z#)y@Ne}Wy&$hJ<;J@CPaU+(Q;1cR{mBtyhOC=!}qDbG~wXeRf%-Tt~{JH5svVN$#f z4<6?=oobK82l+)o5h%Vmv337~TqmlX%Nnt&>i+vXg^xy}Ltg%MP)AKL*hE}?VM7Uo zvcgaI^}?`IJj8ERJPpz7F*nY|B}BAFDvF$|W8P^MNAY*smcwSJVlMCW=@=cXYKv!& zKI${X;(&!M6z3UUgIl5+{L^e=6}SHBOB{IPc+UunchXJ9AnPL?fAlG8fh^Uf8EhFi zX$UF){_C6wSy?;vBr;&*WkLq@Zm-{##lLG!pU|Qi*B#9lVeQkiJx7=`OehC@yCMWx ze07F0p{nXHesNi*OJ!@P^Qq0_o#Lrcpdu)q;W8_J5ImCW#50epjI33cFGU+bndIT{ z*@?pcw*-P?Wk6XfQE?S7f1SC8!y~<|En3bc0xgdKz1|!y79auY_3=154OQk3(m~~x zT6#cO?8|O?=i2D_Yo!#=cFGHg=T*7iqaRsuM{soop=jvurvz&*Ui_)E8M+e}|Dwlmbc;~f@xIDG6!Hxdvaqy*x&Gmq40 zaQ}c)e;^|38%7TM%DNi=7^@HEW2FceBd9Xy`Bq(5STSwNZ#p2Rmd z-9<;t4ftd!EdA${CU{8|iRpL@6h&ce5;aOhWsLoO5{X@S1ayKdNBMLMzS|(AG>_=r zE;kLiht)&(OVTsP(0deV_kLq*OFXS)(F#{ejO4}#2ZRALBylpC=t6)>cr@=(idWA7 zk(;mn>k4VTo_&9C8OltcWANqefr}6uL)GVTc(^>|Cj( zpX9?eCj2Ep6BWd6JmHUP#wdXvVam&{Y6WE>NhL%G&nzn!MTAOodmO`rNoEul5q|bK z&bXI{x#6%o4KX~aJmRtybD(pW6FXZz%J-Huf+uo`PDnQXR*2E>MytQ6;PSB$CB(Za zZwBbE`OVOq80v;HduLhJYwoAE_y{Px9En4sd&nkxzyD( zW1-J2!qZ>XS9%hFc10yiM(k!6XBXZq8>}-mMV8?5!!p8f#BTxq{VtZWx135kRCbeh z0?7xG$q$x24p~KFSiWW7)>}nMZWN#q-SPBp3@G@5T}zys>myAjMfpLPD4%AC@@zTW zg}O;O`lkHEscgpuOYc(I&E(>;m$@NXD?Fz&ll(z7cf0}_l6xnhvjL6_79tlNKF~jE)n#r_F8mP}g{}Dat@_}5&YXGr>Fy}b_S04! z=itirwvFZAyvZ#sUw@h0+}O|&oXKrZgt<*Iczub@s~sIj^gO|a(;ZxS7A`L@%$DD=slWDN z$zt|gmL-1`XMZwT`pd84n2XCdzsbj8@V`ZFarqtMI#hJCwR*`-Tz-7{ou5xghVDR* zWO?cnHtS@mYjIgDhM7yds5)70R{3Dh&9=(2czcrEpZLV|Dth_cC-}Bb=CU8Ic3cKw zlD~=*Y%*c8d(G^tkLGXc{N;OWkZhpkghd(xKX>Jlmlj5tD<%i8;e)5up$8F1BXgV_ zSUc-U){YrnMoyJO&A)yf4Q_SZX*n(A>QRG3B1+lT;<;`C`Kc!2 z?zKIeeF}lHO_gmsvlrpX#P+77Pt4$A`UpFM_o9k5OE zkmq;@L-}@yl`|kdIK8@2Ja<^w^s4P0f(Kntql229>+6!i{EYenk}|iz?U2Jo5b_+W zi~E4?J7wt7jgS{`$Xtxqt2ubIgwKBE0Y5RzyM6j8DE~qb|Fm3xDsoAP8owvxK3x3C zp!=F2h-wO|RsHXH=Ly0*?i5 z9`m}foR;GdSd7DH(*i9UZA*jJjwME~bQt;AUML$G3IDTHCRQC=;<$;Om_=toD6cW{ z*KiJAI@~0Xa|tl%>>0l&k*7IgWzsQ+OomCbv6ZdDpq)!DVfMmkGKmrepK^2^AW_j6 zY^cYnoUq9gHj*qB4SvrK>WIX*<&-TmbH%_@l4j)_rp`OrANw!bj|%zW|#R5_pu7Kmo}v#%(fE)MMfGEJ9gw z7t$N^x+9V~T5ttO!WH)r+A!vwMeqh$>4e~tMAAY6=x1`2&HxitnuJ2N;;(t2$5mU3~ zpFl#LmgQ#)OLyy-9|1@QzGS3u&MZXYdMhaLt&rm>La1wwHt{n=EmH+igUW6WrtB`A zsNpZmbj0+oOmAS+MMbg{8H+=%yM?MF z#AOn0AULy$0yD`XxijQSG~yuRg`6<<0%^$t%pkHs7&a{A4=0~*-S>KCNNTeVV*SO_ zed|=6I(6#QsZ(`-ysCSzXJqc1nQlyln`A7C-^ekK$G4#6CLFiaGe?xbm3jTw)wtPU z*JY)2J+9dG5;xOj_cvI5&XDH#P3VS81|#nI492Mb(*L}KHlxPp1nU8MyJQ8&0;%o$CXbv))t zIVT6m;U^UMX496`qdx(H9IfYA(%Q*V0k~C4S2I zZNvYR4Zf)EZNo1t@l(b(8eTu)ycu*Co!(6TiT9vyOL^;i^EcS#4<>J6)3-_g`Ymvy z$N%fE?d=+lE%3JCk4^E@jl6C6pRT=+Yy1Dh@c(L0R-3BRu?E*8@p|-6jWrLP|5TQn zGviIk8y@0br|SWCdgJr)>)DFMo0I=)sW;fDus`@)a{*}~s8L~&%DAr(eM9qX{l>g_ zW8zPb-^i+8U*Gu1TounDE+WVHt&FY4yrDtI{5M5Xlaj^Lv7jO<{YFUF3H*%Hq~I%v zF4*($#m7rGA_a0z-Z|R*__7CW(Hbpdn3rs%ktg^k-)gX>1~)u$PJ9!Zzg%H1iR`y- zxWB33f{^Bs1zyl^!t=~y8^;>mBr;6>9}}~ zBrB(8{|8&@C#)Te7NrAv0-i!@G~&xi5y?i9W49qr&}gIi@l=Z}s;s3IyYxxrp(^|0 z6_F!?oW&NQF!XZ~)0DV{XqZ<+Nemm}b+-D=$AOoe0a*4FrkQ~b63`bJ69g^k!`2il zt>cos3KRq>YpMckj9x2i@%lIm*cHCs=G!1>_ zBudd8L+r;|^OASIUN<~zQ-JQ!9+CjoE>dDBsXA2JgY^STcZO6XV$#U5ps~|(<+Hp6 zxx{Q`eL~0=yZyH#B$f>e_{o^BBLlF{9lOccVLdwyV^Z*EEw2i7y$v}Q-Jv)!yh?|V zEf*NGGpcd*Hj_bOojNgz&roWZD~63G4azB!xrIs~kU0Mkv*EFXn$ZoK!`o)}; z$((fzhMCfujbv!g(!^wL5d%@H6%&eBJys_Kr`btd*IBWfxRMt7MN`9gHB1yYRH6JH zoCKLF#Ti*8EnDmm0z=z2OGILWvVNptg+h*&{`Qqt5Q z^FBg^55-61OlcS-{e6?6pTShCM6MD4WG>EEcC6B?Z5zwUfCb;ihq<6CD?+ zc2SI+ynt0KfP@V=c9lp90Oyz{6*3wEOCok1T&7t-A_6N;?;<4*FBH2G5{by+bG0NH z5IW2;ItEZV8GZqz=au&tByh@nQIuqO0I}mW!1P>FMutRbpT|K(&YEEOlvAPR=J|O@fPhUR zT=K0sl-`IdU?!SO;5j_5k1QZ2f*fruE7}4wIaie+-BhOlI zNNluHA}K&I7k(qeY?$i~KD*8!2~B$%U5<0S5$PIrFyL7!=VfN78JhZ&aVA+viA|20 zo}oGeYEmf^j&pKKLDC5`E(Fj_iH-cFxtAy6qRhJ?6Fu`;7aG3n}|6$ z#v~z;V-8BX=S*T$1>`~EIXcLpvNbxQi2EGES<;LMQ06e@@P^>JjXldIoiE2=htNQp zaDfrZ0XfP|qUs_+P~<-+q(^m*e~wtvu9jfW5!3%vjBxCmr-HQGG?8Kd@?W5#6QfNj z|3$?iT&F3Tk2z|R^m$)oE_Wgs;4xui8cQvaZUk2%ad@GabL5B;4bTj4Vs23pJTT84 zE~(i_nB0{!583FLQ?fZ692vaKHHCyabK6QS&zTu1nR5Mdo5iwPZ&;Ej*hfg9Cc3mK zNmTZop-9A&?J1i`Qa+b)#{fahb#}%gDK5y$D5q7F1HEj>MST-cUBWsc9-A{WLNm%{ zAtiYtR^?2z>ky@8X;JgiMAvTr3A42>tjmJZf$;@yAc3NJyq;=e4l3Y^N@gclu%o9% zGm!vok)fzb*7izsAs?wED@d3)fJc?isy1n+5Lc{e!hDL?hA1k8Rw7ZaMo2kdjK`9i z1Xug=T}?JJuEC%18j|@wpiKGVv6_;D#HIn@IWXxZEc>7Tj(OAQJ{f6|JC7$W8dGeD zsw;D(?ZxCr6BERSu9iizK!TX}+T|#0g2cA=;VcHlA;tXP1khY$dPGR->i^Av)n)$1 zBr)f6bCIP%kIGPj0}$7c1L^%eaB2>X1VPe&NefEOVa;IEsZe&Oyo+VVk(>aCIW)}5 z3kmB+8s>&`sGOvZDnhZRlJpt^_e3WHm@afyqL50T`{%?w&C@~+r*iX=NsDUJJhg3Q z)4H>qi}0q#UG|&Y{+tO^mQ6gCZp{`KXpqL{{LNv(4`DceHjs02tE2-&@-QEv-WNQN zfS;bX=Rg_9;ItukO}x&j#*mbh94>H9&Vv*SPFjsf$~*R(15H#cVFy=4Ie6|dc(VP* zMoC$W8;9AIFB#mbCdDl(<$EPo_}PC#Ok7PlDHn4E3j#PrYxJD+&{6ZJItdAspn%?g zeLjofeWB?+(70+G5z0X`^u8oSokf#Y=<}%XE~RI(3>M0dVfZ|-M=}IafkZinlv(T` zXcW_}Yu?c^YaY^w%VtTT<08TUS2CK3BeA3u?Uo?~p2KX+d9)$quwkk#m7ZJZvT{?# z7bVf!EgRP5?12PEFLPVd%TX!whpiN@4hjAjzCDy>q`7`cX;TGhp;aa*keJ+e5v<(A z;T*c)#22@a%X~uCST)4vie1?h?S_>wUnqi{B4o1c9VJ%a`j3hQNRpuFTrcJ3NqsG< z2V&034+)}jGKE=3Sh_TTr>41}NP{ymHCYOM@kFE{sm6u}G18@heRZB7hq%RI%1HvZ zYRKfeXu!NQGKxI^WezKRBdI8yLQM_!k^g~rGdO9asC=3qYQlAhL}wwUW}lSI0wvu8 z6}Dn~Q7Wo}+#}>PfSz1JO7a32cSIxg@z1ewBA!!o!(s?s zEv8Ic1a4DVzL*62TmP53Vkjm1$#yfRs;P1K6bNlMS~?Ty*Tg%mbT0vI+qNT5I*TU; zd)4;%OWUKpS^Tth_UO}Ur}r3d*43W`DynYDebWoyN>EcThZd#Uf7aV98) z*cib_R5~l_Xj8p;yx(*w9_?f{69|63WiL!bGyZ9-^TKFYdB1Hp?38>A9PXL!E%uYg zlrV_*^ct{jF{Lq@CkjQ1OGK@QT!&TLOE*u>n@#PolXxFi=_T!Fo>t#D6Q+OakVe~H zVxk(BBOmV9%u3ht1%2T@yo#`^IB=XQ8~04rz=*dOK}yx5_SeO%#t$?@h}v8$PEOtR;J{=ML5FaVoJu19!cMS8^v5vDNJ} zX<=~ke(!+lJv#H$frva^ANWjjeD539ozWTJT$@4Osdl0bCVa+f5=*q#96z?Nd-;Uo zRBF8u&wDv~mOjQ!QRUSnpG<4y7tZ5G25ZS9?^I4U)vwoPFk}9g`L>;pOXKBcn5Ot0 zE4b^0f9OTNd^zhwJ`l~9YvaafiY1>JdKh{Kj%yuD@WtY);k6q1ht>4(;SpK?^q+D$ z-!dDId9w@cPc^<4;y6*D)f&Wlm$~FZFVge84PZQWaHliA=zMs&EH8{JxOk`Vk+^xS zPUIrJ#an^Xm`^qHZaCv5Jxds5;=G~w9t?ej(s67)37sO&c%N^`RZ9o2k7i(QhL8fz zFKcX_i7(JS(rL$$g8WD)gcVM`lCriUDd08Iyt=RZ^lUbRo3}(A#jp1ikF8+A06H>~eIz-BPS@y{cVe=WUX*XVfRM#b?ye8dQvY2saP}M|=hmH5x zBrAp&N7AuVTpZQTPasb7i%YzywXWTGXU{W7weg1H4N5sLE95Q8ZmYk5wyo9}OE0y0 zdJEk+gkEnv=H|0?+ijOG^Bq!(#B-A?tycdmZwa0(wSMX0*N;M24=?YfaqH4;w|)C% zpS4ITZ+2O&+bgTB$(7aBopXc1WYTWmcb|jb(f-zZ zC1rW};2Yv@w@1|xI<;Dd&!lm?y>#cHr-aVydN*d*9^JI9$%NkC#O_Ghc;wnO;n@>u z6ehQ?@4xJh#VR}sY4?ZvWxelsX-R2X^1z`5Unx3Ehg(>dcVcSR>XeUst{z>Ql$L0b zUVyr}$@@2XA?oFCgLzeHRn|wmf%Xn@|Ml;%NU*=!uMkS z$t1L7_z9)~BQ1oK#1#VWKqHsoCQSz%95_;2trrd)o$kN?#hK&rn_Ov6$UV1%6keo! z>eK+2ZJ&70nxvdO`G+qU+_&FuX;!NRZYj0fceeeoF?_z3YoyQ;Uj9m*q5a6lVa2EX(mW>~{P5%g-oX8Pk@q=7_rFy_H8ZuQ~Z`CrnpZ z!F$86dwf~q`6JD8*eud5=*onI4ve}aTwl|8*<`M9f96P?V@xevPZ(xTF2j$6odl=*K*)Tif?4 z-EQA(_&0j|%8DlWqM!@#c%=3G(22-jCX5SBHG#>27kM5sNte=E zqG{D4&8{8FD(FIPS<)?z!K-h7TT*lPA%z<#y6fHFVOU>k$#-SS1Y}GXm>OGK;_b_L zz6sRx7$c=PP%^2*Xy)_#^-MiFN|DrZGkGwVCvwexrX|Ih13Lsn%8n+90$BLF3}l6x zE-GABAV*5Kf<+K18k4UhNdR!kWK2E%zWA2IcA2T>rOoj1cC>NK6Bumha64DWImRSP zM25m=xu@WD%oF12uu@0Zp6Wu>NVBpEc&)RU!=d-4BV!5h76@-!~SPl${B!p(rwF@bW-gX5nNih2VU~C;i zj+B+bomw?{aB&34WYR&F1G;C=Dt~!-n>k+oy}d16*7@m1lO?zo+j_4`lFtO>3bWzi zFH4O=!&DW%+HUWa+3@8xcS(aYQ8!X3g^uV(1RnQ9x1Z7})Ek z)Dz=OMU_O(W-fL0AKTR7OkxI)6=q17HKJfL&6v5Jyn=Y7Jl=0Tp#CC_E*>de=E8a% zYb3=rb?%oOkiNGdK$B8zp5t+jbBb)?A}5Fla*^0z7eS`d`%BTKFGb-VX% z#hJMLfd&t%@mT3=kW|Y)`l-zL%|6SzS+j^@ET^#%B3uJ}HhG!ZN&Y zwKWn#0a}r9%s4XnOHIlG7(83PWL2UF5vK#`Rw~2%wJt@IT7>vZ7WxJ<19ri&!1;`A zys3CB%3Kvb#5o|fqW7Q2tYHKx7YplD9FItr75$J%&sELJD%sFzf)cg*$dJ zS6gmsRMYlm>c_v7qALd(F{KOB*hViZY1GWQ=r@=r)3~8Dq1YO5pGQDh$_;5qQTZ$A z)R1TM+_4F6Xi>_99LVs==jn_wAh@7A8geoL6K#%c+Du77&4K0W)O4Su6h;Cl>|$;? z-8UCrXR76V%_m1Wojv}Jf6wg9nbW5qe_U67Tc>v)x2U%Q?>-akS9!Pa(%?b8 zTUhVVolbcx@GW4@Icv8({%dx4M(^?cht|FH5cvDN#PT8D=lc)M{tey+{L&T9vPij7 z^P1cIKHrd~aJHP|-sAawzJBKSPM`k%_sxE9fzjp4_buvez*nxs2G=`{lvf&E{Ghik zo%D)XN$DQweZG>Sw*qJUQkG)B^<7EfO~t~sRo{^=SM_FMO8ahpJMh%kn|BNQLn{4) ziW^}bf_&eqmn7u}&3lfoUTxl*TwmqaSH0)B4xh^JT=uYj%U5~#a`T>J?2;d$VCk#8 zTlfdUeDvw+QB{41j~c!7l6~baT&C#=dV^jJ7S%x^=t+xyS&9*kAdF84;~UL55HCM8UJh>AgQkvDNttqIVZAT~Q(KTn&;t(dbso=qATpS2`xHDs&j2B_nA_UXg!!Ba*C;C8pJ<3O#5e z$2Rlzd@2#3~5?uGMJqYwp=u{9I|2sPr<>g zFI_lxRQZ-|Oe)*V`l*v4SfuV)d4k+1t^xyHwrsdmZbi45^&M(QGPr`V($#RGC{?Pq zZ3N{NnV~kM@w-Gm?PdxLoXAiJo``6YRop1xO5{7tlubk=eLISehKrh3IFFKy@G zAw~?Qx)F)l1g`nUvZv6gKDW&#bUuJJPgQ9%SFlGxSFA7qED_GJfT&2~_&4=vqfpFV zQ80%j?1)Vjt5p0FCKSq_gc)qs(@TtCkHh_26!IVfjvs*zV!Ao9f9`dZXm7O`R4 z1qHmFLS4JJQO2J0BInX_UQ&GnI2BFxDcy)zL1W^okV5&$RMN33t;e?_uX}tM0}7M> z^*l(UV~I#T9f%2XQYPu_@Td?eG*-R3tH>_TlaujVOr$A5vEj?^2 zO54}ed2L&_01qZPL>yfmI$li5uER>jQ$n+8CsH5mr3HSGQdZl_m}?LIIHe_ngEMuP z>1K-dUiR$J?H=7h=?m_93to9obzmW`AqgriL+gM%@FrJSkA#^WlG2P-1$rac3coj5 zgrs8uMY&G}_&ENMUj>c!!FmePoF{;Zb26vFiQ0w`9IVNKDaM`hz9&+`G-OJhv@1MI z<@wBM>NIJuGDR0B{_4+*AV?fh<+ul=-bR9Ag47%nL(If1M(6YmG1%30vS?k3cueICY3Ca(AxAM#Fq=vp}4MYkm79!ouB@G2i%pIaz)gzUwa!Gfomx$z2PRJ-y()t|eV1MlVTgMM@2l|E=A3_hh>;j9lp6sX$!-`v>+$MV)+x?8Y0WLZ>#F|b9`SG zE1fTy2GG3f!Q0o9u}H^RdDupX3?DZAEA8szHtVq^hD*$1LW{+1U&8P(+dX;HPJ2R& z_aRYtWxa?CbOr~|NY4~l;vll;W6Xj9G!%wbU7!Wt$C52aSl>fEu>C|{5t198Pko+R zDOp5DH;H72)D&fVsr!}9BJTW82zUDoE|+k%7NT<1Tehrq1#;(D!yIr!Z&{>qkm_mN zojF;&>U~4<>B(xEaJ#faSlax6#C3M8$J43x>sp3rC$V* zhNhweS+I}2Q;s>63nF#@`bRJW&{Y65hRt7uZwO+OSF5+k&BBt15iO~ zNrhqaIV53yh(j!}D%C`qL{rTnWGtVn7ek(pqsnN~x)G$6&j}uLT%E46i3j&q6rrJ7 z95&Y$$`$M>a0Ni*n4*E3{UTF`C8{DRIjRB3E}vk`=^9G3B7uzA98lNhtVOftEzsf^ zSwoQEfTv8v88Vr|dBlk$0w++>5DIF1hGi4TNxEoO{F3d3VmKP=YvdRZ($ZV z43V@L``n{~_SC_NNX&m!8%f*-i?BfDoB<-PddVpL3z0v z(K34zcKmun8#4P~C+mL=(M}0kKBtl()(vrffva4>4he8)}ZU)a|L5Vn&+*joJ^vMG{{M2nk*p)`7}Z-b)&0IC?HFqiqhKi|}r+IoHgYCBevWj1Yi0Mp6}tCEM}c zn5={X1KlKv%%z~DSWl@8(U@LE^<8MbogXI zm7Ceb49EsX5i%<0GS0;ZaE&0uR4)$lE$A$JBOFhH4)vFxHmQ&ckrW=`wIC%ntwd55 zBf@k&Pk-E;K%B#NVGn6qRLOCf1z-(2*wa_|*qp4BrF+>pDOWfAMb+v9OBg&cHnhDx(%2rJ+s$YxV$-+z z^cg#FX26^+djaWPRSA4tLL^V%`Qn9mLxh~G$a&mAlL4T;_SUNdrR1V4k*v~OFUZ^Q zraVW9%aZL|$^uoN<_-}fzGMngaCL#7v%HgP&sKVVzL-PkwS&i0GHh*#u`?$=(fDu5YdC8x#q&v zkEjnMHH*iLQIiBfw+$mXl`b{Arm>Xo=g?(7S9WbDP4QUGBF9ytMz$HDh|21jjhQSP zSYv*s0ZMcatw_0KvhKL_TxUXe+$WH#Ear(St2aS#VuGSRb;%8oPjscL7)7#Oj&q}B zeMS(R%vu>VTF``x*gw`cyGy0A%GLQI5#{U}N=x0pMEp@Q@{c05{6W%G9d+vAi`6@F znZh&$?&$)6jLqgw6be8AEN7aa7|B>cG8hAq!j?kJiuwTw1jE`b!uVYYvTeW^lL{Y3 z>JX`cPv=IEX%|xTG36o|Lkoluq>ii5E*F%El6Js7=;$EA$ZuCL>424Xf@IhlS4pIX zq@5!XVuGBjfFZSu#DX{zN|H;*VyOJa>?${P7^#_c$PE-UubG-C7js>OB$PRO^bR7P zqs#U#7#eaWG+pI-Zs1mwa22D1)5&@>BH`4Gj9W%R#o)r-io`G#N#MGU5OjHCgx)Aq zFk$GriYaJj&%^%+eu$Bym;m3EBu%<7(!h1@f^4UYlk3EtwF~Rf0-3ED7nO?P=W0@d z#<|-G13f@$4l3wr_E#XN6iD*9^*+k>IV6^S)Noa02%hE0IJY=Varz-eEm7VmJ%5EPFtLLkS4qBWNOB=_I=G4h(T-5P1 z)_s4go0k8grI~%E^S3`4<766lCSQuoKL>WHzrVLyJRPR3S+6K|XLjwLv5l)?7`M_i zS-2dpg-7bM{lELoQ|r&8+f{Qryd1)7OkUAj>5@($cA<*1I}Uk}mhK%_DW>O=9bxT< zV(Pb2n)d!Uq$h71KlS?$rrTa7ZaJ;pdwB|j6eSD`AV^o4WBzR$&2d04gRvuRZy zCc1dIu^;3h7pLWO>Pa4w6y1Fa78K{=*7yFAwa>rY{k!U=gVf!!CqlPe3(Kiq+wDHF zdg73}-1&{|OH2aGS8e%|(^PhcDTXw*zU`ek?7ke{#lT)>Y3-9!=Fm0Y>V)Fi-a&Uf z>|M6|ztUabiu8KC%1@tP9&Rjusr&U_ETgWGCKlu=R{VY2?|r$l|MH}z5B>J}?)SPr z=}$IdYwyM7>UX)&i?vN!+yTW@7gZ~4Y)*W1u}Bj0+$OMYG;7&04MUV}-Bt z%PrR#A2<8zbF7XIUyRRUfG_h5u5IH?SBmEtxwg{DQ?t=8g+KP6GQh)Wt-C5)y)K(*wBEG&?mg>ko~>&((G1rQoz?%h ztcz5Oo{`=eB>Jq?I(JT8S3KMsxbL=a zxupUJX61`xpCV;vJjP^f;5j;)<3>v5u5>Of{H$x9F9wnliq7cbT*~WSXo2rI>#+IE z(UHNu`3*3-Y;BFGoR+-k;P%qFC@GzXBT`ry)V=t+TGlDlvdQ||C)Iv-UOZjb!nT?1 z64)PAM@qBYx0W>S;GN$yiY%qI)R9hVQM{O2 z*uSvgx8FV*rPM0A;jvFM3R}0{D$6e|-T&@`tt;Lsi^2~k^(`kJ86F<8+;aIhe(vH$ zRt2|z_)9UiCf(K7z7fYQTU~tx?OXQl*0opbx>ek>9>?xWty|y!;)@e!ef+(b!KR;TdG6Y|fVy^Jomw`Yv=)}=e|{Ab^$bh!PtfA$Sneh06HuSXH@v^+( zo$q|*{A^}+A8VN%yuCe(?tkfJeir)LR)yg^Y~9jcj@HsrM~3e#-MzK-tf#dO3y$g0 z6StmvZHBPM?NWN%!tzfJ_&I1UY6UlS!i|IAO4<9cb9&r#dLq+ z!>EL`+dn*Wq+t2O%kJ&9$F^QSnuK<_F^Dq{?>Moxmc}70-MRKHbineD?%@nbZw!Cu z2Yn{P-*Lyqi?p=t_i53Uvi$rPv_&bjE?jth7TDD_9;>_BOEHd*F#PF*0}NmAt8ae( zf#GLvU;JIvJrPFCHQG$G^;oi{)`=6kl5e+9E@>MKS~4w743A%7JWf_meCQL}a)RL_ z9&e}b{=)-#{M~n7lflEOyHGPNbsoGYV%S#a?9V*A<$QTM-ixRE>aC5(FD?E2=jzBD z(cXD-JT8j6?|$`FdHkJA7hg?BEV5rcyoSM-?t1qj9kA58Z`IDHR9e4q8n@K$%su`> z;Yew>c{&-)OrJcaO%+hurKRBF_%wYMG{y)NIZ z&PJrJ0XA+Gn|G|e2j48{vxGAQCIwG*S>E>k!+~bvJMUoIBQt@SHpCUh=Pqh@irM(W zm~;p+a;bnXfD`*;P92~Nc4u9!`NHEr`5Ul=6L>tc&+;-JPY0Yh!Knblub%8a>kLek zH+lRDGZOocZe97%h+C-8-rkN3kh@ME{NXqj#p+7=ZKl2=*x{k}`rPy2fUB%d_~82) zH_UPUZFzim_4GUC@k{N`{X9;Fdd*JByH5Sdr|}b7d-^woSGw9v8p8YDc@f-N`g89) zkJ2nY@6fvziuCa1nLNIK!Dgs}5#pF%a&eOSh#9HF4|$Ycr6I}6;?J$U_DUlCvkz$U zF4&N8c!uVX9cXu#=b^?(17LAeKgHHVwsk zET0`G2jm73+3PLm{3z2s`lux{(LHG*dws;Ejh4KdJG@bOolaT$VZ&wD{UAs9`kiLuwi}oECuQ6Gm zq#It=>26ieYKj4L-YL{rmCh8D0bBuAh*HjHa-o88l*ar7N`}`sqjpfGvTWTK(VFt` z!`wxM#CR%lX?#_3_*IY#Hev2Q@(Og(DaD?a`XbFhxg#`JzBVG1oxMHAIFJ&4nz5y( zg)uVb8&#X%U6h^Sul-Hx&p8R{8FGp_9_Z9UWVlU2(ZZ2Q7sd7-R2qNpb~WSjXen;u zqjvk|6Q4J{?&RI0i{rXo4jy2`vn{b+$;AzpNy?UCJeel#c@(`2Z(Dt2}@;qSc-)ZEzK0ujZMo!%Of6Nw8IOG52(eXBdh7I zqGo`}>#%e(#yif!4OB88Gp?ZG3~t3392nxW8ec8UNRQ#hduAzEv>7@vz4gaPDME~X zjq%b-iJc^F#^AapDanwFp%P$V;(%OEW0-)y@kfZTc?MPsYh{c|@~A5NK9&&Kmhm;{ zI0&Z#pxh>4h;38@rOM5iz?++SScFrXhKf*iMFB2V6NYWZlLSW&a&jmsFfi-dAfY5L zMi@oqQu$aOUq!CCBt+Ym+ij%4Uv{WOs?DJ&E+|&yWKtM&3)*Fwb!+AE!U>5zw<$5n z(4xdo4pGQjMKoHDw9zO=ts+X1G?0i0BTu!(s0LGwr~#QeQ;17C3CrWTt{r(z1d#M1 z$7DT^K9BP*1J=i96C{z;K0#x5#aw}P52_}T({M#mX_Ps)YUO5D$2JE|@l5BGL%rh9 zzfp_7dytyCAga#HO|U89rPZZ_@qtG{Ksi&OXGc3XWs0I&Owow+GDD$-BQ@zT zOe5u5F|5f2a}mN|M;4Vr^4Jww zC>+=aP26q*-83Uf*(%!_fN zAX(U`Vb4yc{6-g~)JmaC$|x(#wy|T~=F*a|bnzT!W)%Pm>5^lZGBuGJEM4ZwOe~r# z`18a-@}}Hk&1NoX8J_#=wx&%ZkN+YIuh{;Qe%ZR(iu2HJx2sJwe^i^zH_>Rr%Ebc@ zy<6D8vJYna%f)g(Z+|&r6U|H7{<7A+q>U>-wC;B){qi4K^;<~$qnG)?V`*@1|L^p| zEo|hWG#h$!7qZJ%obj*P;V+`Y_t|-I27Gk1We+{Hb(-tKEp6zj>#gd$-_3ZS?Jt}y zcfZQE7fQ1gM_8L^#uwTCa!IAD>QcsATbC|LO0}g8J$2pfHaNHFoWFt&D!sox$J)>{ zzF0mZDL*(W^M;koHyBu^YT^u2Vb?*yPDMtUe$?bTRY<}*EM-CJ~eGRh-VN%ef1Rr zFMAa`hGMtuiPS2Mhd}zNpqv)0RV)y;k7Jjt*L$jQ=Vo#m#Lg|8NGQ@Nf*cH=mA}R_ zEb`Eg3uiDq)Yk5n?G7t@XlPr*-EOsu;a|RD-Iu_%n>O_9?(2CCyj%nFbdZOqoL9LN zMd&47<1%#|AZ%?-rlg;$wx*X@LG0|&zhu=zPtgL{HHWFRPbv155T=Top-1qlnubzb zHkoYZDJ*=6Ny%}e-(pMz?xH#xaMd~&d_9}??(dX?_>|UR`XC~lX7p#=>o8bmGpnA% zocTFf!3>5@PkH>`e?a*^JIsgon+ueg+Ju})JE%=>%F;- zMwOdsZ>XlS^ajdXC*Qj5U-@6@V~gC`oHw5f;(8meEsMa3iOj<3Cmgj3Pa=7jNva%& zjja;*iuMrsQ5CLlb8W}aNlJ`37zm;2*hBu9f0tz81i|)o(&;CwIuo8x2VS#OMt(Wz zmSxZ4rdQ!htb<(()dYS9^Q?-O+7>ZT(QYLxqjc@rHo76+Qk+ow9t4-?4VsnT>_*Nh z2mAc?vkmWbF{L^lOo3(1*U(S~ZtHQQ5||7EQrr2of^a)eULsd4EYZr*1SP7Nl?lyK zv^ykc1_AnWaSvSQF(L|C3>dW;-l@_DCQol*hn96e{Xgae=ZWNVcP`)(u=+M*w>-E? z{URFeJjtX+pSCYY!|)Cfh?_0KTTsT3%N0S$c&`T6(BKo}exJMv92V?sKGXITJ$5Z^ zIuP^yD?-zlhD`*adHWJWT`h9J&N5! zZO`e4Gz3K3aLBkqgi0YwM@u}uO{DNPg_5{{nh5Cx*JhF9k@j`@5~gMmfMQIhhweUoMb>*2ZU-9UICsGPzYG(;V&&J(Bd)kj8f4q|HI-0^ zB9hkYZfA^}s;gtzp{Dd@<1Nf{7?!HKVOr2K0kRhVH$y>s06p>X0BqzwLB_VfetG5;v_#4mR|FEh?g54n0rNXEr9| zaT-)NGCs+UM* zeGZ2jEEb@CTqYDaED79=y2nvWqySP}LTW{vc_A+xAg(mvcKE8+@KvZ<-I?#42` zS3rJ96EGJGS}~%&ghsH#i(uf+5Q$u*zt#s7E+v7U_HMFbY}Gz<3$6fh0CQ3SB$UFy z1+#e2ZfaHYrE28AwCGuk1$DS5==ec1!iYxX(GvHrBMN%n^#hTpIIW&R-zJSjJSaty z_E+w)bYJ2rY+?@Ii#SW-HtNFmu3e2`eMn8k)0rI?ORUN8aDn?E8ed>n-^JqteZUc( zv>qg*q6mZ3=0b~Ds;%epMvYN9;}y!4vsvgp#jlDJ?SQMTG=AGGd2+dSa_X?r{$3(U z`M>{3`_z4<`vu$k%YgyOovwCs;52M{Kj0zE1{%o5YP`7n&5^*@$Yiaqn^Vdog5UulJpH;wb$A%X%=k62oO9^`21Dt7TF z#%^uvGBfw%?Tv1V7`0n*xAq6{+>e~30%t^qg+s15#^B6M`H2em1uPQTl-vrBh!OT_ zZ>zxZbpKB>%2i`bX-iK{Nl$*C*&vqqDLwrR_Y#w>Sh2{drYmVE>N{M4S3KgPXpWc= zJti~DhvOV6D@3DI0=^Z^iO{?S7cp21aOdP6`WT0N3N>!00JV45cgu|#4Dq+&!wO?E z@$a~0B~NBkn-XPMVU+N8q1(hlarUg~>@Jov6DQ1a}K$AK^uhkfUnKr+w&s?9pq z`TXCrWT;qRaQJY2>nj2;bzTQ$XQB#LagjkYu!9wed2+HKg5~;6m~xC@W|AC#FOw4% zjVg;!a7fGyv;a~0L?NAmH3GUja%Bj5<`$ixu)z!+iZR5T^ky_6)M};@Nd>O!(4-fk z&m%a;oKsb0KtNU}n2$=Fz806U^Gc=w?lHoi-$ze!vVL<7m zREop|-On}{rnwf3a&F8NFt8x2=BQ?jiI7lx3c6^X;RhQ>x)wFNPGv6eKd0HSwM>I>DbK_!YG6`eu+$K%PRl704D?7(_ z6+>>3lnxz7Gx-fb8a-Wex%__yDbkB77CC^xQ5NKNn$!x2XyHnhL^3Z|3!W{NEPuFy zyyIofPQnl%Zu^z@5lH5BNJLX(x+Jp>N%Lm=1p`0ZXx(o!JoAJ|;})4)I2`x!Bpb`l zJE}>=1=leyxxprpIP!KtX)+;*nu77NPrBIDC?wh zk$Gc65_EXWEr5!yt{HPP22*Wie^LwBSq*pZrPHeoRSf$Yzv5D1!Bifl_;urLM zDkn!(J0%otq(!BTOEBwOHJ`j3X*Lp_)1}VcQ9pgf?W~asPZ1R9jvbSyCKwwCl#l{8 zgw^>EP^dG(C)ia`QC&7Y4R~U8Xr%%Y9!z5!@m^jn`Fq-FLmE==Q z{KV?44+P>OB?3>xXB+}ARh_Pq9>F~z5QEDJbpSjn7{H|>fBb%sL{LWca_%75&4nNW zRhQM1Jt9(=3u(o%3>DJ7_n|zuz(Z8#`dWcF8=e+RasP> ztwj@dGPf&quJ3bdmoVj+f^N5$O)onwrqZMgK>VeyN?fTqOyrpWP2FXPS5C=vERp_- z$|c(g)zK+=e!5) z!VWkh2e}ajJ|nBM!od2JIZLtmNu@K)ae|#lK;y?I-vQ7&3eTIH(0OQO%4%5+SI(I0 z2dYIWzxo+9oEY%#s$}guH$z3ra>Gfa<{Qwhb;>94z{PQh_5jo6Jd%?+kok0Xc^=$U z)-59Pnxup5ssao$E*%uv`p~4eh4-5HKye$EGrI?Cz^oEABZN!hXbD0p$Fb=xWLHTu zV(!p_2=(Qg8=}PLK~i+A6pD#GLsICrofEDrVLP|Qi`DTV# zGj27$_}A2V)}d}cR?Z(Z=A{Nj<29MxZuwOeUpX&(jX0t@5ICPr6(doOz`>PGj;l&U zpe&pNQnQpV&{X;PM4C3m=2S4&9f>$|!3aV{QBtj_loY7r^BFa#M9ig}5w{q0xuYyZ ziZXquC#`fzcG%-WkmX4dX|h8}%DPv0qM(SSn9)4&^QxAq+!YK!a0D8ZTaQEGTXeVd z5MZJqVu9Ek&I51ML_fe46bT$>1WpR|V$Mj8J!*;_D(OjKn%Tql@n3+b$6!{v0e#t@ z(=2mtzQIV)NG<#)q^ABHw#ajid`Z&S(APCR6c86WHuOwTka7%(&VN>01m7V^B|XAr z4guApm9E$&3Xw5iH(RK9e0f8a;%O>mJ@-u@`{n5hvJ^cUpWSVSLIl)S^;KjCQj9z4>=xfB;nHLeA;hTRYh;U5v$;<4ss4B>hyNPGXnINM6^TdrK&nuwIlzn`* z?K(ppl71f;!$XY)D8zsySP!aQkcjzBIw6RBu8U(6Q_L?KbVnlt9u$)cv% zTkUmnJP%5#+$bK2#T^(j1spwPE*>;J$inUYU$;+m!@m>bUFXeywHWW>s_#j0YsVk6 z&F%)j(^fsMB5ZJQvFl@X^g6bQRXoq4-1Qhn$gQ}9$M`XB9ke#Ajsuh<9!FH1BG=FZ z>tT~PKdVozcT^y#W7Q|b+vPPtRdj~))dMzfA%1zyXQ8hAG^MtgA`iS@98Ec)>+xOp z%E7Rv2~qvw7$mGv33usf=yo9%6XM(>@nWii$iQn%HXBa6;j=H1-iU|&;%jMTda_!% zkNc{j9~HwGY{rii@sa7s&aNERD?`6l3}@r%S$4``-M-@YxvEP(<7~o%+1i=EFI%=| zxsTf%l>SLx&2v6}(vAvF*?Vp~2fXKi_hM4+8JnHIoH~)xPrT<(Y`YsiS$)h_mVYOm ze8@Vx-ABq|c~t2V`BU9$=cTGUV6oe0@HNf*pDC(zNKReZW+Hj z?qS+V=i^E>GK*tI(icPQMqT7^5zmD)S2PuO1<9G;k9NE=AoU68(7R&Sz8Tl7t~b;D zgYJw`m!7K*e0{*}lgls7f7!e)w}KCOx$Z0#Gu91Y5grI8oQ{0VA?F)e(F+Vzh@3HY zg0dcS^H*%A`1s7qsa>Np?6Hd3=5YBFKJpj%rj6rIeTyl2{F+s-+;7j>Z;$tF{7U(^ zZCD-I;gf0Qh+nrZTAGZgiy{89W{sGrD%gEhyX)IqU7xL4_q2T)uUL!ag}t5GC(iS6 zJla}ti>38Eshe#7p8u2QLbrY6^mv^3hRm}pftuOU-#B;fe9cYk(z!2QtJS9a2kv}{ zhAlW54@c}=;U~KD7sex&RaB!RxLYizI64BKVfzc|5LnBSNVm=n>WOuW)QkB`G|je` z(7EN}p|hb!-`0Vf^)%1V9PYJm)TCQI)^^wEvUKjUyMwo0@GFeuhXcA?(HfT5S*#zr zE4+Mzr6=s9h}srphbmpPw)B;pye+7vbURcpW*ynsQ%|(HNSkM_&>~jb(UQC(hOgpu zXSfRsM5?XXku=x$ej-vqqHu z`ookq*43;X(c3Hp={iz6oyZy=`|>|P{>i1!Kc{ff&4X+v~5p>%%BNeob2lZd*P7$@+-z zbv*d`t6FXU{y(o3hK%`WJ9!IE{sImADt?o%6tJb>_T$ZV!Cb!=D3-6RJ%gd)u>DxLdmtXlrjQY0D;o*2( z*r~hT^B&TzGpqH}K5Mtv*I#`#VVegZeDR!n$t~mHT$n5~hWQ#!IC0|BpT2le(ySO? z)E5#izd*~_;PdF_4E(O}>Zfb)*5K&L8Yv(A;Kf1B4uH>ZUVc$uHM#GzmtPOz)?45C z^X!!IcP#&KJFzA3?z=zyX>=*xdB>B#GMn)Umkljjr2+T8_~Y6y^UHfEss}zFBU^D8 zVu=M(AAR5WNg4i*duRB|3fo^WUA?;cmp?l<{3EQAMa_e88i#OO>)JILe);6Vt4FoE zZ|gCs_u$EcqhuKVHW_}Uh*(~R-`KduS3TGRwQ+c;#yznYBU0|d@Nn}rob&3glP8~L zJ437W(T}<@_}Z(>J6#44You`kI{(}`eLyILQ>S7SK7U?@4z1B)#LMnFCBp~SXV*0j zkKx&sQlrzkAOD!sEiVY>V@9l9Wqs)=u=h!Z54ci{<3y=2;Q5}M;?YNsj!0^!45bGH zvcVtx;Ono~IKiWAPzbxLtDn8^@EV3+{m8Gsei_$q|4PL0>~T5w#c?W%JMQ@5EJl5f z$W6OZ?(KZ>ip#0G+8-97~$s=bSV8yy#<65bUx~RW;Mjc~@K`a~mkoeD8bXhiwP`=W@ z2UI2#eyRenGfu!Lx2?IyueR_UXRA&1;~yt)g$F-$?u+vHL0_{2n`2ImMw4*si4T9| z^5sB_B&A^9o3U2E71~Rme;_4J6w9x2ifDyu*^Oi zGI-tmMA-fntjWqf_O{eA{LYW&hDS?g8hi1J$-eme2)wPR9>pxJQ(V?2E0^A@wc9kK zb1$>9V=}s01~2X#B~>(h#A}~)@egqo1t|=^d~%1qJ{bN~V}_k`=g!ISXBhsHBGZTL z@$4@NTx$&U?3bQSjmf_CEqS=6Yr2yY#$i>X{<}`97QR5TwX=hi!t65L#zMq%j&j}Z z)|Nb8A1{&=JRZa2@mmXzM>jmas$M*2b!cr2ew?Qo>>j1lM&t3fp8hbO8pFWNbz%#T zpE;Y*+Rr?|si9b1e(bTPbbX{2eei?05>D{I0~$B0t1F)!RkF)3oVrYhv`=i0Z7jp% zc;Gwk_|dl-!ymXj-r^U}S^AH^N?UHflQ{!{r?kl_$-~d1eY@T3{rE-oI6sYEo=l9P zrl|%~v5q`ox^Wj%!XBeanLfz?zO9u~T{BbOuQ^^OisTiV<1v*=*GVQW`feK5na&jt zy*m@%tB*;|<#52ch;dd>#I+66BYx@#Tzo%iQ4JW65khudkCRzzeKeBc?_YoAwS=Vxm8!>J zViu&7WJ*Q~WkZNGS`*V?FpE6F$B;6aYmTfMaQa&t2c0L?E5O5>8OsUZPult=Q|P+C?s{2ARKXF1-Ij zMmmGn|NXy&E+Tb?wapw0F~%kmgoC}SF&#XK^mV9qH1`83hnPDTESutyb}Hll;q3im zY`d=ezP0x~Gw;s4F>~gVRc~6YZ zx=Arqf`AQ_VBg`08mnqBPKbs{mEuxjT-r^Fmp~|lqG<1Xq8mDOl7~R8S;TEdveKx4 z|6m&fae_AJ=ey6HM^cvEA8Y39A8W6@_S$Q&{o|aw_c^Y6DPYK8Ab&w!gL1}oc-tgz zS>P6Qm5>3*d-TGmF9IA!jvDA4Mxf|h8wHb~5ns?Wl}R57@Kly{NBW`b7zfRVQ;JeM zuEJ8A+hl?6NGrIK?`vi@t5IUaNv|%YR6lynQ!`oqaCk6TG-p_a`H8%y`BEKf=;3bh zneQK3jeqNsw(EnuD% z+UWM`?-dqaRaR5HQoY@w3dwMJTb1YJHLr9?pr|6!aPQOjGsV9LydDdBM`vB6d*5%& z$zM~;LgQ7nKAbJ+iu_umIRQtJ1wVREHB$5(hE*`dX6Gog-~LJs_lh-!sZgA;MqYg+ zs{%IRDd^kb+}B81gnb+BM0v74CY3+v8m%PaieW@6lZUrujBmP z>(}qxx$aMJ{N?D*Ry~UI#iJV>gL&?dufOc-$jPug{y0ZXev^|H-{3IKZ?eA;F5mc8 zYdSo0`y0c}3t!N;b=vmD`Xw42d*PcpFYZ?V#p8OQ=eg#~kG!C7>ujv)K+kXf=GA(L z=Jr1uUa!Yre#Pn`nqPUHnF%f&=(!;-Upl!_xtyG&-TGCWqC+&_I^(7vpCwj37BoNO z90#&+(_dwo&yj^gH06!;dXR_1MZ5hWn%%8>pr?FBxv7C__N{N(=4UvHbNgGZOE2@= zJWBt#YP2|_bcaU;s?iZglew|+kX$MZ3vr$S1Y1ER$d7NWK4|q3u+NN|%kg?GY^oib5h@JA-b!d;w@GzA^ za$-80ldCWf&t*_$XH)nAOB){8>S93P*vpGi{o`X3m(*PK!W$*a#@Y;uqjI(8DxV+n zJ_^npy=b+!eqk9o3LBBWgdxJ^FP;Rx+ZTEAq{4^hU*Rm)5jTxx-?^6kiQlt~Qdtuv=#@8m< z8nUrrd5?z!MOxd?fxJ!5J2TAiHXxP;L5*y={ZmwC!HZkM|`WWm~AB_!L0?>PAN?UI@ACI@{W z=WZ?UhK(_SA+>0u-O^z4qLtNpAIsnj31&X|6+-k ztK97te9>O`L&cR^ZWhL(_t!==sobJ)J_WW`OruI*I zp-~CS8ru>@li~ntq-f+tlrn6+gn1)A+l( zb-VLvv7<87L`Cn9Si)Njo2-T90hm&)nO6~7iC;rXGVL<5&{rYIGol@AnKaXrD3U1r z`ZT4Qo%+3$sq3qcCqOHpMaCLZi*)A;iS zVmgRx!Xm_u+#&+>d`vNlPc!6nG1chiajs1;HUk>Ds5 zsHI5r0E10Mj4?yS^SE)*xhmceZsxkW^CJ6c9Xs2hm>##Dex}KB=t~ z^TBUX6L{$dybj7#ws+edaLuqcovP!us%uv^uPNGj1}}YjN*1*@5T%JaOXHVnw`pUh zlk!j_YQCfDSD=L8LKdGpB09pjQif^UtHqnRm@}oYnWrepatUqh$u^1@5%-~<+4U^N ztSXJllEPeL0ejUT*~eUykm{XyziY8QcM|ogSB>&AQgS7TjB85yOO^wUO{M`d_MgK9 z_{9bg0dx41Yrcg6LR$FaX4gxmCZUQY6X7nT`;MsxrXD$n-h-mhFa8pI%ME^fEz|^S z(rdUmG~td~F^eWUXKg5AU`Ms8K4{b4sg2CIdXAGj1;%*_Bi1lvJX@u6}CU3 zy1+#qkT3-x-!$9G(NKhPCC)pZ)>(mQ*U+#*MPMSqISh-Q3hx==w@^H(-1mf1-V^q)}-hj)~6 z68!l7$LLiK=^3{{%`2P6&xz|;fm^J**@Kq+dLG#wZ1%Kv|AV8{&M%|J-E{+oFHj4V zu{Ded(ZoOcq`^uZeSPm+HVfqa4CU{!3yP~GIt>wmW_lQq&TG2yulQvAG$Omj{0 zh2>MKN_x@8d$#3SKj5y@=$ssB^V$w6g=;)Sr+^oy0>k7O#S&%Iwp%_SC0j6bdGfvd z3hQ61jsCH2**vfzU!v9aVXH zix#s-$fvya3!5<;_%XLR2!~NZ%ArT!9uC~PW4hk9^3qXFkG*;2SV_T%JS@bL0l$*t zQkd~yhQ4+OeHV-D61|xH`oE;fB(p9w#Vk|qDv z2(~J`s7f0MR)_(ZPL}U-YPh%p4xylWP@Kmrdp!#hZM(n72bT&im*t`c9lh^VCMFb6 z1~8FSikPZdnd7@l2VC_rXtCKgnJ70o8Jv{%6&wMzwuwz!YWF>mlUHPb_v$cSk0H;s zPNG&Pp%4K*0X$Gvxu5Eh5kgq9fAU_#Trzz~oDw*G2(D=>PCC`Vd}{tX|BlBw5|jY# zfH)*fRv}naO25Gsq^-a=#f*>)EOgJR(x3@v{<1lAQr6^K8zbmJO{X}3G+4z zjGA8!;0js}oC*bLsH})f^eEh2?qdD}{M%ew*nVIa?3vFK~ z4Xw#8fproFN;L~?O$L*E*FvMLv>sKCz{+}{^%fA~1Qjr2=$c!USfmE)bKO1ydiVjH z*5<2*rxC2qr#*3UG+D(EoxsT?m{jG37PeIOcCBBZ(i6}G{E1{-Q>y2?5~&0hr~UK}Uo=D&{hXE6&$*HQt6E_JaphxA7#;wK7Km^O5 zs7j`+)ewg3fKvA3Bb1fAq>l~E6_)XE_%4m7ANUHWgI4|O1j73{D24Scz z8my91ssT%a9jusz^&(SW2z3BFbr$$i+0KBhJ*f1m!~%(m!tVhkR}e*kW|!;=A1SU5 zq@Or}lK=~%$|MjV0Q z^7<31o{~=cQk0f!+6jdgV!}7o-@x@=7TBmEt6bJ8wI@-C{EK=LMw4(G5ehD)$=3z< zT)hSp4}}!{v;q(ei~UzWgVihs8H%ESiOTD^7W{)?UouZY&B>!FE_EuCo{;1-6=58K zuhS`69EcZAc@+j(Zeu41Qs1xXWtT*tua&6j0=2B<2uGm~MKz)Nb{*QUh(2l-NHz2% z7eXw~)lerU;=sIiFAA(|dn4ZgpL)wzwbm!(_g)4s)pyVYv~aQ#x~nV1bLcsz2HNOH zTPHzfZa3MmAvF;Yjps;CP7OC^ZW>gQ#)$<8OP|FFF5s{Hr?#Elu13>|NCUBMF_O{% zq)ah7t|2!K5G)Pq9SAx{Fg+Q7p}2V;`l-HGZxO8+xp2)lw`S4(+fzgf$S0{tDe9B)tUuG zWgq4sNK}I#`|=(pL*Wloe?^G2t=;OXI{&E`vaYL?s5wml^MTh8rBEgh1ZWnn3K6t5 zSp7T_#zcWrOQ7b+07PVlylD-$TdJw^PZqaJHH;8?>xv{slQkX7gXm!Eq;|(FYOx1r zHHh(fppn|&80I`-cZr@}`)~AvD+H`JbI|GpYsP|aaWzUPU`=(c@jkf0vxq@z0_=8M z%V{ijd#W&gE{W8DZfuS${O%}uDN@-z5+l17lr;%FY2Jsk{mwT*073iO<#P&=?vigg zkD=zhF(@KsMk`{1KO{mxVi@!PsO>8sJgl)X7F~PPA!-PFTnt zC-{dR(^5AFC<$Nb0NP@dv)Wn7Tr3LMM5kH6l)U6ASj{;K-z(p{(CdN6+{zY%B1lfJ z!M;Ms?NA+G1SZr}yS5wzj`k4-J(nPXMywvAOr^q(oq%RxWjSY88$Zyu%-Q!y>r>o9 zH%^PW)p(iqe`^<_xv_M>2)sQO>-5LnxnE;3#nKx*c0A?I%ZipTDE9z4 zEOqHxDea)^+HSa0e#*bg&rQEX{R`6qTh(K@uaP#rR?-&FO#Nb*U&76$^1W2Srr#qTnb9kA9926Vbr z3U-~w+*W+P_4RngZmh*C?XxExn_N7GXAhN!BKfb*Y~_sA&yFoIkaFJZh8>pe;oi&b z{!2UWpV(W>EZZ-sV;q;}q2pg`|C&C+&S#pM_D1t>Fmhb+Sl;`f{hMslW7xCCzQVN? zjeU$Z zKK}Y#alU7RKg_Y-=U$y0mcm0X9qiHZm!ND8u57-1h7XtOr$>gHug`gL&fxmt99dGj zE^b2$WFa?0%3aAcWG|obJ)2=8F8m<)xwA9*n``(@+S=Td42#^m)5g`anYdj2a^=FWkTfsb<+bk|nJ(?mGFI<0O^q z_D#63x&W3E|eD6m$ zuX7Z~o*8lEBraaGE84Do@#1H*{B<@`Lp5C=oZgzB4F*@-!vo!KXjX7ir3HWtQVGA6f`jn`sL%_ zpzec*-t$|3ld~Kg3wra*^H~}Ue;BT1>B0|ReE#V&x4dzo{d&5c(&2~RI-GJMWc$&( zhcDni^D`X98QlNi;s5EL1{dD<-OqWK@(&Lu++wj9Obw8$nV-z;&klA$;cKKkD4G!hoS&9?n?apek!0#E_ND2HjLX{GMH z&4cyv={yGM;_9KcXAjydIsuXgSz4d>O@UW?#_!SKyfPF-C1$dBuc2m7*D4&I3QD%(mqz%v~%Y%&?>PykzD z3tXC}ivb4>zH?mYZ136p(r@WZ$I8mfZGaZ%U}$FV{ecb`qzCUmJao^Ne&Fk`;it5sa|6rEAN}a_hnN~WP&g#PQ5@f{ibc*F~KSO^>m)DPvtKuK}tuG-H zM-SelY`)aPm}B@WE7zY^jh37CmU`o74-dzs+_(JUXZiFQU&Vn-m+is(Z+%VNHrIaV zb2D*S(fQGwfAYPIHH>~gaN${w|1I-@rP++;8*D$ysT8>19K8h>7M}a$W&`u_tb^O~ z^0UuU!@Ros@|&3R!s5^VnJoU&W4DxanGb~-ACKV12#ZhYqgQ3|mOu2E6h9nZsur)A zk3&`zpxyHvFlfi^S;rZH;UPl}_pO_!SiGgS-DFpw<&XUM(+BhD6`!W7tDAgh0P*tk ze~$Ad`YuTqP;FkhduUi!zVtHlC45&;Pf^j}BR@W7v6Tm_A9(()fE`24Eh{PCe3FAa z$TVEFC~%R*^NZr8*N=l<_t1O3^jqqE9A!J1V_I9QGytVsTz!+_j~k!mTP;IU8h_~4 zThw^%+0iMpF_#0QFAtOSSk( zE5+}Jri#q^vHH!u|1gQ=n3S*x(2>gXqaSMkKAPdV=c~bW`)5dOAJe+QFK!( z?@m5So69Z+53S#wP>QYad#Q{dS=Z5z2IFh|8ehogWG!jHfycQjjf5;`ETOV4F)Gju zg~t%c-F23Y8UXpBm4X_UmfgBJDDYA{ynPRs2U1i@w9Ug`0Fb^MulmxqNia^7Pe6ocy(5;u5(v5H_|D4ChO})W-_HVGsYKGYBpEy{bS|2 zbm{edQGub*3-V1C-wfpQFk#sOI%_f>KAE}59nyoPeW+m8K&#X|ugRvo_K*KPD6RRR zEFSynkD?$eN$8@|q{##R(IrJOmUX+@I&*;U6<5kO8xIMDVZx@a+^GjXZjM2 z{2pZKPLLhkhh0S}m1f)=WAOxrz9WpA&+shyv(JnAhjx^zBW+6Uby4W&ZQDhSsj@lO z8fZNA!+`4IW}hoD63rO~e|TpeRi(Hno}={~37t|E#`3pw8*lV1#MfvK$488+2|XTB zO%`8x5xznn$hJ2j+RFgDnnd>H#$W5{P;8vPhq< zXjQxdW-~eG&DB_^DA4yUemN?V1HB8m5Z)G4ne8H_qWePnC)#ShuE%-_1*inR`dN+A zxF7+rMY0@rc!F7Vt#kPs=il1#^L}2yN|w0-eMKahj1(^ILKej;%;l~v=ydb{HvH}y zMWpLXoBp7!_UYfBf^-Jn{%fac->HKu2-@1W#ymYy7ykAOC`m;*9;j<0#IH zcQ}FZ7Z0EN;uqI-4Ce6YPy!SnumvxBd>|4Xib z&5h?6SjFXx5vlikF898QWA%GBb7q`+6lcE9AsTT>iz7LUZ|ju)%RKGoBXIfFSv!1W zfGpo?c~qdoMVs}jLGwGlIHJ@a!6`?JBRPf7^WN8e7Fh=MC=Qzwbrgr@*h4R8Lwn*0 zYI4VZU}_DcF*EbkYHAsy-!;d08SY9uKFS;`DBNxxnH`^4yLz%7CxxG8PSmOi6R{cJ zkU45M%XG6X`15JYHv#FgQ;tm^?HF^`=AF`i&xXFnfS?)Q(@6=-&(cH#McfyOjq7O2*OLm2FWZPv*~_I|kCYW2bEHf`}9LQX&P6o{NQT;ecz zhKlF49!Cz!JP)_zw|uS|zjb<);#~Y|TSf(PA>$^g?n`FB_D8^$n)3aeTAlArcqe)D zy@}^>KM$z%|Bvwd?DV`4C14($e2 zifRP8*`jTvF&+ohofS?vv*p0;Wv8893PLvJ7m{6DhoWTTkt}y$6N|RptH#8#9V_7w zSa82K$t7BQr77kEZb@W4FZggOBlw|(Z4A;J>J)A>3ahValX6yV*NGy>))A*-2$MRr z0p8=r%a!!g-iaroson`QD1# zwz_!&U9aqQr2t7Y{1mf&sG-R8F}Jiwu0666&BZ-k@@R9|%2&7zIBLkh3l}#3*$)!F zV=*MrZh`}j;IxdkS4RH;t1n;zBB?19G1#Ja1g?4yA(C@5#zV`JxK*PMI(?Wtp{^-=iLg-+?IFQ1x3?=D7;ng)!?<@ychFuPAPy=iH^%ct0FqO3NSYqD@CIDGev2mE zCL~{qIAB0gBfbVEnPUr?RMJvo59MeAntkx%m5_y~e3X)ljUBAODbfe1u6gBCX*PQV( zLDRw0NlA{o<&jbnNf`AH-f;MFzM&+BXzO(UKf^~eNGG?fD4&}JVa?VM+wQSTj)*nF4da+p1`9EdgPBvOb{XYv zK-v_fz{?O5k4uUPhu7M4I~p5>snpshsE)qmc4od z5phZAV|=O@HD?uIRuROD45%ch{7PO+SCHjSE#Jm6&wu@=s;KN3xZEUu4Ard~n00vO zpb*<4s(#?M{^FKupO(i^eyUO5ucnzvlMMEV?V{?GywwMkc0ukkFetyiK4Ysj zn()za3Aji&Mu}q2putSSWy=2JD9}G&Jn}H@pq^*3_(+&!6RER<$`9v2h3+cWIGx=I z?ATgzYdDuG&J90~23iP9+#n6N_%VF4k$s+tLR31YR#mXT^aonJx*gfzzhlRhI!abqli9Jrw3sX&fHAh`HDqPsBNK&)LxqXb86#`)_wog4 zh=VeibYnscjtP(U0!`Dqu~@3~%*2A~2HPFBH9ouz=*O(|vWZ5_7LwLb??@i;@4fJ- z!J1K=D|3dBvIr6<*aO|5en?A1GxSX-CE9o)pv4hCyc_Bq1pz)gXZiENUcAgdInZ zLAB(VDng2@R2VWSRYDDEyFDgIY4;MY3fl|(V#~O_nJcgWl|UKQtzoahs0GXiie)-G zN!zf?j0?1-u&xOq2prXj<3^$nI3+pb3-PiG)|-2^N=8;C0L=TRH9-AgQ^X3@q#7_M zMw|-KUR;7XSAj#|6F`>23_vSn;(z`hQWAaz>E#^|WP*HwLH&eu37UfAv1r&ZY}Qn$ zf$HI1%rqEPplI=kUaTq)l~+>8)rGDX#I<$zgsBeCC94YG#aXF9k%K9C^lN@ajY)K=5#{2KHfldvpbaK{>5>yV(5!0;QD^sGA6ea=k>jq^tr1Id;{CAjJ=r{K#e z!h;GN(6T;)lO9{CTv(tEFs_goh63ngwbBrZ(&K1ww|yl+0i7ICp&N=y;^4RdnH-Oi zua9}KS%#k3*M|t?zD)~|&xr)Cd?4z7u~ONwz^L5`>*X($THC~Gd5|`7Ojr{{6jh8! z4}QB+Uw<3hBP+-T^LsYNG%@Md| zAt6CQsf&XeoI6ia{#gymQ7csgl61Y^99&&WDjo3ZS~Ig$V^z6&u)~Llan>7Ksw0lu zme%EILIO3CDAkf4+9NzT7w83;K*VoW)G8H{TpFx;)i~JZsN>SerdckXoZ5NfUKLA#){58@mrnvO3OikaTuvQsh z&@T66KnilJ&UK8a<mXA-Gtzwr_c>Cn8$7dcGk)N>Qe#c8L^q_THCAEY7D%G2#J0Z%Ho6dk6{6e;Y#@ z=%|Wtp4R&$0^Yuc8w3=wgp=V?lvfP)<@N1Wn`qxFqKNn&ENE*yD`ioVg5zvBrI{R zZITEbTT#wT0Fm%9N~ogJG=#)TF<#$w>gu0kqO5F6RVDP!f*v}-usN~aK3H8Cdo1Fw z+`~KQD(<~j;;TwUGPn{bVs|(pZGNC`@HSAEZh77Ym&~>6QVu~~i7To@fW#Sh%mRlk zz?V$3YE%@dPy;WZ0`ybBef ziBbwCidibN)0!kD^pfz&1=T&M{CMqNgoM#W4ocmDOl4L8(Y?U(oTRt=Ymya`1*hL+ zLqr7t8pu^Xi=XEu0OY{wbLgj;X`Y+yS@H|VseI-;MnC9c>y>5(=i;ONJr4ia8c##hco5Nf%$Jk{oo(~$^2xdZ9c=Lor@SP@ZxvGmq^q!rfVUKWWX;Us{Vx{Q5dMjS>^LfBH=8pMPpPPc{SViFUHeW ze!7hl=ndJ@(QE!;BLQjM_uwI*K-UYxS#c4|LlRuiiI5Tw>qP0rtAh zt9zQi#E($U_h}wR??Z$*lp*ppsaW>uXxNo;LpA9rzVQq`#>Z#NF)b9$>heB2oi)E` z+&b-Z5)7^8;?3Dc<1~a_zwO8EjiuuI&m7sU7n7aMp0GI6#QjQ%;rz^=O{4JJ^ERed z%&bGtHm6aTg7kgN0D1GT{t`+}FJ1MH_FiYxD&i$RFo4q8soRcimq2@MtdXQ?cqk0D z{34&$XXHp58?#RMs~c}Q{#MUrX=yx$3%gPHhD=~I%k8Oh<-N&UrQrX};ahW#;`Cp| z;W*GsXJ^H<3+3#L+a7j|&*z2QJGbU@7M2&T@5C+}W1kq)g|%6KGQro*>O%_Q4X0ga z#5bSroG2e$n91ku=%wKCWuBPhV?g^DEA}>? zXv}=y`)(ahInMCJ1Gm0TDHk4on0DZ-%jyScuIb9kvj;Kea1kH1IXlbQY<8yeHg54r z)Q;ZKeEhxVtSWwkf~P6zUUTX2uVD{j*-tZPu90jh-~$mcbeGN9M70)3-@ zjW8`o)Ic{I`|1hcU6#JlG><9wM)T^ihni8}{9S79zdt^f&qUEAKq&-dwjB_eB{*$%4^)F3~$aY)S=YCg*PAoM9Mab3P}-;Y4eLAam$ zIU1dQqi;jxJjidorNLbm?=GJ)9v8h+lOOzZ!cc^gT7H)cV%W2DYXN9RCT*-9fa2+h zAeXd=RtI%bjdK%U`2dqMFNRI{2d)KUiKqW7;>1 zt|Q(w#Sj~~`Z>9)dCn35m9EV~ajl(#(1>P0#e=Xp5;nGXQ&^x*T&v4`Lykz!q7oM? z3Z+5kWzM!RK9~ers{_a9mqni=V`7XyQ+?f|qXAHyyYz52Q|Xvx3Hk||m_u%k3@Vkm z)`^-1Xq%$o4w0*0b0-O$vsszna5#MF`J zT=9(Y+w)QtZ%SWZ`r2=I1e%Rz!#a)eDvA$m>4qz4fNd}0(og_Q2YXK<2Zv~$xXG@+ zDb>(FnlDtyAnZ6xiqHAOnAfS@rO4&waK=@Fq*cYod`j*vEJ{Iz^}iV&j;Ri%K_sY; zM>xXKn-G}WErA!L}r$P+dv{Fd%b2KkMLVQ@{%rKhwNlpM-7U`2QiG(|RWT*X!CZ(w$ z$Kq?CVUi&phlgkK_iHuV=9zu10g%!IOXm*YQ2zGznWM7Q+*c+V^XjrW z5-!_vNABfjZJ8BcX_mIP3kYh^l(`i|4U{CG{%1<4HImBC<}{j>v$MH@o6ptHQVK%N z5|lAjO@d~dCiE2Y2ZjdG!+HUrF*Zie<ZJ~oH17^Jl5#&M8dxokizDi4bPVI-d@a6rFD<7CSrK@8O^~>gF{c8+gzy7JXN9w z92W|xIRHtmiKB2=Jcz0pxz7hbY?RtV6wPjDHX}Q#vs$c0h>reL(03(FQ#^Q0@!NJr zE)1}zVjJ7pTwG6jw(LQl$A9)&GfEzMr|h*WDbQ<3A>jN(2s#`)aRjK4aSPWXK3rr& z{|*-Yp0g>40D{$&yg(j2Y7mZ>`JPuP1d7YmHsv1n7jacUMMaP97dJ#JGYgakWR5TO z>F;*$)u=}!qd-fKUIQZr609iZ~5d6vKU6?GIg*iJE zGgam9|D?4qaOC91jg6K!XI$OA(T?kplaGzP`meX`@`dq_=~k3)$2`X@vZb%U;B(~U z#&f(r`K$FyG(#OdIXi3ZGmrBP8GL;^=JuWO_~ZEBxZ|b+Jx6!eKcyo$d_zVDdY(Hf zyBwnF{2$afs#)H-PnfHdU6xlcV1MB1dsJ z{_>~oOFC5a?QgHG^~YcuWP;0gY*KnTu5;E{T8)~$x z8Xeln~c&fOv@a45$Dt<^K zv)E_bM?i)jp*ZU!#qZGS#nw5p!?054(XRSMX3NtEUbw=7tUxu>H)O63&kV~s;*89E zI6P`zKxao9NWPuCDd{3_2INZ8rN13`;iL2Srb8Mb-Ol zS|iTIKpv2Shdcky3r&a-rz$lt-Vbhqm&QB$;i@_Fn{(7vgA)y3CzGwjJ1rniTSKtz zQ2kT!cR(*Yzqd=Ls?FP8A9=UyR^ExA*zW;}{Ck4RsFnIXDc+T^5a(UE|EI{JEouw= zezfCvCi*)g-zU6Pap$bR4?$nt?_2lplM9An|6-ezCe^WQxNCVrv`zN@!uytkAW=|a z4dGbR;re!)#@oj4XiP!TWXmjz%`g{}i?W8F$K9kt7b4bfg&$}BN( zr$O1Wf&{_HDEmo-PWODd>{H#nHZn!F*|y?kLcyD|ijlRb7<`8jFWa*xZ2V$VJi_mg2qfd<1Pn9-@NeOgLy?6$2aErihSPpgKA`UxXFoaO)p+bR<>oBQ)0@qa#f>(OA zc2g*x$`<(+#qzv{WKtDq5wZkT!qss0nxj+a$RsidSv_$i0#&nxbnp@UAsbn?(s93p zMxiC0CpAg}AHv8LpWx0-;Xo((2Y(hMCI~^JCV8@TM786(s8gkC#TwXYt-@bxYh$tT zVUxUpRcXc~x;SI;pek%w(T{bt%^;*HY6l?Axly#VliWl?Yiw!nDw)If>`_>I%2CtQ zlB!5%yKGw^Ifur!2ujVIng2>tJVa<2Zx7?j#U3-#FTo%YXfqOHzgn6Va)lF}ahXRU zLXA5WxHC?ONk*+nJa3((s> zy|v_6@;%~NL{yuVyTWeGZimq)>fezJ&<7gt19CZ4NUi7Qe}u@Kl7g!#ZosrD(HzmO zB_4LbaEE`qK1v9}?7C&i)igz7aV8x{Qg#KYEbNFdUQov5ND1PI-+*0C43VH-=fVy} z2Q-CW8cBvhTZ(5curG1teRJ6^*(^CcoWLH^Mp0Mv2y#ms0+Qvmf9!{FghQh#gsR`Y zkr%w4T_z(#naDSoP8dBD5fCMFmKanDu{9c-sgY{wA9I9R>KN~C^&LsAES$g zrK}NMc~s-Xa7ch@hq#U!PKZ@-va;kD5GfTQCDvS|P%QQ9?<5Eu2dJ?vk)jec7GnQA zsn+=85ZD*{0*gup_gW<+j0oang-gzq>=FpTo8$4=fjOWU2H!J3B174Bl8jp^d4Tji ziz0SC>#zJT2w7z6C;N%X29h_FwjOL5NoJ?1Jl*t)Z?t3Uk6KKyCe0q=S;$S_ldYse zKV}>;>-teZ=^t0u$Y4IB;utlO#V}tAMLgTbMqz(6#VoW4-Idms(styB@D)J|+1E?t z=Muk~Q`!w{-)M>n{sy&XW^V@D;w-~#VlCZjMvPr~WZbhT~aXM=0(YA)MzP5oXn^NmY?F6{I3$YZtWT!TRuOC^cefOHZ#x6iH z$nf^^BHw~J=BM;1b6VS_k1%obFahm^`-KOz&&V3y69eSHVJid*BDg`1vrz$IWL%5J z++p>k4SfQNS_Sd^RWvLmOI6jco#4!5jEax$S*aQ;Y7go+d6S=p2ucfm_lvYZgK4-Y zZoo1G!MjNs+u6O;$l8L6CyvPPx!Eg=IxreYfiB=5@`Bw+mgsg5uI&0L(~qx*CyYXH|axVW;7dV(1yK8{?lycP)g&+^e69! zT)cd?Jk?o^&czP4gl;qU%8c%=`+_8z<= zc#aDN+VQ!%rs$tyn6rx#0O#uKRAZQwe9%$-g%d%1fsset2Ka)Sz-&_^6x6UR&x#C& zZJzenLi?TAUL9_$ER{gbGg5zghXg&ns)tlZ_{A5ff?u;CAsvcnEQCc`Bu;^A?r*E6 z*HIC=0;`s$oQJ#yrsCz4)h(Fs@@!ivIdIMe>%$293g0{__wW_nr}b`?&rNOD;KKNw zHLHq}$P0bT3$6+a9I5vptDyv5U3{fhipe=f(Vhs5S8Of0I&X8oN3O!jBF$wl6-~)> z;cEWYV|p(!I-|>lUk6E|lU+@64oF0-+TA(IWIV!CSh9owS|lw1 z{9d(=R4MvC36)WgO4YeKLr`y?;ySt93a%E(rHUHFzyz1Fg@qH++yrSKg~X$p##EL6cpgh4rfV#iXb&cwn^U=C{W1w1`LR;>X9 zEb_&rhr;AkB!={_Ca-X>A1n5+Uh0v(8!)ada*x=B@V;fmMH19Y;RU$->L{SLit6Jm zB*6<;YcCLabWVV^c@BoWj6diz<~{SxLC-RW$EBWlidRLr=LzqyX5pfQ;4&-xS@H!X zE0K!Ry-FrjBXYF>aRmgv6jeHcJY_vsf>PdwJ@``+GpTShu7;^I7M)0W&RHmQURL!- z=Lol+4$4daSY#pR95xo26c3FYbwN#7Emp^osP$bTmO%AT2U>#X6`OT~wt}B;v5dE%fceA9xC{8Ed%o zOjMVW3NLQbZI^iS%a+SuSSak=$qXz0ZRGOAi$_ouL-dkTq%EJ}1Q!ib?rGi6bwN=| z6Uj(k;o9bArN)%M)-V*tx zbNLirK;+%?p!|E}Ri<-#5(sKeP0m*y z@MH>tsP4V`)g}8mdl@xlNxU9H9@r{cs$pR&=%oh>kb$;&Qkm{p_C+DRD9T0)Cw1=1 zm$5O-3coY60N5j~8SUfth46MJE5x{ImFroqz~yMA7x<@JyKt!OAeH1OZk0FrNayqF z9u6H)q*oMF;hdY^zhg}S=f^ckhJ@TWz292tn*-DWih1Os2sxU@{^3(q6z}QkluK}v zVZ9dVl6Wj~*3L*OIxxMM&U^zGaKT1(CA9ikrcO$QSb`8y7`+upjsq;($_bud`t3jN_|OByr|KL3@YC9mbkFEPsO%Q_QR4xv{rS08-dcsNn4Ptj&5X>hII>B z%V-B)S=NZR4cyUv1?8xmZ&jCw;x0!3XR)YeqTm8kZOw_DTA2PtC>1aA(yIv-07)Cj;{+;C_P|IjlCB)li)!@uSa}H z78$J6&;<8MF1SPve4x_QeH=uFnizKpL|1c8WuS`6F}neIF|T3CIp=b&Ld(3Anj&VT zih-=w{4xAt1ECN-_{Moazbbq$xc4FiYej36TIBG+QnvPe;U3!AJ@g(? zMMWVt{w40Wq6uq;VUpvf1^{Z*nE z-tSUujGJ0L-(rlQczsg45}>eVfcCejeCvlz8bLiGZCnM>wp_QH_8bqI$n@lvTfQ+4 zY}5DrU_6+x(qR=4*0tTGgOuV8r<|L~srQZ!v6Z-OcD7{W*JGy2@=j@wS7OA^AV=Hl z{4{f|Jd(@s(ud2DB@PdHZpNHPVKOeBr_#r|J+`uS;y=I7+hmGwpS-GLfj%DYw;jt4 zZ!!4|ep8{urgY2gHoa+Ma%^AAV{hEb_|kS6H~HAJ-Ll4m0j9WFZCSLsU8wj=6tmMX zNuZ=vcDPAU%%QQrh9-uyCzj(XFG<>5zQQ+YhEv%JKPmAC++WZ(b-*L}&Fs75$Nf_u%huTk>}KNp#$~tpbY%O;)czg&g|00R+1)`LPab*A z_P_f6)!F(A@9WyU8L4m@==l1Eojj1*DQ#(g`7$3 z+s2lE;a0@^KhLkmUW(bX-j04_g2|4{7_of=h09`ZIz!6Wkaxllt+(`3+icV}C4_s_ zi#e^8t>oex5ayQ{^qW&ZZ9v(EPB2D}qLa{!TcsKP;TQQyH1j>12)4yt+5Bb{8(i9~ z`$m(shm$(MU*k8IU3Sk+4zcls2FFG~duka-8D9KMcy_MMdcP_1p$gIm_{k97#|}2@ zK#P1{qg`@Zx8`OiDwZ78A8x8S29M|qJq~{7dWZ|$=J?INc19;;={Jh-J)4gB`r4Yx z;yBRNdFg$W*s!mr9>uwEVROgG%r|zf&sn)QdkZewHq0hGwnNEZUn(lw^5*r<@l`Wg zfTwRQ#$Ic1CZ8H8b@_?M@Pqw=RH!JO7v>&etjF6OtJvj@jpLcH%k@|RKbXh9Ir|B> z$521_J~+I53v!aN$RP^w8;N%k2NzOuMwhXgt;{_dmqO zeR8E&pW)FxU*?G}(DTKM*Lg~HA*~OdzDjPpc=7oi9q74s?e5{O-PiD_eK@^+ab>u9 z$_JrVFK&L0^CBF7Ir%^!%lqE@^7CajNbgUhMV2>9KbDH)|Zonl<*JD+dRq<eQ)Ir%s)!Tlb^x zl{0FagPxB+17`18@zXyoH{zQ+c^Agq+=G|38K6@vJ*+Q}EEZuWM)prEj-!wHw$6!_ zO&c2-SGDe-Bc6XA-8xH49DE`hz|Jy%6_sB3K1#fk{`?1<#)@=$E~Rr-py{m#$;@n&+d(V+_Ca;*kvB55vpqnDbag-E@g> z45f7CDFmOoF~ZQOxw6an#_+nymkl4u4g8LdQaX8ZWhGwckuwZ^9g{M+nGDz($5Zw4 z_|QEcyucZgqYIkB@A&I;E*gQ%$abr-Wtr`T5n=>yBYb>-l(1F&0Y>9m@lGJcsia z?;5@+9y*gtci(>&UV2(Pc`#vdc3IxW;%3MWJc+qRr+3OFGyoPBHaGDXrYjlVIzRc7 zS229a-o>%&3HQNZm`HPJrM}I_ITx;IS0;woNfnWs`Yp%O!$Ys#Q2K$6G(Ny!uBYYBxv5YZEmt~AHupBc+p@RdC7x;*3ELlB@ z3O9$6l>Y5)IM1@^Rl%~1%=ICx@SzS0Qn7qCsN-o03x|0a-!`B^; z#|}k%>XD*OfD6JH2G$0pxO;5)R1RJ6Oe8D{xSgF-aKTOzLyuY8FPdTS4!uY5vb=b4 zbVNShr#XIpzAPzMuseBMrS5oNZ|4nhb>_msL``C3B+_R?l?~5i5nID!?>&4J%O^(T z#-+(bEva}%DD2@IZxXWBeDRjM#3XAXig|3BSoY4IO$gzzInW~(z4Ad^O6l!wb->K< z?1l`Yw%dPnHb)`2`$704jls!>ULqLZ#;_Qk=S?_}v5hGcyMycq+Hx~?o16cXyUswWCK$8;6*vL(pI}L>d!UmKL6S8ZruvX$X`OnUn0v&hEd`I&O}q zmOaoE)Isa(42)P74?irTW>Bb19^20--JLT!NZ)R|HsFAUbYwfYBPqRbc|aN!v&Yj> zW%+U{IES--bI(chT)N;= zR%{9H3hzi^9KADxBi1Y#rK5WTmXs#783`O@QKdP16f$c(qcBOrNCB6n;#o?@w8*1` z1tkjwuAsExI4NRjqL4+65Nwl-J%_VkDutxKE2DKtg;NMADK?XWbhH2Z_iR}kSDtxh zxz?7G?Y~j4Xv@jY&WZ`Y@kXtUE1L&@y!fQH;v5`2xyII$>jyX1*0gaY9H{j1amZ5M zIu1;`Y&rRk)^L>mBddk~=nsck$_szEM(OL7lJf!U}+R&4w?WepI=a+b_I+x}xyx?ztyKbetWqET^ z^SWnUUTgA(o)7FdYY$B~7qJy5Z!%&l4yT+)ZHqXa?zEeWa*K}ZY>%d?Yi;Oxtubv; z+D!Vk4lUY$q4<=Xho1Yx*kAcPie6gI$`9|8c-o ztrYqt{ShObG^Y(KD@i9Q^F`}OEX(DGsAA>b-~7v?c(@tEs4oayRXqGkum znPbp{=faR&B(c;{n(5Lki7`V*N0YkdNSJT6u-sl5^Zqv)oQGbg*yP#ks_OJscnYCr zgb%^II^|RxgBKV25iv!lUzO`-7t7U**DtQFT!h{U6-)QwFwE&pOkTa=AZO|w@)U7l zy~3*nU9ZtJOv{+#^fvE`7A@s0RQ`d0Kq7(<+>^b* zx(iAV#Ti6U%ha-N|2~O?N)bsphxA<-D4N*k??jU2c-W>ATAz#{!{dJp(x^1sSGZ3kC4#%qvd#+3=s!&T zVakm6|I0Z3E0lj{p5npY5w=mNK+y)#0x?2@fAhR9{Tb34= z6>X+FNhW z2Z~|!70KZBh|624O508*+8|}XC3ebbZoh7C%(w23E`}7{v}CFAyX9dWlXR)dXw-q| zB5l~)dX}Fw>*tW17QsS`?BK4HwnbJy73{6@(6SUApO!Qe#jqtQoDCv{yQGqmbtyR0 zC=PZV*=>u;NTjM6B$NGli!`*TtE0&5-D9P*c8eC(Y9G(%3}_L$z+P=fHZxRC94XQN z`rl(<=V}H z#!3oIn8LQ>9VrPuOvZ(bfCf4tdWODCYHYqI)@9^Y@5yS?Ze;qj`?#WQ3ooYkATT0x zzOKF!kMg8Dm7*D>UdCjW{3P5hNxe}I?F2j;znc zteE!vb^Fb_c&Tm{P<_fpGyBBiDQN|ibS>vQQZF(46!!X;TUBKdiw{KX$@B@Q7eyQ& zbZFs@ycX7Ub<0Nfn@(ooi`$^ECpyV=#*Vr?mqVO!Fn|`Vs{}`#K&OV1#%~+&(8vz%86xrexS&?h|UNQsD^#O+Pd|{?R)pCwwUjNVvwj zdeaesDZPO^**^7AqZR$=jDo~iNF?R)5hnBrBmn1j_A%P|3mm_h=4m+Uy1n4M)AIii z2awm+IH>hUErGFL*xnLKjj2PoyJC^-L253`_iz{)aE#!92y;6?ImHWmsxf)NmQ4tv7*DpTM>VGd%jPdS#B5Kg%jC`BdewmuN1jy;u2KhAC@rwx6Xe2<(l5n)tb{TPEX8-<|3GRX#5vOg6#2KMk8LnMqDqUD)>q8ds zP)!D*Jf<_wq#+?LCul>px@~B&J|+_RV*-!lGN4)}m!YOQmx{MSb*vLZ_La6u*np$} zPZttBS&nBG&qbivwzpO#njuZ`6s;$OL37cA1V;o21MN(Z#4u5sSexS%;Ql0OBK%NS zGaF$GPS&7}Dl5YgT;3Yt@BCY>uTn!)URyFpm7G?RqhnQqjFhKU_4ggnswF6=tsg`x zL(kh7+|2J;Wsf;0hdztu6emMDZPq4HF(SEbsB-dgE7uHTQe;cyq@aZ~#s_NBxI2Q|2DmaD%cn@pr4|$ z`OW~80qSTxDX!mR{B~^OAkTAlBnDvg6mu*GTD|}t^*)N$n8-{v)r3f*()Fq8P{(j{ z?ywQXwXdt1&QB%uoJmZ(Gz^i!{-X~l;<+csC79!KwsqETK=d+&ykM0`yuh}{eisiriHcMreW>XiQ7DO>XjvQ>C_UsQC z_HJi=$qK~9w3wGhybcw%AxvsJeqPz{kWQQ-^3HE$@qiAMkY`z9E4Jl^Hk(pPO`zF& zG2q25tb=lA%K5p{f^}q|z(v`X0S7v;gP2G~esE=IbKR0p3FHP+4M|8YDc~tkt-Mmw z-vtMxM4L`KIWMM++IX(BR6K4Z^>`!^l}`K#I9Q;A=eTo*ks~=xG}&_n?8NdgS`=0) zdt*Dt1--^${$K%KO-bVGV9p~t-vBNY%KKHB8!P;}_!23-3ur;v)=4B?CHc?5`)4(^ zPvfAVXh|rdN`YYrwos7Za2G*pNJEgolT@GZ z2W6Py%jj$`DbTz#eH~}+IY$N;6CJHu5hL+3KvXLww%i;? zvZm5cOOt6fY=XB1}y^=25iv9bfC;MHigtY33c%oIC26^tXxUR0JoZ&AvaFyw1D{PNYOi_ zJy#M3&8W@D4kwP*G@wWV0*yd;3tKH32$i(eY0sH;)8QloW}1Su*T2g=6b?%u=Hf@u8DjtCK5a^AFM9IMQdSR=NMNpX&bnE*@8Kx(t|RW|uk?#;H+_GB-q zYqnJaS(Hf zk~Z#H!kDrH6;AX4f&$LHeK>}apvsRV2?DpjqX^EAfw|37zJMMCv0iRGUcVT5qypy; zF$B+71hRu)M5HN9>+)XPaG|Pq~E47`K3l5E( z7DBdtBBAmMdP`=HQYxPW(^4KaiRw}1%M$HCLnGJeD|cL^I4{j7;Br@Hb0!jiphlUb zcm~a4025Jz^NN2NKsjw`gy(pZ>$}B`6>wq89NJ2=}8>xXzoFz2r zNF*iX?AsetmL?Mq$v}!wNUF@m-j)RKAtH}^%0k6a!$0|yEk1s+e!xCumKN9NZ9ZJD zs>Lll8|QrR2^;px1HDeD{@OzaTkA_<>yhr#U$$<2f`PIXu9O8c;yF+1hW(#ovqb&X zHM@t4X)=7od3xay%|m%-HoPu-^v)6#F5@8CiQHzJLDF6u-l^08NpHl`mBXxEEe$&7G0 zb1*M_!+i}6o!2m1O5}6C7r{~1s8b+|>Gsuz=>WUX#Bzt=vn|KRA#{`&InKnsrLw4AIM zht2MbHncPA%ae-R8^71}#m+|E;W?armM2VAb@`P(J2-9Gmzb_>>7%-*!lo+ITVKwW z-7vHV2E5zv<2+_}eh{{|mwn|yp4Va*3oP{mJkqxH#L%wvhnIJsOJ9r!_T&p}Avrw4 z@-Ob*h<2^nNaHs+g5$SU;-mv{)WK!gi zXD?Mv69(~5+?ru?$XovUacFkMj??HZHfh?VKZ%p87AGSc^A)uas|kH*@yqn*;q&&` z*EYT~PGjcrU2m$ozni{SekLWOubgKw5;w5lTdqEPu=nhw8hy<+ScQFQ)56AR@TPz9 zb1eDTq&OTi03N!TFa3ABJTeE&&GEjwhRK5Mg(>Nk;rb{BxUZ*S@!9^ynCBEbymxwn zl+Fs@3j<%&7Du zG8-j-x?a(Wr;C(G>ACKe&E{3w{`jJ�$rHq)ata{@^w^G_;dm~>dO4bceuu(E0t^IylvHJA!apaWc{?(U{nGma$ zl{Xv55jQr!nQ*8%A2tn2K0X{Cra1lb8OO_o5mNZJPM@(ygID`6PbeK$pZLzPTUoBG zynz(Ho3=^mulyCXx^VK+hQ54u?%dT+aAq1#p4q_iow*0kzH*Zl5s%k-uS7pHcFmez2fxVy>nqe-E2Nv;nsV%d*NKX zE+2T``@Z>nYS>J3HdV#m{K?hT+ibU-pMRo%>z1}xhEwBM>|MC<53fvU(YbT~@Rf!Z zeSj84qxw-Pa+ia98o`1gPTW%Lle)e;`p?L4y zxj(x-cJ?tY{r=_Vm`9{mZvP2G1Iz0ZNZsxO7dJPzVn00c{#*D`ulKjUKsTg?a%t)I zh?7UdF4ry9OZ_mJ=o@;wyG_$8A7+bLjAeQDgWtz4|M8#G_}@O+zcd~f#jl^Mg$D)vs!uddW1ZOQrUXY~oK`T4-7VsN1gtG~tmRt&$gI&Oq- z-i#4^;pS$F#oWpFy|UTFl22C+Z|za)^G^(Gx%!nWw}wS=^5msU5vdn0{K;(}WBB^T z7bnLoDC?WIQ^fFt$@iqwUwjXn?;_tFJ0ruNI`y%ib7uhb>ZjV%>V7}gETZa5mnO~< zVRhFWe11urSj+N*4_{@|=D+wE3}0Pfi=!26UXP}kMo48E0x>_Ic5Y9YvjqlMB z?oR1`f8~&lVb|X$u8tetUUQD!ve3_ch&_a<(|w@($}6$4-sQ^}n(?y9$0#NBx#zTp z@Z`zQ_H9h*mErR7gf(*S8yumSBkG&q%!Utdev_FyR6oUb%qE0K9?=}!KXrXGHi<^n zOML!LQ%zo#uHJueqn%-H^vB~;A2-4-Wx2U>m6ulh>1!0A724ux=kgm$Q2(5!8f~1L zNM8AfOvF>sAF1evbaH_!Vt77=H}isLnYFepJ16wPHYUc_<4YbcM=fUY_g%6mf5_L- z@c2_0zQA!ytP~mMnYu!1c?&7;)7!SVg`~`YVo5`+hzQQ=7R~(a^oNvEb zg~wm=@g63ml*{lhZzgb6egFH-ScboOn8(ezl`6$T&uZ@_3m!uP;=!0$5x#l-}2mz ziEpqh-(pVh7UkoSM)eS#8f-^1-8<#IyyR&zVY6Qc-BQQyx#vi>V$Zh5QRpt-a~<{Q z@g>w`YFS=pII~aT35GdI&T}29_uf-qmUrD>f?;ECDN+K0;`5a5tvwK%*wFZ88LG{} zGz{VqUO<1alAr~=%yp7+*qKD-X5}x)36-b!1Lj|_!lNl+Sb?MP7Bq}XDkDsnv1H9PwtF0xgJ({ zIM({(|5Z~>wZPgXq49eBM@{&#yt{+{e^C zY?$mn!3inls@<`dovtkpF&(Fu6YP(7E{Z#ZVi7N6+}xvYLK;0@4>`!n@S_pET`~zc zjV;_L-C5)i9pSQ5moa$37J3<8HhX@gM$8xEF*;&k84TddXnUw0qn0Ub=}AEt)7G5jm! z7W41pbQZq#5^WIs_!Ev&7#ugvn6WCIH(n+e`p%B(!vaT2ulsInnv?$%2CNM_0;z5{ zMuzipbOeXBMP8badWG9jqH)R}V|cbzq6QF`RqtGnwoYg)9zU6Ms40;`ECyAzzCH#s z2bE6aHCagMUitLX8mO3(jgmsJgIUT9pbA`6*5dN`as!PbQhI0MN&!C-iwZ@;z^g&T z&)Cbln7#C!tVOE}wcav3URx1U)0A@|q$F-Oj?yy92UEI?ZLQ%TQ!6G#Pe%5e-<=R{ zY&4CyPc6LpCb)2>uauY)*QJ^n;|5&u8tOP!cZnBIuipG_#{KlNC|o?Kw&H*O2bP_} zL5Ox&QSKZ>;k9DyvX~>u$SuvmMQEgK?`aeI?SOp zyh8vW!k)$$f=sB4nPX|l=evywj?KjZf$x1 z344bm%$8v0tES6NBAJjnd}0Z1Kn%va+Z&;flT|-iawT2YI5E7Xq@=|LT&Pe&qq5b7 zDW-vh-Sd=!rW0`kDTfGEq~y#k#&7*cONNm9ss$=prU}g6B z8DE=6?lR}28D_KeG^bjL(-??arch?Z!jw|avUH>dmQ@|FLV=iwFo6o)5#UPDQJimO zNH!5p(-yIDFOu|z0B~ghxM>vj2qnN9m7)0%qJEKId$$K1Ldq3#j!H^nGVxb9+fb9z zQ`ntizEwIQTDiCcDJl#*I-vp_%D?m5X8QT^L;)Bya_MV6YM9f(Bv1?*1n71`xh{#>(&0&#D>mUiUIq`tC+o|y{hJ%Y2^Ow)U*MC(w@Vzj7`D|Lc@!_xie3p_oCmy`9 zmN!Zsq^+%Xi{qNMTk`!hZIFEHt-5+NV_T6f&2hWk^3~TiHl)j|Tn}N3;|KQN)a8ro z*ALUyrzGXZjZY!vQ`ha_)094bi{pF7Q(e9@)#VRoIB&PyM3*&5IXKX!OSf zX8BeK7d!boYE}O7*>|dT`{{pERnp~;-l&W>A8o$y-?7aSDL1f(@C!G#B;|VlKrK0b zyU(Kp!g`g1zU5ZAwp+gXx_#+)=+=EyE$H1cG2t$F5KHS{@B{}TF5IbOrh~s*!jG+Q3%)yrXEUS9zC+n}i~13%zx|VjZ-+3B z903YWt*CCXutv9?hvkuV>WSSBJcw{$V_P&1@+tcv>u=V{v^Lh|8k1VNwfdX3Mj>9J zS07dNK4ao0qo`QiK}TuCSaG)dtXp=9#k`cBhrYIkC@=Jk6yo&07Is+jl(977O+UJT z-6P|a9j;AK=)jNKW?zCib*lBf1NUl=QdD1Bq6;Pk3${?Nl@mlTY|@H3SsS)9v5jQD zO$%s>V_gUlXtW7e@_Sh7^+X`qA!ezJs~eU0T}FmsOUd)OgL*8)s?A1aTw%{aZauxCe% zypkMG41I>Xm=3dy@KqYXcY+ofxAY1-{ZcqmEi8V@Y$QUXjx`V_BvmXItTNMv%Ew|I zsk|;l35vLpqRW(0L8h6i=L}Y~PpqycN0L=jnWR;yfk`7F0Dms1nQz&-q1eh;+Epf1 zYa3!hR#_N^g`1UK$b z+kx4H+1J!lT+FbhTkTU)PYY0xDSFXv5MQ)nM3ot!Z_HWgvou7?4{4q*p5-QCWLXal zaGM%NJxb3VD`|vR_dL0l6d7QxQ(5@_0R$PT7(!1d{jv(+m*oVcaY2H1cxVPnFT|X$ z=oI3S_I}Z#7~#y^W@9b);(|O)G$2?R2Q=G>mxUeoBK0M1Buo3!j-G)Y_3)K<$dM|g zuFhCdm4rZcB<`9h{*U!3!aeuAO?eA(T~Pt9rm()@!Zq=A`F%mn`3Z`252Qd9A)o+1 zvJhEw^@li+sDfzHyJWs!t>oS*F2pzztDKw76n`K`nBjJ**W_~IUy zpb()lJbvL3eWMzZOj{E}q)a0Lx%Vl{Ar+F@09lMMa9!nT6dIRIBYNg`9*POo?IRy}^s z2A0`)$paw_sFWF&a*|61SVQtgIgL7H#I*7=P-J@um;&=fIjAEW_Vk`2(r!_;y+kf| z56c5|V{}NpNftkFP9)uS6XZ0=v)ieuk0CE{&);4`v@`b(cJW?hdE4Pf5p67qLJ)Kv zkO(FXmVtOSniNwcBtsVuxK=cvh_)pGk)a-`2sxsU;Y11$Tw#O-RKEPTZ9aMo>`$WX zGc4%>r3+^JVu>^CvVOYYQbZmk(0k}s>}eW?i_gy@pi7USOIb(i%7(9B08@e9#-TSG zx9avO4ych4mlEoi%i9<^X7X8Xv*TWl39HAbXoH5-r`XhJ_25Z5IaRh?buHZxJ5~IzxwnNPhl4rW@XLJ`y%Bzv~k7w1?H~( zdVqBp(93p?8d1_TBq+#H@vO8F0qsPP=k!`07+t)|emYO>_VbRQv4jb_wLeLjQ}i8A zayqLhrhz=ov1qbwU*Bo@tW1otmsTb@nK=0Ms3cEpP=>19LUQ8WWP|a(jrPobld6^j zP1;FMA)rjo-5n~Sza~Z}plW0yin7TXKXe^)k7Xsj%=*D;MXDB<W!H#xc(vhTU7;x2~-tj%oJ#LW498`WYuA_QPHrZDAd-guWkvD@P+XlM{ zcujYaF#(a0<$CdMr8pk8x#AFDs@Ad~O%!+2wc&T~hG_K|o=&E!x~)8nTBf=lyo=jo zHt0KOU%C_LYG8)L2-;>|Q_&sf83~;B`fQg#m7K~QYbWxwC~!*0b!v_f zww=H{**`Oz95Ty9gxvu}OI6>#jDwgSbD^kX@fLC)Q$tiTpY7zPlE@TM1=}rZL#dfn z%}J)Nc38BusKX`mc5z37ra7(>K=AC5?IHr&Kt*SOz&yCHRg3S|kmbwdb~NQ4ISC4^ zOD2pyb(%&#eJe9j_Dow!Bh(I!ftI6;L^Dw1B1@Vw=9Dzio*f`6#Eq6` zhEW!W=7E*Di2-pErXJ01zC%QQm`iJ%65-eaQRB5tmbR@37VDFi<0|dcW}IB(hm2~5 zNgAZ&E^bv4^5GLVB%VE|JA|G=N*0Ne5rnlvAR18_5HmFa%@ucbYDn!=P#_smBDJuE zZg*yYDUE`HWD}u%emjZ)uHfJ^bWBo$^D%z>XL@9k$Pu{$ksN2qsLD#sA5UwRr~NV_ zh4NVK70nWl)N0jvnbpefM{jEo(=*upN+7d}!prD~GAL3|o;^J~hl#;P` zREdQ`3OtnKB&A`wnA2eiOLRn(!8(}e_tdu;~j`(D}#$Pcj?nf z2G$~GDsHQ1;#-zXAsEdf!lP0hMT3O0EJd`|2Z#=(Zkr;EIi~O~jdSK`pDL_@d+c}vC``d((-w10n%nW6f zRQcEtv=Ft3ixziC#wl%zoV4e-I#ZB1Vv8%h{~uE~bBlvWt1vO3#EWI6pz*y9X5cCJ zzHG#MGzEyqN@$9z2qlY*S+7K<>{)GeGxkBgQM<;G2LIKYqC-9L7Vlsf*>Y|b@W9tn{H`7uhC4jMN(X>-D4_N7$s37sX zrig4HOC?IiB2x&8%rH%D@i=yL1jPtN_wF3^`Jd#*q+I&Lf7&iU!n9DHZ3@pR#G+`_ z`(J1jG*XLU%1NdsHBBh`&qk$`j=-E9MxlJAt0Q-zU~g7~r0MEQkHI^GGwvMCeyH>CJT(TLgL(PU1 zGD~p6?b~B}!HTSE9*;-5*Um&H*&M2STjJ&gL`+dj1Vtp6L7YXV7_mT9FT4{fNEH$^ z%ws=yK+fKL-yN=8pmLQpKSQuPGZv=E_T?Vy9cZs9pqZepl*Y_TFiu6!$!s>IfZXSq znoqmlK~!|6G7LJo)p2|V`KnItqP*-Cnah7{X^{JQ7siX3HRf_uS(#g6!8a3-W)Ub; zmldTf-20k+A!g;wUz>66rS`SQ({z$oQaUQVmgB+pBGF6{RqHCGMAT`R;^|C>kl>x3 zKeSa;UfNF%;CCxjxTTVkSLRb#dz#L7iCd;9f=l>xDJEZSDnCptvINvb-!kaBdG3e1 z!};zknjJ}E_zC$sK?c3+JNuv%&9Gw&wrM*Hahl!`17lyEfjSnMDvvl$X_0(HxG!_U zlay6P7ZaDkOHHW&WJ|7svKb9=_}Y;aDQy{Grf_D`Q3)GU0gIDYrCK|}q{U$?jX{@4 zeUTJRq*S7?1Q>FBCKC#f5<$aHOPs{fZerWnGp&Heyk<6}s1iVS->Cruy0$8fs0JWd z%n{<~CWv_ggmGEwWi3>M$(WoB9eTc?lo~a@qgtd++fk)xyw)z*P-17Q5%g^*@TyEQ zyd;Oh=>!2qTJY(qNv4HzD^GVi4yg8$-)ja$M3`gy(qk^VD-jVTp@&9QMzdrB!aTxR zWNQ4!r(0rh;UP_!s{r&x0KeywxE$ z;pxoSQ~hD9$Le`#4)+7Lw9BiTKgx~n4(6`Ac4nvGYThyzC~3wDEBTec+J~73VFl}a z+g&b~say0y=Sg*shWD6^kUFsVa6O2ZgFTLtv3J>aP-0xf0bgrjHG3j*Y4owx(ms!( z;j>&OWFp>fO8Xk(duPfjd~ug%uB~jNbSH65@~qX@()K94anYW8VVtfVINhc*`+$?C zSEBs|O0KWs+f2R>2Ip{A#0LjkZp|XM+UDHGsf=a(q}f5L?cv{8`n{cKYj5l>rcta9 z>c-Rd@_slDww5NA9vjlKVPSh*U&XFF)P&PF(d;eUBQ6eX%O(~_8@rPYrl+S!lUJxu5KgzB+kxxctv zY*$Z)uysba?RbDvCxvJ2BUUbA+7OrR-nd-PT@6olaoid+r4o0>{K0=7KZCO zRqSry*iZF~5{Fs*6&rO<#8{51-OjoA%yQ={-?4t$>&N~m=Wm=V znkKwHI4Jk5@ka;GS>g_?J-EL0>yKYIw&ZZTlxwW}#{omU;7yq}%h%{dkM&FI_3)rH zKl(@r-#)osKQK3{yWUUs1i5dN8WQ#1Vy{_znmO>O%PVu22Mi15!;gmebn>v3b}Sw+ z){6hc9g09##+j^9ZO>Z%uE&AAYJ$)n$OC&~?OowqL1$!kvTmHd+V))H6 zwp9nL=y@$XgbxV-JORSl3;67i`&rz};GPtSO*DK)3Eb~*$C?MLm-AGpr%@=auZ^i+ zc`AoHQWT%Nm*?>dNz=6#9_={Wme$4|(u@6#b!QoP&+5u>obl@QF%#oGD=Q#|?AwY9ZpGPgOM6>9Xgb-fj<@bnqqu&udXjm@}H(_L9*>r=5hf1@8`k?y@$ zme+=!Pp}H^bbj`}s?Q3qd-5c;aLe?<1)dIOruf(r?<3nfaeA5OfQ#bv>5tz(9Cmop z{cuBHKD#i#vEp9Xg>#?%tZetteH9Peu(7AlQ^YaY{maj<6isQ5y#I)k)zhb+2=!61 z*FXJ*&t1RX**nA6aO}FJ)2DCTh}!0G;m<$eZhXt_>MEssr%!%=Q4g(K;5@`=7*FZc1uSA5bb&n$lb&r@Tzws`YfEbieY>-FZXP4oMJkHnt%jELPJQcJFn7wUR zu8v~!SC6cq4}*>ZGCZcU?v)S7@V&V!KieL6^D}uxH=LE>3)I&xCiYM0i6Opxc1F+e zv0324>Jv(zxKH=2yWMka9uWSC(=t5w+Rkd2oIHJ&fdihu|KmgO{Jgk}&bbTPg;I9z zJGJqwqwan4JgXl&ynsFe9Fxv}^P3zUOSVBEl^q~Ew{I7FC+4qw{??JPNrErIKtFx@ z8{asl$rmns{#z3!n)Bzi6{igE`-_`bW%!>vZ)&M6U#1l4Pky{-4n~ zt~43md3L{e<&`FJiohoW%#?{W-^6GCLkzFmcQoE`cuugo;ldReo(nn5DJrQ!UrxICAgoM6l+#Eay*t;wH02xxjbSFi!6j8aEA3)17Y`MnHJvtiC=L!WTZy zyv6;vXaD9ArtJ6ec*pQzLN3op6yK!VIP?^UH<^*befNELmu7?yUAUcf3TjlMZoXy4 zj+xWfuiqZ+@pv3mFR;+jXhP{zr=DK*35Ks*X{*cH$n(#y$%aT^(wG{)2=CjF;pci6 z&bNkta2?+?CYkK3G?&GoJf0hfk;06Z*6_V!HK60zJTR(p+jy-c{dAy%{apS0Qv{0=-L&wkn zAICBG#^-(DIKhQ;H$Nc@R@Kd$DRGVSr(}4u53U?H!VmX!sOa_B9KlWG)2BbTQ6EtU zfG)tTNn#WhXKsE|DIK<&*ex!ct6q+z`2yT6VHrLV4z3NEYEGQsP{{NrkH_!@qx5!6 zGW_rV$;9!t{9QRl+m)4buiEE+787=}2vnd1;<^tY5F_+{<`E5amVWv^6kipqKPD6Q z_#PB(W`P)X#CPvf?sO*CbG+=gNzq8|vDKY4W*AqDBE>Y0owjKOP?bYuIB zPT;#?GO5{f<59*AySCm8hfb-*WpzYZ-gA$*isHTN=1MbV98-ujAfam6mI-*y_48_a zQ4DsX%kWioJQ07iNore?4gbI$9%z&0X@BdYA);Z1k0_LY-k z5g0AEBi;3&i@E5otwl8v!xLb(YBviBbc6^3myXySZ0r=l@N~doqm;WJGnRivlClj8 zBGKfli;Kdf=Rna45A+}&KYEIk^=xNH)KJ=JM0zowlNvyRf9y>u`ZADEp9T=8RN57% zn3W26T$AVR!MzxLEJ_?jCvBJ2UxJ}nFR3)rf=AH`Ct#8Y{Y#33?l=>y91m?Gn@s?6 zNXQsvnQ}@LjUy{#q$9dENC1~XpP?gC2=Q^Vy+n1eDNIMW6a%zLd@UA_i6goh=TT{R zERkV_Fr|v9bSjG&CC$ocu1u)bfH1iJR%G- zQ&S_&nenM(8Ou|Kal~GE6J4M%rYI>Wl?@=#oHdY2iI~;L86^q33tBOyoP_O*58ut8 zY{;qh;tJ6=S)AEeiCJAuLYmwW4d&=C#Za^5o3h_+Eqoaw+$^2=^fVatT7W2eDSfA; zjN&xW+AQxiha%RboGMS0isiHuCP^I*K>)1-G-_NC2>b?NEXFngvJGqo_>>85K^aG? zN0JlaGJ_$voBs2t-CM9nGU!&dn*~fvh0;+0*%?YUBDS&EqG?F^2~p;H%Dm@_sGPY* zVWyNjVYX-%$qVgsHU&|OLb+pf&%3siM}W#o&zSL4%5=j{TZ(d=xhr4lw-8-eXVXa$ zd1Z!o>e5gk=?xGSej{ik0NGc-Eo@3YO-okf43H1QB)mcN-laS64svDdCmX zt-r4Gip|Z(9&Pa-y~d?2w!ggaTK>Yi9PS=0&7x&X5TTVXp%j_to^tZp=O5tl` zS<36ACFS+k+b^HJ{u+k{ZK8Rt&{iCzEUvXu3T>kKWjngqO37avd;4u$;hbgl^|yJv z7%8v4<{NXrCcGgjoBRK5vG(OJU;o7~Ns4gFQhv$O#joqTX_b9l_-(VV|EjjXnEzUq zGQ&Q6TvA@kTXEE)R;R=|`P3LGYSG(ovo-0_+_L)7tP8t9ex4S++P190Zbc(3v#er> z>UJ8J<+yx>0~P%hl3e9kU508M#CRmTs5f-wj~$sC2(dD3$lHv%TxT^4z0uHYt?1_= zwzf`Ys_U}y|ZaVm9!;FOk5ycnUU&u+ML%f=D3$YxfJW6lZ@3ZKpnSC>m( zyrNCFor~4V7WeM7lt+GDbgND2&}G&o`4HD*N798;o9h9q<(5@zdV9L_Knq(S$59Wm zw;S@XU^#eI~lWNPmz~7a+llWmV zZOKJ(gjVT0%>R0kwsr4P-m@8|v({|-_>ofKybCEu@t(V+Y`=FV?V~@NU-VXRC2CC> zPh&XG&112i=X6_gIRfV_EE_u3XrQR7qC_vhYd#HNYC`+*$vsi(9*mU zUCN8;16xj(3Oh(DO#zA8+sK^6;E(hie^9s!y=L%<4%#-5n zbxm0jsV-HsCG|j4`QDsr-GXxoq(;jYRQ1#X&!Ws_A;FnUmn!lKUm^2|o)f7nAc}6~6>e zSy=UH0?oVJ(@OH?M0Vy$P7hAnxUfg0c{X8)R7JzO@_04|*y1`DfF!yHKcnB))v7zd z9Iwq8v|vC{*Ig;UlbLioq=g*|6ICH)4@FA+BER#0&&Hs;pp#ah7)mE8R+7>*qw~|+ z*wB~rr*13LaU~J2Tk_lw;%54xrigh|A!$nY@&M`#QFaYdm&K>}XFvg)a~eYrluufAo|r%I(pJqQyW7z4uhQ1EI8Wjs**OR6{5z#T5kQR*4WC zv!#gPZe(nV2+Xrqh&h(67+nTeLB_#!1{D3nzLF`#-a&K@Gg9gY647?k$a_L_k-m7h=&hJc&9B>~)_LvoaDb zJA{mYy6i64h^Q^|&MPv`Y4RY#jG!|jw*G`_UBkCP;5KCC6$C3>y)QYgIZ6c5rPD$X zz8vPQ=aeR14A5;*?!s1`!TXmV_YvcaYZn~T0v^vxrDUVh5Bbt#pf7))UA#NSJ=XHT z-af#nF#+1W_7j4VTHzH3M;mD4(!dnUIXaN)c3ihWlRJWkoc)T=lS&k-A zGf^H=pd0eOwMed5RP{wSwg7NCA*G9toadtCm_Y6>ITXzGAUJH0VoU|Xg^Sd?ow6@ zQAm>C4b$}C-PByq4@nbGOa#vFE>zPrdbsq%bCJ3`h67vVX?e=*!YrzBSlSE|vkEam zR8e|`5}(b4pu%t`f*gz4t(3g?uMkigavgI6LV3h+LjpqtFA6hgEEG8gC*PLT zkrx4)8m1NGV&aLJZ5A*RBoBx=WJ;qJSv|JZNCLW>Dz3QR96>OUjmK;80OaFM?x0qb z!QfU%TMz>&MUxaxnA;{FkSvbLekrqRz2J}lFS7i7vx!MJ+tS3rP|cFr298Y=O%(4J zQEQ)8rY{=vn;`M$1d+J3lo51AHz742T|g~3hiYQ{@I1o9?Y=J+FFc~(oGm3cTc>*jO z^lgVC%H3sjM6iIg?^5sBNw_>ha!33S6`b&$4uLL-KmCt$*p4rAbWOV=XKEuXNIBFE z9ao7Eb7A5F+Cg=ZQJJYF2~^-Gi%j-&q@9p-vZf~dZedyx$fZwZfeB>CK=nP~F!{C4# zhC=!0ghyFdw|zMhW!)pxwZaMAUu7PYfwKT8Vvb6cYf72UbX#e{WX*uOl=y+4I$ywZ zg6;hK4h~d`Qo#IfDF{lJF;iy^a%;5oPS!%k0T2Zo>-}Ad1{P@wzuJE zi)R`6Yynbk3A(%3HL-!soZk8i z4TCfkM(=|*53)@eb2KL>$ak4Qq(gi)MkfZiP4o@}px+`S37CBNACcm`Bxb`vgkSZM z&LL^7QF1b)SZ=vZnPZ;zNO5J)%P0k`6;83%Y2%d8rV9yD0BXC3cTgGONHPaf>!n6<+l0p;leX$u^cfJjSdCBe<8L7E{c9PujyX30P*Dw6oi zF&!!ZMup@g#bYF{^uEz?q)UjYJ;b&N9W}(x{Ep^H+iElP;T#j=!1N1$-=Y(RZCGI$ z#T8r!Y4TU`7E(sAn0_r|R0_w>G_fWE5rNVpp?*`yq6l=kfHi23IV~zHA9T8k;bdJM zuk$g(f(X?-BLeQ3pJB%73(G{wz@=O#Lby(g?to}e)km|MGX)d1%9AP^%r(uAZGTJS zv6?|+)Y249hsr}OC=ReoHc6UQPK5s{M6iC_3V_)D0!`!wP?T;>wvZ$5pZ^ae<$+x% zl2wWhtF28FpnG(Y5*@GzGLFE=$+n(V8nNC7bA>z<$=Qtcc6BB$?ghE{@DpsQ*bohjQ8p4{TtwJ35ag&C8nh!l6WtPX zjhc?yNG1|hA=xCgT;@a?t%~5XjiU^(Q!E*MOc7ac>6z);)=Dk z1%2_siYN-S+zc?+_7**_bfCI%$VYM(PRuDf(apgTnKMwFAyhb6zZT@nHO}L?>sngO zNR7yIdVVKBsVhN<#pmP?Bd5-yIQKnG3ZhP;SJtg)Oxm zyZ?W&_x?ea9oKzd-#d5S-Wj}I+!+j(56j`AXE;P2p_THmSwkae1@;a{@FM~%Lkecr z2%|j0bZR5BR7)A<+R!Lf?_dm%P$+sx!^ujf=;Zjx@{Ynp7E^I5)}g#0+8-qYfo)eruK9pjIJg&njQJrF4DJ_IF$gmC z)5&9;^+W-lBjZq9l}D-u1cxF+@(KVD&jtKoB1B;fq>=(2hcN^nM9*C4NQOohAaFc_ zDEyRG-@(fv0ux~=Y{gOy+STRMXlovC96lusGZx9;o1+TgNH|d=OV{IzDGtdpHPA?X70|f&|>apDE!gh;O;6b z7Z&`|fWh7SC0_3|ySd_H!G~iOtqi9kcf0s`NA(1*0k2^AbHC`eR@?%Fq5Cv7v5`d= znCFPcU-|IX=eYja3tOIK8Q0!2AGTJqGpeG64R-9@&BaZY99%FCZmAt+w>Hb-W4WlXR`q({17^oT+%9Cf0Jt^G$voD z8Ev9M$8Rm7oO_b?5Y&BQa3MPPZ0X)&%&1=D8Kxq{FL{O(YJQ+9*m7HO=~Zl7IR6^l zp%{@r>9F6X-(*d)8Hyc^IDTi^H7u6m z7L&+1ZZEFIjb3oiF@JoC>=r}Z{BrnA+;Z`eVsts*LyS(=X=1xu+}{hmQSM_I0y<#y zYE-=Lx{t(%+)q+BFTLhA{Lgzgy4qh2-~24yeqm|38sM*7;V!i2(c1Nw)o*5Jf2gOf z#kk*dm-R4ruZl6_5r$rG58p9;k&0b|{#x?wTQXU-H5z&7J)XZ8z1O4Q=+-NHre9P-^*-#%sFxZ~}g| z+!@Hn*!TrQ8L2(V)=f%Lbl8$Z^rlLJuW#x^UO?8qxP`yO1OEf4Zu#uB5nk5*)U|8) zNPBJMi=uVbw&2Xxev7Z-NJ>7>V^EQb&o5q#+pw3?0kiikb-vvBy=!p!PWjphKew$o z|JA>Ru~?FRMfP(Ym8K{@@Buvz!WV_v6z4gnZZ05}I$VXY`?l^zq>eXj1 zSJ{vU=lGEQUCN)E9(RqdUb{9s^B>*y#_vdv-pt!?%fd4=TP|Z1s6NQ+_XI8PwhRe%qCjue`rvD-K`ixkJlOHYS|xFs`?YN7#_$ zLV0vqk8x*5kDPs&YvXKh_?7$6CEk0SZy-^)#l=hZ`G|*3^gl)Y<>krF`M`a?`IB#7 zdL?od;RpHn1XlRTpSnt_cKhjv-(a99%ily7x7|6xw?IZbvwz3imvjzwdhvWGz`efy z)cI~-di z%twye53M7Nv!-HAc~$px);-dyN`}_M-Mmbn@CiPMBB|~2WyT=(m9T{Xdj42n9p>2l z&pb_LZka714A5}*Uk?!-j=p`#d+v1C(jI!~;zi7qJ$#btl9V63x)+i1#1kRdKyb0w zlbr4aHTkM~>hWv%ODA8xG#F?{$m^SNB*S026vE$|N6_7~oz6h&-%}g@p7r&}Gk6c4 z(T0^4mF25=d1jVPOqChFGi2*Y<~%TyFYxrds)Y%zH@r5JEiCBi?U|X=D{sp1cCSx` zT=h&5&_9nF%<6ZZY-<=VkM%Y^t&Iu>ezhW$WtTor zd(ak~`7Oe1r0Q!hSUA2^sNKB(&Nhn{w!zF;A_i7_DzG@g=c`2Ft3J5pQTCN+sJV0f z^X4BtWmCoR_ccR}HrenH#R=?=^s^7i@I~twMj-6YoK_Qa?g#E4TtixW`D6%DCzt`< z7?}J(spjrHiyb&)SnOPh48bQ)_O2>*XI-haEokqm;??4jQl9?lixy5To^PRC^`7cH zw!W_F>r{QF0vTT4_xa>^u*DbeuG|0a8i?$#dZx){dwWtl%NTKpeIP?vASP2q2r4;QFL3Riom1Mshp*KJhQ51&EQ51= zFAc*ZWx?i|>}Y2TgR`R67DMSqYnG{;iRLR2dNVrneb+k=eU1kOJen$&zswN=6B`2& zz@9-uf+9wFgEfcGCJDc_74aWqs8Qg0ktaZ&b}`)a_swJaB*1PGnC+CGwki+o;qkUJRfpek<^?B8D3pYCgbM+fWd*W zJ{ewj?xFG}G#Nh6@1Es(K=R1!!T6aYoLdl|w{QR(<4N7ZI8+xO4VP|G-}}H&!|8)p zY9Ivil;IUdQGgQ2gDyYfuX$HRq|#ubDnR=f8K@Yg1Kh3%#-%jMdukWw?CF&!SsOM4ojmAB0FzCZ%$M-u5B{(y4+p6Agbf_l{h(*FDD((UlOG%w~FXtU@1KG1d;Mm8RH-RWpEAR1N^EeJf1t#lUuZk8TpI@^Cc$ZgP7JaB-ubV ziKdVEp;jWRbUuYGXjii=KfcprejaKc&lM6DDVtRokLl)aGoW4B+U!yhdg(`>K}0@E zfXp)_QJQ1204s*vd?rLrR}eKHoKou_ymVUfo)AGG7g;`!tnOMktXf!6hJ=b!Pc)`F zMB*Rhv}7}UAOy^X#vJUFF&}gaA~=g4T=<4~l-lEA`BDf)Q{WdqDVOHr)qs-yA(-Wx z1bpFx{1H@duAx7|EfE-=3c<55?Ieg^xPkdr4}?AA7SeUAf)Kh7*afKC_UuH8iz(WCq=Xc{R#S%-EXrXDdxHP-zi`E$W|92qPt%&Fw&I*S zmp0M7GV<@Ef$Z29KKD76D+{&1^8B$+e~#@hr2gG&&OP%tldtVBuUt#pUX)s! zX%`HgS#_WZb1WtX*jtN50-Et?rOncFU_Z8+6(7TbIRVOOVTECUdZ*V8E^y(c;@ ze}z`8xF!0GZt~dzlmwJ4I4|G4)bl)dthg9aRc+ISr|0YE zpgE23OV05vc~TV_mqJMjld=@)Hro$l#;l>o2B}Li4!$eI^6@uFatM7& z#Y19~oRGX*bWLKN#80O&)ehQWD*q|olzj1jf>aH&ZovbOv?Wb(dwc}YRd?hIda+fN zpAK4#6%2sPw;958SsO3&B-K=rDZ>TtR*7NkumTto_3LG_Y7anaB;(J zI6!9^JQu-qnFqf!)apwbM|AO;M12Tu5dtBe2M*kY8VMDJXXpLyvxd1i)VB!W{5!t@ z!6zYmoQbpu>ba)CnP}uRMrEU*T%0yYB~Ezelb>ADdJQ{Ux~+%dne0*or?%2ifHbQw z7=<+u41r0~El?GAiDn|^gb8>ng>>=44NP1@;gsPgfG7r%1fI%BB_sRY>?3>5@+nxM3)xx zlENksZ)59Ma4WY`GQJo*tOOzShRVAJAx5G2sC{FaxA7u_Q75%8ELY%5GeHH@&&_w>}S5AK?Kaoa-( z90R;n&oa5r=2d8s15k_iq;NaV%M3vgg*7O+6L`En>tG4X6aYLDqL2dLtHoFD^N+c3 zjKETQOMj}6{4eh0a97oA5iQfm9-NGH>=n(p7y{ZdL77KZcj-$I=v!_zriW2C9KB_j zmmk&Vgch8X;-ms==RT*)=58Y}xD+T5P+KNN%!2CPY!2COV{@4WL-RC2@#Aea&_J_0 zL}<@52D^H99ZSt10oe}iT}{62?hkruWDV4+6szlNK%3Gp=Lrd>Q@0VNk1C`(MXiNj zE@-jcBD4!4+?w`V_&!Q_*S`RP6eAaO_x%&J#9bPHtOrJ~*m9M&fVhE$B-b8TDK`rl zM=Fs9HMNkas*PPI3l!o1LPbUibQ5^)NoJCQWf^u%U{u5|8T+TDs zg-GsOMwnsP#E{BUcRo1OxER zOraMuj~k532Rf24#tsdTQp|c@#I}oNQiYT4EtjeMq=z>T%B2^b!Slh<$H*tMQ*^+SWEF$r7n*ck;5S8R%-5IkkTra@*wTVwS8C&L?|r=hrxsMC*G@I_&LG+ zKYYvOn?5TR84Y+ZW{0j%ipxc$Qj48zyNKBueREHH4M;`L&+ey)^ToEp(Q_*4-B;#l z%zFeGWL&nr7SQQgkG>yep5+=%7V@kcHrP40>P`giaXk5>^MQ1s!eUuj%y@E}DOm~9 z>S-cDqwQ)^vt-8qiL8xr{cc8*GWa6WqA!Q;tjrWDw(-z^eDrgKRcmctCpzGT!PJ@m z!~(|4dBnF|&0T+w^DOt{QHrwnj3w=byo|5Wk0WL&LW>x#rn{)quuT_2K2U#iZWu5X zkJjmAPlMl%>WI!VkenX>RreV%W>M-Z53Y!Ae$%bB!Kt^fC1GLc~|o zdoIKdOd2f^MZ5J3%_}?$rx7^TQz>J*hb2;YisplRl(afaE~^prRfOA%l0B8yE!^?q z0$K(tKSySlEc?5@3~-|QC*pR=ioO#tZ026#Q%^oG5P`~ZJFwj@USdXrTl^9CNlFxu zO2aUUzkm*!?n7Q}Z!@qh9AN@f>GlKG+7Ggc%i`RaYW*6W)}Sa3lOfjm4A?a*Y= z5V1%y@g72*P2M0hS%7&%o-yL1abIBrT9+uEq6DxMp*a@72KzxnkKdT4Nh6s<;5QXY zDUFaxBw=4ys1bc)X}+`~nn!_Gc?CnVk%@Fe3{MocbWuEx^@bNKV2)ygu)q;HfSmqm zNp@Z4Kli1YP7%c{ST&c%IZiTk83R@ny$Y}~f?I%7dtwB>J|rYcRAIizz&r#ZV7&+R zy8)cp#EZ)l?O~QDC-9?pf>1<83t8O?OP&VNdBP)I$r*~-*m10>!NEAWqZCmYZ54QFhK;%=l}R~E0cv)| zMf4bGRMA_67{P!wFljmlB}E7v1(@bD3#eT~GibDl7TT6J2{2ca*c&C7D?znlZd(Oq zNU{Q(vRc_B@C~Ao-0QxNq5$g^gA;da4sJ#e4 z5)@7Sfq2APm{8%;Kx273y_6LWUfrw_L>aMWM)?g_n6~{{%143t25~e_PiRx>;R`%e zZXBsyc}dbfA`Gc^=uV&%bcILS7lqseQJ4;hT$@3h#uIB5-R)&!_mZ~JMRsh)5Inp+ z3>de;aH40!Y^p?v3Rkv!JQ1MHd8OtcjSxAGU5+880g-Z8{P~pYSmbT83CK|$X2(7A`0a!Q3A~c+xSt$$RR*r;IQ?%QK_L7Vy#V(0MmP8 zG*-hdQ?tLBm03=$6{937q7nzq&2kee2pq}|pSFM{z1tZ;v$l~W(uM;0^~tbF$`sD% zDxlN);6}s)LDxGTb$M|2@cPu>#!!rxCXQdpcbYq1qBz3;}sC8Ekw4J*)5iefca^vm8@MO zQ0K67PqCyjHljSiim-}S4Xq!g^>u27p%h0Zb{nFVP~18R&^XAcCA};NSJP1?Y;ej- zp?B1bC}frg1`wnbn~ZSzx{nY#tQMv~xhq$&H1ZOVK)%uj z?;yiv1I1;)Ks-R%38%p6}Qy#_0eD(jw#Gvt$v^+}F3LidBxQHguxJ;?E zJP^w00O)yT?ui>tDDiNkP*X=#feKu>7)5K{JUvf83!{)MXzjNqTa4wJnLBps#UD#% z>LEyiQlPHkdv0?VNs5gY6=c!8OT*)92$`qwyPhOPbXFRMJrKZ4XD(U*(TViF z7oS-wbuL8HXaXT?3}HTZ(PhE7BvGjWG|dBkqZ&vIw5TYO6{4W^RLE;Lx0uyFYiYrS+OplTx0j45@TjN;agKNA%d42nFM?@OJQ8qlsPhNK>fdR-r*@A_v{Ls@rJCo^jm?mkCG z#Q-~aJtCykLNSo_ldkKuZEfK=kj5kBz* z?@pN2qoK^(0!k_7q<*lqjbLc!fO}8?f{gC@G!!H9f!;H_oc5P%|a@_^*T3j<=yDuNv@p*Y<*qd9R?{Uc~&)jjp_x);UG~*Swc07CkT7Ru@%X#6} zH>szs<2J&!G}Pa-$o2JBHD5S3e{8rm*X?=1&*Qw|r!Tzv<217TN8|QmMgD@O@JHO` z_{qoa!lqnw3_Q8V@cP)}ZtIs{2=2eUn4KCe`}T&LD+4<+!e-WQF-3>a$^Rgh#W&Av z@U`xp_AkC(?9v7|w=d*wRK8MN>C;m3{kJN5h-0r`g z&xcD{*#3|Inwtr+JbT&a?u&k=Dp!i7@6+VFw!KtVv;N3c23>Z&FnX~|DPPKFd6jip z3}@PYty*n!TQ*zrFMMCNv-=@Vba!LBx`Q^lw>+%A-_5%(FK5-ya*g9yl~wn%cL%-MxKObl*-Hp<{vEf!bbd!E}v^e;b8$7oaH@eSN{*l=7`PQ@Z z!4=(|3!I|$Ti>OzyV;o!Ry^A=bVrV!kMU;S)`_|V7^8bVN&S-?sCYoWSB%~fjq#b+eBAbg$ic)u$ zzjF=$_;P0?pLaC<&d8(7+4VO@JWkkJULOVgM@~}`mmfRv(--lv3s31pbm=}haQU`$ zxJGn-Qa-wzNF|^oqgUMSue+IN?znS#+0!2Hnqm8kY4R+>%kw?BPmDkc>2;sOnmg%@t>%eNSE8s>rzs@_g=n&gO>MxppE5^%siy; zmH1Zcgto!3L1F#jPUn@;v7&e8>dV==JKGQcKzxI<)%F=S3Ctkn#n1X)zRlNgzUC;& zz4v}uUmD4N`2D+IW<;F*$Vbkv)8LAer{8?@98#){Nab+#%CE&zWwq!p8Daa#>EV!#JSWb7Dh^xj?3p*;9F6|Q@3{Q(<(cJm z_8YN6;jJtf0ue0gTc4fB}~ z-21{FJ45nnQQzgrW|kITCfu5R-}`tAdYCUB)R#uGJCClPsxI;wfZ_>zKEAY72U1Sz zWxg(7K8fAK%uG42=j2V`8d_X5xTo|~EJhXb^^{)-C(QT-F8YE+Nq_GyQ(jBwda*AOi2u!;_J>A9qjD6E5?er&Chn?xnAt9U}OBA6QtQR;zMcN`r<1&N7#2s@+v>yXNYMn+=b;h`*99tCZe@f zJa^~O3l{<{KhL!GYbH}LqJ-cS+wTKfWQ`ji%Sk1l_(-m0__uJ+(M zw*1Mr@Y_Wn!_$P0pZU%p(0)2+&k%MMC8D>rPM;pVlDR+eWuC&`<%>-`*3Wa{GWd}r z-+z==M5{V}Tw^@;X=%5gn>~4w>}W51N^4K_@)X(S^T)X5KIBVi)$_ErocDe2?&7mq zrV`R@Jq+Kf@0d9doSlqpZ1l;PWRKX#JB^L-NKNv}Jhp@j_zHpZ*fZ9#8Q5$CBt z3VXc6fWX6}Yd`p4+@hNF>JAFkcHL9jn6O;Fb=D2o?z;5CKfp%W{QTaVYC`wl zccF`4{LlkoM881_%Yn(Z#KbFk&Gh<)}h@I(11No6p#` z3DUZAP8$(;g$O$mDH9)fQSWmz>+4(i*(}>=JpSC8S0UZf({Iu8Y0vZ*dIaqau9^J4 z)h@Bw?CSj^>Z4$p=7;pUWBqXPEmWdERacSDT2#8Y@@r>oj9)Ix5#h4jtHi~GaYf-` zNkdFByvFv^4^dF=2l@dPj%vg*JfhU{l|GK-&GYfYsNTbDHTsP|17+qJzlM4g*1>q> z2tJU}YS9{~G{%cyz)p#lS6J6^<>f`8G0nN1LWQh~QGhu+I{Q+W_$+UAyNvP9cO7)+ z!ls6jS@$R{nw-+rU_NE2I6`_p7q8M=NNMp4MxSwaq;CP}vU_A|W(!W-;}t^o92WkJ zW(pn{b|ZS7hP~G|6`sr7kdbC~&fYnMf0Xp2oL81I{li@pXc*`7P-3xIwy2e%N)kPe z)NnE2x19BtRld0+8!^*fb2!a6(A)V zVvbz}P>ZLgAkh2_)F=!XZG+?(U3;Gh5#*f*NeX9_go%wJ7gUFQoREC81*3TgQb7>S zHK$EeGVtaiMah_-QV{!i3zG<+IXna#iV4T)uzZNd$#p*YFh%*hayZH5Zd7o&1>DO& zf&eUnXtZ4_JmlG;R*ChVxOkLH#YuyL!X5_}nq?BK_Ar48S)L&4yOcZyIX`uS#KqNI zWoS^;QZQUi3bc4&X;V_UMrt8hP^DodlEUD1jD;m-1iP-Z5!FP}qz4L7+901K@>3&# zoh(gCaNa{jN^eNKLIxpug*~9hbdsdl1*4dxL^WkyhXRsnG#0aqg}hO%;gX$+ohSdu z>qkhyItm7WS4fzjoFWag6qYZtN?TJBFEvJ%JC*^>Dy5Z{5B#y3iRLuUQiZ`!wGEeGFy!>;Jf{q{G*LBW=m~jaMdx>GHYHJ-e-qJ^Dh=inikX zIk&6LGvEGp+R*duZwNuHUXre_;(T*u6w*wyqN+Gja`}qkW~N8gFw3{RE+Oi2!^6hV7*aQ%a<;?Dpm# zqrWOV-`>(vEiKs@E;`nVJ-Wpxbt>jxwfY`mPdEWXpy^747lr{LjA5oExwem(O{=g%V4j+3Ip+h5)$OGvrOy zjQ;;^$;DynUelQTWM8lH=q^m|u2Am1IlkUOldOtuF6_2=z;%AF!$l4D8Kji+yxEf+ z*sjAx;Y^@*L%o^@&TUL(4T&RS`Bc5S%q zr6sfhR-qfn{v0%Hz68PWo2ZNTOLZU9eL1uGHj44gJW2Cj8CG`PkUJSj-Fk@zyT)bh zmo_`@DuQ++Y_aTC)$@a++-8e`n|)RANb#`x&~@JC1D2M2TQzv9IqO$v$bLX_pL^3G zDAy{@h=1p&wAzsok8rt7(ewm2gEoQuCQHcAmjc+fbd* z9FU=!@iXNTJ}n(OX+ReNuKhYU+)=u(0F2xbUts8=$K`GICwpEP zK7@`OeSF5HwzEnGS>1($zG{(RFN+AsXh9{fg3LH^TO$C8HJ&(P(NsFYRa)?hv_7X3 z!yp+Jwr=L6u;uV4; z5arDdbZ*pOL{yAHEXo;CESKobCgkwECG?^vnw}(80d{D;5!67fQigFfBJCAQ0gDRe z%8|%5A>+IIeDjW--Cs&Hinhs}%b;r<#$5I`s1hB0dwLB4A>(c&h3LZ(++$lVFrsBV zFv8_5NIVIEyR5e31j=ZhU*TypS>6k)bH2h%&~#*_#GJ0<`s*n95Os%oqG(sy;m zxtxD($hf*n)~oaY2rKG4`jtGn%ozS*N`(|pPxLIckvQzqe38Vk!w^?3N8(|k^$|&P z!Y5OWg#PNI1*stsuU1qs`4q96XfRrD;0cX2n0Cd41nawmrJ*H^M0iE$K&8^1nh&aZ zs)L44F?Fd%xGMrqRGi@7{8Jq675I`dsmr6e=X3Y*k~Y=l&R`iH<4yR#$4byT?K#e1 zx$)xqt050}a;++I^|bFoZl@E@KX*=Ql>Yfp+S`CDcZLV!>4LnhFq`*byDE4*bq#i| zykectK2YZ6pYjn6x@tr+35H-$@cvF_)jM8y`4?#&tEKy(lq~WOQ{$a#7RJnNm8)|* z7^moDe!f~6vM*+>YrWA7`caIB?MK!o#XUa|=ELY*n8H>1*in&j@#eQrf8Ylw= zR4*SAp#912>bSIS_xztmFRp7rgolK5;xb#t(jM(P_6bJC`pDoE?s>JKR*t(fb4u$n zOXdR$nI6H#+z)h3OP2;UM^t+jRr$}@b_xyZnA2s8J|7eX5BkvD7kp6Go=&hh2y_q1 z+E?L;L!keTRY`k zjZku`oj=0VNB{&c;$c z@nTRS_1GGexo-j>N=Cr|0={4S$R%iC4a=}TQUb?LilEB9VIY(v%KcI`bS~@)ZDN(a zONeU2H4vH<;&V#Wf=#wfBo<-*pN}fGr*Xq+yb4FUX~Z{KX2w8>AYJ5%7lksoC5y41 zR+wwzO!o+2)DjRY$jTyHU^bO;3VxYcxr>`YL zo^!HNLAe4U0ZpEPLE_aL^L9WUM#C3V+@ zqWs8>W<|dP`W)!w@xJ=^SzVwLJSnxTY2X=7Yn1ZCU$`wRfHoGe7;N}02h>4C?n6&W z2xv)yXJLy=qC6g55-`2Vasa-e4BSkCuUMUylQ>95(PxKnf069qsKG1iYQyA9>GMrw zSb}e>i_>+%@^6wfSzeQL{)BacTf8ML9(M%Cwj@F#T%e#)sGntkR3a&n;p?odm$`szOFz?<6f+%xke9$yX z`?zUb8o%hot`)_@N3j3wKX<{i9Lyk+j;CDp&~ZPsioOl}oj0ULp*hXZcgk9W2y5^U}!mrJE{Wo3S&!d_FJ;7^GcMo7Yo&up7IC;=`h$KfRn3FXO zb$PcJ>pCF;X?=H(Wo*HUVu?1s$K#EV<8fC+VwGL&CbO-gve#G@*T~>A(d`DJcjpg>#B*fJ`Ex(6E&-6sZ4;TF!KjO5~Q3}?}8u) zegG3%ue1P8Xb|&_hqPvXC@D=XMI34%6wPAfDIc|!L^K4n)nq?K7PmMp%GaFJ-W07# zt~3UzQtN<88Apkz5v_(qPb$gk$}pO5S?3L>@tGv?82H+M*WMp~gCrVo6R3B}KtYcB zV|^>Sj-K+YoK#T}R8R2xab#_I*&0cvEsol7WAK`_n@lPJKwWSXY>AW{sBxh+j~BWo z$9XLv8mJ|tAaX3F$!j>|8&%^7e^RVk9C8}iC&lbRjJ0xc>?JnesLS9sDLX>?#5YsJ zen}}|lh6PDioz5yxJ_(Fs|_I$Y<%=CvlYUcxCADP#I#~HnOcl_*04JTS6(TSjL8^o zE{Lv4Nxq;qq@~dUGa5C`J%%D(_aYe(>hzXP!Sa=1AUNmubw85u{uwt?Os!6XJgXe5 zZOhVf-GUjRx?{&>7jU18V>N>?PB2q6-46B*l1Y?t*}%Y|0+qVsIiypseGr#OyHv zW6YyT5d9yvnz)jWDDxDQZ^E^&40Np^5T0nsGWHzbLE=r?QG974F_S8TVrLcRi~(82 zUF66Zq(7R?b5|bbDKRQ6r*=AzF_20qUKLb#QFMKhP)AZ&7Jw67#&U8#StTj4W=sWU zeluOXugQ3kUq%(4bKO#RH%0Pr33PfbDKA9=YwAl@V@XQ8ma+%YVA?K}sUgeoVS58z z63NCpMHVbgiYe><;curTR2BJhf}E*1WoA@NCRW}_m6+sO%Sl1yJyPp3?f{XY5x20F z14sjFBx^f%6!RT&wagl_cOG8;o3Qv=w#|f4b>6hANc{!8 z+DPcL5F|w_Ud1eFi1M-F5~rX}vsb^a3Jk#233xd72@}D0Fz@DOyRK` z!B`uP!|vz4ZQQIxQ13Wbmb@QFhGgbB-s%$i1)-^QFy&z@k1=Z22U3>Oo7U=kJ{fJI z*_?(f8z~xHJ*nswtoGyo7)R#_^<7ZO?1qfme1t_8p3MHlJNIFh3!xu^a9`Br4OxK4 z9!U~CsbUyian-D%bx4w;#n7Ca%g|+DJmW%{E<6`Z5gM>U)q~C6Sc_mK@H|G9g$vRJ z5xH;Dn7(muH;xI0Y{TV;X5CzE-~_kS1c8I}1p-oTrmtcsNXE5-VDaJ^!`2Mf7Gg>Z z5scF1Bs`XuUbS5*v9-6mxPiARo&zusccj^8Q^I9#PG$CS(qJ+~W_9hsk-+rzc^Yc4 zo+>|%Q|dGrlhyUt7%v;`QshDf9&;KK*9%ix;zY3eVqv}Qd-iGrQOA%C8eOKWMQpxZ zkMrmTV(4+ zRRQN1n>Pc)z7?gZT(V+jG$|t&wzEk+jh_=+EAtyD-pZ}jbs8? zyJICX2_&jvY+b_M{aU-B%P+zy=UGPva0A5C$T%^ws%228y^SH~;?17a0gO>7bn(gBc}EeRA`g(*$6(C3reP%DfWy*y@dD(9VjYE;RKe;$u)&A4-SrX@ri7u zo;NAGi4u}0mlD~CM9oy?SN@4*09jj))#8JAP_3@sS*~8O&<`ZjzXr>I!I+UuXusb? z$czOeyi)6tK&hU~c!fsQ{oqCL+05eQ-8xsD+`&(R3j6U6+S(jOwu?JlM-D-%pdhzc zg2ga`lkYGRX!20ISL*SzaW)IXP(+F`JJw~T*tI0+LasUE(lA6_pWA>p0ruX(%m5tD z#VA)$WaU7*?1S6{y%1|+qmP2cs;{YZ#DDdO;jja8Ot=eL%>=vnl?aO72mN%Wo-t!) zR!wR3AQ2`DKQ#`epOV2V6f@Oo0&m_#c?unqARQ=q&1<6I@Hs{2kOgWS!IB%l#?t#A3c@-r^1>54q}bh%T@(&9O{TXAJ_u|$Xg zYDg1TtR+2)`zWy2?a%%oQ+Mj?bb=omsd$yU!gCI>te#7iKz)J~{)J(s!tD69h{wAC zkOG1-bDmCy01haLh!|4k^VK}V;WiIXR20x6*O0=Epfv@&>=Th;xl;_ll!4?G0JbT) zm;jo2`B!+ZhS>R#hai>v7A!Y&=L4voDCHG}{Im!~db^vc9Lj>G&JWAth0<>1FvoEl zTQ3)0bo1^+v6!#vrG0u-z^*uQzlyEL1iUCn>4ND!tVju&Z*L(7wH6sB@{;$W;un6W z%iIE4ChPK}kz$Dz#}!zpQJ1!M=VOJ@OG?tJ_SSsFs@U9<9sxo*J@jx}mgedb8UOit z6Rj8n4ZlE<_loSRj$*SsV4ld%&6l5G_cJR5VqxJ-PV+>*y;S{j>@~Bpx|U|X?ria4 z-=95)?J<5M<iYcJbAhZ7De(=YNMEEB6Dhh7Q|q!{$~JRNlET>Mx05c| z8C_~An=CM{(}lY-yVb~a$u(L?inXA_q^w}-S{FO79qWP=o|9~(FjmJK=_0!zWm*>+ zI|BmNrOqe1(D6RP$637nQpKi_a75e;Vgl!)xI8j+2sdbOuaG%H#z2LTKBYCH@bnw= zjFAwiPyGdfP=mXX(u5I|1d<>~uA#TvK^HWre_)^napXoZa-^Vi0L3Ol*~K?h*+sv> zKYyAgz(@MHGpa~qz=XtsCKvKMftVBQ!${tMgM2;I>_qq`10fuM7jZu%!$eugG$l3#aG}Y)4k+IN+E|Juw#b-7f=3Z= zH(;`0hQrez9A6MIJ#NY#55T3R<9ZbJvV#EE`|!~REx-)h7{};xEF!svHckXlp^|Un zn<(ET6M;Z-d?Q+fgAfw|aZzXrCYRtC#${AVuZK7$8J2<*;yCs;Aku`N0Cy}f3WpZz zBFLjq;}UsSgIOc^^j zOj?dcFAFGW1dFi14IC?QK!Db7qm;;4$0;L%IBq-0v}SgP%g>CBgBnb(X=;s@tCYhe zK60ST(1^7ykn!}d(b!qiIByZjRX`M92QBJ4Cn7G&P{NAztJZ;eA5n-70u7nlnb~(3q$QAWqzbHnci4CPJ?vB>#HP>>g8WEx8Vj ziB05f^MfSG(NR0HI7=IjarvV6YU#tsa4>8v<3R@A{q4^()TqrTx;M)u_XZ6zfzcaB3??BF!_aqYK)gK@{C|i4ikk znrXYpH15AykyUyQII!XirqD!CVe~rylFLui2q0%7<1i^n2$~rZ;TPI~QJaAL zP#?l;2>42j)$63Orr;S|C#8w_u6$X!Ib`#s+*lVGUWJi^H#9q79ht{_sIsoognxXF zw%)6HwwyGoSEPZH3)?LL$@n2T`Jje*+{2`pSt9)C$1nZCq8*C38KAgD2c?amwGV1o z#FePq53>8@N2sm_JQ;li#qxH^i^Cz-AEL_vy9_?^Z@KCY)C+lUs>)dPAM+go$hM!N zgbkVQw#B?SM&Utn_O+GLtui3G4%`l+cVlF@JMh>yc1ZXrVJ-(ga) zjTs89(2qlI{kzWa!Q#zn(58eVOB@wvP9uZjMv1%qU}na`PL`L?fgeWvB4{LrtOY1A zjGiCu9xoXuSta=H3vgf>&sZ}J9_n=X7H~;9$aWoJiot9%9hR!M1|hLgUJ7Z$>b`Vw zU+6XmWaTj+JK5ct(QSyY%}!~eSBYr$KItIO(BR#V^VFn<87<^-1>W3u=cF{=jcpIX z{*CYUL7WbyKpb0rUu4ZlNhu*vd*(whvPCHJdjTj=gLDX}U_ULb5;mnotnuV~3t=OC z?_PMH1rK+`ay!7kOCj-H^8f#XJ=r}RqD(x5_Qk7*5dS^ty|=)_AA9ff`1d&+Vu5MH z`$OVynUoTH^Ttk1bT@-|vq+1xkyIVoX&6nOMJQ;*6#8DcWM2>Q2{V;U2kD~UEkgY3 z6UJuTmp}PU;%|)k8%N!an4sd>AJ0I(j>a|gd*Oso8(u9yzodb%QCdqvi5v~Y2ATGQ zbN}Lh5rKy4apf42e3mFeZUs_$zn?V`p&g(%T=5VUp07^&CtZBG%0j= z<;B`w_}78dK1f&L1afsuL8wJdk%Yzr;oBAr(q;S$=re&4`9c9=?M4|xP9hi;Cph;L z&tfPp$8+$9!(d{rnvlphbV;nV#*8PwIY1AGD6%<1YKRT8-w#tk!*Ql*Q_=4+T3cDB zHz2!UnncDLu+hFUMWQ{2^HJ^?2EqN@CloSM$yWeKVcaGnk#Fq!Nfe3dfcz9{D0PIC zi#QyDXzn{Z6t-rfg#7m>jCI_5>nU5QAzkutv=^rXw-c}Ji&q=oC3G~3Ax5=$ zK}<*TG94Z(8>4J@mXmrJ05Ak}^cTK^@%9>vS2~5G7axjMofd&=j3(OdSm+t&`Le0M3 zE5g7x?i4M>+`vr<6q#flV4FmbBjOl)XCzFsD3A`h-)q3!wS^5Mdxdei55i5T(FlA3 zQHEAC;=dol5C4ix1u;2_wNP?}zAH$w_9yX4_I_fcOFT%vrEsXZ%%|M34u224Wbb5c zSzU+yusmi@x!ZjgYCnbu@ z9MeysIvfpc;!;3v7^4#`ij1=lENsp=hkfziWdO_8zc7&mQ6>*@B#i{ooG7E<5Q;<0 z0pLIkeBJmztwVaarj8@hz z1Bf@Ee-~sLd;(W{@^y^x@JB)8`TmRvnenHx4Q_Q=rt$jO-y)5&pEQ-|5Ok87q>_YV zKOBSFOMx4>@dorn4mXXRM8*mFUSOD5AREizbrv$cQACV2k!D{1sR&SC)6EZG_%qlE zw5Emm z=1*sa!7;jlPhRc%@JnxMc;`n16(HBl4FV+G(06dWaqnZY#G=1jh}R_u*HIuqJd}PI z@9m^v_X&&*4-s`3>}loJz~%T!zHx#Y_MPwGsY!bI915A~%}Ep^-_(JRWG2D<15y1*9<)I{HKASsJ8-Y=7&g7Qh%rv z6f*ln>2@9LBZU-=S7RxxAmto2q3iv4J?Xop)b=$mObfH6{K%}I3KUbUnZ-LEFO?z2 z5Ps^vPxgYyKg9_Fy#6@10C0I7u<9`IWAAz?W^yc!?FGp-^hQod5w({B5z9l6_dNq; zMXt4{Yzgrj1%uJ}hPRcfF1cEzAh{sHxnKG%1-avjoBsG93hAf+JA*e?_`LpvgeF&E z5f4JF35`D$e?2Ko#WuK#d$THwQ)zA=s$7$e6NY33MIC9J2iXr^!Fa#|B>1b3>t?sC z^39HnxFpW zC7(=`qfvy^;G+<8l7$rX@CCQM&b9~Omk9rW%98up9yh*H`M%cTSqR}VbEH8?7 zF#0+IMU4lUZd=%FD+e%L%o8d-L_7e8>*D{!@5{K}Hr4wkNwOEgcVTx)G4?~GG;-g` zZ;XDpu7{-#hY)W)^&yGhiG+O<$kJx)I!FjOn0%s3oQlFwVdH1iI{ByHI2M{gI_G1OJEpV!K1C6vm5 z71A)F38~#E-y9+D0R(j5S3|7}N`#n*Q&~pg<_KxP3-Jp_beV=93!54y#@448}!L z8I4h;1sUOvOpsCEbH^;4w2=nEr2`%K5jhGf)EK}$0S<2nxPX*v_p7mcd!~t4Z%oDr zrUlYv%pq}%Au6#PL!3b(B>eWe z*cMaE(GTAx46~0$n0++Xg_ua`+4nbOTVxz!6EC~y7u?`KS!#9{%XY*7h7b?Hv>)RB z;5anq6=F~$O>oSa5KJaZ$zI79QitW;8YoQ^Yn^zE)G}{P%Ddu{xs%0Z_CQ*E5bQpp z8E_b+f}!0In@2*!#t_)WlV#>F!f40IG3<3UGbT~H-r7%T#5IP@;rQiMSmJoU?5si}?b z%}7oh#+4Wme+xhYQm3Rs>%%CAB{!3y5XxaUI1I1d!xC6w_eBIstE84vzH5#oi{N_z zSQ$G9B+D~jxdgJ0!7Gs7?Y}{o1*MEndOI3KA)^v;b1+%3 z>j%j3=71<<0pT@BIs2KGNzF){X!cj&Mi80^C8nUs{Fcr^Nys}&X@tB>KfsT{(=XH^ zs$yiij4=LK1rYCt6yHbuAql+>Ikfn{@UPO6M)81Rbl^Y$OOB93AwrFe>k+;o?r>U) z()uI-Y#O4as$CScpji!JgpwoV09a9tM%g1%8i@kj5rC{i4ul$$cbfq-11|j>zR;0% zzZjJDM3*6UVd|3^hK+Txh!4(xzg~^S06%@PMp527*oEQm0~Ja?H+GFtJasCe1a!y& zJ18Ya(WRnX4j}4?cybgt04k^zbL`e!X-|EG3`8Ar!0LDfZXZaE+VUYtthqePWDz6F zGm0(^Xp0equ?F9RCGdlR`@jFSmXl_Cna9^=lC1!_HS+tZ5(( zBZlyeU4kF{!oPHtt`@MOR74$R_JRPToOcG;ytqgx4@77a05{^qkuD0EiiQX^s^}p5 zAnXfK*c7g%1SK>;svrfxYveY1p_YZY&ERHu;nD>PtDBfuOc#cB?;v1)nZ(Hv3d~J8U``%c=8isol08ZP>96Vzlty@;Y(y*^V)CLG zMxcQRqT>K~QDY*43%t4Vk#Z1XLjXw$rzmkODscro9s5AV9LXV0PUM&ZM8v7!Z9@Z+ znqw?fgcxEV&otgdMWKQj0Y&upfXIMH#ILUF`2x+Y-YDiIRD5Gz=!Zdsh*ZJQEbI-( zA!KNB4c+fZ9gc>W&?!O_(fGj-j4T9CPO$V-i5fH#{oX*>CsrZTMbpiQK%+oHWdvKv zn=w4ZWS8JW>yI~+oT`Y zBjznVl6tL`Qr+xbJ<@BrNv)WXvWVYO8)0HIN|T_GMZzdGgP|~%X=UUP0b?*oM{*?o z;Q)l=#74pdEryb5$4M9oU^@sB=lh+yue)b=R!hda`-0@1ez)q> zsZ-~iI(2SU-TUr+t-%J)lUd;uL>)}?eI7AjH_1HT1GRj9 zf5@eOy~~v8?;`kHND(*9&H(g6>5P1aq`*iELWyleX_6`Qjgc57QQjd)y$NZCsH}pL z(?Gz1T#WLtD9hUdG7wrET%$DR%9Zf|CSa_4Pzbw0VMGe+7Dh6lavaB5aZ0 z?;kQsL6fli06Y29CytUOaY4!ievUurKnM|8pSK-SLMe&_d~Wb+mM8< zQSPa4kX?GI?w$etj&hE=6n&+6FEy}`de-1=LyF!M^1}N;Q2BMXNWbF{vdkZa;*8>5 z|EqsTTN>T1fr(EK7kFl*J}*L)=KE?~%}*5jYFj|a>?oA;H0K^yP=G2UFL+-y%c6rO z$Md-lPR}U$KKeUX2wP&%(*-3^&pJdWW;I^C9Yx_FF5qs>U;nR|-MM8zS}27nSi)<< zE5MBzl4Qw*_CfuiU^Qq%~b37!r#3Q>ll!bJ#|Cn!342nS>+DMLAvB2?ws z2mI}YH`tP77D;bQy5ANrhA1XM1unmMx=W(9wcMTbsE9foSU}8gfQ+6DwLipZ`Y0g{ z=&>2K5KD@mXdgmy5dyu(f?C+l)6}ENdpxO!Fl!q}uI7SVunZ#V+YO5K{!dtvIr=-z zr|je}+LAGZyOOS(Wc>M=UodH!(x=z)lkSnJf@4-KckwACk$+$CXclcABt^3BgB;!| zt|{(;0zm0&qWBgGyr+Ge-pNpaZ%s-x`KA+Gjqgb#Q?o)j)xDa8<4@6_MOWgwNyAQ_ z{64=-%~zOc_^H+K`>f7E1g7JghMs-aqBQ?~q+|@tVIL`Zltl`%NUsA0mOke|p=ZxM z@vWttxBh>Ir5RXwzYIX3v8N&IF-aeiuno_1qbwWl5fPutt^D)2e@XlJvFlfzq4qV@ zsSr$%z6pp1N&uy5`uj=2sxuFRhLuW8)&>IcBFOFfyAMvYT9N=t)ifGM+E=LZZG(gj z7eI0gMX`?KPm@Sl2R0D!&V+Mv5=J@HnP1XB`k%ORa0690AwX4bu#d`2DQ;&vp&CM1jY_Ci9Oj^5wGW{}k*fK;SNO3xjqoH#(SfcgK$e?Ftg3{S1l z09R2U-rol@btqy$$unz6MlQ4fVSIpdS`@{b!IWhFW^jf@?TlLTbbpb~i^9RY`UWYP zsv2q>(VH${%z8P^Y&o}H?m#(-;vOsjEV;e>6XBh>E$qFcsBwVAAbnE+-`OWn z5?b?7bMn_gmb1zy#{f_eh$K|$TSJC*_K7^2&ZHDsZ!P6p<-q0PbvZt%v4%j7=X07< z?rjQNP6$W5ZtUb|Zg61A@5g_cXD8#jm5Oi^DF7e2()*!z4p~OBbi_f-bE!a+{6;CT zJOFYQkz^*Mh@@Nysy&0!Lpn$=gBU0nmo1PuN+VJLI^}l?F4~e%(p%N9t8cW0DPB$i zl(_zcy#M!@~3=^cP1nI!nT$0*_Z(?~_i<-h`*;3q_;NDfs8iKn_|PeUa) zY=S0oKp-TqgDk1~3s9!RBhO?RtN`*tq|XQ!@?Jy^eo{deQTbUSM371nnYyc@Aaf)# zjx#_?(8=BrNRpbQR);bm6`iMLy2&C5G7ixnvjC|+fKZ>Bed!CuJtg9!Dr#p*&pxYA zr}zkRq)BHLS z>MEZZFaVTJ@%t$OFZ^AEbA5rKy#EmJSo20pJVVoQ2H5`|-H+FOvO@Ixvik<=tQAPU zUyi0SK}pYY70WTJfKVVuR?;)0&WkfFxagPuqJM>;G$pkoIWr}L136OVGpr}PEm@Lg zB~o25QXnf)6qq1KD!*h<#}k9M@^)Zn1Wo{n?OFNgO;Ysdo&1? z-dYMq!4|0yAV>NpN$FWSuf+wYef#^QoO8ULSVCAiHFq-Y>+wY6=_JHw*azj75r5qvM-{neX13 z`~Lo)^Jh>U|8D^GCO^=S-}%i4k{85x+5;E=9nm|jYa#!F2NsUM;EZ<@T{!;T^!5c^ z3&&q@#=D6w9RF^5`+}~8<1aYl-9#6Te>c5-LD$0Z7o72Kq6^2ro8G>lYvK3{&UiP` zh2!5%Z(q>0aQp>lyqoC4@$aU$FX&o0{(>{!O;nE8ZS!vh9(;@WTa)pv=o9ao-F*L6 z<-9%o=>cNi?AH5(y_^2lmmVNvL3-ysu$XGzc^^Me!@}_objv$WTsZ!n_wfTYEFAwp zx4iSjh2!6OA3spT+v#|JpoafH5%U8(>kp#-+V?}f|CyR|wgx$=j4Gosa-_;!trzRz?{@BB7WicIeB1CY8Mf|aQvJ+FekN( zh+jB6C$)=+UpRhF9+;EbMZ_;0KPL~&N$n!y7mlBk z2j--95%CMh&&dOGQoD%wh2!VsfjOyNMEt_>bMnBP)Gi`^;rKauU`}cm5x;Q!oIEfm zwTp;fIDSqZn3LK?#4j8_ClAa??IPkAj-QhU=A?EJ@e9Y#$pdpzyNLLOx$=j4Gosa-_;!trzRz?{@BB7WicIeB1CY8Mf|aQvJ+ zFekN(h+jB6C$)=+UpRhF9+;EbMZ_;0KPL~&N$n!y z7mlBk2j--95%CMh&&dOGQoD%wh2!VsfjOyNMEt_>bMnBP)Gi`^;rKauU`}cm5x;Q! zoIEfmwTp;fIDSqZn3LK?#4j8_ClAa??IPkAj-QhU=A?EJ@e9Y#$pdpzyNLLOp51%CshZmMZL@+PnvxAA zW*+Iqq-s>^S&c%4;GhhxT9pm^Hfe*Y>AI%vTI_-Sy~A6*C1%SKk-Fw!vV1TsI^d|P zmh>Y#E$j!D?ND4bD(Z%oUUyw>8}yA&uHmFJyLI~$CdQO@cf~GER;>(S>gpE^&t#cI zbHf{EyWXy}_J_f0Y6OmWKAsGv>Q4_JZo@%$QD$w*Ni{XBR^z{}{_U@bZ?&bjXtxyv zE!*zeE0l1@X|x4zDrxSlY6h?+D2L&N3apHPo#q)c+B;jX)dF!%Qif}BaOoGy`Ba7| z+f=1hIa2C3v-o2m;8Bb9#;$}Y`W-sOid$^0X)0VP=&M6%%;u+El-X5SFAY^HTr*>&qmAt-b; z$#LYioO?EFoY4#iVolR>wxr-zM=Bb~6Q%;dpc1KfNG;nc!UmSmp2N>_^}1qUNvyRJ z1RPd}DNqcN!=NLjTW_Ne?S`~<=`OV(b!SC#&7X zaqUemTvA)fdZci|7HjRJL5L)iW}2TFb6%BZsLzndQ0 ztn0JN^PC7y=cO4|Y%pm*7 zcmkmC3Gk8?&ESmHt8cCF-WlPFZ?3;9sj)DG1~=2R@Gd#-PPsRFE`t( zuGx!-U&n<9^^g9reY7R!KH44BUBWLLG^sytw|}wQXf}TyvlK1bj@JM1hGS-9Ub~gL`=WBbkxpxO6vb9KJR%#k=cmLOW7_fkM-vbvept^r* z?Xl*ZOL=pF1du{;22AU-Ae@mNkbCZl^#dw7qaH{-C#G}s0hmt4`#=Q0k8;)>s?H}G zoeDFyf?Q~I(b6>ID*53RZ9kS;W*Z0gaNu|+!k^XsSfur@4zx=H_mJv-pk?e?!&HMVBjtk_20v|&Qo zP4oPjrDT8KM$5jMnr&Zt=sM^4$n3Kl-5ML5C-eGheOFV`mMzue@2c&q?Q_kz?y}p- z#{1eSllfJ4BCENzwR>ittJIHr`-*iN*H&#O(Pz54kvG~VU9H#HecByO56OO!&e{iz z(?36UhpDo**RJH`>t8dc#YiLgC6?OuOB+91jSk04EadFHuOz#>Jg!Hhe{S^e_zJ$- zP1fw3*kIg!_-H5X9gSLTGVd}QV#tSSG_bYZf!(Rw9fHgqdY!&1VP?0j`P=^{qt`B> zJq8r>&9>WR(v{Wj-W5E>%vak^#Vk+%GFKK&yUr#lb7=*4r)}Dk_TskP+q5fH-e2n2 zKn=P-JH5r4(GKdWYieI-mwV^1Y+Hv_{qoQU)nDGP+g|UzdZmn)l2uOG#W@3f@GG_FNAz}ZTZ*LEB_nKQfonpt1J!U^YtlO2F8z+Y6)|x9h zx9d$k@^)u{sWx7*)YNr$n~c5wx(`D(5*-b7n>HwW*Y4Jis|j6mAz$N~qUB1W@h3k_ zgu_bQ*!HMO*0BQBw#jZJHQL_XIkN6fT zXC2`>!7NEOkenogrzopTA zNygmUoNna6ZAaY+W+at58*?CdU8S5G z%BJw5b@`+BOsz!r%G)8*CY^OtV@Yn)?NyAfxMV;|L(rq9ahoy*p!twtpmnDG^*>@O z@Fdzy$Pd^br?m+-Oo*;_89F&S=-yojHbHz!tAnXcTn(m#mzby2F<_k(7WR@i)=*Ec z3Kfl5&Bs-J0QFj5agEdDBgoeNX?4uj1w&4Wj7kDE%=FaT%@pkH49KbQlIc{Yo=qe> z*x=B0v3R0+U~SrDH-Yn9>Q$$a!AC+lZ(Qv;S(RIy4J|_?&^d*&hS+S#cR53Pr}WX{ zYuc?Rx=O5;HjaLQe50zQg5*TTRQ3L~QrM)wo$bofXxY|dRdAsiP_nU3l;pNq>oQ!i zRAW@Mgi9u00L?*6TXd=`_9X_!9GVWOp{JNh87ZpK#q~KXiH{l=i5VzrD&-n(v#TJ7 zv`vcX-KeGeoeg5e;%37|D6JbSIYLv+%10uI(~ZRiL~=@5#3Kh!;t?bdOTEWdg9Rh<%NR z-A(pbom!%Dc$fwsZHH64TThzRVX_;R6b&_}HgX;L#&Rad?^-iVoC(_|DRl|829`Z4 zJ+0X02m}~(Ew_$ray6Oi>ZQ(gpJ11BrL7zWLg;<$!x4k$FB_4>6hZ|{T9=mH(eJ2B z4I@gl5q&tG#%d}*w%o;m-L7p@IuwROseSqndo7#Wxlrjv2d-Z^YHtjY8S})6?k4a8f?Q%ba^SLvYM_*lKBKfY3_IrxfZu;@Ac?uDTS$%%m z+3NK~Gqw%O%IZ6GZOE#*y}{)dU6~FlBB*Jrl++0v+K#8TgI|3pQy4kos;eu%TiNe? zfE8hiW!c6}w??(^%c1McN`Lki{i);}_A`e$(ee*!*hja(sNzN#!r1~X*_Q{L!~Y;7 z-0u-%R{iDFb-V48Y0y-6W$iL<@2K#Gz0J_;(cWzzo(@-0b=SW@9M*TfE!p)ZO?KXk z>>b$NtFdj@Sd!E5iU!Hs)UL3n!T!6Bs`lPAtxRF6aZc?+e{bje-}h4x%sDm*SAcUC zC7iA?V#NU(7rKS#T`mkpkpi5x*1O~gsqw-&h!at>B(&gn5dcmc$rOMi$yl^Rg>P+F3X@O{=K!jT&V&#N!Vf_;ZHS?^u3~7)htwA6 zH9IBP9g(-lR9)%326hIOe19l{?b!g$+N$Cz^j9GH*I@+kfA_lgw8sF9|i zCJE``G&-wEKp@Lw=G0b^G9$!2TS3$xnvJUPC`)g$qK9ENXXi-FE>^;oayw=`X{kv^#rm~KO9Re$4ZM0;2NH6QG$qKkB zRU>sqf4~5HTu3b`X{qHR!kXp(PF<%WcrdU|@L?~PL>^{Sn`xzb4oD6L+A!6CRGloa zWo!1uu4P}@(yVP^=jMu}xKvkeK(Q)$;fIh!m2%U$1;j>B=GyR9EKD$mEnJ|L5fD>N zi58)ia{G;FywR6ahSmdu_}IRAhUY{xjg51hziTL#NhA8<-7a{8u`O35IGaPW9@R;9 zapgFcAo)4^15Ln8LzvMnAd${G*X8{*+Z#$k-4LCB{9hLz?9oKcqI;Tow1Mg?drYlZ zG`RWHC>Gs0&1fe!46bylJdZEf$gwgqB1!RdI8Jc`4i)mo671|!snY}|iA;t-U-5DpSNjM%!U{m9w23Wzh7cy8VyLAdJA(-1XM*%2?$D&pii&{`4oHCxL9 zj!L?q0&^NGmU_swH%_tcIr`8bOSGD!Z{!J_c9xzNA|om%Bo&px;?_)NZ5aL^xkc-m z(;@oL)C3x8AT$J%+Oer-lwzMG8EK6KMzLWzlasUdDk3x*7~0r@YG7d>Notlj4rV5v z<&u#46V%m^$sv3Tw=d&ZFbo=W>*&EV${g>bZF(zdFQT$o8CtP$ctfu-6du5n*XUuwL} z5gH?t{&RqyCH0KTn#5U1lCvCKnJh?kqS)Af z{P)N(udW?#A~XQ+B&lY<6y?$bZ6^d_kfX64i670xdvrkGD&r28D#{}c;zKpjg3H7* zs&XUL#LaK=UL{0zXNOr4rIY3W)=8n5`s$<71 zauOZ~87DeV5?Fi0p=TK`j)n=8=)QLufuq;+jn= zqcTIk7CJZu=r5e33}Z+9Fg6$vkSwcGOP45|7+fU$vC^>;%oVe;LnTIG!gR&zfe19z z7x9R*itl>rgorLl%!uP6!~`%SX#Y`qk2&--%_@>6N{tONy-Yv&L;Xsy=_CxJsTD^& zInv`Sfx#h$v@2e6>Qo)7DiaXVKYa5OGmR>fHDfgj9`+cplAMDsf5QOjDu`wo z;hMDMYaKV$0&*QDwOK{e23u2y80s*?!Bhg|9cgO6{fAV%pp^Aor#3Dq=PMS#<}>vb z=Z#Z6LUsz1=vIOSm{^ZVTyww?6A$7Ou909)Q=(ZjBvO6G-giu+OuU|h!y(2r`}9?% z3=yX=MBSv)IhJH)PzsyJbXn|9rNUJc3vyw>{)(PNbbvb44bHqcV>R`fVuFN&%U_w6 ztS0T95z2~}XckgDYVlFobBQ@f>f(X(C;~z-lwN)4i`1}iv||WArhd9EA?XhXx5*Q; zd;&XzG{f{=i3|tS>m(C}S|(VQLCFh{k3up<$As*LO#sTLmL!tsg;hz4 z;&SxR2X-j_23yXcI>TtUszT){kr8ap`Nf)m{Bue9q= zaRdCZHG6>?Cz_aUcGku>?I~LG5Q6W>E5o)q7__O@0;&n8{Qx1x&7ic}9q!orwL~nW z$0!eN)y9lbcbMui{u&$K0VM3{?4ecM!X-k&jCP1aTP7Z7lJR;qt?x|MeSf%Lt#tUh zYkpMw^ZjP18~-0_ZZ;bH7H_6@H4c^{zu6tdkaW-S9NlU=9j2ipb&58J`Dl;XsLTUg zymN+WRmGszp2m~goc7}pGYJQHrNMZQ-~H1(I;i`UrI+30klWR1(0*iKKSnI9rsqek zJ!+XDZtF>Pz}FF@x;;3w^{HPObiOu7&02eD#Vw_^!y2R6I*bze;pT^5p|5Fo`9*Fw z_=?AH@1VE3KJZ;0px~TytKQrChDNO28EbVV0&SC9Q5$>(_QZ4c6Sld%yVh)Q3pQDM z*xHrD&bRC?-z{ zR(&`P$E&H`)E5pv#$EU|+x!To+VD8e8}GVyn5q}JQ$9(I!*p0pUdDr~_VV!Pebw-I znMJR%akuL)akrbmKI9I)Ya7Qoj~S#)cV6k1z+lA5x5xfucZ0jmP9MGc0p@@q(a^3s z_fj=TI6keuFy>a^@W5WG+ryvio@#t;O2D-LAlZTKe;|#xJx#j^{dm*)x>i0gw74SoY!ITC|PqytOxh*e`Mkyt`bV<22D=R4A66MNZ zk}?mLUU~m?$_4g%-|EU>0GCzu;3aX}#&CGgthw~)*64>|+ zd_%PL;?a?Eoxto{wze2OtCqL6j+EOl?hQ99^_7*Q<9r)i1|zuYr6)G+)att4 z+B(gY>lP_qudKAX7`y#vY^l2RouAnBbg8Po;>ekiO zPkd(TRrUDQXFoHYR@F1B!lII(H=9==>u79`hRq3+*)K5vuw_RbJI29fr zeCho*uJYrXU-=66LF&gJ>8`skBjv^xwk%)${>h%d2E^XQ}ZzH#f;$?;-}xPz*H2EYeq!U-znD)}>Zj@(FJVJ{<-KWS>2LgP zTUvVf()Cvooql*J9m?^~JTqiamX{t`@+o`2hA%7W%f>(&d*v3U{@7`4iWu zwnsOw?4=CLynj;wF~0OM|T;X4y);)g5(J)eZ*C zGIjmv)+VcNT|Kh(;*02HS){XRY2~3O6m84)_;5%tFjaIN-=ttJc?FeO0zyef;L0Y+heKVz{jOuJ2Z4H_i9&`N)9l-FSqQ!KePU4u>xfo_I`- zzdX2h&3vhTWa~>`aUB21EM4y@DnS? zjZf)o#oU{gtM7mO25ZTs<$v}MXl&}0M{9G=u%#DY$fs5H(4~#<@nm*+bvjLHY3Z>? zk8Z-nGZv|lYaUz6OfgG!SKs|L4A9Dm>v+N@c7_kxi-%0K)s?lQi5*oe8U!MaXGvzT zd01wZh-ardyh?=grP1bkBIkkZN7zBduyTIzh2$~7lC%8kjWo9Eay1(8kYIIn^_5M{ znvH$px@;b{lc5~`>@{svmaFk{nRBi;9jyk{vs+HyuKvIWRBo0X$6NLI=ACAAd!T zUt1#p@x%MC+~leFU;Jl?csBe;Q_Ng?xWh%7L|DCS`O@~&D&v<;oJLrsqi@`+05+$T zS1%v#PE%E_tnBLh#=72s8^pSAWIEZbJgVFnw(AfI;5~uy6Qh#NTh((RG?-X-xGN&&w4CxwXEv;=F-EivL zjU%G#(#n;sV`8{jX}8Aee7$*HPP=^N#aEq(Z=1oh$4T?8Vv#w2YjaDd@#Z6vQY{VF zc`g?E+Lb*`G0m!VH&kYmfx}nZ!xx}d+?cs`jBSH<_Y*cH!n@7SB=W6p^CgFO*vawB zpN0Or3Q_z_`65A2wJnoxz;$*E#6i=Jw19Qo4s_=D&A|(=sJd%gBA-h_ z=OWbj=4`~hEarIlsI99TM+957vc|M2g9a=h7|pJZ1%)7MZyc)?o4p(4m)6G&S7PTs zx-rHkAgrSsg5|-r!^G@dRoA8r7qjuJp{3ipL9AlSFm+N!^TXxEd0(!!7^jE(uzRgX@EdSLeS`re*`rxKm>+8)~&4}D6E)^<~^M(uPYMLV?2r+6z z`YNs^w-v4zv#Hl4086d1G7Q3k-YiE}X}FN7mE9S(O0FYcTBDp<^Q_HO2ucbcpzHZk zuH|4`;?bKZGkZ|1IaH=Ap0YCXB(fJa=47#z(s%y*;4(g-(Jlr|Go5lEaiTV{<>#4( zLgcEtz(HfD70sKZ3aE#{!1tFprC&7Rq=M>7ofaDh0I@g3*u|fhAtu#R>m^ev_5f{3 zr^0rlsD#sw?i49P?+1l25KI@axQ7tsKJk)=Ervm*Tv9r$aR!tU!{!>m%9&KjXCODa z3iY_l%7n|iMLSYl4xr5EfA}9&hm$<=$mnD##Y%$$7!%d!ofj`r#*sPt#$dtLm#e<4 zR*8dHjn(o@Okrk|(04Xgm}n$+*rw!M7R-c3KC=Y|Y6Y=SSk0C-M|no~DD~f2$4M51 z7y+adTS}~|!j-&!_~c%}tcRl@D3%jc&d!k1_EKs~4%ybY)tiw8a|-vzMhYq6Mj8v= z^Sljz;TN{He*DLW!=+ilcY$fINwYF93)33H6<*Q#EG9`|mx&1fT z9MSq`ezro|@h5-w?s_%2GW}HhMYaCT-y94|UZi~WH;a^C{o1fd`&w(yUmEY_uUGrD zKK|U@?QP|E?^f6{J#OzV{W!LuTT+xidC`{Bb`&<9wp>B>Gmhpqn!8Y+3_dyoqc-r@^N$L zgKGU+GuZjKq;a?F0Kfc}jaHtg^s;WCAogyy#2uIYu3M@H!#dM|J1jaGnuFo+fFWL~hrFr8 zi@R5&GEwpcPHhw6i3SjZd{RjBOE{wk^n149AJd znwxKmFhV*aPRrCXK0tKN*^NkA7Kt%(R6ymEm7E;^<23s_^y7;DsSc&|K@9rOA-d$%1xp&dnwxo3FxRRcyZF7}p>$ja}Xc-M% z)!InkupO0)2d+w*$GC`Vo@BbOui1gy&QqMDp1rAUIW|Q#o1}=1!-U_kXJzoP9bn~M zW6Qr~dF{4+fy>=ib-RD`tCrL!F3(oA?@#qUIw|UjDRq@=3Xo;zk;(T_lTgm{+4k|O zShT*H&0gNbhKQVQ!io<+hC^2$xj^GiF%LB8UOJbJY)h6vgIl7YT9x~mgfF9LI(*NrzAS`^ zlTrQO^~}v4abY2kv9x{%-Nbo4<;D2q?8nqKE>2FkNdiB$#!OHv(tU-&InWc0aByWF zJNpf`g1n7qyPy4o^eDlt$i^h$mCD-uOmd2^Yg7zS>_ML~ir3%y5;(6H88&bC#T&6(h9|C{?NHhhMZlJW9RHk)E0S zLKX3T;C!#_pWhV`?!+P3#xh*V;Hm^<%LxmCQUS8>Bq$9=uzdt1a(M&To{$`hA@^ia z&mfr{)TPv%5kV4vXs#2nnkr8Q^hvP|=g%52v4L)jr2rx9GCN?z1XgVGo>H};1dsCIx5LEGON0oLH?@gK|(}@dLB|&nTOxPx;athkhLA>DWk3bcD z8PV?ni-45_nIo7O#TDl`leT0b(~+eusOI@7*mkp9LG>nqZc`QDO@l#979(E{$0x|) zXNe|Uf~$9Y;1?6b^+nX#_P?s12H<9eX$|L793eB4?mAXemeM;+5Vu0|E$B`1Q*8?z zOx?#dX8C1%xVb~)9G%Hpx_F7f?KK#9grtbAWje0_GX&4^dml_nW7o;C)1?=JLwf{U z?dpROV)Y&smjW?+Mwe@7Qm99I>V%E4&dSMx?U;|8Heo^~fk}l_gV9TTmBy)@%9^?m z#oT#BM<{evcD5}na16(i9m38R*7&t~4H0YXEtt{?I1lMNnV7Z{?hxjhh0hwwX4Cu> zegTpa^BohJd&D~|1~sPz^g6Gh*}K2=C(UjJ8_EMBxMZ|P(T^e<+eze+q&&lyX9#9l zm(hAwr5a>H=TV2=@iXpv%6>sQ09TUeKbA*fHltP1@xBwmBTiJ1RIV%>EF{i1l+9*5 zm?#yPovRJ)2sqX_U!kDoZzV;x20MS}Oa#)#8^n`Hl^7 zU~mR0xWTEg1F>PLN2M{op(?0-5?3tKqC%&i?CBMv4Qxk@lwQkaq+2e9A+kHv6U@T zkO-*~tuz!i>rIM+_k9Z{D7pd_Xxa|+3<>i6Nm8+of>Of?8Q`Qk&VY%?&k8FnECE_1 zgHD}Rlfpy9M6FxRC(u4Qw8vfmtrCe2xo$Q%QJi^dtZF{MYNhmugAIt#sS;PB*^%bq zcvhi8gf5+RB2l#p0u6Q1e)LFb8B z-Uu_QE=qc6c7^EcEAJ_qAv_8)Vn0URuP0B`YmrQ(sAn=P-GeNE$cKu=A2^9y52`5O zRb?Ab6}dz3CPMOkCmpWjR?BODP; zCBNU@qYZ#fIY)^RaI~n4!V35dNvXKq()x^afw6{0_h;}={$gMyc*XBY7eoO083gW8 ztj>r+dKDpW8Z~q=1rin)jMTYJrJBPt-G{+OA5>W@(>#i#B)m>B|2Dd`py3(7-xLn{ zQq#w|OBgGNy^l)^S1nf`DT=D6+9{+qg;*qL)9CzZ`Xi+_&5d&Vdi)J@vQvl)uVm(v?y^kF}@4cKvJNHq~Ocob>Q7nm=BvfjS zW^^P@!Dm`1hEe8)p^S5)Ao5`$Dh)1=GIu-Zo0ICG<(O39`b!}6HgeEdr@O!PouCC` zWpr?vB`)$*_Ekax687l?E<&n8v{~-5#e1SMbtc6vDb4MY3nZ?a?t=@(30j>>JS+Wt zyHais>s(A6NRLeD%u~W=B@e7z-iito<5E98UZhDwc&`x4W-SKce3H6Q50^^G_}wJ6 za3$Jr?eu+4BJ>@grY@@0rcNY+x@4sP@INOh9!bbluM-sY$^$71Wc_UNo2hX%toEq^ zPK&{oRX|t2@D@!;K9UIZmeY84@;kKn7HUeZ?AYd^qN1#skQd8nu6-ZhL!q$vJEreI zA@Bhmoo!+Cnp&a_b5DSN6#|Z=TLt|dI}8vGE1x(>4tu$T5Y$+ViUVc?7^OsmZ4Mws zdY%;96>aX0Ml`$xTL6X2$EmgdN?ecUO~ht)jL0h zBD;I}prQhr%Fk_w*C!AdW`2qUq>PO?ikveGLtAfKqLRD6PDY|%RG#@Yi6o1i%$3KS zCgOwPW5=Nib-ks2&L_93c-9B-sz1&%upSb*oTuECBr+tA!H*9V^?Cj*D5{?vokRQ>;N08#z%zAH&m|SEf;!r=%c!fIT z?F3Tsvhr~rMKNw?oVnIq*@XOHC_($0+uG#SA1GId|c=q!BJRSeOEp z$Qke>-sX7NvF&I|{|~u&hF8IDcg34&GVb?z-7NE!c0a`*Q0LD4pSp@3CAKvix=Vu` z=sFu}byncyzx3y|-Ch5PoUNxsj;1`|m&`FCIu|#jk;*t7_pbCQ%F|1?SI6z z7umOzThEpx5hX8ZOp;9}sAC2{TqEj_Of&AGGi0wCyCF6UU7gai{L)uKPgBl>;ZFMz z>}ogMZq`Rt`Zlvy@fx?wnzYZY65dWFtWq`R#T%Y2je`@D=gC;~Q^K*a3JiHi>L@H$ z1+Uyp>?8EZI1hLw4Vwixp3tUSt=-@l`QT>7L%SL!zWu=Sx|51s6lJo8<$s3 ztlQ(kL^)46NaA}*o*QZP3?2`>we9gVQ@uKgR+Q7J0d4cO$a(4L)T>HeOOWGL9CD(b zU~dM4qqvPSX!T+USBQm+CqzRhwj3pd$LlZ757+iK@hVP2WO<@C5y4|uYc`CMQt?ds z)G=`i9YsogX~jHjS=n(ac|O~xWPH2*amsYuKR)H@m8EVhdR_ArsB)9<-Rd2el!yOq zYF4k_yg8jN)8`v6C3r@A@t|n6w|8^8pIRF~ZSAdFtE=KdZu{!1uf3*M2!8iZOpmMF zwl^oIr}DvTzjb=5oxo(G7Z}`c;?uf*cI(D4r0C}=@$+Y%mE#A4n-fBZhhTp9!|6y5 z&VCxtS3H^f>K^BH^~_al;q8?1o*s=hL&^&sk8dSFdT% z^TQ9vBfq@#L@~{z@RVq+a#tKb8oBFPp2-bE=S8ukI^9ByXs8$54E0kY+g=xH6 z#YeJ*h{xePaM|5>Rjs$4mCKsuI?sq>W6RrPv{L&xe~^OXc}#l`+5F5AZ?Gk+cnnFe zurZuN4PV7>_0?BZf5@ZgL=cYWnJohTGcPK?e0hSUyty{iD>*p6>u|jIiFksc8y}5v z{4%bD#_B3>@&ZSBiWsRlUXQ@iuW4O}6pG+d+DW9CM0psywJu+QYGsovU#qn)>dRozrS38r{Ti0$zXL&LUr*MD! z1?9{*$L`7}F#xFf7@eo_8y{lz@gT)OKw>CN3`2POY8>~A7#csU*xY>-ZIV_u- zyZtk-YSr)?aL2f8xvFbqVTx+FMLg@0tN!>nB3uzKh1ICdSx)#1uW8Bw)6`Qj)lBfaUE_7Y z1eIA-(0t}qAxzQ?yz)`h@(Sc3o~d|5d}`1udBUn?A2THZ*S8e$3IbWfyQyc67gb_B z@{Zc7+Qjilsk(I$$*=MRp2|;`h?n1Wu5R%b3iZvaN9tK)*BZHq9}ZDH5g!{EumZc` z`07$!s}W zoE_v2)xg1llU5ajN5mWN7r+guPEfq)uDSpCdvQEE!*yM!(|N`qYzDI?oClCfuV;0X zybMos*wd0aiA-{yUMqG}mXyJO{}7-~^3M5D@O4fLswN>@dB7`B~+J|x?{?6 z?Ua-WNl(K__!%IDvb#BR{JQ*J;Zx=Waa@hplxaR{RdjZs0=6sT;KQb>Vz%B@P_!)g z$bokLiUviMQOXx~5kV`wbS5sO#Z<#SWk)5xTMfq(pi}ydzhCe8V2X)5rT_XLQ({1Y zAiG6g3PDjf!)Sv`1$tRPvjG&Vk{3fT#VPANP2!@ID84rgS=!i9ZCxL%l)U?tI%{=e z*HsfAJaOPl-o{_y9dwB8Dl?^Ax!vA`8}G=5Rf&fRy_8Aef?GjB471yyT=A~W zDEMp|{Li2Rgwv=y>L&$qolRPIka4V($QX)`j>Jow-DaAq1Pjzi$Q#)(r2`T1SR!za zTA_p1C8Y)2BagHn#$Sd~CPEPAs0V;VrU%e#XjycZi8q-JS9O8@Ozf9 zbBK2Rt4un3%)a>9^IBb-m6p)bj4tydenjZ0Nk~d@KqBD~V81c~Xw!k0Oo2S%TxkmNP>1PQTIOB5@i7 z%yJE1PeR8T)ZPyt9`&H&5ujhZX2hr=kzWG$Nc$#idfwppsK*aIBP##QcR?#V2$15U z;JT1Rl%19Pp`~1+3?Z+BHt6~J43f+GW&#VUm)L5fA?Gbx%o1ne70v87y0o>5h& z*I$au&bSdH*epRw^AzPW1hXC$Zc05@d5PwHoGkVnvSnIY_l_qE~RXc@;;m zzx1!-+b&o*8;>EczK-{AIXyozI8dHsdeQ?I{#ZCx*{9OpahdKHJ9s~28> zu{)(T&)pVjVaw@n4U3f1uH;|ykaFkiaWJDIM4j_ zS7pN|KY9E1_P$=lxt&AGrz|f$sh5k)4h~BGabCsw>Th=A=Xn+9tEb~HoG8Quj6T7u z(NFv2)hO;r^0RUp)oo2@S(8q?t!75o}C zQR7^bagYzjP9#s;0Y5~*l-|bfjn?Eu-IU+Rn2K-6ok}Cn%Ih!2vYVi?^BhBs=atFg zd?{HH88j(n#x#(sDp9UH$d&Fjs5)jiwlZb1ZJHw_O_@%*DZ2Y#g|+Q1o;ud#$-AW> z)v~y;VOifRkh26arEE7VT3>iyy0%oqM(>ie06QFnc}kJ9<)L^GcY9DWe&WlJ1qgpI z^!=+JTzfw?-(U3mi{E-lx_>_*)aQ`e8yWapBl)X)bc_SPcto;a-fOEh)MN+d;|ggmA# znk$+Jc3oI4bVtcK)O6AIT_$o(5_TYE>eaJfmPAyUbHc2WPxvt{gw%;N-iA0ufg74m z;e$9ayQgdBAv^QL4G2}mkG$IfT2csKhUl3i)zltPI)F}fx5HcsZI_@XCxTK`q7l*T z2Y9-v6PhypJ_q8}!(e8ufJ0I}9)QlU zEjj}&>uKMBOxn~1f0Fw=uve&?wCk=K=QP8WoNGcF+haxyX^4xhXJ@V$<~{_2tO!zt z8W;&`1E6tMCrnKu<%lWO^oqq@U;Q9iQW&S|AXS#KR_D~zN4ya?W2Bx|ycR|e>3_#C zC`3||38d&%zR9r6zxIHX(9h3G=)cjFEB#&quQP@D>W}`1n$Zw3 zrBio>uj@TUpOjw8h<;M$-kPeBT}Li~ju+e0wzgA9TwJyMFr-IqW+)|F;~<6AE!)@; zc9aO{wQAp`8%Y|~t{Z%eZ!4FRR5iT1%-d^jhvu7lLyikeRA*b2O$l*_*1Nv0l7mO2 zAO@Hw{zZU-3m*AN-_H~CvUDSbL1EHi^Z{LZ906zTB<`F|E7i$$z;gtAAd(T_vOZ3Q ztnzc<-QYAHSC8jUJuCiG#6xnzB=b)qYOyjKBbo~@8MDv1v-&f<7bgdb&Mv?r*98iJ$N6c+dg-8ot`h&c21F+v@URvOmX9O=4x5VmhsC1tE>oE?zK% zvrbJjr9nC%36%*s^@u?p!=AVUHe@1_!8SdVSx4=2Y;#yFD(~#iCyeRV8p&?fCknxA z0vP#lR_(T@%|tOq$cO-kcbK=W*cR{`tNY4VyF1Q8nE7$C5rzPf?ADr;A8D7E{+o3T z&}T!k^Iwq&B|V%FE*jYpQsp>Itv`(G@2)#B$Z+i-tBM;HtLyDOUBwRhkAziNNYA*? zp}X*oeuB2iHLoUPJVuB4j_v;*trdUp0|^CdIZ!dtN7rVnC+rrZsn}%V;f*3qI03a% zSCW))?O4`#PYDSc5}-`3`eC~|QRGYWtWm$w15 zBsDFf@f!t(i*O_vg}F(5nLv2?{}2{EQGd1LCE=)e<23Y>$O(ay&!h?k5(m{%l?e;- z8Z)ZYiCNs4B2ewo4!x;tZ?(ScOJVO{8f5+ec5~4}cuGoLN$+q>9dYo< zNX2ZJPh9l@uH~K+OtaYQK!ysOL(ON3SuB&fvZ@N0JX4>ez0Rnp)AB7ykvggO3J%l+ zE25m6OMi({XmZSJ;sH`t&C-svAQ4q=1%f2!@2Je`9Va0uBqep6zA@3VO`W+W3AOt0 zAaW%-Z2_H-2dO)%JtXwIg=n@snweuusB(6hb!H2?@@C&WV83(yQhXMWLKJ1nVLslJXxWM2j z5blnP1GV28RC zo}SVAlhC!fK+w_5J_}}v&KKN5u?Hc4@{f}!SOOBpyE+Dd2c*DK*(%snw8TMUoJqS( zp=VY*LPc4KD0DajFph85@S>E}iKM`OWU)JdBN1|fuHheFw>e}^CaP@_mAiSvrwuJU7W>>(P`0n2U=Ny;1pV}La5Q{PkKPeFUbvPopQvV z3a&ylyJz;xDsZeb?VT1^z`?}OWDAQ)=`cRb_TBwRKTtw z7dU@PrHSnw4WQ?Wg6Q<;h$QK+PGF&e+Q48gpguXrp^zSqmh?{+T?=2&9~J!`7A!QLo}3f zQ&z-jK_fQf#|82hP&f-b+++KF0K8YQQkdSm4aIQY3Y10}_v+fs3&c zAt~vX(9B*5k6?UE(G@dMREvYY1i#Fs?3jd?GpLTrK6elqNvP+(5UX9;7OthBMk31& z`(ou>ysJlbOvGUm7y~mB2erCi3gkv%!bmlkaCl~ujMwIo5C4iGIQyjZ-&JyKODPL2 zolSv}XmTjBlrM9T^9e|#`|1Jxb<~I`Q;UaJT;($;>T1eWX8ySgvSW^2-m}CmK^Ddo zQ>d9D*haXEw%i_rBA8iw*1V7{p zF#$3y)#Tm!>9XU07J%eb(3My(UDoPIbX$AHL&f|fAO&VQa)1t#9ah0E0&sb!E4|Lk z6kkP+JSxZ@S(36@4HTK%E%y_)IONtWP z9=%5JfeKCtL~m(vWW(Fzes!XVqRtK^X=iNr)R2MYw2_gb*0q1*Uk;q)xKP8EBNw&C zZD`Rj2;@kLfKFjXi~Bd={n;)iaUhhOLLG%@9tz`pFYQ$m^@!*}YlQCp@DZr-VPGW{ zdd3@c%gS7dH908*c9mqi7FZSej`MHRrp1|;D+mRLTg0P$9d=XRQ20EJ-xlN@666FdzVA1rrBIK z*L!sDYDo{}wOrk7QX?ME7|}dsBM45Drj@lI2t%27StyRLW;mutyoGLLI}Q&~a4kiD z5QzTRJ17?=)*YG@MG1mq@NkDj2gt~Xlx-zeU?TAW{=>oL^R2gMxs-G`Zpbip=5M8;H+Z zW+~)xEfY)vuj^X5Adfuru}H=0C&$yte?Sq7NRQOOwB2@YYl}y6ESJ#S$djuw3A3+C z6UX^zmfAL23*-WX3dLTe0-A80jh0L9prRisM^Sdo>=K!ZF&`I(i7jqO$ic_({9^&O zmN7)>iE>)uh@B_4TFr;aK?WO*U93XTXUqx2Txg~tKsT7}MTt97$iVglLmZ*_RYdyL zM?#UDt=rC!=kWFBmv}{gL$Y^0{tB(R>6C4^fEms?=S3#ibbUVWoMryvlYM=(RxQll zs>qlZ&7l`Q*a>rNiI9dp?NLrXuI><$*?$DhUB4V_vBmiZk~fP2hMcl^pN51k4;V%e zm?Fh#CiI8D!iyHx1JrDjdhpV7vK%^bQVcD$YzP59d`Yp{<}}Jgt{oVA*f!g+A?N(X z>7v@Jj8}VQY!7Po#Ja7ttQ$vV3aic45oZx^wNLWpH;UlJ(nYuk<%qK)V2u|y17yeR zzKxn~0VzAr&=lv&VH^ytIC&7GkN0kQ7o+8&=NWM93-IbJS$HEB9CuW>@Y=dNL^+um zLVV?Nnv~zS@Q=YbShSRE-^#s2wyxdl!-`$3u?aY^UUZ#My88oqLC@>nG`orv20B+2eO=t+PEr5GT)Y{yg!<#>+7#P7dI6=`%wf0~DH1 zxHcowVGqmNbK3{r)!Xflf$i>CTgxAoFS74z-LuVc42{O>T4x3u1^yPAS)Ga_71eHK z;A>l33BR2;KsaqxkMQ8uR!#oJvh&edVDow25P>-c1Lcrh z=m+z)lc)2B=GsbUV-O*FvebDt$Y*1)HA9i{wLhbC?%}osZ z8f$Cc`l~4a!u9LJxKyk@fccACD7LnAtctg**WZ4dvp|}&rzhKfx5WmX$)x7aoGIB{ zv%tRJt5;{UT5WkbPGV#eDxSUhr{Y|hBKQUWbMMz76HAS!AH*UgE*-z}etl~H#EGT%-<;NV?mB+q zek*IwFWjNedDLpHvsbRryX~HnI+2GHNPYpi%=k>{mF?|eY!y#l9!%L7yZCpv-ag!6 zqwLe$VY0Kc?E2@!z;@1dI7I_RZ*~q3Bk~Epvlb8WyEkv9^w0ja8K;q4zdjM+&gSEh zHoAPJPh})UT1)+q6ZPot#n5rF1A2Bf!GyWeNW7C2U%tezJyX1rODK=Gb5`qaiwH zWeZjK?Q;6E6mj*^bc(_+PDYck18-Q$Ky{_mt}QgId3ZRP6f7b;c?jrs4-otxe3Amr zoSF=5!ZA>MYuTc6?>k4|fB`iAI$7s}KXGi6$wCD^Cr#%hn(-Kcy7%014Kpz`zF7$# zODZ&;%JqKm9sJ^FPF%eTn+*hyD;B!lm<9jz${TM;@Xs*3F(|a2ftst`dFLR=KY4QN zYD)F``uc>_dYzYKkKsBL&g<@4Uw&DB<%+bcb7t-Hhlk7_%Tk=e z4SqgNRrc9mkj57cb}%!<_{FvR%Yj6Ez6D<5y(6z}9<@LFH!{F`C$(EXXwf+6q#FMv!gE%XAaa9gd z`l-K-hPygj)G2^{d8(T|cnR&A)!cpeP1I)S8qGifdJ!bShcF1zHs&BwY73ogE7ifS zOl+TRW{VMT#!zEAvh3P5-FdK`A2w^ytA?6#a(Kusp^k%?(5;(KJ~s(9M-iol9Jq-o zH8@L0OxNoUkwo%h%aH|NjH{uhEGHPou^oG}O6Aku@YuQQ^Pi6q!Jp9(&-x)ZfOqGQ z<(F9)gb8ZL&Uf%R`t5uxgd|>a4KVh@_KAa*S{K?;>9wn9JOafka~6GL?Jo6BUz~n0 zOwAoTcFqnDcT%&7+%4^(M9dUCXF0hw35dIBzxMqJxf$cp_*^n`{-0=%)n0j33qxBu zd6kys1Si&bEGWnvONN8FQx<9^w|bCh@gm6hjOdeeOn_KxXnhP2Vbaici+7#p}-3wB7R!^1iyYSwNRM>t^rLZNRBx+ zD#Qa5ut~4G&94ShShPXaWO6`D7WKAGld8^`2~R9iIQ_)jiR0Z%nB;(UdG(;w0M@&} zs9ZaBht7YZwxw)3zOABJ|#<3GJu48=9vHw>U$bj&A$5sqUwxxI3)x|O6(K3FePU06#WHW8Us#l zLOJTI=hT19E(53A)J2z>;p700tKKCaIc%v0%L8q~=a8D*APvrcQfg!2l2i>UK4^%k z7r~7Gaz#|U&k^DXzJzz|M{eFUdw$(&yZPQHlC%)fIwqZl;mA?B{D>%8kp(1B)YwiO zjh?A$*a8LEME52dAsZ?dF0}0`HX8T*6sfVOv5XoFU7ayrm8&m&?A-Jwe*Kx}!c@ms zUA~O2`qQT`i<=I=$9;*^^lV~mn0=0M6pG>$qBE*`< zn=h*$G@Y;)v`r_wl7}4(dy7>i+w9nzA`EzD2Cy2?AT(KA#Yi=2SH-#uL^3aUG@E#V zdi8NuLO!bq8jrwv!^Vs#zi1aZKgoUNHpY5q|I1&iO^C=<$_fEr#phQstMYGiqF8_x zf+`()QE|mYL@s6>vj7f;Fn;9QE$7IPk7&>htVGP1AH<5Q=NNOiaOnw|J6CgBLy&k?xOdst@*Jmd@+nZJDB1`EH9;mRyz1D5$2G7s&|WXSXEvwM3O(?De} zRa7v4frrEI74MuxBPxH=9Q^kD1R9ya9Gyh7_gXf^si-VHyS=yP;AQfxWg{AR$!F`_ zIu+;pRgGSIy`N2TUVnWfpJ(&Jw`E+!**c%C40~R@A>*RSr>Ie_I-y53d-JWeESFdR zv^p*58?RL+O0T`PArqyIy+5pY;e?)Sl7p#ImJ6o^Ww~_PzB(1hMmiPeuoGm8^UBu; zo!_*zr$S*4!B2*?>ch>AA$|>09JQdcjX^S7x7J{Sx87VD@braMlBK?BuVv#V_5=EkFYArzS6_yvUDfXzl&Z?x7gwwA;y{w{uVeVtG9+|zE~^QJZ5 z?6y~#0LowKu9i=8!#7&!3|<|P8@iFZxJNi|Btl#^#)%K}GT^~eel9zqgD_A|COl>5 zV<=CdoEiw$`QdNy>$qBfqXvAzZ*VFNA3JGXT-I09o2^0KmVx$YoPtHylTnxV^CG*mirMtZ^3_3 zfNV!O(@#i0O8W^(fY67W5N{=idD*wKK#Tpm+)*|EXjrn^4uyN7)#fPaS=3VT2X;dt}VIS_o zBy0akWVO(Pgm6ob?si#$wLWC)D^aXRVC$~V>^lvTZZ3q;cqyo6k; zmf0jDx%d7|hqI^y(-HLbDBe3!LOhHN?)rL6I;Y#j{q8^7cvfcZMgkxv?L>r`fH(sYue zxrv$*=B6;2)?u1V&RKZG?T5!bi5jTQruu|`;X%KLf~KIgoJ+>%rUJ0CMh`FuHXU{b zkLMbJ2Al_mx$&b5)Ovypr@*I%9&Zvz{3;Qv%_ygeM<%5S${-hEb_AmT2GVoED;rBJ z-%QeqC05gxWPFprG(9d+>BU6z#&Kit$Z!JD7t(k_4SC|0o0Fk}Mxqj85y+bz48$DB zarbPFp_oBv%#Ow@6a~?H9QxCA3~q?7nK!sj)$WNR5{!=v>yfDt!DPx6D3(~;ik4lz zL3Y;skg(2PC(wzvl%!3-+;5@3$O~Kz(~*XfCeW~SL33iMyhk8so_hdWl`aa6NM>K8KOFgvJ=1!gS}vN z2@e-@g6@Jdc2z8q>`(y(0b(DQlGHpGLFJk|`p^g~86lF=Ou4Cw9hEsnoqOcuFp-?w z3e*ePY%j6N{0mlmP%l+oXnKqLMGNbMVD=LE67=FoW1vME1=^1^#|65wS*)hQo`J=y zHr9P=923sGgzOb#HAJLykM)Rz6NfUJ&E zBLYGraqq00<#CD*NPg4KgOb?h1vr&^M9#$GFW8O&!C2dnqHZZ~S1&zLHulUXRvPoX<%GN&DclHK6jdD5sn_E> zwt4zcov8@v5&9$3v_q8e1)2Cc8$`rbe$G-h^=Rq|%2FBHuaJxwTtOA0^(lkK@aaQc zX8~1Y-@qnKqCpvsps;$3!oVRo3{A&>^JV|&#r*OYe-)~qS+Uhutlv+L z6%iJLxEtQSL`E_PlqGTOA(6||<_-UUUNfJLHfagf>{Bo==kqlk1&~|@#+=MW^eRZk z1VT>%Nla25OpuTX*uoFO#1WPjM1CIja@%FU;*R9Dw^WhNeH&?^4V#{xz;=eb)? zLP;*JCeval(`6tA!vZnfgkR(*ZG?@C0apy5%_9^n)H)mR1VH9q;}q?44tE*qFN-l-A5icf`lx-EH;agfq`Hmb2E!c zTuKIGu91o`-*Ju2bM|;npk*njw&T^LqbWnXhnim`x3}^0To4Xr0W@#lp{yH>0^+>r%(Z-JWVy0AVrB4 z0lv}WX5EN# z%*iyvG6-k*AxZVGxvOXZQ)5(46Q(K`Ew;j?c?&_O>J^X;RrY!DVx&vXz;8ZQgQNAuf>;4VL#>w@^gg*?7WnWm&zL$H7IXIvuGn9E>wkr4t15q|ijWET__Bx?X( zENHKsq})Nd7$bF!JVT4X%*GC}2YXfFTnIUoB06J!BYr+|x$Q>{GTo-_kpNlReyE$S zst#n`w7BC*dj#0xYasI!fppwcHk+dnPb3E=WX2aVRpIy! zNc?7|R7Z&7GKjI=M-{~Igbe05_<20Cy)2<@A(u>(>N}2`wV%IAG^y+l=@u3@H*m@f zz(nMaN=J{@6{<*f6?edJ8uh593-a^^5cY5y=+q9BSP~W}Z$PYulUx*#go0;uIEX?K zA_ES0m4Fvr5Fs3@@Xjj^7#a$u203y^qtQM9X!!uWPLI6&-;%6yi8?Ti7`RFv5kL;D z=kk>R9@8~I;SNPY2t-2WF$j@Y)XI6}6hy!kL~}YQk_}!WpIE{L{`i09V>!k}bkX+- zLD3Z(z22)V#7vNX&ii-POGGD}X$@T6;- zQKpJlwcR)CK}GFeNmw}~#pT$9yOnq3DU$lf#Uth5U6Nc;%zUEm2c~VYtcT^`nSwMd z)%l58F|e8ymr*7U>W$?JN&ncp}bXb8hpR9=#RQ*_1Z1Tn*CLA@&{iTbzC9H}+C8n|f;s?k=35Ga*00NrwtP|TF_ zM--_7kg5Vos4HqQ;wgaeP3_c#T5dW{2kyf;OEvNx(Fmh=PDBaVd^N6{$-mfiD;k)uICJ0>46~3{>RLf1RXZ zwR(#12NM6tsgs5(c{mb%LXk^$TfsskbPYO@t{T8zCmsV7X?OiX7MvqV?ov-kmNdfT z+Jlr`07gb3m+KU!l9Cc5<64g}pv-InmMkSJlexs&1%Lx96Uv%J*?}ewj8_B?z!%ww z4>;*xBg;NNWg1$cP>z1P zB?-r9UC2o^WXY^4E8GAUB|i9xSVHRK1<0!eQJ;@d5j3fBaM&t21Iq<^M4%51yFAJsDv1(Dx%crUiKc7Vx!^f6Lu55e;k=m0g%gs4_TF|5fn`w$ zF)+M1!E)ONRW!SZKcXfhVhTsK>ew2T^&vn*n3fYZKj=Xu&H<+9TI_SG>TOfNa6{)4 zww{@2oF^2>yA{~3NcN^7D$%NuB3~Fdc^+~}5uColjUz{dnvBiq-^3oL8Z(F>pjaui zPeg)-jq$R%U@Ai~T|iN^`sF~Ld{qa2lv#~3IVD$2&w2C!6)6!9Je=7lH{wv0DmSEa ziX>7(3Fpd`GBSXl{Rc{#M7~|kM;yYb&8@=LIA*5vA2(pR*n&``Pz>-|=|fnSu6j<_taF>?vQ|^keP5)0Jv4`_QhO?C#Q|NjX|I(#{*TA0);t^8JvbY!#^2FY z!sAO+Zj&#LJie@{yfbP}rdKrs>|6O6djpHgEx*_=U0-65sDk5F&fl<5+<88>U`D+f z`a>#=x~`AxJ_l=yz&Bm7*mB+GedMVJbFIKL&~8YW<1{T%jrHaXNyJ8T$@;(Vde&PE z*844A`!c=J8fEJG10TS(kb?P%cV>U|M`%j2H;Vf-H9oQ2yf?6az(Xe$nTR`Op8+*Aj+v?rRA-rtOi>)DXX+;Xt69Fdd@br+D=9WmG`H0+C$@?fD6 z4wz{U9|YUA7Hb?NwKPpdNV4+dU{=p)ZdixrI&G;ML^mmKrn% zE6lCo_h0){+pR5^Ym*7LK<~Yi&BAO+a}RCc^ELL3jm=0;dqO)rhu98WC+3Q!^VhZ^ zcvt(vCnxl=g}bg?p9K8&>NatN$3rf*QQX_pmfB+Bj`t5{uQ0Ow-3JFZ)3CPiAcj22 zHI~jkv3;=X>V-b_jCo7B`j>}fK6z&CFGcmCGoA0w*kO6csca797gh)974cHa6lbB) z`p6d8MdN*+5-&yj%g-p+d)BU85ihmVSBMu_H*ji(nO)e}czecZcZC`a*-Urt;($eG zb7|=}253iXY3Z7(xY)kXC%3zE?aDyZmRGURfZClMo%!Gw-oxhlNX`DnLmO9T$r`60 z9DH?W=uVvPzkM^g#n%15xqb6_n7VO6xoc}*`{5xRpFaJSw-0&B-a%z=)o*nY+S z{3}*_etG%1d_KpWcV6X7>Gk@>i`!T{I5)U>eFKvd-@Rvm5sh2?^!sFr<6Dn?JWjkF zKmNlDlkM8`wUdwkMx4}Y$BsSoB$f|+Z!>PiNyCRQVfJ(N_y7FX)@;_Y2Oqfk)t#M% z`u5h_Z}051TUS4_y}grG>p%E-+_K%WeETo8U;gakU;p)l+?~!F-CKcD+!NT7Q1{05^KWnKY@JVhQU*QmdC%9r%Qm z<1?uK{FNX4)oixV`1v+__1T~KF}7MeJJI;$C)u-aCzdW;D9akEbYqF(ap%Mx7cRg& z_9g8$hq3tgtu*<<=|cTy7IcJ%_gC)PzCN6_?Njf)2`?v3`13a1**SLXxld0Is8P{7 zFS(pJ@rTzqJ;r3W#KDT@sqkuYAJQ!Ias&Mf-P3GKs zUngn^RVD>kihMc(_5OXI-j;qGzxK&M;o}57i%c}cB zNXh&;wkPlG6peBq!MBz^@{#SqZmMIrLKb#Ne&yzLYVORP8(36%-zmTK-PsHiw(?qk zmXNy-Q#HWK%Jk)(=ocPq9=u`6H_l%l9HzvvDnYsKto8fT!&+^jUVb-B7cl7A-$&)$ z1DCJ=U^c5a?n1#-qsF-bK?qb^U8@6=UZ>Tv9se_@4x)U+q$-_gQ|8|&BETmbPHT>59ipIO;-+Pj=%v}EDBN{)*gSCbABaJ5w*BWiHSHk<&c{R}D z;^rq**^~aGj|T(VD$samXb1Y?YtACfJJ&rool4^^OcSGV1OE_>Z*4ZW(K6#*85i6X zhti$ZX;WHj#;daWv+{b@crh%t(Rh*ZBMxPOXrp!gW@ItaE`oSz`SfxCYvELi$>8ne zw`MfHtv=9iQuab|uLLTM53tra@xD*dN1fH^F!-*JhBG{4u%ia3;tX@gIKU^?7Z&cX zME8Jf(I|zw*v`(0rSqN&Cf~aI^6ZdYP8OtOTKoiM>-oVmE-V4R~WC zCW2;V+|#;<$Fk?oE8ebSuQ%Zdf$BTqw4i03uSPtJY+<2uhJJz@JXCI^L{=#QLrdrn zSdh8JrTeE0rcC8}7-1(*-u#MmWVJD65S1!buHWLr`Sytq!w*K-LBKmZRTAIw*p_koBTitLEB-#q8eB&QE4x#G_zK2Y-YKE)p@mvU!yyd+m=tw#V#I_#`rYbNlfHV zq)ZhPU{SM!8x>w^tJ2&)amDm;86Kk5&g5fRihSkexZBc}L;M!Jm}}Q6TQK?!_4A%X z=2TDaQA+h$Mt~|_oH3JbAZFRX)p;b>`4PL?vqSkLIwfNsD zK~XvOLudc?ON1e^z_sK)FL?fdo>&5!nIpN9J6;Be5nOG7ViH8ud{H3@oZ*v8L?k%| zl+hdzSRQb8fh*0^sK_t#<)xfXHrOV7aFCKRlHe81RZ27b`f&itRl%8&oL7xQ6mojO;Hc2Mokvx~#L3mwJc9UnGQBg0 zs<(?j{0KZFD}g9Ke@~!LB=W1O%>8H;otKi6h0h)&}Y zrPQheM44=a26qxMqT(SzA`B)D9xD2a+*yIf9(;ibsE`DLW+p~_|=Hx>RIrJ^cEE*&z&?p_nRZY5gcBogyA3M%)ldF zvdSWqnECcwWlGe}w!{lgF*0RdjD5gC(I0g#%O7Y6N;;bO^a3Oa@NRb`mv=*Rjb^uC z>Nim_$0i{0;%zQY0e>voKtptv%u|yx8__)cRGE!uh7U9HVE*#zt9_kB^U&_AE}NRX z_=3Zpu#rgI2UJa9zx8B4Q2P$vA$yawVqIvaA%T!)}y*d@=wb#%|8LqtM z@(DCA>@CkvqQRy_r{bh+_VVUxQ~q0TuH{p4Uj5U`i01WIoB2GO*I(`D^K4%DqxEGO z(R}vB>TI1C_gTSVMALjpHF@)`4vz|;@|J1_FK<<+;(YU)WnVS=`m3FM&d&>fq*HOI z$!F(&WnA>@%^R7Aw=n1tFK>04GA_atCmZs7{hO6Z&Np9gX1VNrI~x~mzxZP2>DkX# zy!?7Q^YXnn*9t#B6^DC5or=?EnYZ!k>vsQLY)Bnh`7o31ghew`dSAWUG0!YKYBm~e z@I;(eq!W5})3%o4Q$$^>{nVr=hP5`&@esCOYfnIX*stb6n}ItRxgTv!f6 ze!%kq#g_}arz z^_@XM1shAt%gweMw(wgmj!9}?T*q+7x7WH1DW0bDU9jP4!oVa`oDqGNHRXnln$3j< z!-;2%U?F4sd{Gg9e~AZ|STwME6J8uO+TjK~J^o)8@!p|ul<{`|R!!!>AC2FR zOBm|67yB;kAD2b=k=#EX{qlch>Bd&UH=0a#0X*0LCHq@K<5NjC1g59K2S@qFlDz9B z(?RIps{$B(--MdHZsih}59}uYB_=rQWC92UJ~m9PF@cCgzs7 z$?HgleN@!!MO{A=-Qw!%7ye;yFfm~#jNX!?!rf9}yXkP?KO;SjDHP}vGuh`tCEiAV`g9MAdnu#)tTAA3gS5SlC zsqZL3rxR9_qQCoZx$CVaQ8wuM$l`&jD<`*mIYeQlAlb39ENlUqKqq`(BW9?sbW(-6 z3`Y3T_K+ZfN;O1*P&fc1kibtbYXZMINtgINMVKa5!Q6BN_Uuv{NRi$p*;%s1bVP4P z%vvnnfd>dv&7c;q#lRzHz`(TxY0};)lDbH|j$Pnl-oZOwReJF2?t)rT*u3z-U!=e@ z@U3v=$Xhbhu+OKtswyG?mvYj4pHF+L0ou5uTL724US`dvt%CwzWUvC7cD}i5J^_K6 zgwgz^|JjQDgYi)GBY@7sYIk2Y$01sz<|LSEi2!C}1R5>G_TaR_Qup`nP@>+!~+K#>{dxCfH8cc7pgb09MI3pxLYMUYBwY?}e-ZAhHxI<gIpq!Mjr&%v-UdW-z#1KlHS}TUvp4yrgLSHppY&D23PgqmMOpM3qqw? zx!j5R!H|L=@GH8_-kw>%Yw!DgnZML|MM(;C3cSW9YOxtbY{dIN5;UceMpm|P!T$uV zB03*MtsNp6rQH)&Wu!(hZHye4QbN?IVnq-PE_B5~nh+aGa*#+gOZo|j(?yF<3PEMX zc~e#d*(D{TRlNPC>uK#wkc;vhw{|-W3XPTJ4IulOZTABFk*!uXxhI+zsT%Q>$(}3< znojStDUB@Z()~=#L#1c}gp87@UFDiLL@qUQEI@I6o**m+MScNN?7m^ve( zmA05o`N;*fm2hw=Q&E21=@<6oFIYP=!Tl}UB$Zu4dOsh_F%%v_r+u-=S2SWNi={^^ zo=y!dRGWBd(Gjggvx#t``6wy-)3#2ahX`Cy`QzkmtN?WYhq3V-uc#Gf zBuH^R=?)W2p;Q$V<9T>uZ+TKHsscD^mEFy3!zf3H50~uGdm5dhf8H*STsv@X9&f0z zO=8JsjIYhTX2X4j7p%>q)>Gz){2p#TAxgebJ9O%(v;;5S7d^t zPJ$Z@pBm!R*7t0kjoL~958l@-1tnOMnzysIiU?3hssegz-TdQkm^dG=t5Ue~w5Y3F z+Nkh+L-Nt)N?bvAnmmYyzsC?nIiWwstUSM>p6N#rC`MuQMBz2WsIN5qu+9p)AV?)V z-Ljy_U=4e6^?c!D-ekpt$AI7`g=lYr@%ykUqeAS1;4LzmjF|0<*ggrbXJg;em}(Zu z8q|VH9%le7nj=XoB6kMm+C5h6(=f4??6`XoUYJb-#rr*je+#b^G;R#WcO`j--(Ft_ zD1#h$L)c*xTJ^wc<#LOi@r**05WsK-`>cCVgRB@Va?no_!v5?W-g(<^Y{GLF!~k#d zj`4ldq+aRME++OcoWLmP7`;e*cdX;g#CEv5utq{au zqhfPWUZJI1bP`swX+~ zHHW|m345Uok=O%?O-b5j{7AE;b1c$4t4KWbUy}kADmQoJsaz46HA;Jo7z0h-nxKBe ze}uhpF1gtybp)XpX%C*URk@+2dd*1@%i#nrEmc`lD2*ip0P-CbpF%Z=(DzBe@M}a) zb$FH{DY9EBDFIicJlJTY73Wh^C8=UFQe#MqTR`wGfRb*x-FftLYHMVz;Y~8BT$C4d zk#nTvDyoo-llwW=$VYJ(7|5bxmFwq}DqNG7OT&)uhzkg!@qPQXyGcy^=5<%wjuBf> zm%?!Yq{Y3 zFEB=%SuoEpJINY%dFeo-{2YXrp|?6Z0MGIp%}LFPvLjE(w`@1(Xu2%9TTje$W~FFk z&Yu17@69=m0>BV@j@FTpDn_*#ah#Zl{N$X43BkaV2M&S=V1x|UC07YQ)0YP&{C}J%EA!!Qj~)uq*7>>z`s)Kx+}_#jND^a;i5r>bAeU-wrgTExaC-( zh8#gumK4&^HBdmTeeOyQWm*x=#7U)F8LmvY+tp0*F>J;Nml0opkuG!OOd|r10_F}9 zgYY)g-tiW(TSW7{T&%QqNQSDm3t~mt5ChPZnNL}s3D_P#B|4u|yrN<>Ut@v_O9L0@ z(gG88F|D2;$4~kx#{x7D<>XhdXo@6mPL2=_!E?xbgEDW0j8K)7heWVb&H!^}iQin} zgvc!j|4^wmk`t7B>G$BaV&ay(OJ8-Vc|l^L;xn3eW95pOdrxu7s{HjpEx9vQPNYl2 z<`f}k7m4$DXI>-HZY9H;R-w2EEaYHSvIc@ICGKzt6$f0ntIyXRu94pdMF+=>>-X_A zHCBcgOba-Y$*aL`KIL$fpW=NbYOQ825CAEl<_hXZ8B$)cky&BYC2)2UM|M>sh4DO^ zZePeP%!F}S*!wL_Q=uNRcZlKDUn0* zETA!g4p3Y!FjnrOgS>nWCOUj&2nh+I&Mv)3pk&2E)h>PU5Ud|Dt0CG|B zc{0&Q_?6tx=D|q!Fk=8bSCHC;9ORM%>LN$sBYrCoP?gIs;K_v?)5_&t?p`sZ(YXgk zs79d#Ms5qU1RHXhyBxaxQutWSfz{Ii6Y`uVSK(#vw#>J<6AYzpGFlM|;vo{4JC}|^ zbHCZR9rDNj^j*oA!HdXfE+}KYp*ZT3i>E5`xetr;Z>fYl9>4%A&YY1;xV?^Kp8$hB z(j%ej`napKU@K?K;2*hwE{YYcNFeB!0>0-em-<0Z+>({V6986Uh=Bl(Ju9v^(vA-c zF6Z{^BQVxOvrlr5ACz>_P>G+Bcvh^4N`_?@s4}K-#gkkN zT#n|-u&j_?tTN{zzA#KQ3?3s8&#nU7!=L(+YW6dJ195%1+( z1cVWlZun4|;LF8xX5+abYX%G@m>3~~PuLR_L2~N>3o)Og)djc!LLJV-i&+wixS>c9 zP_ksOZizn%RP?DtB{G$w9h)4fVH$&Zlz@ICF69aQ>Y)|MsEU)q+7uYiYKT@cbr9A) zyz6E8>geK1RN4+egJpG%%EWA(8;;P7-yDn3fxs}P$2GaBD$4&8qw!uMZ3vVSs=Ca> z>_vhfap}C0aK2hq01|r4U{p{DRQW?U4b0KlLV)tF#e$9 zqr{~JAQHGr0Lk&4u6b!-=F(sWWy5uI6)K^KkYHS7K9R%3bg)V+3G+MvJXIR+#O!ijc;Y$r=D?Nlbp~yS1 z+93yU^E<$HQrz9(&Eq0zeOBaZy}*!KBoBM!Y^FV)rRBkll>d8&u2pE1g$An*T8aT+ z`~hUge+`0izQ)4Q@w{>&>d}U+0Uv0M5;QUWZJ2K}3CE}NqkK1^4wy^3CuxT#>+v44 z7Ap~rZ`PNCi*$u?Tr(Hg&+X1aP3x(Nog9Max~%D&5$0=v1H}xx3=MswSuCXGGAws( zy_}|X+UBXyd8NOjZZbGn-$iYDJfki9yKkcy%U`Dw;MMCCA2Fs` zK+%ae9;I>JuwFD2v-(n_h-9XvjkvEZw#miWAZ#NiUc1NTorkpr-nS8F$Mj&f1D+p* z{lpDTqc>pg(8s57yIGm+MJ*f_Wn!T2xoC=bsOI;wwu=`WV%yFRKUZXYnA_V9gR%ZSmAUWk{c_St0c%g zQI^_S+q(OSm=aq%&VB?RIVIK5-Fc?Wn+(}JeUNyVb+*&_yamu(e9Axl^nZs$kKe_< z(O7r)ar#!A6ve6cL}XR3FCN?69L7eYSU_aaxnKOn7SejjpkF-tAXx>rVwy0WAZgcUuFd@F&$%2R9Qari(1Rk=+PbIQT-ITC5 z@|Vt8K=8JWXxvVHZc@OGwJfsQ!pr+q1pNJ%B5UIdy=1eQZ(Lpz=Zyy94oZK2TVt$W z>h)w+S3lka&Y0Qm3<9cj_H2h8^NfCHVFkf^zj+PcoqeBr0xuRWapr?N*81o#)5yrB z#i=()z{MS@D<`z|sv#%5=j_FK{h)8U6lQ^7Z5x91X zFdDzMAs|`?n;emK>bZ<^y6CAKx*8V*fAN%J4gWw0QB)s<3Wd}k>`o$q`h!@AsBo@P zMgY&n$WZT=2jwJ6<14}6yM7I9Rsa`tPKWp1O9ux#J2ijq(qNm+&ElD((>1j)uTUV_*K)r2HQH z3WU16%orc*^|g}`pN~&!a%I%Eh9tym@I1yho0}Je%^cda2@KeC#5|~ zl8;bhybZ*mQa}ZE<9GsoYpH-i)@oGIh#x!lQE9fV_!84)Rfmh%Wrqp$*mRbHAcG(i zN9V$7B;Irr1fLS=7sSizsjqxZT32^p-LNU-?BFGu0XwSz_JVC(X6qx23@{rb(KarX z_-z|ttwR670#SHpPF8~Nw*nC#I$KI*3}=j&)@f*w;5F2cFjicG*H9zDhd}&TyAvigBn^AS zA&1L???>u^9?b+{0hhAZ)0LrSTfPK8;YuZ6lHgr^){X}NH3xU z&rQS%B19^a(gN&Jz6h__WkzWVC^S%^RjUI8U$>tiRQ9)EuO0rT}~-d1m}fO&Ac7 z?IBJZC$n>sb<)rj5Efx%h0j)w98;k{9m!enre(p-jsuYfz@r_M%O9m{o*@p+>}z9( z9B5|0`wc>cqH>xpiO%=dpz;D1HJ8~WnEAx-1}^5$ui8`r?p#%zup<|Scia(CY9AG+ zBN^kE5^}u4B7ytBgqJgj1nPkEEH~x2W&n*n6&`!oCwOY~UQvwn2Tf$Tb13q#cM{=MNZu{D&R$( ze+CgbbjMPvvSz?h;l;FyinR|O$ufiHhAT)XySeXp2^Hrc6-T9mq8x)85`LkG+&oZN zh_}TAB@UaJ$}Mcbg6b(q%4B2Ap1?S$WS>jS@DP*yewarmiMSHwd7eASH^xscnBY`8 zaT^uDN0mL|h5b4K@Z52W!U`m1Vgh+r%v0V?12aoMO#ViWv< ze_@@kKc7#z&NY?u_$&$i4entU)+i=Y`A*20b^#!<&aq%z?6DPnOFoe>OM8 z`8t*|Q29DkUJx(e&bgm`@kNY`KKtzUi~H*`F2XFRGAinnU+jt}>wGbr;xw}<&ey-* zfAJ6VdkDrmT49K))LC`Z@KK8RFvA_%{wPh%Jyhho5ZqmFEn|s?)%Y?Q8170nIukvD zXHa^06{8;F!^*1Bu>z|f0A5kSphsput6%IgWV2M8ikk2AG0AEA-TvSI zFmgH?BNY7q<>>G~(cS8tN=-iz`F?chLi^+V-B$^{03UO;RvQ#*p zeF7XdY(28$9;iztt=k+yr;*MD?<0AaV`1A79pgVxMDWIDE^P`Vks&#@Eu!u|q8C`W z=!;rF;RKe;5gjkH-s7F84}Q1D#v&3yKoM>6GY|=s9D{(C-yj0!)D<7u_uusU2{G#1 zZA{KL%5}afUcEKqy&msBmaYA(5Ew9X(7RNUOh($gF@f&{ZE}OAMNesa8~HQdNQk~b zMUzsK7EjYGn_Q6aD@RxD&x3g#SuMW~0O^Ly)2FIE*EVJ>;Y0%?!+%O5)bsYH-9ov& z?a3=5BMuSDC61{FvpRhi7xNM*!5?!+^rSEmGwm zypB!OE}b ztSfVYtxS(wTS-hWpVvc^n*$=$c`h`4qaDeTD907agFes;TK%^}wcfWR!NX#r(eRX? z|D)YQ${ck8*3a((Q}xgQ{`dbPs2*e662F8Wv2`%X0G)>;`S|mD8KhMxB;XGN8^K+W zT*t8pLl!#71UaaGj2NK378Ox2bXeaRl`BFeZnvuV{5QdOMIu+=A2_p&e7MVUR*`04 zN#j#s^Bd~xgZhUx_nk5eRg%+sE^rNKDM}%UTZ*CvG)hPSgaC}@Lc?jJakR``RtjWS z?ev4(9!LqH^Y$5shPTa2v%oINZ8j6U3ztCwiz#~~QcBTI^ZO;^`GDmly<;MYe=7Gg ze3-&3L#96Zptl&kJ!&J6kidx4SP4LL?+`?=)lb9AxuF(K1w^9j18FMx+ z(q>kA7%No$fneZ=R#F2;0O7*QJ(^mpGRQ#lsWjrkwOvK>FBNvL@(8Njy{h-ks>&$? z)0wpsfdLraUKFBohbmV)1@)|8sUoe6svELF>780oJ@{y=Zz~d)hQ*2sn7MGZb3_h+ z^G^k!L?E}PxliUY$%7N?YO~+_H+WrzG5-ki<>+=R+`Wr+ILZ~*BLSEgDCgKBrhuDd zsrU`xvEzB&V%2`i=A@K>K}U4mIg2FB+cDrgYL;)%! zrG+xYBMG->fw((DWh@B-TFU<*NZ0sB2<#vbSPqcNZB?Eis%$2L7dWD(;v!iDs*Y7p zk<||)ykyEjQGy3F8jsNnboMETDl}kbxo}ilkz9owOz02e8&$mYRhoD=0A(`@8ty1% zWFN56N_~NHN4DrUeI$iJV-)p71YS3RZ!rogNA76cht$|KK9{?MV=miJQa*xqBUs=m z8FV~l!kZ6WA<^O51SVFPWK!TsY;+n=x<_IY;4VmjdP9e!&Dc8l5|1aG;_OCCM4imucIw}?tjZW zOdm0eXGS8=xqPI{4f!E_RsI}3uVIn&)+U%Lce31z`qZfOv+tQYqfJmqU}))xKP7fi zF_q^TTMCFYB;1u=%Y_&z#!pD>L5!A`cxaJeA%V`n(#47|f0d@gh=2n^V1-x0!HPt= zyEN9m7dG`E|2bx#7JA8465CY+e-TPCwVEqU4q1|Xii8l7#%T?BR`mAS2^?)VTDgf~ zj$p9BBsmcF-4!|!483ypyyVtC?(c^4{TNj!5TX~6eLn~cQMdF>{A%D80Te> z04B{(>6w=`0DZG-#fSfqHKruO;G(MOP_rBrBx_dII&LpulRt8cHN*jb{L#UY zV)j8h!ek0i4zFC<4M>@Xs}NK(ja+h2KB#3b@*HHFbOWB&hae; zQcN>)lrSkZ$rpakVA6069ah8)tRxRNfs(ZrBFjM_eZQKQqgpRh&*)02%7P^=f0@$f z!ylS*ltzx8SOzoVLDE>k{Mx^@NFl1Bk6iMIBQ%IOuKJ-uy2|w(?=h5S(Zv9O5deaU zD-+m~MpSpnPyPf%uBaFx_E2XDvkZ+D4;2^W9)WknfhE8`$92JMH?QYVCnO<)`9eV9 z#Gi`gYCaGoBkb;ptBNnY726jABF|dFGPTH6=2|3+R+3nmfr)<$#8joqwda;<~D|P;R+K%)!u1bC3%;z#ucp z{a0iIgORdRQ_)k+bN>`0a~02-@+G|!BvJSkzY1k{B(Kh#U33tPK6o|SJ{}>>`)L54 z)}f&UB66)cNll|xBrbDTRz+F++ph@{k1i2TB1J?fL>eqQMnH(bN~sWcnbsbPSxjSn zmsuj}XN@hvf^M*?al?rsApWzqL!$~apQAg(ZY3*NIVNyYlm$2U6m+y~kE^957H&(~ z4J3;g2^CTNcU-I7Q$!;O%ARPuUAQF1gTi7r4}wJGLQsiZwT_y*osa^-%&VobRw^Jy z$}0LUSjcT!2b6EJmB!DU(K_5#3%lafN3$_MVyk#^Mff}4HcLCK9jmW7fIR{}%&G6X zILa5TJSma0S8>z`IUFoh0@tX-x=IZV1_D!z7NOL21@gZJgChO}K}E6%M?8uIMN(`9 zaycQSPDpczQiN$!1@cl|gLBIG(ppB8A~%+j<%C>0CF7(5^I%nqfT8*cIj1S^Di~J< zhB5nj>Qp?(Vs%y56?UJ}0`siM=ogMk4^VUVPyS(sS>$h%43Vfr5=rJ0dY-86YF%a- zVJX#oR6tm?SA0~V3>Q!?BfFsBT!0|V)m$J{KL2t)0hX0zl0{8Gn4(BrH4r^^kQ%y` z1(EOx~k7TVlsYXbk zz=hu&=CCe{6s&5ZOgw zK{`ty7oh_6gDI}r-3ox42?P;{Rbn;1R5|95TnslaidMbv9a!AHqAqbi*p%Yl*B<0r1Mx8CmAU5XZLAg90h%=BATPd)Y2Q%^nh<9*+% zdhNe?UYYY+oRl&|rsufWc5Rh02QYT!A$%sA4$YVfIVpHT@!~89!UZ+{kVDxl@b^JUp^L;oJDcles#?4FQ{<&s&4%%#wL2M}eLx;S-8SZ7nGOf>geBoQ9f#d0{Rf*r6^ z2*DB&E3=?nMTV&+EfGLM>6L~4!6_`*E`7)dD%w zyfr-hcWSq;wpFX6xKAsbB5IZP>k=Y^R6p`zxx10OG?}vIH3DSJCyvotWaq(W3d&J~ zT>YRUl}czK=$fY^MOcw9+k;5B*UB8hxqdA+y4)w2WMVQ$-30Z6aS&@W5zM&!vXGD} zg1=xqSCD!W?SrD_LW0aUOr^%)F@7{H*& z_}M5?BMiI4*U$|_E-1{yGzv#g;W?<}AIew%D5RpLGOPux&pG4LWvuY1OEbevt(Oi} z6ft$Pk*mY(+KdZG&itB{qRu*#3grS9KzZaFQIKML)Dkr1$v`(LH)OGBbx^>dXAH}kapojiX{%O%+D^UXMR*q_STUdH zuiI7hI9hHyojnPh79VnL#ULSku}<+S@Eg1(8gzATWe8FZ%a18wUGj4*?_I9CmXP>0 z%GdAKw+CPI-G@10hdUAI8~ynZ7@PR)ML<(*6Q*Iv?vsk!A;oU_Sb}qaPgV5tY%Zux zpcoAqYe>O^FysoM2-}5QN%o=nF4xFmZ^Mxd#)A}#;8xe|QHZ2y=Cvd)kHyZ$CJ9~G zSZlk+>SDkm+Pwi3#$^<3WERbM#UDo6p|twXCe*g`pX=~$bdfuQ%04z)vd6Af&3-K1 z$|gFA99PKkH7p3Y{Ai1Bb1(Ix`4`SH%3Y(+e!ABjxjqjBZSfr2RaUoX3cT#_^gu|@ z1H^MWmRBB$^mVA(t%Q~}jE*N518#VPhntOp zwX7FYc%9iRS`8Nq7s`vtuP)k3Qhz~5fYL9pQm@`-E&}E7%ked^)(89!JcXc&W2hIC$5&GQ$>&6UxEA9b0}!T?D?f)6wgsttKZr( zf8*r)*o47r$O{j3SRe2GC)U=6k?#vUFt0Gr(3i9!;rQ|Q|KgBJonLtT#VwYfa(?`^ zQQ!dbg^zEIjHRBZFKO{AD=YPA-_LPAKWO&M1dzCd@wis&Vjm-Kw9Z`%MkB@qgl9qN zh}7&j5WQ#1hfzf=vJX(RMq}=$)+~uEggs*!h1e$7I4*8Gj$PeK#bR$Z0~lml;Err8 z1ldh2TK(9X)?O8@#~{XZ$|`;K!Yf23WzD`y!y{lX_kl{V2l`k^ZweHG_R5B585{rK@K zpRR^F-S(INoiJ>;PWyMi5Vm|;c;K@ir{3(;gI~NniiKVD!y!it^C1plQ7Agm_Lr?k zLQh;kRc3TK=Nvu=8=N_1MR>n7h?_ zytVhHxV$(=wN1Foy?B7)7Z%PvL2CNMUtb7)OA8B~&UnmcXHNh6tL){lm8H$kP7deh z=014)HXC~8KJxgerxIO0MaejO{$ppfR{xlL@kMd@iRK#|1?YDkcwoR6CFn7W%WOJg z`7L^hBh+#Z&By0{hZUVAdwL>IyQ;;AYySKbS|2|*cf4GCDU#$gtH_O=55N4&+BdP_ zH-B!cuZ4xze=frK_`=8e9FZ0`Zw;l&Y6%{2J$G&dmKx6sNI5YqFLLHvF05W<1B<%` z6=qG_%N4-!yC}YK3dL&|hHJ>;&2?SBPers&`n9!W*zoE8*R{pw+zJXurqeWt8%`An z7d|e5;sf*Xd1{TYU)q0-RIQ7TN%3s;c>K*hacMmfdMVL61vQY?!fEXhk;R`U6%|pI zV`OMGU)>!Cn0S3os?qr1T%1hM%SRsX^+?rX7gtXkHO^d=K8_uG@wwrMZ|od9&wUpQ z&KGn{rG~0YOhnj<<0GSO3(W_M@%%qUMT>0jl*J!AHpG?UM}0cBxf89emz1nllaq4>l?#BCSPe1Z01Cmz`Rti(O_;H71R*VY1TbZ*YK6lxXhH$ruMdoXXonQN>v z8e;Kol{P1ee4zjTE@NLW$~&!j;#z*yz-*gJGJ}j zI57|Mn^*YuRIBm9eX6hFKk`7NtKm~g%d+?f<}S{MEm^!i+r;6Q3_j}Sj?WF?CdHF? z^wdNgqRrNdM>6}uX=`k!B`ls&l3m1QYnB!`%{9(_xQw!R$|fDm|Dw7uUannvX%unU zs3YnJPHgho9ocvg9ZRLTPzb-G5Z?lk?IGt3hN(3Be?C=sl{I; zC=B}Ny70s~#iRHmizgLZJ!SFYqWuG{xeqo}TP!JmOy$iMPv&!T^B1=Q6P#m=ZkTV= zt-=dE&);kDF!53@mcJ4Z_#giz7@c_^y>fJPHCIqQ*_?T4&FHNk{?KNwiIxnW(eR1C#fy!3}SMkB6Ws0kOv#ns#bTIVDm{Rz?F zy=QCUZS>OWK_KsPmHm2HpL>Fi%Ti4zHP?N-wtGoqy6Hk! zMXA9Px3|Iw0CoRT&5b@DS^2adAX#Rhj*0ERr|Fllcx_QilcH$4+xv#w?W(J(fibo0 z^@nJWHQOU{;jmY}n?__&{?aeiC1!`!NYq!ZD35x-)eZ8q&O;G!?57xBkqXaL`rs7% zlB`c3&qO@;&ZWgqDYRgtYg;61+X(PRF|==t$2)y=Owf}%!(pvxjH<7SpdAGjj(#;4 zQvIt!Gu5iIkm9AXjLsM5YQ?)&x36Xl^(9R>1i4rC%XHI;P)$dxu4N!>0d(E|ioWKP zlsY^%9w$6A#Zw;#QW42=e4U2)e!|pFFYl#fwc;lvV-4=@38pj63qF9;7B+Rg$Y8@- z#yaozr6XBF5a}k0pTYnAQ!r-EZ0h5=4?w%zQTgjVS$vD_l*G7;^jXN^SI<>LDSkP> z|6&*YGVO!(kOoY8Eg4~7{!ruifJ~NwkcL-7JPZJW6NT*zIXV06wR{)g(ILvM(I}kFJd`VMY z!ja6~B-q64kw>)c5?Rm|V_iEC5X7i!d%mr8ofMCrIlJg(Bwx9f3tfoU@7xv!i2PtN zlFMzddT-g_2***ya)Fqy_qZt|VwmDy)#8gkLd^Lysy4Xy>zLf-(;X0*YRvRn z+!vY#T%F2sE1J3Bk`Rl|@#Lnjet&Pgh}TLgmKkIfVxYFX-5q{jh@ zR_#W)E=(r4meu5HE}V^C1|er4bWVoF&v2uFuRf3%AtHgF|0`SoiA4&HP$na;p?2Bg zEm}QW5zL~wB~W3#Ctb*R#R9d(gB4^J0S~iQae+3YFzk&$s1QY}=^`>HlDUBHI|AMr zXvJS3;JW4BNXVdz(iS+9!a~YvEd|A7z~YOLM8n{iNx5wz%=*aQNEq&Vxeys}V3$jj zy*X%maK)$I1t%!2fLWxVJKc&CGIy#E3Yr0@3)na}sv55zAwtf!plRn2EdKl-kzGSP z0aq7JUP0l49PtW~>c*Sxi}_K;t6?VjiX1$;XrF+Dtr0Vq&kNPr*Lf*2=rZFnqdRhQ zG$Lilt9$XWmjPLT8t)iFvM_K7N%-jyQ8x#5b3xdtF>88}i7gfPQN z!u$0?@?4Udi>?BYQ)ux_Hz{1}`$#=gE=V4u{rrDzdCSSwtJ-?PR-CK#=9%>;J50sb z*1q)?Z?5xKaq2B6J3IAPalV=^)Z1V3H)Ou|z0Pv}hRpYtS#YYaztmsF`R2_K#DxYL zw4rBb(#_x2*(J5Mzw9O_E-%zy#W_5*N*h?d`(4@xTyB21=5q6!AeDKnvy(rrH}c&2*3mb1vMeuuTU@rbc3x%^ z4erbNt2k_;$y{b7`rh~11@a^%`jbxYlb@76`sF9HUV8c4Iy>L)Qj)C~UfH?&tlXWw z^?EDLUgLAxf>YT_-im{px8l$r=&LwyS?`xQFYueWBj~KJQ*TV>pOnkDe+L_ovE?Ls zdVu-GXX#Y9bBR~wE|gnWMb&P9?wXotXL$p+!DRdzsnqa2TpErkd2I*5=n3s-MJxGtF0$5Jf~sd9Yei8yPQ_w z!Ums46ji4;i9OWTFDE=7gd30D0^io@_Sve-bAuO#64kdC`7#gllhTdaa9QR}1GhAE zVL_7Pk~Q4ye}4}5odAa)hPr$CVf+#19jUgDBJTH0`@MUR?jC>k{t)7w1ZXB5;eLc* zFv_ti>=E1z#EiQeJ|g*H&pQhD!vxsEeelCl-Or-^i&mt<270oLN}}GHELn&r)I%}s zjhziwVkGwA3R$446i;)LyPE&$Ns7fT{dBC9TEwdRtwmOFF zl(}gul1LkrD3=ytmh9;5W@afchsfaeReA`dN%U9##+XE;h&|1n>daaBo#(mC*2xy& z$vH~lmq)5P^-7K@H{2^F0@%vaRn3;|Jf;t`(~`&m{~%}W!)HjJ)4(vZh~x~c>Y^fg zR(J3sjZ{<~Q1MJ6do<{iGRxAkUEylENL3HTZ&b&a9r22SC@6PxJ~u*6*50wyOO(28 zNs^|-Mxl}E^scnZ6kukW)GaXEgCM)=B5_{M6H(ee-c;usi1RE!s5`<3WNvhXDic4y zSxNCcBbE-mXaU|T?8gq#;AG`yfB$7&(Jh4&MCBjM#T+7K))6kVKVmk7K31lPNENwB zcLfzvQ`2wPT{eifyLni#WX*r|Ny5wwAO$FpPwe%h{B`lwlfDx~VAB+^$q;LY)B)89qJFi2rToZO^Om1)Kh*>Z z@CZw}#9BYGE)C68sX-=D@Jr#J$R4s>=0W@rOw}=si$4@UMbsP=m?n@nOc`g+b*CV7 zrgicGGq(=qYrMxl;~><#$~UF`VU6C)>8&pj+?EytJ?Y}JHkG06z;zhUzh8*h>rT_*YLUH$6yn^>b zrkJMKyFZHLVXB)*j8q2f$qD>w=E{~nEfUrbxn?3~{nQp;6)8u?R1-n>5^p6?NUamX zKK~*OKdP_9QhU}Krx%z~PHeHF%@C;5Icr4`XqJ*eZuaLciwT3UY6nv*mIg#D)Dh_PDu8pJVVva6 z$%1_89@;$B5t1i_kV+&;!cS%M%XHyBziY3#YbeS@^I{z5&wC$p#v~|NE@08@uZNsh z&JXHLF8AGlWIqD*EGDxN8ETwTPmwucoy$>PQ)W3FYsKHopLxMw5boiey;8${ioQRb zY74k8lOq=CKJ+Z`PHw^@=20q(!pwqD=LAQovS*O5e@%qpOP*~zReYSEWtI8GX0!+;~my7pc6R6(> zri1id<~2_4|2B@6u2E~EhNl{Y|a zh?l}zKZ#?1S9cjxY1C|Lh{S~)pNVH^+;ETot`|~7$_Yw~NBHc^{lhepn3`+$Fn7;w zgX<9p^-hQxQTO0=X^to;%~X@MGYuTYPd#^qyC+7HgdCXrs;0=|ldk?h zqP(j($c{R{Sv0tNjT3a__m{sji+|7kv1;HGKl5YF?!C-r8hB6fGfn)M-S-s#V^;Vq zyZ017)5MS2eNXW}W`)nPdr$E*P5hYM_Z0tQR`@Kt_Y^phn#{vJ&2oxRB>bRTE2 zw0M82cM?nsTr;w5ul%j71y||-B1~i7#hH&F;X!?8ko~{`46CqmUekzazW2Y{O?9Ga zJxo*bRmzc83m~`FG2mntTGWZZws^Qr86F9@G2@lpd#0_3Q`GCGgQc9u3MAo(LuM4G z>{R+(V&xf*RJSfP5c5?oC4@GK3-9xBUHy8mwn4(;5+TVinQ`}KHbj&lQlC6bOM;j5EH`Ra2ZvyY3Ut&=99_H{{0IOCU8Tz1T{SYh=@@uCu4PL8i+ zEub6l{;dSZMmkdO*lrR<7`0h7if5ge$S+G??5Kgg6|0-VWXKt^Ha}Ljmof%}E#yf- z3n}2v0z^N3@fULGikK+CCS6(5d2zDrSf1vJhX3FnsSIFY7z0ZYEIzMAh@#`{Si7c$ z9+3>7T7~d+q|;ke%Ays=U}s8p+l7x&>@yIPW916O0^#fyzYY9;V2yz?J_27%%ttUA zO_on5mW$}@Qc3G9O6E+dmcath$TB?a6l$^1+gmNcSZ8(XkmaNM7A(1_R218aWYm4f zb~)65rcHA$GB459BE4vqtf%rngl%u$w!X$hCk_vmUg2u z5=Aj02Z9A7($HeNUYSi|Si(FSXRo;O*M8Q>U=x)rN{ybF;(A;~H(Cc7H-fXZTQH$y zoVel6+59>$`+ef{VyR%@osvkAtlWiX9gy8*ywpvhjjc-(M!3t0j6U+=3oWgsJSiul z+1W&jAC|13w2-`uxiiT%8%b^3*o|`CXCWsJ&5d3K@evLt910(rc9|g;oM;+ua=U*vm8m`bc0lT%a-FVwBiz%tGCMn5qe17v5^)&orT4#Sj_jvd65pyO@}ZO zuhZ*G%H$(@q%7nYz;n=lM3wn)@G}t+_ljeG z>3^mOB+yTlI)zBtC7s$(@IEvdXdNNyEQ>*G4O!IeRkR>x5*k1T)kN~44hR?d<+wqO z<61PrDmaffO!Iw$OJh>Upjhif~r^ zSq=f56~FOI>We5gsYdEey$l{(5ke5;ql7tN%Af|3P$!CukSKndq9)aqU%)vk(u_hl z#*XaFF1atlKr(0%&|SEfaG>pfGihk~S?g3A=fY33zniW-^Tw=WCCfD98UDzHAoEnT zmjS|-UX5$EfVxCmB2Q_Wd(IdH!qwhr5%SFd4qzOy^_fhi#uk+b0a!wX$&~iL{hOKY zo=cXksk)46cII(_0%Cg8T850tmplsB!6+xEo{Ew?ABOq5yc^OVT@4U*;W}eRB2eoY zJF6ze&BkrKkjNt51?n895phNkEM=t;E^L)RGh0;10v3rxzA92pEx|I}%RiFl2%xBC zjdxKyxe{_%>2f@tBtg3rriW1q`m~Uuh_m`}F=_Wr`cMBrksEQ!m=QGOQ8U7dUoar3 zp(F9YEGn#gV2WS4Mf`XLvBu=~fxiaP_{b%zG{+Ul#Gw(40g41w#X4mu{6KMFH;N+X zP7KF@3ZsH5Yx>LG3FllflnuZq{sfn&`U5q^IQcZPPAgL}BxmzXw0V4l=@(?+DXFNn zdZRLNmZF5R%}|_5)KbJu4S5dcY+{aHl;C;xTZh*Y=)g}i3%Pfjh=N1#UEDhAZeb;e1FeX_%qQgy z;i=-2s?|hjOEXbsBN4cqVlUJpLSS}Xm!QkDnO;+!nCp!%))RqLp(=)CH?R;Wu}0E2 zn2RRu_tVi`^{i5vQeigw>nBJrUF7Qq9=z0owdh3DO+@@ae7JVAf96#>diM<@qa&!< z!BeroM&vT}y1s%|oq6F(% z*a1GORw-5^87Sq{wr2c(KR;|WFlIj^crgcTA5vSUD-Vv2rb#+o~T zKsxFsK@#YnME84hu*#z!7)L3h^ghUZ=53JcAmk8ibMa-PDiEY_l~<0Fr&hgR=OB+9 zp$kA<%pP6zX^UBcEd0haN@}Y%W*p z%d877@|!YVffE_xm`_~bo3fi_RZlj{$<((?)|hz`0LHNjDMjM=k1(PG#Ve@FYNPf= ztBpQo?*GN>8QX(VII@o5{RniIUlu)Tnw$jESE6B1;_NYVE{G1BMcuNiYAR=@%9lJ^>7G|WZ+@2`S(IV}-It04#C#=VJW~{pT&4x+ z*O8bivGr4^*k_Y?hd6QiaXF}<_TlBsCTy;v6mmJw*QWZZ<{TkMK%CeACNupUnqFK{ zX_i!X9(7~PG0k8Ok2EH?hNz6DzZnjfGERXf91sX{Ide*^UDd`P9gn}uZRl!BZQvKR zesnt7L&7MpAo0tLwU? z48~eyOB*4f|0Dr;+QWsupq35?2s*haQ4(tT2$mz_Gp7N;6bgcfXE2L)4f~^?Fniv7 zwCC&`X6dn*PSL#*!^yZ&cF(NFSfJ@pcHOG2U$w41x^X62@l57KYu|W=pJli~!vgDuU-DIA~+|wA#Zl8xGC& z*-d_6X{=Uzo1!KN_Km|EMQP(emk`UvV0c2&-4EM|uYe*04~X}QRAf^RHclMglFH%E z4kGQ@grwCrYP_*TS2wQuH?Z|UD%&=b_F(q{?j4(R6YWtm-t<=H4igeYnlg zvbWO@+nrEO@)2o8mwGA=TQ;(A^x(4&sZku@v8Oaf#!x7+fpv$xhObos6!!xbBBPiAd%~3>;~=UR{VGcS;uv zyHHVB9eyB-AJR3n?NQXO@1=Kz2{-8kk}4+H^7sT}0KPr}VY)VU$Q-^WfiZDyr=prBiBlAl@fRjb zZ>^;eQo1;NbNjho3M4GYS5yD3crbipkS<#>#xi}nd@EGD->|_!@%yhwi`dxyYqlRp zdnMI3upeAzi|X;SXRnV!(Kvplvk67>+{f0gbMQuE?n5u(GC2%^Uj4+0$FGl=pf~0h zbVi?hsBC{KR=#oa{hVMXa&EF~$QMs-ZH=&a&k4n3*We6CMB_-tst?+l-Cj6M)OHAAoOt2Uj-xU7;ynGWap=YB z2&H2l=L2Zx?EIJ#;c~k~>ec?*5SJrs)_yRF)*AKuZ>DGq=eC9@)cnd;KkYz04$}u*ios|Ead~$6 z@DNYy{Z|eTqdDLC`YpIwXKm~D#QtE6#y;?YFPyjN{fCb8f$CASx#X{OFxJM0K6?GS ziwl0x45f1?PW-|z#1Se(^C*-9F5lj?*tpSXaPTM8(lljs-3AT^Gc_7ibmXkslecf9 znPQ_+aYFIjT+u=b>SyWnc;C5GZXEc8@b)Rr5QZyfk5^yhK&HmZl1v>Vw>}NjxrZJd zkGB)n-c5Vk+pgJZj|rK5{NridaA(_x*Ql;^YVqUe)0mdx&U3D>a~Is?*5t6+441B7 z*CDmNaOb6)lX>6oj{6*>Isf@D4Tsx_7i}+UbX{~|Wwgb4(v5LAM3zqZo4+|W&KCBY zpOq|rD827}?JrUOE1NVj??Y0@doIDFMTQAPTQ{W zB8tC}F!?YVp?F`>C!qLhGC@@wKFWJTxUTp+e;O%qwbma`CZHQZ7VqpzxT6wXzI^B4 z#&)wA2XVv;vgKRj5pHX_*Gq|ReCh31qfvmh;cc_WKa1i&@Dsnp(XO&#odt@;+a?z8 z-GxUsH%Zvy7(~i)?8M_(Jo&ok{%WoGXP%*ZZk$}aYw?V)NC0JpNCkSB zxVeUIqd5q+vGQU#&mvckSDE#zZ6N`sU;g0?ELEjSIYQMtb-R6}8 z#5Y?#e{hh`evDF0)AiP5Rc>)@4OyYSJyc)Q{i&B;O3C|2yW?J(=mf^lPig-6=f6aC zVXGH8Zyuqja>p0kA`%=`*mC*Ib=K}Zwfl^1iR&SYzQoANDdf`hb$ z^wa6kiUP4!uSbycj`QOi>v#4k$xr?dDE^@jv~?J3ZSh!*UF3)&EdJa@RfH5zJFsKz zg@u50SiBcwEFJ@NjR#M03LutE-wvqeaTLad2}ee!q?jdUF~l~RchRgVEQ7uj&w1Jb z$80njVHQgPX|u&E5vr5so&EGN8`r@gYMOTp3ZGp@HV)m4krLSAsWlx=N>?M9lsE#Y zqI!vv(AAWji=FcA8`XDw{xhFJl&ovzQG*oPKR^`fzCY589bcum6))a~{a5>N0fZG) zi7wtRlNFr`YLDQSmtR|+;5of~{kq}KpFyU?UEf;&w%OnKdDxzP|Mg9Z(f^E8k8;*9^=B50KE8y(NMfp5cu`(%;(Eezm97SfLuyh1#M5hMZ+#Z0FE*am^v2u8 zCk_taj+LQsj@Rw?rFg%jbBhVrW3dL;zLc`onk+Bc^1h`u}v5ljY4*@<*~wa zkI3v?GD9h-upcLMOyzg-rwN#fAe6%d)Ej%_y6>P5@Ntgh)rd=nH`@V88Cj?{^0wP= zQ}RZyGe)Ki$O89rasW4H#T~kwri-ie7rtHXz7|F(-j712Wnx5YvrcuJ$;Q9^SHX2U z9N8+xmr^_;bFdt$^nH47B?<%#Q?BLz`>B%2b=E7U6J-OYz2(Ns8wfY$+b9em*)9y^9{jtLrfrI{loUk(k>+LGI&=Rr+{^ls3aO zmb2Z16tB~?o$GcPQ6g-*nU3?0`vGbf0uq{Q42S#7B2gW(aJBuULYEa?ZII?8Z5s%j zuMc%cPg^D#gAG8hg*~`&(C@1o4A{Kqjn``_1PWS(QB4aUJXMEV6T7`DzFsj1>B$DD zS`nM2&>yS|A9^7QPrBf67;2QAT5Keg6{2W`0ESjsyjn`#A~IG9qars3q{c-hW5pE9 zA-hCJZnbKKi!cyLDzV41)ng`e{c=C&M{U+_CszzcDS8s$A3Hr;cu~0{Gc6!RJUnI} zN<|Mn%|(ijQv{A~xfCo0x&ljxkK+gVHUV}aMyW1PY|up2P%D;uB&2c-p4C>y z*DV2WR<$Q>624ZvhG2z`s<9S{^g@#tqA}CJlbL1&Gi{ktQe;XY$gQ$0w92tU7V;I* zXhz9gKT8a5nyF$_f(y)btpSUN4SMT@DhieL@ZkSK8NKx-4}(e8L9u)WQo`qbRyb1y z8^u~UgoY!Ps(8Ol_P&KThvf>m;i7N_RD!7l9KK#FzEw+9*wb|)K7F9VHm5v_YFaU^ zT+C^%xe}w;QGXNYslG_6StjNOnG0F+pMhAxZs4NiW{GyAVo$iIF85;0q*;ZvNC{!} zTwR&$M-pJ!vTzR}E0{9K^<_PIaSR!{Ei;xDNM8t?2sXK``zzW_TEzE-Xq0QtMRU z7xvPk&hvf2_Up5Sd5|S8hi9wLQKHFVXH6x!`OQu#S-v^xg{O5|^4s03Uxmw8(|Rt^ z4m}TCUbMX@Z|GEDD^(&+DCQ9YXA9%zQ zxEvl@@%jeb4ta^OBUud?V+o^Q7W z)x4Lj@;#tBRf88>O7$c`%&gHc%VAtu9pP$YB7g1$)rm&dOuCkTHhM&5TnfZCit5_u zaOr^8SICR0NO&@bE7niq3E6}kbHYT3RI~!6zR)id(=Du>Qjm!gH%7p5XcK?78b#h` zy3X1J8YXQ$_Z1F$j!YFOf-k#Q6}yl*>4aiQ>>6-NrR{7OHv&xV2pU|xTm@pU^b`D) zdXjK({hnKg0Bd*a$Oc)2Jif)F^$jV$4T1;KqNZ`A4bD6E)!rwe`w>vQTU?gm-6DPj zF0XdW@%ORp-k0RQsQY66905utP-irQglCGLq5cea+MoRyvG=3X{Xs&ivLgsbz8~fJ z_ZeJ+U)_*^{fG2UfE!Ty@BtGX-)nl}f(6qx+AW{yRFsCDh~@^*XCuHujp(9u2ElYV zEuwhxUg6BB%Bd7+fgh^X8d=3MbZlR%pX~%*s#*P3G=0`Ff#!vT`-? zHcQw@id^z(rR_K{a9Sl1bUAj{2H<#Ok}yJ+LWQE03ZFa$EA)tG+sEB#TY8zM1WT57 zE1URk`qV&8EN#oKh1ZbKi;Nrdl?{nuzzD|sj0;F}J{Vc^u@L)0;6ab>Vvk)USKr?8 z%i%2qeOv8jLemH~AO3@1CnY~78D_;p;A51B zg~fz2sA&8t9`2cw6ix4A7I8FrS5P{rF*y(*4@~cgT@^yy)@SEBD+P+rV`TIR(rrXd zMURd`4MPFLztWki>umgfwyNift+q8K)9HdU`r{92CiP! zN20oXm9jS|+oFzlBL<5h2^EgTSU5CB;R*Vv%=|IY7GX%|P)!zjN|Y*~GS(gmLQ?5m4$Ac*kXfKccaCh0qI-HNOr~ zu1PzUkv#6ssm(`)ebmv^LAOLQF$BL0`*@oK{ln5H|vPwK= z#1-3(AT}1Cq3!n3aaFv+GWEAelhAsw35mKr|632+e2gus1c76ob81Z`OQ+YUSzmM_ z_@oxVkQYhUCA(cK#$+=stcy-8N!>uN3B1Q7S)phU21$k{c7+bvwlFUr-BX5rNN^yU z-{h6ZgtiZMz3gCQbgbK`ClQ8Q8j|y{z_bGh)rXT9`<6Nw5VYj7 zjXLmftGZ2m13x5A2j(+OID6RjhG>io)qyhS$l3|Kiptx)C=KO^Hoz`4`~lL=F_a@e z)iU&Hu&I!7NMRaoq9c?T-R6?@9U2dO9^S0iCIhisQDEEsK)(AhHc;BlQ z6Smddk4rzP*RjUi1@|Y&iN>OBV48fYEAonUvt#AW1djZeWegi%jTdC*d%^szvHjiB zj=R?U`oOi*1nVF}7(~pzLGmTw@N-u7BT6yS(>Zn6j7Mm_qi3 zHYpFKG53~vyI~o&b=}*+EPE5X9QN(YbaCcg*$l?inagg}-O5!e}DGTs?xx?MA91cmE~&XPpr z$ETlhwzsWOx1Tu~=NQxgTAckvV~sv@NlD43fa1+hAZV(wrHq}BD@Dkf7ow&w3dyG;Oby!0M(T&gMPJ#v zN}Z{8qz=6Mwyo^A86)8N*}5p8l@o&enQMyBryOL70iN<7uGmr*0#P=yI*RH%Y4Cd5 z88&7`4C+M6JORV3upo#X6p>kKGypn#nB*EpQYwC4c_tM&DwtUzFi1Th1C13VVibbT z0vLKV*@q$tBwb_5PNB$@3zeyrsPt}Rt^EKMr)YD{(F5FFNXsx_KcgQe!f_`Gi4qAU z-3bRgVch)ZU6j0R*CZGnXkD!iIv2JQ?ED9b|^2iNQ98AVrlR z>(!;H>EIV!^u(ndvLkw$gQBC72x9)PtBEErl9V%-82~{Sa=4M_cBINoq9)>nC1SKG z72ZTts)Z;oVgy~&^ry^%ok^rsas?L?q%1w8ubKj$Z0KSQ`ODwYLBTy(I2iQ!c2& z)IrmJz~j=3%O|A6Ht%k}9vp1wqs>M2Bv5pUKx_)~ z!?ztC5|cbadJVG%MPeU`}ETV&wLi4^uEg#~X7NPPZZidZ(v@-3n> z>w;Pd=Bu=<6j4~5?xJ?)kv1wMbi%`oCF&ZK>3}Xo0c))1iDCAKd}Wxr7wV$wO3^07 zc+aKcS6`DDE|#=%UknmN(Iz8fikl!9SxjqWi8li^`(=VbEO_}HHj!*X+9^q3NyJmI ztAP@XmQ@Q9zf*`yNga)Xk6GQD2B?po;F2gCuzbM=;!%vuqI33ZWZ+?z0q&kZYZ9uQ zjOZ!sfUdXneKcDa508&tJh)}G{zmp}5vWqJ&p4A1ij+{-y2^2aTsdiCHY~GD67AM~V$qH}eS@nJ+8Sg_AdmA`en^2pgc2p{ODV z%vnI|x6N&lcx%*B!e)>yn{`8$9bX2-&hDbR!lW4k8l$QZ%NpVJSj(y2iT@x6B0;DRqag#I2b#QfXZcR!T=qzRZF^*8vt>+I_ZY^bFr@3E@(0U zxIfFT?FJPCy?GMH8b=P~2IHDZA_k&$c!QJ`kv1@eiBN=8v$hnkrE^HpN+1%Fq=(y{_oOam9C%^?DX!qRt-!Px z+q2G$su@8(Q4HzFi6) z)>-1H!&3o^kOSnjLtKa{k_W!!JO+kbdA(LDZkYU)tFELKDuE2gok+am|Fs0?o|D(3rk}SCc193HDwG@a{7OR+aP=BhaG(a*1gS`0psXd_H zXla=^%1kUBl>~{3=Q5q{gQEp+co&l1kC=UPbe@|)A=90LquwExG!%=4v z+u^9Vq=8K$y$orWUVJynZ|k}&p$<(11M{Oqn6%^&-GIPgKg$lG@+~Yrf&)ElG+box zkfkyFh3A&u+zNu)(k@qtRl^t*kI1HVCU(iPA%)rxRiGYGkd#lujdiW=6U;}?kdzEU z)`u5gFGLMI{*z~tLwNaqWh!lZhy)ph8*+lEOl5T$pNZCt45qF2sQP338A}JzPX7cAGOfG$ ziimW1W4~bCfWPHU{V1?urOSh5mRO9!Q|rm%`s(jfb?biJ8l$MP6~iDM>_-ha>iymR zm<}+-J2sB{cIXBHy*-j*qH-oy9Tso01SXWf|8n{FHpa0%38@nT)qk^WlhECHlSher z7}%T9ULF_Q)W`a`z0Yg+(A{IY_hwK>q)uouIJVu1$;IdxatHSBVML2pQ!!3_pR{bc zHxb}B%JI%4yIo7g#J&)_)?4A3!Dg^$u&-WAT(B+K2k*3Ew85KJ{H-tAqt6}V2m@Yp zEXNBEZ8STvbi-rSusA!KaF7&g8usn18<*?*&z8fGu6;G^FZ6DumV5q~>ZO>hO!^a! zB^rEfr&zg+G9!J)spW`Zq}(5E*c&|BrlJb&Hzv1S#VgREg%m^lRkN$e)L$?9U*gtc z?EN>2U;SAdHSFs4inn;-syWIL8GY>cAVkqLdsmG~wSTZ)93EP+HQK+Wj-D?oFNU$( zwf^LfsL#|>qbk4F;`LT{Gj<&{zFq1GwY#0v6^@_XwaGem?Z3Wq%YVZr3>U)>#%j!fyP> zHX7UTkyvi@IY}&HYH!f3^Kj5Gh)Gq9i`#L}mj2c6nZI$8g%G3Q8xJpb1~Is~2Y;Hy z!mPtM_3^y*#J4W8jKUp1KL6qt^~{&9U))N#&GOoa`DbI9EhpxEYwCuob2$b-_uv!# z$%Mrxa~A`yZw<5?WvTkZ$bC<@%M+x?Iy6?D zP9ip=rAMTSTU!&{VzuU0q-&#K4Yzy=S%9;hAK<$AGo9Zhl`DIcgm%MToFCjI`dT;a zB`X)o%@HN>3k%mrX@`}^tD_Oqp|XAc2V+X++End`Jj-|sF2%Wi|4xLHnzABHBmTIN!Om)Ot~ucA43a>xqZ zxyIrm#%hh$M?O-b`Bv-vhkw8-qIv(NkHY8X@#FoA2X|6>V6MM%aL3!J<_|_;tFe7* zZvVCMm<0u`{=qo3JUts7Y{NbB(fz(9xSkx1oGq{hS<7OY&9HS4953!eb!X(Z7u{jx z)%dV;60-p4yvVq9po zPEw-D!oucDL-fLA$NG1+w>#y($Xk|fys&I@osJqq@Tztlzbj zIC5_3^m06e+sOy3+aY*&^5pQc^60XPiSD&|VR3kyw#rA}`v-2@k;@%+PNDcOvgmu8 z%?;N`)o7k7-k`$l?AhUWCWr7o^Y$RY28-XsWSa{g=)4pSE=$ZKTWlwUT>Kff<^)Xq3CfSfpKbS|40FjFn`{;q);1@J7*@!R z$2Ybq{uf5UvBBwVF%Il2;qGd5SQvxiz!0LUzJ6yi>Hn7$?!<|$Dvla{kx$ecoxw*w zM8(FIZ+7>-o+jR3JXclQsWIQbNEexoH`X3IzzUtQ36S>KoEwAnwBv(*u7Ih$W=*&;0yG+n?v3JbB#YN06lW#dU*O_;fw@L%77x6C>o>*4 zUu5T$Jr`{2%_IO}L>w!@X4`)oEtJk$d{~+kq0b zPWwQ~Tdg7LGJq`=VH?GdMlz+7Cp#E8D|_21OvcPT%#!G;OK;mG-ned!8~@2e?2H(p z0n=Q|*<+8xiMn}|-NzF!t9>#~u3QNOu=r~z7@uQ@2y5QvngKD$p$Y(a-WQ{)#z}`* z6#AnVWbp-0(J~h|Vn;%4@l`^D$`-0tH5_2^D8AZ<3vy$N5jWo_vCZPb)?tE@Z8Bq3 z*Jv~$ve_(_>A0l$fDw4NSo|@Pqq-`^Lpr20lqi|&KH3@5%@rS5Ks4A~^iuHL0@FRp z`#^c-IR=cxJyD2+tF!Di!{Qf@4Q?GqCb+)VtY5135us==ufdI-IHzSw^YguzhG>-t z8&*<$yB(0}_;KCg(-SQB53(95f0{sKoyG0+l}}p(O%2J2WrMDyU0^3CHj&;J-R5{q zmy=IjVX!zh+Bis-7Hs*n7T}xPjMyggp)p$vpj!IF0qujD9hyZ-_Qd`H_D}>ri3)3v zhQwn+g1Cl|w{OdhaTE~R-(IFLR?I<7J2F4KEm=ZWg<|zme-h)wfP(TZVy8}D zE@4!(yex^qRtH}P<(AHDPUMz$doAHvw9vDNC!le*i!&Ha*pgeYEsSuxSf!(;8q2fi z$76+e3&lfEB4?LYY3Z?Pk3XTK`if$;AHsHO7c0LQZJYE^1)1HbJsM>rE(x;n;*B?q zF!#0;fvm%yqUC>;vRDX0*_iRB+Ug(@Dx-REA8J>9n79k8DPZyL1Fii7xUvO@J-6E|zhew= z+sajRJmWm8%I{R69|+R=zJZvyx9?DB-0xJNe~q6Nx(IS1YOk=Ue?pFoi!mcZzLtbl z!-DLDam4GZTTxCCpWDBx;*=3P?=5#)7_`(7d#SZGhqnOeX;w^oksPZdCOV=R-XwMnq8 zGC|}Px0Jc-cC&2Fxd-1&CT?^dVs`PxNYLCcm(Y80k%C{MnsArj7=yvpRrm;c`8O$g zYkm}1;L3V~Vl719tcCa1E#lXScHQ|rMVODz1*K%lo}|3i{17FOY%G?(rK%!`$^%?@ zBsq?m`W#ofZC?Gw37$cPT?sHc_WVV-IAjUX2x7k0sT}>oP^Iisf*POp zaT(fU5-KIp6SW6_^JiJ!N|??zTe{)p$CYj%ag`Kg-VS3SWd%u|w8vG5z}1ySk`x90 zEKI=@82PzZnuyD&Od}N=TN9K|uSpDb7+e`D-Y}>jxHS@!f@On~^zE;z6qdK(utC5^ z5LlD@CmbxE$|1g3Q-1V){UJeXm-OL;nWxm8>F@|EG?BYJv-oTP1sExuFH#uMR@C1n{b2aVY&z#wc<9zo()jG`Prm6aNa1c7nBPPJQ0 z{-t15<4LySjIc?zoUC89N?T6WpJWpa?ya{<`_^24ZRgvIP8(O)u+pvFF56$OzEJEw z%!ZZke&32;`<&d$KBrAI-|ytjGr#lOq529NS8m=4A#cTb`@+?F6HV8BHE+dn>#xFv zMMG>{`R;dZ`3Bq$4_U!*11{gKH}u^6?aCy}&52pwL^IKbo~;+s?t137yXz#&i^%dY zTn>x2N_2SGE>)tp{-i8bqFcAh&fM>;t$pj(TL0y*^w-kwE)jf&g#ih}y=z7B3m#unvN460(p>=+K(b&x6 z{0@s)cAQH|jZpd74XAF|@{XsAqU}I|3{^l5Yc$y?0g1>W4&vYtEM(nThAy)3!X<=^ zZWG^D%S`Nr_D`4P%fDTgP1^DLO)KY$wf?O{>h|KZWt)9Z<>IqDY{{7~pIzU>ZJ%9d zvkaZoax!3jIqT!e^{Ov9=bo}oW4+UHtq$E;wdmW6i)H!jv#@}VjZM@Z~#(5Dtil=k8r>j1@9C;qo`RAV(cOb&&&j-rzM^R4ob3~FX9Qps;9+dm8 zkny>Ly+69RFPQEN-4n>k!##+)135xyn60aIib_-W$Z;VXfWk8w-$k4wa(Q@mc{iLp zZ-W2v|6?nY($7WnUF^&v78H(~3k@rdpRu@l)@T!HH~2JZHuktR^xK57-d$@-qh(Pf z7p;{%4F<8d95;{@C;9E7QKc3n{rBq@Y^5s3SyU#_pZ|JmH(qY=2-i{|&t}y64mg|N zW!?z|<3+W>4Nv&8HDN)#`&0t?8P7RKv@I<>e6=c4;aI;&>(uq2uKb$F!|- zP?d5Olc*~Byq-OhWkr_UyYubt*RNl{e*OA&f4|@EZzo*}BUO3VF7$oX{wwLk_d!Ow z{-NnFe7+flw!!Dkq7H<}GY zSR^BfFs;|%|U1r@Wq{}NiEp-){2w} zC6c^7j=e{*UI*(7u#O*M>)-j1qffm--8>&m)Hi$^riHCfvIbtMjr z=7+i*CUoKgfez|4q&5d&dHpF}KR}*595JJe`x$7GB@&}5m8=e+ilhxNh0(e`pDv8g z=t3?PPoh^N6o`4)mJv}|5x7@MYErgraYWZgZ6|W531}z7ll+=0xl?ulI5To41>KbC zi08IbZ@$_|;Tw-f=qXm@hbKq;N7<58>!+?(4^I`CX8?H&BO`VWM8dWbd3^>L+4!f- z!D?#V;~l9f^`Ymh#`+dz0roYiSFo}F4A~T|!NoZg|KhI@xW`4yZFGjV$OV3#OC)Yd3nd?sS9P&?7(Y@T;XoKeF|;rSKBW|= zSy)$sM@|oYFsHij`N^aOxJd#Qi4lWD5-L^>hoh)~g|uZ>+H7;^qfdl59mYrn;|pwIRHi)iDTRIX7gye&QY>l{z;3Nk%2sh*dIV?BQ@ z=B5rBqH4Gr>o`?uSO_Bd44PR-+p<})$)lbM+}nz0sU~}B>rSe2jH-D%)N*7=c$~O= zbmwxALj%1WjFZA%BcDBsh0TlA2wN6;_qQFpb2?W;3p^g`XQlJ1%-V~{IvKRF?n-x- zvFt>|N6^08&8q23%Z_4Ky^R*y++qWmxJ{bS>n%6gf><|bt_!j{pl2}4vd2+tq+W41 zfFOsPH6J2d_S~Fe9{SBL>!+JPkcS(Y$msvU%m^*wPHEHPZ0%j(76eIppG!QE$#`t( zN}jmB015nX@mN^dJWe%w#6qfyO1W(I!8>q`6m_JuM5}Sic(+nlG@pQYLMG$^?6JE} z!RL}nfX0RSQ^%5=?3p}=TP`{R7l+Uyg$nm7*#9K=Pw)jT!)C{V;e322$dJr%TTL+r zN(XUVp<&&GD%na@A|A2nNT%7JudN-Et|z=GltAJrvNM_ISLnKe?-{kAF$ZvY+$6<5 zM0z-jEnrmSU;m;EQ!%lJWbzC?WOqD(yGD!JDa(F4$o*`kqK{Cpg4%;b~#ym{8H`G^5k08$x&0sx*z32>g|R z^X*jNyXx$Id5=NZGM!YEcfDNA88YV%2RW5PtRl@#G<|^hP!4%RLj{ft3bM#Ek=xhO zjx?HsVcd3M^l^ej)jcK}ragpBbFP)c1)Ve9g{qJfzHShKBchYSq62xBo~2f*n3&%* z%8HwJcf7dn5zXb;@V0JN-=pV_=^`Xb7`l~`%7}qT9qtZ&@oD%@D8pg)hfAx<&i=&)mCb+4qPLWZSAN_1VO$i&l%gFW`l z(%rfBU}SMoe~+^fR7?&XL}iQ9ggH8G0RS$9yiOo9gln@y#h#;0D_Xz~=h%}Ok z8W#tNXsHB&afu%~nL|w|ymQ2z6-h$WX&*Z-6NU9(Fo1IXO&5ZL2i^dg;2}i4FkGoZ z_7Ge7U5QT}?{Fv@96;VQ{=^E`_)N2+V%ZHCudj%jV|qy#OjO51FF79|7O3+hdfUO@ z{C+^Lr)fZHstz3m2MNz!6l>_q1{~tD#oOPDl*@cj*NFb2gtj1M7b$A)loCn~5S&Fd zZ5RrDTk|s`*%2JQI2f0Z1>6xAO2yIL+{5u;&GVVV*9>{_NGW>! zls!;jlPu%n8F2qK?<_Z2>ynt~<*Y#6Imd1+qoPILwCdcrI40)WE14iAln%|4owYc< zkkg;1uc<`yU>T}OWTI4xcF>mj_0$>QBuB)|+o$bX?aufW4Pc6m{UlbLey?vtPSFck zzk{|GQw#?GPJ1mA|AM27Bd^KJrcpI>)_fRRyQ%?!Y&a7`vsW1ZqWm)D7(hxWky>v9 z$B+;%@oV^5UYF}&_3YRH?j)FakXQlu&3`~(1t0#9!h^=zb>0+yRAFrb7G*t>`g# z%Fkt)`4tx@rvVM80rxISLV~d&xTfMhyeVb4OVF4)uQ-ByngkDvdlkGb2wpsE_G*?@Oqk+rz($l z`mqVOSR^XdS=G#dM%*Zo@I6j5{W^PVe#pH-P4ilCT!W*Q3b^%<+SVm=f31O@3Tz4( zm)4$-UgW7s1YoDB2ZWVhsXu`d_V`T)|1L_r-f01b?2Kgirvg}Fx_iyIxOT-q^9Q1K z3SiPAd2L`3hZmqSn&XMw>lb*+^yjqhZR>pyaD$Gjnlg*7F*n2PsI0X0`C@Vk}02(KHRFHmIUwSqctRQ?tDnnXV4nz9FLZ}Hm-9)^>e0&F|hsnfekKr`cnZfWSb zzyam*&n59>8%G1;62Ghr-2SvMXLA_cHcVy=6U!1au6=$6E>N+jVc00uoq8AwQ6_O+ zU069ls~Fvm3Wn>j98yvydb|d~aUc^kav+tQ?zWYS|5DJ>Or=&fR(!xsNki6Pz5jH#ukuMx{Uh-Dh_#a}N# zE!RwW(FH*?!2rbZ=quh9K4HO}981WDKDRjb1{EG(a6z%}FO04S53d?gQlcoO+L|>G zQyKAlrWB!cmG5=bfd94A_(J05TtI7Sk$NGo@Z=8AO-GRbOo9C1RxX^TI&Ov$1Gp&p z9HZPxyJ!6R;%!8_N7ilYq^ADFJUWCz`8Phoj3J7wCN^W{&92B^%b9 z6i6=pl1a0bVj!`esC;v~kl`VuXY!ml#N}SeNXr%DYsdZ?h=lb-)Dw}SWNzRN65->u z&aq%m$qJ>z@|MW^fRl{|Rh*vw@G`$eHdI)$hYxJ*O{z?;ey4}{! z`A*hd-pE~~5~H&)KE5yYaysI9`Om_ugMVaNOO+B^=gKL#1UQv;!MKjN+&EN5V4JC;XS;=b0aOG$Fs|v_N-p*#pMI? z`vc5vz2Jl$+2zCNbz17V`1L=Kpc)j79iK@3 zOc8wgR=txITOsLcG!)hdx_c>a%C863kjr3mAUl~=&7*Ne^Hzf+UEILlo1@Wa+|Av_ zur)^7ZyacT;q?dm)F(b8ACrLpWAVwiSl-80uHC=&BwemOe|$0# z-XLv`9@iCHLLM5ZO6=UZzpst5$EF@EEQDLPpS(zUXSxsk(#2-R2?~>^Ct2dHXMgZp zCz;tZr@&0;Fzg-tDg~tb-g{A1)5h-mCnpWmJBPk;Wup_Ho*3!UxsYw{OUkKE4U%;wfxAG`bF z0KuPm_Sq{dv$vgDO>_`vx8C~3S5Hn_(%pLT3z_W{i}+4p;_cf-}O-*1bgdSUt*IIcc%x}j zIQN$8mwCLvD(Y{)hB<20;f*(#*2S88DZ5IXeI+*C-2ADZx_I%@bbs?(5BS+SZ#(lx zf8_0U_ub$A_Q^?%@4xGfCn!zc|3lw?=|~^^yF#D3UB|AgVG~<239W|)h=P{9^_FtP zBfHA3e9@*Goey#%fD8U?cV#mRXHJG7f%erK2%bZVUR>&gczo$a`mx;cUY*d48~5ic zZ-N)!dmpn4X>IIk)40mN_s50G@)(Ho3q>V4;_15a~QPZ%mL`ID^v31w`u9&i*y8C@E zmDP!Z!Sah#d{YK1G@ZE|g7@YfZBg@Z*Vef!CVpDk$CD_@Eh^n zXVG|S8d!U*lv&G_CGz&gpe}bo@P(%lQ_vu{-SXN%$jw`zH?SS@mVFx|cR7%AZ$g#k zeB-+F!m@1Nq7)@983r~tfAZ%h7g@Hw+2;jxjBnfg!>{TD3B)fhT?tS~q>DW7xQ-?N z2VX^!JMCZTJE6DuQ%E}M9WUa6am%ew;H3^Lyb=6H=e)Xz&IG<|1Uv-l;&gBAinCXp ztr=L|ITbdGzt_X;)jXHokG=QSHNtH7d`pV)4rN%QKYn-NR5wDp;u_E5^zkYO1~9PD z_;wqjz+0^wPdNL%hpsHa3hW(3_N`X0hfiEY9V-|#Ip62(jW@hZ*?D8!XsdH;MuidG zCWo1kj^KU02y>JHPL>khmU3E<#<=;muYO{pJP6!oIXCfm*S^;~y#HNSZZvwwMc7I5^+ti{hcQw5n!Jm$`Ml3gv`oO8S?16FZHS@HSUKBWqK1`t9OE*v@!o}W^u@I-D- zS=(8i^BS{Er)e5=fU>;-xZVGvI`ZjeBF{C2+E~~y3jW?6rWk66Eb1h8`ofjfiXDjQ z&5`^2`<$tR+uysmFY<5x7C}pH;|cicITWSWbCZ%fiJ7Vq-z$|3^4M`nXAeQsz;IVJ zXYl3K6_>zr9@7bOk5s~Vz(2tD6`Ej!z@!Q=ek@TL(467`kqngSqLFzIB%<7quhSmd zRb?zdmU2Rf`6rpIP+R-(=9Slp^Rl~3>WUY{kZewfv#7{Lwp)8@5O`Pr&9vwSK9jF?cTN=kQ(0i zVDqMkZ^@A_;`@X2#t`scLOjJ#fjpI{A}cgLtOg)+_Fv*8p&v z8m7pd(_4$iqp3hz7m}f8!iXRz@m;HF|AstwZSdPmF*# zEtt#4O`ckcy(9pVuTCR1#p@~KOX6ve(YfyvGVuz?m#es|s1e4}2UlDYG52E}(*Uet z;b8*|yZ&Z}r}EKXsq((Z+>wGR`Avbr3dhwlYejkWkrF*RaW9+kgY2X!q z@q&l<&aNxBhoa!9ttuXb@X+{TYG7#WQL^g5J$kenK8r^cPuylZl&v`HKvsNjFmvCZ z?Ud*wdmP%?uY3n=9bZcd$U0k+i4uwYPMxY}FTC6Y;RD3Mi&gIKH<@A^N z;>b7AT$Ib}(_gA`wvKP2d4x?goJ3Q9@<)98OI^;^X_~rhT$#Vlh84EGnCz7k;nuf1AdyTFi_=cW~ z3r@u;TXCS`TXCv#o{i`BEjeHNn%B&EsVd)8^rbJI`qwI9E6)2kEvU%j)1P+#BBuo% zuq|lvi`Bxn1$_f5y3kQ&x7I)n`nkujv1|= z*ay||ttjBl2K6j~&a>%7QM5=I#-wW4O6-5C>luZ!zkV>h#+_PgkfC0+%DVzI3WM+4 zAbiAyYcwnQ}L;c;Q_Yy~J=}aA-17hWOwkmKtH7 zy0f^H+;Zekg{tc6l-K0=(@Wlz_)L{9MdU=maf=giw)lk7)={w#+tnmv5n{KR^e}j_ z>h^NC%lUI&W}!NR2UvXLkKo<==}ku!zU#gV{w~Zz-r@fQ_y3cS|6SBmvVRPIil_fB z{-0dhzbEC75&8E4P=fyum;XbM9asNYhTr+N9No{_2D3A$4Z4A0-;!+4n1alZ1bLBbC;%g?ObPR3(nKYi@fh`39Pv592PDSd43s^&k>@ewc2Kfa zN>&KP4lC!sER}tY&ox44!Q*W5SJT(|yj4y&HtSRdNaj%Qb&UU(89O7R5zu&qO3?mpb2JF`hbq4Ul*IgLce z@PdqjJ-F$jsN_Cs-Kb#%c1z-YzPKqTFRCm_^-SPoGLmnW4VvO5Dp9BBR;mD=^SC+8 z;(|UY6KjLCdo3{$dn={Bavr1Z^?2b^0A0?df*nvS7Ivc2d9V7^(~7xmqHm5hn4&)q9f@1 z7*w3ABv0|oNi%BS%#aEro#=j)=jAvPrqA!0x#W^(neiFxku8$vRCyzVoJ*S}q?Ouz zmd$^tTknNY9gmC5Xz>^|R*QWJs-)^DT#1T6Z zmM+qzeDn;(5n@0M;=5gI0$vp`{(n1a?MG~}8m)go0J4)vkQSo~FVt03kQa6Yt zK?qmJ!$2n{v`|#rwVrlR<44K<@74&W^_ZY6$oV)={aj5b?}quE`|G)V zVD6Zx9tD?Kc|=)wI%tTOO3ndO)cG_{W}xW|ENG!+R)BcU&5u~{};p<%U(NhFJBKHpMwk__Ge%rZboHW^$X?#EQ z#?p3Ee-f1n$I6apQMcLB^XasUX&KL6g!5>6*H4MOYwxk=L#qPQMK~n$>?I^KyC}2j zGrG{IY+S3G&a%kTKK5DZsEyppXcis}{Fu0V1X)a|hXuE%vO8<1a4(v-99D8z4=;m^ zU?+5or`V6EeHQiibW{GTn6^r`*j|Yo9K|Y1-k(~J@7^_%rQK`yCCHzXVYlIwg*vrq zNV08N?g?qZY-Oa3X zZ~gRPG5D&Ul&)26=4dOr!2%Ybjmhhd;)pK)Fp`p0YNcu}`FDH1>qQy{uN(N9lGa;d zyJ(h)9kr{&qLZvJA7X~x(k!jV+6R%(yqIMmohVqnsGStAr7e_;MPcSIGE4b zFZhbo*VQnX)C~DxmSpiW|CA0Cm0qesxd4ywi_2UY9~mXk$F(j9EPFo2-UPpgMEVGL z!QYjOl_9H`Ny^evf@JZsfe8OTTLHpoB=ML*NQp#+M;MvA*`-0;C8o1@bGL|Y zJ`qcS%i_6#3{7063P>HC-9+KENT6FRWdw-EyBYPx7%ou${H~F*AsTv~j)lk!PNn`i zpub+s)X8||V6IAt7!?FUEZ}j+P)e46PAZ|)^gKxfjbFF3!LK)rajF&??Wv9s%KQsb z5elwk4pe@|NVCAFMM}v8Ge2;oa$3w4iFi!r^imx0nfo4!?is(xh5J@Q?zorcYWy$( z*c|t1C?w5Aj9hdnIS*n10ISbWy{QudCv{|M1^YVXx(0mhXUS%wV9LbjM6kn!6#m^6 zQzOYFJeP?%6>9`nhO#6Iw!FG74Lfw|g0r!9&PgU{e(m8gS}A?TA@$sIf5$nSfK|c? z-Mz>cF4DTu3zn8E3y$b>Ak6Vy`PNS5n4;#QK!K@4X+7_+UJqz%f%TlBP|8AF9TLs6 ze6IuB0Sc0>!sv^=2& z#K}Nz;fZ#McGf^vJZEa6sE?UoR4E$ulxj?*!(`p{|nI;M9Vp42Ryqwhx;DfT~SHX zqMZr>a>gKTw7iGpg5@R#BZxtQC6z7kaDVAd>6w+FhHwa@ILd|1^-ZXYLO$Wpb(sqS z%0D#Q;)GqASsDYM+Vny1z(J*m*)df-1+;)Ub^M@CsS17kS7ahEL^1*<{+j2&3zxRQkL z(C`5K4ZOLGIZdXqdnA~nb!dn(EFe3C?CoOFY95@Gaum~Jz%DSH9g(LRfFWs0P{=+f zVnF$!0VOQcfAwz&d9ex!g^xY-+W~<;QS&Q#N@H*~TMju&uFR-+Va8K~MfYUroEk45 zN2C$T4zzQ$Gd-8~fXW(!%(DLVWAgCYRdGbXbRA2UAm+}@8(~N4=RD?Aa6lAKR|NPk z&OE3DGix{_{(-@y2MnXzfsB-1;aLI7wm6t~5Wt>*#F(t{=^py-ENJRmX2_Y>M6kv~LEXAO4UL8R0!n$S@ z_K&>*np_Gu1C`#3*`-}egDAxgtc2_AIme=`Y+zkxc3sQVK|)i?&jcC#@l+-hqz48H zvl`0HM!|t44^Z+k%3!J&;4X-f%>pnoIvu+AqXa-^g!EjN;;|?Bvk5AYVA|UwtuqkH z{GvYjPwDjm!9bdS?;?B*h&yo4lKT zu9Yz5tS93l*a7h&T6Ee2GyTXy;ZkV~i?y=8iDEGS#4wh&dfSMkr8kC^AVV?M2 z%S>!a%)GviqqTYC7?DMfa$I(bl$~lW?M)!+qkt5gu#8%URaRv}6?N~r(zrySeo8ai zF7l-g$7=!D%ZwgS1IZ1!;lp6Gqk_NA1=x=H=qgm)zRmQn{IZA^9{TH|$w}Oa06i|U z-h=~(dUdz>P)l{rW7snl>Nr0wop2R82*$^;D$jBPBI%G@PtQ+*Qxc6J4spks`%Y<1 zJ2RbX$fCB!!pqW>XtE_p;9^#x5U3D)?W&YPIZUxW$h)3GrT|3w z6{<>3iGYo`^6w2MMvbat4=LI;gvi8mc|pE^SqZiIjf@MfDWHO(L{EPiZ0}X3h3cFL zlrv9WJdnqJ#U}<{rV1tCQYy8OjK36{NTlhx^Ox8*Z^u_j$;Qa+THO*mpn+Btmgkkaj$K4w7KlIVxukq!Zn){fg)IrM1d zv@*bmyhf{1O0vQLK##(kn1@BQ)V_KSJ98=*q~HYL7rBDG*W<+|S=3EmxWoH{mzrjX zQjT}fO4`7k_kAD8yoiL)=R)LvdzZ&~TB)$qg06a~xNgYqN9E!LN_N5$)w!cQkt4pf z;$#a$#{~Qz;%>#}pm?l8mFN>5WIjpsm4yf0a-KQ_C1MiH{mf4b9W{gtUg3EKlcZ>`a+{0wVa9tBwImjbqYGTO&aTv(JCUW(8;SLot-=TCf=q(RH zigL{2R^%sNsha~zqqyFHDhpjmEJgW4KAiC*L+v%8@~>vDYXYD8QJyLG#xeBe9#no~ z#4!7ebndA-b|~@6$av$Y2V+OC!u+lWLar8vuS!s53qX5PO#Ij7Q^J9G8!8`&7u0$% z+iG5a=OLEv$XL9eUa9n6>)kV-VTwaDnaYt|o2ptvwHu!NjNoVUn*1oOzB*coc9v~=^D<8N&P#VC*XFE#irB0dVtid5LZma= zbMKC3xR6&QO_&l;r|#&pzxWfcPot@T|GN_VJMofA@umN z{Ox$s+(V}G!-JzNmL}ZL>IabE*2^tLU%u(haWtD<2zI;-@mdwFt6&9asLHj zndNI&Im_liWpX6?g`@gn%16V)1O5H`4^^HS9DQvs9n9)Ib|eWW`N(e_*7b$e6LtP< zz3A~c_j3~zzmN5uN%%XTlk~sl8<*t;9jw6rYXw3_UQ3vTePIgctf;#2d1wI@s+(ajLUi!SM-T5NF#q+j3yX% zL=Nd6ukZeOnYLP+{clZjXx&rEaWi3k^2-^@Y2zLJ+#E3>In0?Zer9|7fr+snVf)!< zFIo)kZui23uE3G@CylVYB0ccT39TPx^pz&3je9eD15lJ(e`tcAI`_SUtvI2zb^k=o zWOF-C3bk(cX{dx-y4`P`Kn(MDa-z~Ykn!scXX|i|P19)N&GIMos*12?&$k6_-&Ib- z37tKB=Vg9jLM}chd*K8gHtsmP%1~vgM6HNo(+MTr`;d9}+f2W3&URM#7Q=l>3;j?BNtzH~mckT}E_2t^_@WXFkt)|U(=cD(*-PNsI?!RA@4~2Dlz17L3>D$8R z*|-v>+uPrKAfL3T^D3X5P{+VF7u(o)*SlVOO%1sZ&V9nHl8X=KJUzPgRyO#MYhz>Z z@S9hhm(;4n$<_7z1ctQ8Xlkmb`rR(2PP5*?+ z?%%BZv=3>$wVZ_9YCZT+MqMQSN8e9BkWPB|F!wq0V)OkEXv0>MTle-_ijU-p zJki)C;=EC0R(j_S*f6toS1}9>l4UJ63ddeF`R|`%!PZ;<^2swfhj7;|P*?2L3oiJL zjUWDgqIA@U6HJHgjrYC_!B215_{9DSY);*IAm_;GRc2ynd?$@$)oRhABWVy_`}%?h zH(}##C$1-qUn>jKYU}>{4Y!?o*;ZkChEuLY>7U!LeqL5ARqGm-izT?_6r6VZf$hb@ zH9ikU{f2rV_)M?6b*n`>qF=GqyO~=-jz1zQX+pHZHORZBw5> z2I1VhRefUfUg~F4PJY^2Df{p?_wUNtrtO5n=g>O)w*3l?7bZ1*7iit0VA+s&zk48F zllNmYkbCUyT3J@BMHPa3Rqy}yTwVRBq9p2AZc zG`{+ClZj9v$*UF3=ZA}B=XVR{pvr6nzjbH0ak9#;@j9lefUjTYim6$w%FITNWw8jRKd%SS$bU??CXjpwDFdUC)JkkO2(Ax9idXurD6(rn;MrhD&IZ!7P;p znabj{RK7Yb*zIY#VKYnI}QkKVgLDbv7l=gs2xp3Ye-4t7N;D|$_*RvwQlV`kjlooFy2`-JpU z{bnN%Y#IWFInVXbz;m++V#nhh;m%cjTSNXi!%?xHY)Y|iYIwA{vF3#xhAYoj_Q@#H z$gcVSY!eWA@r0AC*~0Bv=vk0#$C|Ccv{k6j)nhu8?4GT!ux2<8_Liil(0f>HMtvG} zMkG#P(<|+@ca3iVCf9i4G32gk;j18Tk!HZF=mBpCscaRAHERhcE4<_g6$1ysbLGBO zF5=@FEpX3_6w+SKGok1ttH|K#9O4Qu?R4+$Uwi^=v~w-gy?u1lW4p_-Lq9V1xL{OJ z65r!OZkGd_&fG6@p?5u`@Fa3@&ng?dJk)19auCV)4+faMkk3(5m&3VyLZf!DJek~# zORMZPn_nSS@}bw{6C7^F#uX_!@FzsS)8ZT?iAEJ>bq&unu_PFy60y_E>spg$o}SdN zM1VU(YcQH%aJiPL*Q2<=d_R{EuMf-^_+Y8TOD@M+8J7qxyy9GPR9`(3$6EY#t{8JR zS307Zi}efG)d%5_UGVhnS{a%-QnSPYyT^b|O9pd!)XBqpq(aUcqPW2zD}k5~ zoG03JFeZ+lfzrc^PtU;jbmE2&#}w}IJ;do)8QA~L3$Y+PMNx)>kkTYW!TEQBQV2kk z`4MqnB48xo8*$vj+Hh@)IAQ-RQ%NsdaUhyqdsE1Fo0ujJ>8vJj4KjbMZ}A`+-{DoR z(QT?zOG9FDjNl$}q{0hYsDwC<&^;NV67HY2b~-=iffKP*fC#<>{6oDZ_j-*mOYPLN zU^f>N5B0og;!huXLc$Hu>-kpz4*#kVEaj$^Yee&o!iYlLCF@FSTj<`k# zuIe#5d&8CLm2w<}3z60>p^4{$_!>LP_>_t##)5Imi>~8%-*L{%_r@hn=$4LmF}pAI zBop%vUfjAyM1i_nD~Ln@A>JoXD5@h`}eT@MKA21^Al+JT*|o(Hn4n| zGh}@G%ls=3KIj`)E?@r8$9)^j<;xGUJA^Z27WaI~H_@>3f~_aTXZy?5Z&rOjfu?EJ zC(``G*ZaBi$-(=c{~r%HQ-=*Jm)T6i#udBl8&{sXm@fY`Je+)^+x^C8%jr1PH@*aw ze^K>)`^)QJ?)U#8yu1#T^PEI8|J?(4`S`~#KmQ;bdp`d0#bw{A^3=z>FFo>zpFq>? zKH|KbRF&7L`R0D#&$0QfYx`w$5&i&P&dsl{w*y`NRj1;o;yifZTXDL-;Tw8RzIK-F zKv2WK=hFV!Fa3-4sW@N$@}ThaTTS+}b>8>YqQ)R-C5)YfCwM@Y8#oqIq`zfO#uBr1sCZ_xBkS&vk}_{YTUOfOqdZ ziLc0Qvp2lk9beq;K8QakI^C^$*BBLf-!45Cf#63rtAtebJ3NPC%w)q6!pBIZ=W}?T z)gd#p~Qk^txdHH13pAnxwS5;qrln1x-zPvt1 z9k+8W^AIjSKVZJ+cXGhG2%pntTG}iAQ+s z0$n8EJvFE~&x4P54)UVQy0fzxaxy*ZUn%d#1HOVV!w77!i3s!j=yQX9IG;0f_(6Pr zaM0=GNoQ-4d1-wyZhiczN+#K=Nv`UrU#aPR2!6%Zph?BI-kHcLf|q_jYX4(D28U~R z+Bt7V|71V?$w_}oiv20+f7ayuXF~iFDufvS6qmn~PP_dXoOw(AncW)o8*i5e4N9Vt zTSsh6nOE(;9Q(;H@i%)(P#x~=i+u}tyerdLcPbku-FB5R+&rd7w;}_^V-Ojdzlxml zUNiMSjans%7B0t^_tP+2iu07?h0X{SqEm3|-;p#&;Uo9%GRq5N5tCt2m$3~+YTI@Sx2#>pm$9!Ij4`g$H*D<;=D`{#wq7W8# z)R9-f(**a55WKFjMlL%E5Cj#ZE^nwPrb?rUxKnoEVN3V~)Rs-&WJib(xXOU^NOfcc z4~9wMf97LPsXKW(3>t`J=u)PTM-8@J9b9V66}T4V7)jtwH%RMg0OIppi|~?21}SGI z5b@Z=pL|p@#Rr3n|GEYhqd=1dU?8*11tEJF!LQx(1gtrYw3}2gOLRY-LTP<*8Y;12 zso9(W)3VW=g)U5jc-xqn+4tXsZ4&at-g;WZ8tDSQt zFQf5UR^%30AyWy*a65;ssx9O|;BAsL!QTfb$m);+Ek^4eeL59>vu!eKOV=oL?)1so zD~rx}hTyu1gkXF>&A^)b;xAUuNvnY-L8Bl_K+gyh`aPIWCa3}Y8#C;)BJv@AGh{UciPz8)6*T5UfRXj>j8RTzY(&dvXsV~z39vzH=%&}wyxZ>6Nh-mVEmq6t4BSNDcx}s=4NbpUTqr912r+qE?CQ1DJk_#7C zWj$lA3=P62oNEcAxJr80ao5>Lp39}C&v@{F0mu8e{P?$M)b7@=YZ69g* z!=O36uT)eG%8oD z?oH&HCnB4u=>xuRZ2~Y<_XDL=Rr&-b5{!o+K3N){ZWj4e-6NfR$FfLS<_FN;^*Fz3 zqj>NcfvR_CH7xl;mfTRrMkv)446%D_ywcw*(jg})=-`MB!3hd<<>#eA`1E<>Qte6O zX+v!EBDg*{uv}~U7vlA>I&lbnSctpg$aD_*p~zJ?pIVf5*H>?PY1foT`w>R#&1*6`iFqYxxVzYR-p#;*EAc_5!8xl~D?*jykMd zPoorO6cUe;kb~xVJme{rnZPBD=>@}fBS*dN1W6rAzcSg(s4=Oc%Si z+O6!}*Q*ph7&+)?AsKu39NBo8Cc`sbZfKS5H|!E=D(%DS<)U-6%O3m9;w@%L>M_=- z+=yPDU2t8gk42|chR(CGJ4yta7DJfT*M$ma-I4vPbz5!!OJhGnu0E1E9AgLIX9~R_ zAE{<-?l%$V{D?eACtAH}8cZ&~B*&)S=nUyExIV-s_VGN79K|tX{tgG&v>QK8=QlKN zcC~IG3ngsIZw--6d_!))yTX<(I+Zdx`*M$tB@ZqW z{UCjYR(PGJJ|o8zg|0=;xfv(EkmU6N9cO9Ag$~O-#$~9}bKSV8JkPUlODN?bT493v zFr`sb^b8Ghd$=c@Am1{Le}LA)ASF|&zoDEvNHidxtp%Hc`QIOc5ym5t$K^51vOPxE zTzAPK65}Pkb!=K%tUH~^Nf-cJGA=j<3(tcDJvZoJ6te8nbFe%0O9wjU0ol@O3UJqm zmqI|G#XUdu1dWjh(5Lv(hK0B%X?KlW_}Ov@#<3!op(QfHpk;E%vrHo)R!$uBxN|{9 zmPDcP6tL+UTg`yFQ{LUKlfj~mj5VWfr=;qe+e3pYU`b92?xG6j9L)~^Vq)q={~?*R zwN+4}u*2M#;;_o(D%qHAi)KLnnGuq5rP(54Kj?x+3H)Hw8^lTmz8(t)+(UT&4!OVU z%xjz&ps{bxm@~!rw|4Xr$WsPP`&CT6a!X};;|9G51JBbI9j-CB?D)s>1REAvK^5tG z-Pv2{DaGyI%u7F1*MfcZ()Q%v(iP?)L2U#kUe(H(*+(TmmOZn9=91v07Xjj@l$^tW zGLoz`v|~B5B1go%0goYu>SituZ}{Q7{$ZxD3Wv_HNCvtNNly+@Gt}HXVM$*vWpl0E zB7Y}l3}g!V7aio<=S9HH@w&d{o8BeKli}THVrD_>n7Nm{TrKkPjV`!D@dCWNmujp< zMnK28*M4$mBfaOSTqE#>S-lkDQ@w*ALh1j=qxaw zW0vp2Iw9=hbLz0H(8ahPvQvAFS6EO=VkkI3o2j!&Ny_9vYf8Ni$lRSlfEEIP!Y_zu zS$KFPkGWQeOu4n2@b;5uBu(|YD~m)0o_#EbKBJm(p{~Q?@#0_+aEs71xdzbzV3LA}huSse4S`$Rg1U=5tguk1-rbLVlHa1Hty@Lg}?VBdcSR z2uko!bQaYEh{S{$i^o)s0m;xW*)PlpaYo>@Mzm4MruajNI>4u_3Utbx7%@Za8WkO8 z2PWALERHE!Npgk6xu_C!w%tfEb#<8DSw)DCd~`u!$~yd|v*Qb+r}Q1>B%ryz`#nZV zJEaE9j+h}S&|&e>IFER}+%-<$Jfd(C<*)u{PX4BIUAgJ9TiX>jtH=+XUyI^2c`_>j zy3v8N%T&NJ5G|f1IT)3WqicfNbL?J;WS2L%xp3ije^-fm-U9dFef;Ky!%C@|rlr8G z*1w4^dRyY)df*q}o@8iZpCTS!qaq4+wJ8G#QP9l3Glj50*Q|5-Qc?xOS(9In}S0NIg>m*y1; zrrCT40XKv|@p&TijCU_$M_egt(>y|0WvU0r!U8O*SDeuYBwQ)XJd`cupq9M`_^q(Wg%iH}WH+8Of&(dJfKO+a$*zKtcxvV?c^m zl3y0-*M7}R4p!?fP;iQ&aUBB}SIk8T!Im2i%A*0?@o79%ML~p~-xWuugA^9HWnzxu zT}9pSQ_IpKd_GRrIf;YF6CtiDGUh_)fBM%#c`BKxTe$l~b7H1crgIq&SjZ&d8ov-% zu8;AYp+`lw8fTZ;-hmql`X#1Xy+GiZ=fR9LfgS=RG7P)Z6T+Fm#Jd2#6ykpAOO}EO zXxw6bj251Udb6kQ@PMSfK7hxB0#FoCEtR4jw~!)*HW>3{O<>+l1_|nos$2unA;45< zgW=ZDhy`qr_(+3n3M?QH9y-F2#4#=2Krc~OFXosy1U=QruSUXOg(793TZ$Fb<5R^k zQ~^X9Q3b5%gH}iW{;eK5fJi3A%kaTPc!elwLX62FjS)SSV)A|PV2(hTpYo$LXKC$% zWJAaJ70a*g%VR+ccDWz&kU@+4RbB{n2=n}%=agP#-H~#P2&zUAUP+4c8!E#5Kt*6i zmWA;2tZ&}kc_t1RhfN!h1nI&902PnpwETPM&@a(1*{wY?VrFBumbxxHLHW6CV1Xtg z%Fp0r?Ae^7WBz>n1*MdIT0TOSubYlAn{$y`oK<{S({c%v|TFd7!^s zOsWsVO^=tusAS%Xs-NzeGH)4mOys}hN#DzVL+V$Tve)#N@+@yiKEhP+n#%BjO=$*o zv&Tzl`NYek=`(q8dEBdyUsy68@5?!@mj8{~WDxA4d|3Y4K&HL8-1$|DR@swXTOQx3 z={iD;dyRc?xLkhV1-8(9x&BY=OTJC>iE3$Q)3xxcY51Xp41VH_FwPur^O%pB#Ny~h zfABdM3sYtmQF)g>K9XRxtLiLj)pOgm;-$f;t}QZ@d6`ZfJ5K}PLSWLZ+0aePB{munf~hkt5>gH zz54Uj`)VHwyYQ-pQC^D^Ht5gH@@}oO+#4}sxkXkMcLKE656nYeE3^D|w#fg7NtE9n z^J#^+fK9S6)aMb!D7Mh^U!=g?v~Ma(v%#IGhag$I4+iO<>@o?r}16{bF}p{#y5R(FqzC zpU_2bCZadF5{Y^=dvI@=&l$J>>gB<-+mf^2k$6Q~!z*`|v!kyRPfTK--7Ys~#ckvx|>M<^VCH5qvBgIR%_4^2O08t9@uWe=2g1&Eb#S7(`TY9tvUq7ROCL_`$%3V0~lti=%~d z5aQg+Bb{)Zf@8jcQ{A=v-Thdrt+cV3^>0dXr({g}z{%6QrMTKk^WwX=qURul%q+Ki zVWcRqG|qlL*)0?kIL3dt)%vsj$Xy4kC;tQ}?n8Ngr;HrVKe4@6g2Usdx8DPb|KRra z4UK+vaABtu$ya;BVX2(($j?Rkx!X8lP-OW8r??}ywcB^f5eGs}zdRs$qs3XDTO{L) zuQSDJ7EzV{?twDF=9o!zHTS>?Femr@C(4o=dTRHzcfn<8X$>VBPI|omSo>}Kjk6pO z8FRw?DBq9QY{hJ9#w4XjyQAD96;+(k&x9}@HyZ!m>i)j!%F5}#9iZ9$hu&?*(rg`+`E7)#lE2ENbHu zts6G`)T52pJ_$*nsRv8*=uIz0+K zhYvrCWI|!1w(j=k+=|1+7E#<}W##&Hnvu7L=if#l!9Cs_UWogSw+9z4#Q3Lw#QX<+ z3MwnsR(-7cM}M}{p&`)i7{T$5?`SUf9{&BQ*Qq$sIJR+Ib5NB7tY0+S?y- z`r!VJ;R0ycvG)10Y&2He3-kKCy}cM4>u-eXXi&Keac>XeGOMqjCCTOVBniS_!H+b^~B-X=4~@h|#v z-?W?_e`JAu=+Gc17l)fiXhzhyk>*`ea|jriBlnlM zo=EF;IlITP{#I+`B~ogw{N2Sq_JcQnmrA1yV5d{PZ*A;s8^=4RDkW2_hGJG5fvmi zYi!2UkbVKahv9hY&x@zxI`nt#e27{QboX$)tezyz3h($a88Q>3;P|ahhl1hwq)6HD zLc1HsU))#XYul&G1qTBTG4W;?f#ZW^zVAK>L0p#ENebY2K9sI-JYT`Lsjo-lSI1eN zKSOHxBl|d{P!xK6B(dE0;0f7Za355C3SqgyiPH+Io||E|-zx#uJifhv^rjXx7vkH6 zD5z6)%4S0}#DJ^O=*&%Ep@LITrdwM_M3Ko(&quDwXdQy%35Vn75PHekEevCjr=fV( zjbug#?}1%w9-rl4T0>D%N;TDrLA2&;9>1=JgB1>=A8tHLVVX62&lDBM56JCS4qvy6 zV2tC3G%IT``VF}}9Ix>zcl}XNI5`@jNtDF_ouTpY2Oue(Vso<`(MIm%Nt3hU&z_4T zc(xhOA;ZZPAv|l2Y>XnW!l(>=y8e)dY$n^LxF;2$8}yIwrX4 z*+pc0&8;@R|Ef|}(@m#~ee5Q0yvco{X=;~^2ATwOBgi_sH@1RqUx1R#NS;Biib5}w z@zC$atFgpBwzwI<@z{NPVwFB}WSAOiO_G2j9leoByt4)BmJV`_%t(TcIQidB0ux0)D z0Q%U?bLC9pH$G1i_~m2>q)Zp%v7S0H#jTmPUaN8$kE z<6i{)AXgIZgW$v5#~m_J8X*{(&V`=ooivuh2a^!-oeziLYBbD7jE>Ef`L#ekLogcJ z9uf)6#`zGd?3Pg%kzYhpCSb|@%+9uAgA}>AX=GcY;jri^72*aiEs0)7*8uC@$!R-5 z4HG0rXVl8s4I&=QF}0c;<*We7(NBm9=dYu8si@Yt+RWxgbu!bJS@=PCW5A(pJj=4F zW&W5UCgKHi%PsD>69`ULneB?+{$MIDuv+20>Js5p?qMboW^ZOOkTeS)hHI3@$c^Kj zo!N_H_yxQdm&i}815erSm`oF2#;6pV=?os`qu(gB0HtUa%>1~>tv7!URh?S#@%Ogr z-L^-M@B(4 zccIM;On8|-HB2eH2>ZmZ&bRT=ei3NgVYWOsAA37mW+q}tY&PAYgrS#+ELm*`ETTpM zjesJ<94TF|uXzGXYkAwhB%+0%=1m0GJRA1$s(}Y(BKVbrNQC1NZayZ7K-N5q|Hc27 zAX_vh?~IZi(i4zsB&>;qs02CM0ZxaukvMo)WC7by7XRQkvsA=}eQY_&53eio;wI5t zyj9Q_;c0rN@l^;nnavo-7~#?6E>bKqB?R)V6H98+i}eI}b_lAOgb>L=a};}KzhGLM z*m(#G#o>cJjTy`)^8(Abm>gLaG9yVUGr}1e@ubC{whxw;jAUc;k@}wDVl9JGx7do3 zDar@|c`9gxBw(7NsL@@Ap>WTHdoKBJo&_sbr_>H<%^Ba3G#F#7V8RGByPhNBuuqz7 zQK&IcEUI-Hg<@>Fkl~+lK}SC|%0YRnyS*m1vB2|Yz7kF12xhRAvw=+9GfHJyySQmp z*`_Zw&`-R-Vd+{O2_vun9YpqBZ9g&E0^@iyA3dA$`X8`h&oEN5-h#Sdzcp;{zB z5^CV{)6mR;oy8isI0t;*U_r%JOB?q+<3RR8NEo|;g}M!)15jgA#VL_Nh5}`<@unq? zS}(~pkOi!jVXtRxHX|Jc!4u5FMgEU}N)0Rl7F`F#?)fc8Pz4a}e+01Ky2l z?Uwj})CrOk6I<303F?nA1fwrun8=Ul5EO?q5sA&fu}D@G50nw!1T|VXYA-x92x>Ol z+E&>Ej1w*i8fuEc!jXPzHuwA_`*cdpJE>$Fl+vOP-q#`G%|~g82_TaBkRes-Y=bUu zAJ)Kl>t|oiu@~cnVtvJ8ZdhHB2?4z_&DbsAU?S`J$|D?K8 z=gu2N+Z21=c%w-7*-YQa(mgh>UhQ^K=mE;}&!ZOfQfqqVn|1>YsyIJ1Xg)vlI=K94 zbt}#rZ*VKlrwvL+OrhuUn=JNGqM1%AXjix$$CQh5H5~TeY2~k9s+4H#R-Ez&)onPp zUeA+4&+E6_h0#U7%RN8faOH|A_PoTcIO(3BU&*iAJwHEur_F~`3`)B_Nw?y(+l>ZP zz198~=cN?`iY%Oa+O!GF6FK{kdETgZLZ2OSzBwIygT}oYvR6&r#rAFOju=)NBAWr0 zJHv^oh`!N?J7csu93oT6U+MEb`N`=v*8p`U)AsfqlKU%YaB?WNxw<{PO4B#EVtR`B zXq`ZMv;-96R_>i_*Z{;iGdRw}U=2C#r!*akqYV|AUfzsTqyy{w*qR!YQ2-x4ijXa& zHF4ZRv)}U4ClV*zZG%LlM%-~Dy&i>g zuZ`{MT%zyv7$68|Zp;BdL|u=YjcbTDOU{cycJGU#d1Y8!$=9;xtjLt54g#^{S?$6flvFx?+R66Rw92%10gCC?mGT8cDx&3gOdx(M>K@I%%rvKcJH_)siFKd-#$Sug}Lb@LitrLjJ8(Rp!W=J1}eg{#Havwdqu z&dM}1`G)AIF72&d_w^~qkXa@%O+spg8E3XwG?kPv_-q1({xbbmA4>=QMte*P$kwh zM&$ocW`d!34rDj@@)>5N*t6Pq@LV%5aaZX1+`R-3G*5 z*EsLJ`n6w$7My$T((%u@{G8!WR?m3K1ghNu&}5WOf9cgAHs0NE#7w%3!@BHU;MF5q zeFPc7F|>fN@hr=3OF@`ni|$=|6-~WrK-VqN3-o@OmL?{o6)aDF;a0?_2vvA-#R#kykSG?TY+{Q%Ox+3cS-_)q zBEnf3&+Mc1TP}L#xC#>&!UM9MHX@ny2ZU`uS=9Ckl?E$E0mJO;^5JpNTA(Y1xNclO z{CWoGZ^|Und?T1iNVtw1^tl}(6FH0MrMwYH0eS|uJ%R6wNttuX(O&&gBi=607ExlNz17#sR5<#-U?aw2x-B ztq1%3sQ59*1dhf020ZeN(r8m+vFym19Ux#DnQch5{i;+^I+)*(avNCja6C*Z^=0DK@ovA zA`541i6t;yUMH}&P#z`1SzENL<8(z&d5tWk0xKg6@wuh(nnkD+Ae!vL*fVS*>7^ya zXpkaMpl8bzaFk*?7c*?H1PhMEM(%7`ugS*{XP{X^P@DV@<+rw?GO?dn(`jhf`!6t< z6i>-*1yVg&rC|bcdm@Gi9yJ1#i4IB;lqV)DoUH~( zklM-eM7X^K!rV^i{G%_zwaZlBSmAjG>J93;wS^#O8LqPkvq?1pB@3d)UI8OKi~=wE z<*i6SE~7ypM2+>B^TcgDR#Voj_JsnSw-!W_oups_Ycs*2zzn7%1HnF%sd6thR;An`fiY^yx+FezvZ7okohMHGy_D_Mv@qEEp>{8Ld% z!#t&>SmRdTm%*;SzjQA*xVt+Bs&e53>h^{+5wWutFlFbD%q)GIY_%X zkDHu62WJEtWm_L&fMlL|Y}%FY1_>=4=*2n9)-Ti0xx0Q!^4q*ljwEw^dVC8is2fWA z31!>E(-O{OKdYLAj^BQWI z(`1fPW^4^+EC-}VFG9BJYEq2sUkOjnW5H3PhT}L}r1hKA$>!yuL>R-%oX?vw^$?Ei z^<>h|w&`Z{0h^!GsvJn<*@c)*_+75byLL(qOnWv|y7LS-Fz#22Y21Rsbrb?JU`&d^ zQ!u7@X~2QdYG}K5-J~=2F{;u{xOPF{OyJrzjRf2aHCcKs1(21R<}f zRn7Z<{l~zt*uc{Qs3lKAI8nod61z0;v}g+V592{bjOqpuz8PBV6BG=gXtd1;jib?$ zB`8pO0E|L3xkzJji4@XGjpRmaGr5=tc-2(wg?~Ut!)1$}hs5?|<(I4Nnq-|xv6vc7 zXCx}Lo)Bg-niG_A^AsN`%gPZ%22QHnw|-0#_}gU*?MLE$c1_%Xc*5y~AeZVN#YKuD zopa!w`XWp-V+>;SvP7w9s|wf>1K(4o@{l#JY5dTXkT(g|DcpHuzJi9bgn69Xv1J(R zosU=+oAnsfXwP5}%|s9o$5R}kval3Qexy3!Qaqe=iV_&IR?`f`09d+28mm;aG~oij zU|{5TQUDGULCIj>Ez$g4r|jZ(E=hQz@k+wK?M`RLa-S(umskc zW@`+TWVy(q*w)zUjk^U&AMoHfFjk2QvB`236j(PoPU<8GRnQ!30*@ffj^@)?1@bg* zK?PhZq@$Hb+gCf)mWZ;ieI_}ggqY^hpv)hFvx99V+5|(vA|$C1Edcx&tLa%=soRE> zYL};yhf-l@>57ab;F$5Vl9(+6!H9$gsgMv#{g?r2GRhNCe^wnfgtBQ2PMbPeU4CSM z1Zb;a3U?$jgNCD&2Q@EcPIa~qKo?Aq82_aPCd}1=s`LXMk{ZFRlu{FtrV!yY$ww=n zNC3+-U0gjRJFTQlB?2WWL8ZZr*rIAZ(wGB7#>!#Yqj)jNT7~GZ{mKDtQlb46+W|a z>OXVOSaSas$MK zLfz1S7OYvaK0%yG&KBSpc*`C_+7OP+d}Y2ius2>NEJ&qPIg50X1U1eGlH`u`B?`rm z0tv&9Z80(sGKZ45`byDi5iItWnEEXUtqc(cFdTTT3ensd1GSnXnLL0`GHx>-5H6UO zgpw194HQgB1ds)IP(H>TvZn@+QX>o|BaO3RF}Z>2kynZpQwgbEi6XJe0I*#fb*ccf zVuc+pWy>W6@TifWzsgjuSe!ZV^UljqNaV3*s30U^WAI!;h0?@ZeL4}7V(V~2p;6fclcH*L7 zCPr|4L^8OTjlqh^VfY{Lhb`{RShuPgo4BYEqzKg%naX6(kM2NemZ3r9C6R#7@k=I> zoK}G|D@IR&_JPEMGzOEb2?Svjn44GvxPefc3gq`}Z{QVLE0{IU7TP;qKZ z2~2%9WI^tB2E~=Swu}v`6(4L5M~Z-xIUx_mgAty{&rGlfJ&_5Oy{395M>0|jk6Iv6 zv}g}FP;=%M3ra-6Wj6gI_BWN>c#>GgjwKu5R+%myvnjw_Hqnd8HXp_c>zZK0u=+eO z5wH$fZW_)`!J+#`l*P9p7r@R277OYxB%<^yMw!L6#GQeU_w3`phX z4ACj6IP;Uu2p;7FB2&Cn%_?B5R2j|y(pGUf9Qe&jJI#)yngUaIz)97sfE1cw)vs6y z-Gcywj0w@6#wL*rm4{@hFW|GjpedBcAXtJC2$3Q%3+>~bNnT+Z9k#75(>R|{c2yZS zz>>CU2|Kfvn*U^?xuW=DknP?rh9y+&Be#)D6hnVMNK4O9D?L@qZ2OfZk2m>|iZ>Iy zkN}5RB@0G1!kHPF!Gp9=LQEV2*|~^CC8VS-R`&&7<(Dd~K82yd!(4We@yf`lXu3wanUpJR<^s z(u8==4_t#yZaTMRbdkq_A#=p(lDx4`$y78*7!#L_9@OB#D-(bac3?;~28VdpPfe{D zQ&$kAJmzCY8$N0hEzA%w6YjaVNA}-5-&A(Qzs_9B!ew0%$E>^QbV`X-)Ix<4kk77Q^Vu$qz$c}mQ z^yR=2KWG?vE3?anT&KG-I@OIxTD_Qe_xbQoh%snnEt_|`K@CMpwEMRQ^6%&)!k%1o z?)h4~$MkeY^bM13Q}~&Le1~q3bON6?Bx3F^Owy4U@9_2jb#xm0u)MJ09$n;~Y{CWu zv`)S9iHS(62&O$9oMD6bl?&1@ZZGepne-qs)yjvr3K@oWZ8kqtggGzZiYuAOXzzOyy1f6$7FG#qhICC(bKMMkBZO0b}f0RWYGw#MR%rfx)Axg${hWou8xQ7 zvj3s%@@h$;yMD!{lgAFpDC8y@;K*J13!A!em*$!+L`~&8KLY*+nQB z!7{JiVEdlJ&wT^-V2an=Vx4k@Ir1z}NRS6Wh^B+%hc-FOUd>(U<$bq#w||Cv%qa%J zE>Cl@z4UVy;n8@iVEb|;zcUNHVlff zulUV)ii?}Vlk&XL3>jCIx!li_#hWLeH_ABchv<%6fFs>?br53sZB;Z9i!CT!mrceCY-7e^%nQ{LGb zDHBL^3`Qey%XypJoHcH}oS;0MqtG)Vcc)`eY9B%kCPFa(FOpWnx8crbqDE1)L)kK)^16# zoOrG*m17pB{t_W3M|25yA9}oP*&6Oq=#jbR>V=^)TU_mNx6TA8gAuVP@Vty7kE>;C z#Xh<&W|u(8YTGYk^BwTVFP66qmq(a-cC(dpJ3FZ2ICr);)Jq(Jk%(T&rP@-v+^0;` zr!6;!5{tK#aR+?{aM}C&l#^u_FUDBUR#pasIC5@tbLZt4q5H!ZJL8zkXmehm%dvcM zkO-XZ@O|`Jc5M0G!H8RNmX~*~b4;6qF)zOz_dN_e*o`IcZkI2<8`ad1cK*CuqP4TV zeN)Pn=IIwmJY@5BB1ZJYi3^r1d+>0JgVr_fE6HCq^@aBF!7jFcU=_P` zj(4mx6ngRt15#_V`MF<=u+lNx{}DGib}W5ykaKe9&zG?-kFE@daa422>0ykVz|3TR zt6Xh-X}h>Sz9sJ2XF01bhnK$eCC)#O*Rt{paag-_p9(^vKK z$od{%X5*;-(Y;|T|6AAr!goeS=#`cHDbVKtY5M{VoIM;CGpi6=7wG&**15P(@!2vL zpDZ|gz8rgTht$jM>rqX&W4ISBxx_mV1(kz~?@C##ZFesIIpHpREZjiYF2At8a}&bL z+0Swf0Jq}Ap5%A7y46UeS6OK6K|i-k{PYC7rrzc zU80Ht9nhvbGTMX1$Q9>w04K(xG0Pr$wDWC+l^#ExcOneQ6m&jDC+zXZw{OyMID$GH zqF-|;BKMqIs=xhqSrPt@#TM&(*JuDVdiH4SkNwk%@F5Yt2FFJuJU1wa5uW)PSy=s{ zVzQiFWcLVrxj-*zJm#eIK!T&6&rk1cADmzMJ8+ZT8-RF?wikXE>p zX^f;9v{5Ah%DHoU*jZ*U4D+<`lvcqFG$ogC9C>?Qmh?JEXGC~(ZC+RnA7Oa4Ji}+i znl0N2JHs)ZdPK9JzjI{o+X0SWUVQAFr^X+}oW@v;Y!arOQe!DX>^cg4?L4mR@W^-NDvu4+q z6Pj}Lf$L&W9^fObCCyc2ySWkm_;H$1>U>Rdh98D^Dn@vY$cg!nAGcC$$HFjQJ4W3U zXK@|UpqNmb&x}V!D#FwJGz9Oxw@F6!XXq}Lk-HCejI|&Gvb}ahf(ccs9YTN}Yj8Z~ zp+`EWBc+_`+{h`ODshfpR+^80VH<`tj<>obolSAv3sjW};isep*qk!q_>H|k%w+W) z3u9iEs-H&@{yTj1(frHHH$WTsfr$-5V$>n0S_7zHvy!nG_V;rnVn*eVSnwS3#Gpuc z_aaX@a^HKWC0b=^aq9t2Q!0aEhcP8Ll1aX2cI^<~HaDJR-_|k{V>XDcme}|F*5wG}Ad>fB!Ju(NEX5L=6nlxN*U4v?t zjiSZ!YV@Yzz+~_ydr3%FQwIWmO-+jlwaSH>OqVUI0n1<{5`J(swM(N!WQJ06ymBR% zR1Z+6k?|LlDdpe%EsMd#2nmrM$rD0lCl3@1R(1YKV+dF+%{C!H@wAjItO0CFZ9&2Ga0qj-FM5>803CH3~q%aOV+E zs~L6Au$9y-c-JIa^T>LLspc%XGAp%UgP0mtxEK}|ZgVMf-7^WE5gxkBw?9g_QOxFe zKW2=!vJBc-8I+DZ_*b=X<5hCin3xBkc+b|- zJ*76yb@+!@_uHrEH^&^$vv#x*V2@O_+6kGzX1Utg{3fXhx73M;&CaMJHqDc47MaA4 znVeF2MMSo*Jx5~MkPFCHD!DNX=5MFLRpb+EWyG6pJ+A25f_sx*sC9OKIab5nR1)mK z5-h_ni5O%J$?F^260vKf#y~nkA#_tCsli1ta6GLD6PRk1PDr4%7|D4gt1$;UUcy!X zI5j0cD?ogD;`lKGEPS!bdpBH@BOZhXwFzsM1=WQ$017$~Aqeo*giB?6&l*k>=O_LM zVLNqT<79_vS6o92ST6qn3OuFtry`{?3LDhCJtQ7G`K{3ky0;<7OD-^d?wVjIi;*Fj zP+9pPngiKPZety6qg|oYtO4C|G&#W}MCa_+6N-7y9?;PjR)BG8WyfIk>LewJBnT|h zqBJ!K32}hUJrnP_4jk^NIgG!ff^WYQ%&P;Q7`iH;sO4-#bUsS5n=s>eRt#J1P^u%{ zth7>0VTTA)qS+^q(o;unhiDvM`zv|AS0el56qO?xe~U2+tgh>dLfM~xLL7IMxg$C|f~Pwmu7VNeN4#t$|~59=4BRCr3-ev<2 z^tWf=VV}a$1Y_6iEJKAb5bYUkiCq_sSR`LqHhGkGj5H&jp@m1M7A%H~Rg~@#@JdXf zjJ@0DGo$j+zn2_HT(c`xoD`BCIU^V4Q=14D7Nly=810$5H#TxAn4e;TJwel~gJ*Ka zyHN0@)=Q!30n=4@*~o6Dim%;;QU%_9R=C{t{m2GNRJ>$13p-ihvM9}uexxQFVnFyx ztpHbH6k`!OOh|CIPRiFV77_X(LrROzHmnOk2l_|E!Ej~PJ+&aVJK#e?DszB~!xs*M zqS*&EA~)tA|GSK6sGj`NFLAF8>Mv)02^Aa^R?hHg-lU3CGD|X*lX9(_RB*JLZlsyc zHcb`hC7JzF&F-;jx9n~m?EE31-121_sP@(KrqJ^xf9=fc zTWJo>|Jn6FAOfOaW`wvx8tZ z8PZ|4=eu-`Abcv$&!jt(?0Jqbkt^G5w>D#*KflQ^jh%Iw*uY4rq%be#`!|tbCmEB( zD0X*FQ%J#;L>z!>wd_jE>`KjT6lV;=CCMj|Xd~lmCAv^_#mOz_v2(uH(o2zH%q)z+Hu8 zcN2%Zj(@eScY~|k;jY*01JPb};~$Us|KbjJ6aNHywAtP8pRj+T=06d0;Hh>m{#7wR zJWP9?WTN<_&7|V8q_MvfWh5(d@s!jT3Tu&9C$I!3Kfi7@%qO!TA660gGiyd!3Hic} zb9rbGWO+m?(dZuL?uA7&Ti%j|yBK5=*~2<%1X|b0#Sy3Cra5h&?=qf7?6^G3wp%i3 zj)S(gPzTd9z&WyeMZOD%!=Qt!vJ0?etffD`%=G~Ch^Cu2db!AJH@Bg3eYOEYs>2@hUyHC( zT5L4@@Bft~YDBs@IU-L?q!pT$ii)WPsBFz}=Do^;c;ehBXOQX9Bq7r%)08!M%i&Ep z(d|K*)h*;=iQ5y#OOp1AW|XZ#|F;~6)dBY#29n;&Vd}Y8Tmg)xvc)n15d*NsfMD2* zDwX!;q|U1fo5w(Sa#4n%N|AnO<&qE+ArXx!f-u2&c4i{tjh85??mnbs2BK))wiFti zA(Konmu5WH#%_=e!NEd!=@6{~7J;m>uM6wEQ%si6a#hGWx_sSOOu6j60E=XtIVSef zlXgoWcqmNCQ3d%=`j#d`Qd40#Kan0PQ29FqJFO zFnm)MD`oEHyyyV2-3djPY>!Y0Pc8sZ&P;$Cxa-7Pf<6g8>-KVxL;$s7kgST2O%mo| zls#11-hx@XD%xU*;1@C%miI^#MEYA&JRNYo!16T6un4bM_4#T8rz9zH5lg?Vk_D9* zfI}IfG(O{mwo+&{5pqM87kq8At#AjVj#q}ZR*sZN6L@iYs>L8O*qT=I=v)s#@Q*Xr z9!OXwS!DuN%MA|d0?{JPKvm3^ybsk~34Z2TlE1eSEVi!iJZ$YR_Y5i zHq_i0!KBXW?|hMcnHR0h^h?074ro&Av;zd#01%QT(@+VU^w}xF9E!GZZDuO+C;2xQ z#&o=qi==F+ar;GP2v&=2v5JWg`7>EKH*ehZ)(X>Y0lMTI`CEmgIqUGJ{7#t~XhH;E zM-#bDRs28ypFm39#{z*J?LcqxuCLU@j}zlk8;>vV0#3d1GK)A?DSQpc@*+p7%W*Ro1 zHlQ-2H!6u^F{#rKIJ3g$GHi&9iLTr6EkI}Q%Ufk8I_xW<iXz{!6H1J$D{;2uLGk>AvtU56R&mnEX2f|@6j3w@n!N=8YqSoCm#n?w z*P%)oJn^K?r|uQe-oXMJOj!jane>qMw`AmqHa(%KE z4=GpT9yi%eu~|T8C!0o;aNt3hOQ3`Cr95dgIw$O6@y%x8yEWkOOxaK_@5%Zs=bY`Q zLd$~BEVuUrCSxH)BgD(h5j^|^2x%Bfy65glZ2?pA9<@8+b<{-m%8Uff<8lrzic>GI zP$@Pk8ks-Oyr3T5;^iCig+i$-hvwX15@@7e@dw!>>T+$@l}!u(nK)BUGq~X-P9cy} ziaSkb&6?&tS)9$sz>W07ljs<|_5zxCpOk`4ou=VV2axkrv#~S%{fDRm75D5A8Rg9| zX@E_{=q^mz+)2ZcZV(C2avL?F{5``?au0?$<-ZagZHQsl1?0h|sZ8`hgD_xwwe=W% z(0(Q^VXc9cL9kuFVy+=kaGRNR(&)tGXqCrcsCf*QKu&N_U|^!TlJgD)a5&KdP}U&y zI)3{9+!9nKro{NY`IU2>SiviUnpW6IX{nt;RXQCKE|qHt#y&ZK2cGbmheYBLbqtn# zhb}IHVY22)fCOm?J|AGV5G-MZxASow7=aV&WD^&&(fcBC89&f)B@>Z|OA}pizy&;` z_Khu=eq^My=^~kv8QW7M>5z%`$e!!v!NJpCOiJ zAt@~wjIBsBG&&NHU^T6-bMYo&RA$CF4D<%m0s~_vT4@7w84yC}U_$%ZZ~@K5DtCe( zPJ>44n1gbqA!YRcVKg7HmNt#@YIZP9*UGpdu?9O*gk|<#Q{g4_XTn2>JyKAG1Z9)f z!s*WIV1rChQIf|NP@-^tm0x8_{02Q4O9d*zBdEAIB2@WBei(&1V37BJ9#tHt7fI>S zVf4MKPINtnV{#|sQ$qm__yJPKG5S9tY<77FN2YMz`>|) z5rh0dO$Hm}>+qk+6PU0K^>Vcd{oJrF2*=_y#UCdal-gw#;3B!1Pau-q0f4D}RwjqRlcBOhB7g$%_>n;Q^(<1;e2{h*HLnpDXS6E#BvGd1 zP=tYHHHK-o=s=O|B%l_Ne2y3wc_=N2g`LS|3(b5ikRMv*Hif%nxFIgt>8h-;j{%4( zp3n27QeZ`*ZNO@RWa+_$5hW64*ki(mY(=1Va5D%68G;V=%J3rx!3IhTa>vYE-0&U( zq@^d&fxhv{3RSo_Qk|^mrPJG#SvMNUX5aG8>;Y`*l1>hl=8IOdmysDU!vh^d2+sWVvdMgA;MjACv|cQ>{mg0P^%tE6>{J=!|-JLmNX_@<19oa#TpX5 zOldku;YDTItRS|FZDmAcy)%Me^lc{I65G#EBjVr)$v*HZuB_enti!PqpTGSJ@~ zgOZBOsD$gAaIx+PmXR19Ot7qB8Td*I=n{50$xrje%w=X{Y#lo?hY`}qlMH#Y3^AIB zv~H!TwV+z02^#vE9X_#Y!WiV@8h2tfbsc<`4ufDwqb=Vjr~(W-g(9$_RmcE(TuJh{ z2!{d`knALgIV4)-ASCGl0JYpk z(z&e~oXmkh7b8zwWPq!uY!)+p{np>GRfLg=WGN6D+BY2%-qsB)&rg_OVJShEMX^Oe zT9wZ1rN<|W9POYkwr8cmX@j#+e@XV4xQ=SSL)ixlls<=GQ%IKbT;MnsZXzge* zF#@OOo%zl5qVXXy6L=b0Kp+*Np`yjw%FR#Ag(>aF(N)9MDz5w{F-WkBI&7{0#+DWI zX-_W^_kf?ZbDEI#Nn@Fd((`OuVhRknD|-0~3V!;8JrB$jj&L8g@}bq`ZyQ^ImO*0c zu#+s9lX_6H)sjAEGoOa7{nEqm`8x85X;1O2^`Nv`ababjwv7}pQKvzU`r|1I>-`BPTd?`SW|gWoWoB@ z_6+LUnEF*YIUDLWWf?N-9oW5E(t{SgG%w!!ra0B*A&?A&55UD8Wvw5~636eZp+iE? zs*ced2k#`UK{9W%KdUWFh8rigVp(S-%px&XiNYhf3^*<mfk@D-QW=Ht4yxP~ zX?0CaVF^t4G=&%|R<7ho53q?iQhDstnQeg0F~c^>N+UVaqs}uj$8(~jBc)bx>`MV; zukyfBhJY}D0x^kwwu7l%n&qgC1Qa-|3bllhpQA*EASb?ifRYlx4~>$8QZ`9K+6hlM zj`2}#fw6oWc+pG0LpVDeG56OgfIV&e*5HZC5{>tP1X*-oEs%xWg&BB<#a*J1Hd0~B zo#mf@T4GaAWvlhr<~3bhi?y{=(f_Kr_dStk(~In3^<@$ni}b_Y9u0iX_E~mk5|tS; zB}Wr{?D=)`)mvR7XzT$#bhB_)V({IJ1K+!k4KEFh@ryMs<#2mXz5F>>dZx%8rlwTs-b$fE<4FSO;-F)=x{ru}Oiu>LEWcCY3Z^eHwAILYdAn5g!tJgxDzn8gJkYgKT zFSAFojjy}yN!Xsuwl5&?^LgQ$b7auh9+RLfspbzH61O3{nS7x4v<_-U8e5gPCfF6iDx&hsy8Rd*LyFOp(KaIGBDW33c*N^!a&ajMrxp*qO z6Whg9jXQ=#qn&L-?85iMd8@q=dR6-o)~ZJ z`AL@R5ii#^nrqSdTA8u!IPU@Gd%TMCSwo&$hE}iGOOxKb??GfZIZteyU)s1`xH21R z-_G#CI(HbO57SI=N%qx!312Hk9z#f{o4(~YZ+V&A&X-+Z8hcy2GQJ~&zk5g?o|oAN zzIR71Z1p%}pvC&)SQyei>vBC5vqhn&etJEX2HJ#gM~nQp-y!|f>F;4e8R^1z8$-il3k7O4Q^tv1fa zQi0wWjdTJk{zdv3S4H>)Wu?`6({gjnxY}w?Kp?Q?H0wIeH6N6wdT+M2Ua7# zANwh@?{Dd7B<|AciT1k-YSwH&_wGL8^OV&jYuG1lcU7(~0r$c8|JtvOMoYCzHSTSR zwc5}B+=z4Fb${xiT^*yiGUB!m?g&}Ecu`9`AOD3fNO@^|EZZG$Gu)-aE9Hz_?$Gky zTQNF^V#2HCekKop?Q#QMZa?U`q|;zbT0{@mvjW;nL| z=4VZb=J3*6ZZ2D%!7*Gwlf;JODnE@K2qyPf4*~CcxC#~soBgDQ{{qS3*YOQwc{%f=s8X>hv1ArsbgR*puR$lBy&FyUjrn&lT zw2tBZ$Dg%T=|-y`O}XgEI+7S@4<7z6Uquqsj?uYGt!JNl;AP?9-O|q$ojfWX<>Lxn zmRFv8T=&t1YOb#Czh|9!G#W*zH5-3EOoU(mhkv#|YM@2O8U0(%f9ipE|6KJm+yj;U zr|*C6IS6luqjI>hc6f>PQ{s5G-06p$>*eMdw3O_aHYzq>IEBTx94!{UXclcPU9Dap zvc5EqpMg}(p*Ti(jZ1yz1^Kv%hQ3d}e&4PmY8*DbB+kj(hd0H_zQ!9kBm7fOQ8@^Y zGEH2n-G6^MjHPq;z5ngqSVDKSn2h6}9hQ4sjI#2%&+YBO3(JEc_=@8p z9UO15T&*ES_@z~Z7o^Jb4XSmQ@H7Oc)vbG@E|v%n$xJF_zA(b`z5WzR;~fyb{Tkr7 zQtSKQH>i33_Ad6){r3+`gIY(cvxH}zz5j#bi=*J6aC{S1q4{i+AC5nCjp&+d-+v7b zXUTtr=Fys4Vnu7YHwuB^FjKlSq}ez-G-Vq6pWjA+37RcN_?oSSg4F8wzxKNl=$yy7 z6+pc#eZ)RtlhxNAy>PMA#PJK`_#kuam6e};%s5_J72##$!j2JM3$W$9YTt*Cha_Bk| zk5MwaGnJTva>dWCaNss6+1_4kgAPgpj1(Yp;lu)Ynj?LfBoN;BRi-Jg7-*J_x890` zaudZK&?tOvBxE2gjcDTSun6ZT`mPu`yX;GZ@WmQXz~$&s zE$9jU=z0WwCw@br;34Ths{p9E7#09O)Ov9gfWmxK7Dku#*|$RJz(ohPJ-S@Gae)Ef zAO9IDR?<4_Mc~d9=RdzMWY0W(+wPGAM5wvEk)K{DILQzevQ?2`-tfit&5r8-eT|?= z7QPrG@VP#TVzW;?w%wtM5XWS^Ck1rYg9VD3s*Mto8%)fyFi=q}D@8RZ7ECkIaYLXv zrPE0_I04V91e(K}3!H53$Fw5EiulEAq-@Ry^l@Mi?Pf`}FVS*R8ctB2F!;M4l_A=I zfE$1#!R)@NRfr2oq+e3@8c@ui)e+{=XZJlIF%nRCQ7n1Za%Tv-ouGzHB79b?`+Xzq zahc8{U7iKStW@#G9+l)joa!x1_JScJpFAFTW)e;b;FhU_1YUnPv;$N*zOK7i5Z;XQ z5^R=v`5(!|<;xL+Do0)5ba6;s8o&r|QalknlE(m64+u{sZ9~c&2My4&_cZ)hrsk~k z8^?=R9PmSnIT6kcIy6~`n9nm6#!_8{iN6zqse z(P;#!(H^Q0KB|QSwIaEXLu)Yc#_=^VjaPh(H}Gb5sD6GpA96yXwo}Zv$5KDsAId^m zYJ3Y7oD7n^w;ckh-#jD|i^)#fW8KD;(AE>F0{{gT5l+aX5unp#b!U+)Jmch<{ML6I>h(JLc?4?0?^$D3&HZ-zu<=;5oNAr7sSC?Z>xEIgUW?GaQg=l{GlTlVC zaHSDGJhcM$Gn6E#zrEvNHs!iXj;?A#&jeK{Y{3)^ku#wIec~^eT@LNA`lFt z*~&25H(YW%RZ`M84e?@T<4!QEVY^KcXjU@}y*c8w&Al>t0b@I74H^Q!CBQVr&!RYd z+!mw+QALB&5`=0h9RWc-@?fd4Px3%BlpG6Ot$Yy_oBbA^22Ioe2SFPBkKd&QEf@m} z5Qt5Gj@SfHj9FHk`R-b{@H;A_$yq&snT)Tv3~$5_>q?1((~A|yTQUVLi^XmnKw+yG z9|o2*Rz6los5mI>14^(S_u&x>-aYRLDxfpMa=reO!_f&FJ}7Qlg13 z<{eX9>7PZ3=GRZ3{=GLk;WCOVm;c~n&tJWY^30V@Zltl>U$XA2+-H-|?Dm&;-a((k zR8M}`&d*%pKAZhqelMxN86}!LDfIk+TX8C! zc&7=J+wX|{I=Szl$dl~bML~^Dn?lc3lxL=jjY`htsVVfB63s*31cx7NocQKL+>Y~u z6YXzOqg(&1ecEt%qdeXDb!v7Cx>$`a|H0;_sRKcm%xVT*42sc(n(V)mw_GpTd9P;* zJ$ZiiEL43x|K!iGyHc{#k+o!#2}|TAfwOj-z}dXhcqQ*Fv3l~C*+OX)o2{$QZ>}~s zW4iqXmO&8J7(vP9IBO}F?EV-|iBD|U<6>Dw}N6;o8*}-HGK0 zXLMb=)#X+kxxFDh%D-(4$D_Q%5%vc9M|YSF^@`&59g!)bc!t38Iz$x4c$uErqHw># z`*-%^Hcpfgi17r=2g%2uZnw|g&hyKB`9>ozC(g3scBlzlIe^s8Nz#RX*| z&VuAFQVVAgTJ1Q=bAY5;Hjq7yUVilmJwWII2VFdx3j@|a-5dzYpPs;C0Q;9Q@opkI zr2gyk+)I8p+fUlzC%yN4|F6}#m+Gz~+;#jE`>x#kDbWP~s646#xnh;{N5S&<7!@BxfKIXLDV?xWr%tueAULtQ<+s9S4jV{bJd{1TuIS z&$vlUq9AJ;g`*^6xGNeHc+QUVOyD!gcV1W#>}e#=1=7JU>Ut zaqfKcE2TuVTAtFch;o3>#3ij$-1g0>^ySF7`J}uLL7P1l4>4Twh4z1vjuXMX(h$0q zMwM5+upK;YTt9YOnW{_x0SpAVd)l=~B<*e(O!}ehGS!Q6R-|{*R6erRV&9{^5od(j zakAo^5859tu5<9~I-P^HU7%ZSqe8KNGyfK^QvEFOzQsmuG(=v^H|q92l)_r`F(-nq zi{j6Z&Y{zrp9A0r#m8nee&x+WRl43l6 zn02s@mU1Ih3RRF+NePzH zvP*;#05sxr3Yo=fZd7D{pP~lPHSbwcP#}5U#kRT2T2z)0Hn>xVYxZ<|t~90`aLmh= zUGyd0q_Pta!t@XAlYvrQab-Z|G+|)1!37DeMji2`%iK`%-vBFriz-lPpMy?BW)wtY zcj0cJeApw&*YaXD>#;phC~+jReE~E#L7K~$g6MFhQG;Z?hDD#GfncKr$FF$XXIX;U zXF;QsGO_`yE$WIX8K%cYy^c6UaMD^d2#EM5{M}pR#S)DXy)s416hR=MSmf&)wDOq_ zsc(twShXVDZLO{scu*yIQn_%>V$}0Ui!XZoEQ=vVy!192+pZ#d3b2$IWI}M%lm&W* zs8$sDJMvR;uC(Qc?)=O%4VEWMhU0C4$OS2XzH^<;kV+rO$x z+8r!e7SGPZrL~wBJ*S)wcVMTPKG`kU%o!2OOh6bj0w)c0T`5wm^0z4r_7kcgpdMFE zl)`&lk!;$iHNrpz`sRbx-WAx=E2y$YO@)c6Qp@Vf$#4nL5qlosEn+c$RT} zWAdM!(t>IWPjB2fVm~62lKBmaQQ95(E^Zm!V0f2C+0!*?8hox6VI?AGM;d?b1uBiI zD~I&MICdqWI*Jj0W6F<5uUa-X^*XX zGCrw!d+kVhKB+mz*ZP;TUGtMkI;fdc>f{6gPE5!bePcJRyK(#+=fo^}lDGLH+iz^? z%Dleu##O9vG^;d6@Y@G@vi-Tq&z0vZ*;gk!d9q*tlG2j}b3(NkS2tvt-N3isOh5H! zQ=Q(bZ2VZ-t!zSKY<6+7v3oH;wlRCI=1{f4@R;zwJn8z@hc?GMdu+nnqz6xIFMWjL z%|T^}tc}v%$=)7cFH_yGQiHiO?O)}c;VEb1n{~61(>K&ie{7K|-+JRcrv`h`>Tkz! z=G@;LPdE3g9r-Yt_;X`O^>DSr*@a(WYXkEuuaGl#gwyr>DQ?S;eVTr|s$b(TosAsV z4_)Grx9*Mh#)C&aJ?)2+V<#&OKRLQTnVjtN9@85nBQ+b7lj^kkQycXgW2Y&V>WcIO z8>4BBttRdJ)f=gL`HJ>&?qOH{S+-;~PoA=;9gXsozEJb@K(Z@!N>BOmQhAJkL^-_~<#1C6akeM$lmpJBhiUr#P7FVSy^J7mg~bh2*IL zTT0to@BRDCh6I0uuRW&;2c>7$o{X>8nJ+h(?hPIOY^2O9fN{eoP6cX5J+6!q52G5R zbO4>=hMP3ZB>Yz#gtnK|@7k6U!roR{9PyZxaH;sp2)}xeDq|a<)P9A2Q>I;WaE8&a z2*8Ut9e=hu!L}YH!f628G$3clo+_h%*cO~y^kThTasH@A<7!6on6ko5WimEJz=unt znxVvSN=zuq$}gq#^G3$B>zM{Oqrt)e^_fd@a((|(Y@%oXI@SqJ%9T zGXZT}5(~%SQJHe<7FV}88G)th1gqoJNaCgIbVAGUXP;K=A}M8L-%)+M zaL$$L;KL>A=x=%==rXIo>jo+hCOSoti$tHnDOcFS5caJlTwBbrw0c5LM zzT#f9x)P9b#am0doHpf452c1Nc-%(*VfGA?1W$rl84C0iv$vRJ@l64yESVC(h!gjn zP8q<%s%WXmGWmENy8(@&tSZ@2=Ug*$H1ilzm-9M(QY9zbRmM z)fZ|-T>Kout|}sFN1j}a;5e*GQOmBT#z@mXyx_2qg3ZTU?VgPS@3?-W10V;+OblcR zr>}RtBR`XhcduekCKxyap4qIwW%bFZKrHGC-~QXL?td3FpjsZ zY|C64OgkgY`%X0q6(LYjQrPXH=&^?#i8&@lfRS7s>K(&2*|t5jr{wc>c{N_bhZ49C00_fg=f2nHqI@?Z+gwB~jZ-N8t#S&0`jK zYGne)h;+CPP0q=fQ&M4LE~-rL zeMJ+W(McYmOZhThGY9FJGRXm?n5L@cy>)6;COu$yfFBO~X(S?tJB%v@rUTTRJ)m(R zz*tFse3{K}b@7Yn0her7ggr9Rbh44XX7zOv9-%bWLrve@ zJb%i;kc?vibQMbZRmp4?=Jof@@ zfTyha&W*1B;rme?!z8L>G7Fnxb8)e?M6!^_z7ZRc>ZUh0Mu)ZKy40SM;>e20g0_}Y z&SQabdM_0~*EZuA%)N$u3oBIO9Lw#1&TRxv?AAxP4(CiEaU^7+hx^`!+ZUs2$6n$%+IiViKe zz-yff6My^bm1#riqPzuX^jnyv2A>(h2cS=XE|cTQc6NA6WOD`)CE`qd1SyEF%5WSF zTpCoOtzB{290}_(A~05mZ}84FC>`)~I2r4#oHbonuuQ zg#1=(75hor!6YLfslqOP;LtXi(Or3N@1bPyC*>4tc`xfbELx=e5cm3xevYEoNy8>| zcJqh$o~!;b?zib(2wt=KmXuz2j$52*HocL4+_a_G%H*NRDLm@h{dXa40aaK;v9 zwx1pO{^ZT{F>VA+cyIeQp_xYe{6>29WXv4o={G&|X3Vi)N_Y0R(kD*Fb@PewhU0-I zfQyzg3Gp92I!sTDYd5shgYi${YQL3 z$kspQo)+I%=)~i8s(8^Q9&xJP_C zCDA-*V2|>n`Ki)b+$-tX@y8F?aIabVEyl)is-8_L+ok`~E=_KY_qUD>H@J7X^$~94 zUOB8UERHr)-b@FdeUoiCPQSJF@V;;HpAxVBE6w#s`08o0`ZP^XUY^)z zUdBY)Jk%98=9;a`C%-)&dBaV^H*oGt+?;%qO9mf3;?29RobYJlYlcr1?>$Wp*jUfl zPhaN=-J{uy1ard~fq8PL*_t`*YdDpLHE4bHsixuSdVaM9$^+K#OQ+6Ie&k08D%FoX@w_nG{Uxn$Zay<@oW~zGr&EXWHw7t? zIsOTyNjwG0!`)b^IF~`j89n1oCmYxB(bC4#?`Br#EcEebXr0y`dH;{=UvYT6bC$W6 zw>H^&`woq+UHdkC!pc=%=)s5j$=&d!{x(H+srsQOcAZz0Ha2MOJnXjd49{gNBAbzy z>MD=LC+^~1`=&eeIeMa4sW-0u#GNmulbdPn=$pRLX36;HpG%fFt*Za@g=e2K;~CcV zpSZ!?lBSpA3B&4{Eo%~%57{i7agN^n2$aW?U;K$gL$2OQ{6>0eYz>>V+T4194vF>J zTW{%wo=-f%v*-iH-2!pgOww=kIhnjCJX1ICj$tTQ?QGApqdin2)Ri?Ord9RxACd|;Eg~06<&L+cK*zq@B1!X`1sQegX57$ z_P>WjcwKLPbT;J+>c@UJ2EoQ-fB8RllMj;vl|J&g{=(zO{x0L?;fKufjMt+c({~-~ z^@aDpFiyq}yUl-~&~eUs;J&I3>YFc;c>P6%GR~n`wH|-(G;X4ChGym!JLYX>I*oX1 z_^zkArXxM_B)K+Q`o4W{*e@UX$sZ5}K5CRcdgc2!HRAb4rxU%k^w^~_t?IR{Z%`)J z*S9azKGpT^ll+?$H!lx2C%jj}#^)P25`VFd#N+>~PW|`1$0m&_@pii;{w+rQ`r6}{ zUgLR3C7vhJj@J37n)&>QlwEm$%1mI)V402o?zJ-Fw>IDU3eWqJcpUfj^~sZO6aV`9 zc=N^$HbC|MM|bIq&ACDA81b{IeEcZygz!Z2rGwuk;`I2Xue_p2c|v(YMD;_D-+00O z?Y9~6fAzg2K38k(3`AS6UwHpyH!?fA5%2n>NnY?OPPJ9Pc$4VIhn$)-V7N7`T93c? z>XiNk7_PWTipOMl7H&>uu1mE6fNH{%>;%CR?$E0Gp#CES_$b+__%EM3Z#Q1?zg}OU z5B9#t7|PBhk5T7v!v=BHu1Nt5d3IdjZ6J)W0oFHb5%*8pCow0(GkoMIyDXp)|I;^uCm$#nAei*nm+GC9JLj~*moH2VJUPbQB4TyhLw znNQW4hYS;QJP%@WTG4FOyuC!7l7zXe(3O7_f$W*rN5cNSeb08>vWl+L23x)*$E1s zGoP^UZcst5S=Kc{)2yzq#vK0;-?J_}Is5hv60g5#uT%rKU?o0HKcdBq0?&}{}Br@Yuq{;&SsU=vlB zi67;sIAqa;#H;BOPfVf-5A5HJ#A8a^N4vM6qm$bzr z82*;0sYX{Gn@m+c`96*TboC;826Tg~y~epfY+g0`-nur~QeT(fLyEZ6oV;5}VwBbD zyB_hB5DcSC&;2=jNX`E1V_mn>in^`mhOkzR)^$H;G`h&!T-ID5OH4sTBwI&EM7E() zjrdIK(HaA7ah&+Wt%XMXR?79PAwRV*6j81hjQG(gGvc*BW&YZNBZEf#OubKP)kGqq%R0~ln0D3j6wj}6!QG>4<3FJ(pqB1)~P8lU9@QIXFXlPY&nvnVWS^KI= zv7B=<>X)elA8usB3f3dD)R{O4n@YUEgf=xw1$kEdn{a9Ty>FtZD+2>tG2~&GUPA`S z?=c5)m%@!-niiulNBeqo2zY@>5W>v?DBYgikT#8PxTnZG4XgFF8#*RqdPi~83}Q-I zzB@4T-;^HrPNH+>xx|@xjXeOzBDnR@2eX-4*Aqj(4hQhxIwkQ%i~vk2sOy=^>Gd|P zkPi`Ro_#KZ07IA^qe=@^t7do#%_)57eha?jrTxY<@rd7CmDl(-^Nx+^a!b=6M#3ew zSj@~Aj_ts~NrjPJaG563xBvUGg(76;s`#G_ZNdVC4#SAhWI&q?>R?1z5iG}sqT`p2 zP26S)f?-wkIvZ=PNTChqL>n7Wy-y_yywnPwGsY}NzPOlTj94Q&JyI^v`s~PCO=L}M>!TjJ4ZbJE2oFC@3m+yG$sqffp|JSCuI(b_Eiu2}W{`Ez# z;CxIk)BNaZd5Pvnd5Px3dewxNAfR8pGr79gAa)ed%h_YcOBBHBRvo z&8^W$uLYfWp*quhg@5R&U9aHW`C46n68hDz)+wy~175|spx0l@D>=XM25*Mw<)T|7 zUctf2OQY?dCZd;4wzpq-WcH)=$=WZ(f9q`h!q@QWPMv=J*Lk7mAM&p_zrm|Gx6-a& zF8cD9bNaGg#rZO?Ch=O(OE2xkf9$-(({xyQu|6sPic@dyzY$ih9`mm_Z~Vb{{AKYPQNU11Pw#8YO!yxeXf>HujPy$z(`mh7X+ysfS&!E0 ztv##j{SR+#?J>O8e*M~{Y1ox7koe!w)zj5)`onx_^|MthJwC7nTRo+LBV zai&<;Z$J(CizNHQ>-@$!>v!;4?k7J*@=1Kd0d(W!^2e|;syFtYJFd6$M*U*;l<_0o zsnhGnTU(3QH|y(Ot9Clxn4~Yil+#NmY4;WnRq6*GzFhIJ5f}MbLAH^fFgMnD&lNax z7q0B{A3qIGF?xNqaekPcbL(5Tn0{Zn@gpCkRssA52qPJ5kzoGBK%#-ny4-W6i7tXk z$y-|L^}-pZSQ;wrtbg4Ztt$21wG&C((88Axde@dUN~3#6?X8u&YA9iV2Yx_=k*#mw zw++5Kk9A&CEwp;sCGwNJ3&iDl%+{I0l9>n2g` zDb3~Eu`RgM%fofNztJSObh3N8*w1Ek#H?O>K1rjKS93a8aKK_qo*tzy(sg}|1sy#W zUNu+eR{PDg^O=;d+74U$MGl)M+(w_#LPw8!@*d6c2P3|t9{JedUIks zpXUcWPiU33WVQEcrcq7XQRUyBxv?k<6gx_NsX+kWOvNcj*9oE$ZyC$D#yWpbqHu&e zr=O-Ku)(iJZ^FGcPT%_rjQaF%qWpkz1Mm}f%J58EfadZnjp*z)*4P}fIMRJtwxwlB zf-0g(ha4#y1gfaSRFu-`nEB4r>#4$#843s)^g11IT}v(FI$%7@IF_p_>s1IlW+RU4 ze0A};#0~;%xvO0!U0jK9vcK+JGQCX6seT-EWcZwFN+CX?Cf%Q?MV;n+D2L?JEt!7E}J_m z%_jtnfXHD2Qg5BABing;Lh=9x2tOneL)+5mC|&?7&a9vA`y6CTy*zZKJ_>l*3A{5{Vm) zpQPtJshN)I%){F1C4EZHaH|JfhEtwF*BNb)v_Ugv52Am2sp=1;iC-du>kQjR)Avy_ z@hj0WfAq2!%p`9>_4qvTq^xB~Js=>6E4pOEU zBc^nT5xF+seH(A%j1F^RkW=|NKK!aE(`d}8 zRT{lk_7CPyrZSSi4#^&oKBUyqHT?oLvs`-5M`}9}OTlZLo?5*-8o!4_`19c>o1w1i z2_t@8kvKI?jdRL;5iVLg8+m(&H+c3l3I%iHNDuwl!`vP^NUn#T1bPwTWpYga+S?Z< z&MELj!WlT+i>Q78i%ch1_-Ga@nV)d)nE%F@ruuco!45;dYiH`evdf0O{CckV2UpU{ zdE%#NcQEruFn*jiY=42vPCq2Ddete!0cxZL4iV2W6F0L8VaOR{s{R`mmPfPE_&RRzJG8mV+0qGn3$q{He+!LC?_)%n(})C*QvGLd@UZgc+US{&V^v2* z-LE3_%f>ZbxiHnln0-M5w)Zxn%xXP$II<&|$)j|GN7#4yhQ7LNmo2C9f_l8vSxt!j z4m{H>C)XUXvTXmnr+k#JUiVQxwuWd>Vw-S3!_5`H$X}~fuS`*DTBCqhqYkg6hM|7E zV8+JWF}uQ!Z(SW7bNpGa#wP@6RvRNSPd>lK@1$z$9a|P@Bw(7c2pzFv0kw>~e0E1JWLBO1tFDH)3@z6@Y~7 z?Lk}))uXH!bLoK%AMUE&q}r}M867&3;D~`$I2uZAxa#noc~rP2vy42-qEZ+%$pJa1 zoUS+Zv81Z@WZKDHg4L7C(|$~-$N@~elUzG+S6Nslt!^@-9p;GCax!_Q!tI%UX5Gvgcy2BLIjFQJ*X zeWq8}Z``PKFR7a;|CvO!&Rc?|s6eF6#fJ^H0hC$O(Fl!dF#^(}Pi!T%I#sd$qT;Pu z5Ah?Uq;VKTCC-p-g>*oV6~b}kg6F|c>G*L7{6m%jB96OWYE>i|=EBn%an6~nE%7>H&jF@0j&1VAD24$9qNk31ABQZi_ojvVI zlBkmfPRm^b1#u)aUe79JbA*rlL;{opg+Q+%n;2vCYPfPc_A+A5DSh+hjH@_oC>S*P zdRVMZJsXF0M@xOq7Sr*u{1kX#A-!NmH=H9mnZ8m*frGVjSQJvL3A-T~%g-`7XuwhN zCeG7C+G&fbFO(;%`IAzbP$Ld|Hcp?Fn5w4}2Y%F!kkyehI5M&N`V z`<3Cs7v7&nIBaCAxLL#1qr4Tfv#ih}M*N7u8N*Lw-ChP8MYJXFqqMU*;%D{EN1@{4 zcW2FHuqH~WY6pdvcFJACS}xMPoa8oS#-s5lXEu1|?3rmT>`=VMprDWR`9;dM6*Ktz z(nE{!`$*%OCM#{twle96%SR^vh(^a3zzM|@XRUO1l@;Ku5?yupMB*{KadnI_`<0YT zWi@V-i^)q2>#BO&spITtcwBo`HFNnR@7ZRgJu)8${8RmZBp#=6P6vdR^QG~w5-)Ik zqm}XI53PWM(I1O+&_|$H&w*ljwZP7;tC~Z|Lt(%dJ+;G~LBUye)!@#s*oT5*%*EKM zepxBTG-8a1w$f_%+C1}RgSJj`>7&)MY^C9f(AKG}uRJKWzw=a=;&U_Iw^?PVyEM02 zLq4_+jyEo(}}Fk^gWmRn|P5^3aL#=Xe zhC=;h5U#F**XgDjM($lUBJG?L9nXd}%f?VK(_jmI-ne8q zD56Hp01Tcd7AP@37$c&`IhD#X!|5MPU1ua<6gZf1`gXo>GD@SLwm?xFdR#epb!k76Yi9OVq#ftYC zQvlQ7#@0 zFh5YmfgaPY-19RinS&kGbKAr$Sw)>lq8u4O@r4tYD0Q8B)YmEJ2-xPFq5#A8n23fD zr!CGnnCwu!-yQlmbhs=q*nn8!%&OIQ#FIO}OjSg~!1wt%FB8p`NhHcdvPMY5lm~5;u z6%J#o7-w!tzN|p_ay?YIYl<8?s(E;^M3jXVO=njK@&K4r+6vS!V@Xz&kR^{DgT35q(kPzJ`H!VGQil zFJ&F;1x4!$4qy0|zTI@iT%=e#JrznmS}U%O>U>@81kQ?zg<(KMxyao(P|U9-hj|1(bZk1_UbF(33ilS91shFEjyzt{%3=MS^&>bh_FBeV) zMx7Oj6*XlJkd=i8%b$IAoeVQ5~)Y0iB`GPb$O6_r~FA})?x9D7{nIae}EE6br4zPKt!S9u-` zNO`#`wQV5$U@dQ6eZLobSwcs`S_^B;@o&1ND4KR!IvZW7dn?}Q9VTw^Zk=x#J&*mgw!F*IHNQR3sJFml%M(bQxyve4t6=>dnF9} z<-U=KfLw?$bHou=NUReuNi|M(W@Ja=_a7E|Hu4-Yby&}d>jrg6mc}t2F_f>5)tnGTTq93R4 z;;*&_b!b-1R)Z}|xvrzZxlRgt*hH3FR;@02 zn|M@OmdeGP<4xf@T$+06#7OT<-fQVfOc^xkFN|^@a>l{(p*9Dn#A9UC zG_`FT@H*NR*OudG<`ICZ8Y}mnav2&NPpVL4&neAr#1^31G6V94pmh1G`~I4tqLFTj zW!eDX1yvkYV0@Tv@ktQPgCkK6<4n)T%pmErByGY$=k@FUC|*#;fH|g@0NdkDSw)1D zODf@L!Pl$=Rq>6bzJ{G(i^jU_DF^@7Cpjf(Em&_M40>wVa98};Bpy~N{f&RDyVvap zQjIR*ISz5hCu66z$76Asjga3JW0D%A2h2@KGQ$SAmiFYoRID)@3a|4d%F+Y*N`-p# zuJoL?OV&bl9&)EMEN)KzRD-^l#upN;yzE9=)7x=2es1K;g zMO`Rx&+hS*rlDlh9Lt$R(_`lj8o#I)zX|(Ue%NnbzeHMVzJgcpOBjb0P7zXrlEznf z4Cy%j>-DqjdUISlZs&VSYu*yhjQ9_xSsXWeI}tU%a(ng4OlBe@`&F7=QjLjKlUIKk zm$@EfTph0QWaP(syo0dG5|uY9g=GH>dnC=bne7y*Rd$wiPS$ zIHmHTmE(7^^4vRG!Ex;=17A*ZmXGK9(ns`>(cY!gk6Y)QoMzQuixqb6 zi~()E;_p4IjINw^R$S96zR2I!m#~5l+6m9`g%j*SM8y{(k%eNV_#y{dU$C;>N0hlD zC8DJjJw7S ze0T11@_sYlq_Z4qaMmEhEwR?xu_QohL>qY(o(X#^1y%793|4D24O)0)>&2eQ;>d9M zz_yEt(tuAiLyo1;^dO+tYFiAb1wvUHN82=Q9Epg6r5Gw{1^$y?TM?IntQU*IAxNQ& zIhGm_44Awt>kDCbV$GQ%g$RQcNygfO6)NKB-NQ(jDturswF%1a-Y$=!={g{hpJcU{NDf6c836vzXiEj^=qjF2D2!K$q{nITE=?BZegIeBG(!`V~vkw zml2_smDqv7Shye*La%5wp!^C8<4CW%R#cunv(HS@IjFAWGfq87<&N%OM8H#$Z*&d8WP zDWu@hvJAocreQ)ws9N57o%BEkgo2eK9H2Uo&1PAww4rL5rLHLMiH(|Nfdm-llyuV0 zd_!Iwwbl-~G@+2PgFpQFjysQ9^wE|eAU1)G+aYlx&$oXu_-{^bE4!b zGcj5O7RcNZJ{p)do`eb{h^nlBsU4LAf(-bUjj}*lU9+@8N7R1CCqs)LFqka}^1vWV zEG>v5_XN|Jhu&2}U_#y|le-mP>e$0W&j6xmXdOKuFH{Xd_%Kxk?1y zBQZ;$iAf3)oP<{DWK=EWLW2m!I#Y9y8k%@on(3b*PGERcoza z!eI&jTlc$&LZ><_@Y%1eh-U?pqL@}+?T~jk^y;U;E;Iejn_Rk<<7+5$Daz29k{?Y1 zGaurc*2d8%Aq3$b5PDGmEUW`gGNSaWpe>zI(XkeBfmN5RXXcu=-LN&bjH(X;#Sk^y zCI%<(@ja!66*g93X{8U9(~uu!a^bHIAt3K!=3A~CsN!y81=oXI+C?}D|QVWCYT4etjws8D!0z{inr zzl(@!2%#!E6a)A$w}sCI8)|W_1;bXH$=ufYBiBxGTE9ryBf4C+0F@PJ#-GedT;CSP zBs4;Dr(unNZK6#FYT))lO6lC?MqfBkK1+JMkio zY?1sj-$+YLfUuUk;9vfoyHsZsCW}2doK^sXL3=K@VoOKo=Lbxypg~4ef`;8+#07T2EXI<@% z>1d}H&FVG!@3d$DBl$p9=LK2@WvjR^iJC%ZnuG!6q+|y>&MNT0ccGto@h(*;NKJeO zr6zvvT%&dng)zfp-Z`c5w8)A(06JqO&|Zb!Vs)@)oZio(kQG6}!S=`s*OIU!jbyDo z$br_0j8bdC4EQruqv&o6MSVVwZDgd~tgRphg&WRW%$*)3ie>m|t9RtD{G*OrrLsH( zj0=Rzx>B9-waA=G@(CbPK_mbSw*r_z?$(u}uR~D#b3h#r;fyc%bO!8<{3jC?)A^SC zT!TOO6X&Xx+!ausmI|@McEdo$6KoP;1(Mbw*iiVB@$`QWE9!9w@cW-6jHXFGy{0)e;o+-V5196 z>k~OojruJ%qfIV+j^k^wl7kp7%_8|UV8McD!x~&vdSFERb74iFlQwf%`VEfQ^5Xtq zfV>WX6dp`00h_doscs@zF3YONq^DdY*;k}iw^udT|G=!egOlSyY? z=(aFQ!ptzUVg){7!%%Al*kxoT_#VlUmRNf&jD>&!7_g+4h%JiXI+T}8uyQ~^pM`Ck zTWAX!@&ol577KtZ#X@N-I5&lf$k8l;oSYv~N-uxwvf3HWiX1)`UaUFl`9v44Ivh1Tn(0a|W!H2}}-10%@_9qUj-v1F8SuB@1%V zsijHo!0ys?CFjtn+*>I9gHbnNA4H^h919VVm{qu=A2T=)Fk|S64X&%8UFx<5y_NtO z=BuZ6w7Y@@s9#o#d0x|vp=&`qrJMXRG!PFW_FORBXuT0Zl%mzizq62w0@89JZ3Y8tRMM5&hN#Fx+M~@5Av3EAi?6>%xZP1Z0TNM@&e3DQJ* zJEU&NKR{Dv`sGv;IiF!*W;(M;Y9AQV1dG(reCbqS9sONk(Y}~ap>=E&NOK1AAoDGY zii>F(>LFM~`M?k

r(&?N~Wo2p+kQ$SEQ;5n{Xx=D&ECDimR|FS5cx$S{zYYEm#! z;JR?lX}){&CUXuTE#oX`@NgzZU_vxzl!QlElBNTva8*N0rqaMmBo)vW76H8MRVqnE zLqqr($k5A7tndY_W9~!g51@4t4hTlm@7)nO6**e z)TN~rp(Sm}JqdlPjEZ>81G3a+H)C_99A7A z{6hI+=3~h<1DMWENEV`C!_ey+g-bxSDEIshQH%{JJT!1>r zA`Y>0PU9C@x$f3XbDP;rnhs>rJBLg2uBf9F55PK}mhd444T%mylg>iUtC|o&Gwbw) z2@EPyx(~<&R@E;=?cf|FrcKBo<(_~*VmkQ6f3D;1qF9qrfpthn?S=vCSSlYbX3K{S zut<#hthy~^g*j{6_f|T)mX!8%7O=Ofv{Iw<2C-RV%ZU_+~|7 z)E6U&0%tAryQF}y0_Q~vyhQS%lVC6g5)IsybhOQFh&kLTCDv)6@I?%rgcY&X-bGBc zs0(G4P$I%zvyDanO|AKfO9&O$G43D&bq zu2-GMP>ueg%b*egMiJqV8Z$@K8W@H};DMLuN4{;zuW~YOVK-e%R`+T+ z$>e+{z}vAN^_B8jdnQ*D!q_YRwHEpkFPv>%3_+ z2Tss2f>c0_|nuJW#z@yF%-nLP6OO=rIpvc;ryc;D=z* zIBX5o@5s|eJ+>Z+3s+1E4SGlqT}0k%mW=(yC4UZQOI=~vnaFgC90dc%{ow_DERYwA zHbw>)TxNmJSOE(h)WZU(rG+42u2&%yFo*){LAWL0Hr!ARhnpY5jFl&#c8^B-uX9vQNH3WJ&1NsUIW5!DQ;o~$OiBrnVT)%J{6u@eTtT0C$F!^+`C8>S5lWFrcP%E)zYy?_SflxIr$jP)!SChV;8o^sSY zuZ0STC!#2Uc!>mZ4*byz=O|ZIhQWbGw%bKo9-h>Vc}+GfnMGPbj-}8n6SxZmigddd z&!kP51t76N24L{4A}!d8)M*PP^8rH#N{Q*Jb(UAFw~`IbpIf6StuKRH3TWm1P=Oer zfFdf99{%7yiMLX-B_Ys3p&IR4=aa=vI?)$VkW!deh#|3x1a=(Jiqw;63sQ*+24Gf% zAT8fnDFAxP;$h77@8AyP!Hfr2>Kv+R1)5!x#8h`@pQVur&lc;qF zxd__q`UETm6k)IEm)MrHm; z$csW|*+N-R@CFQY_hS`@>UUHua)a|k5JA8IUU*3GB1`yz*^(b}wj#)|`iY^+XlEfw z0@>D7vmoajUfcMRf&~dfwHjmq4Yg%u;1YIyOEX5OuUZ2pE-U!$(Mh7E5x!8AjyF4ds9bUP1r&>nq~@1&0I@;Fk$S>dPXH&WgcTwjQ()DCV%r`vTL<;yEi*vEFP(Tn&6ZP^0g%nIbPK+XYL z&?Yrbnbt5Sjo6|Q+k$n>AVaoP_N6_e1n0aIL&hH^za*-ZwJEod3N8f?fN>yNfW$e@ z^YPSjMUYNVaIh^}`=5u>l5ee|u%!|X`#PNogB}LKva7vd!CtUH>>;dVi6*p!rZn}i zqvk9Dp`nvj?1;&dNeUz;0R4H8NwU`XlnRK^m@C-Mlr=#ZdwIpZPA2osIFCHe1? zlW?r)p<;({<(r1m3U5g2VgTwC6Akmb&0~_G&`WQbbNFD1Rxt~*fvgb8fXS$)L+)!Z z$IB^}9*~ljA*ffaB1UtS326l^aF0s1b0Ka1<<2a=g|r#?v?*0R1=C7J*JCQ0gkosPgk2S^K*>7ON-!k9Yz6&Hkm>A3R*V)ak%g!J`9^kbjASC`=uCRH9Ch-IMLoXO@kYg|Do+f?AySHH!@_^3~H&5mX0y;$rKAHLXo0GB%1U&1M_>mV61vpOp9R^!T?IfJG)d!VK*sCM*Cvn za1m`wsFR5`&tSqzLFC*dyS$<)D_jt5GGO7YTlpp^5`5=;^UsKrdT zhI46&6{(`J2 zR<18IRu z970y786N^80pM&bnff%K8B|P>L>~~&uW3ZW?AtKW6H$tQA|9+t1kT$3OZR-rp!g-v zI*_{)-Uzu(@=La36BOJ0V$EV97Ra#_M)8veLn=VH*2oDMo7xvl;U{sgDtFofc{`fMTc{6ranM>PADFi8OGW)#$_q#)Vh`Z&6V3 zE&TCw9d|dCI~MAKyBgdVR_?twPJw6s4pa9I+Df?u4Vj@aC|D?z&{X_%27K!Dour1 z+o@)0h^Q3U-LSa#h!0zsD>joX$Blw1w`+J#Uei2@*8!GIz|mFbI}_cK??7B8+!-wm zzD3w$+iB}rB%YEEO7c6YqtUJx28@MG@CxK2iFE0YjbQR7XIbkl!V7GvDT;ezTLO(t zwge?6(bcBsXPr7vy}DX)DOs@@3ix7Czc-2XY5eXa+2*akIHl41Pt;jxp3g9f8Jg7! zw_pKl*FsE&Oq-1lcxC))(|#Ful~5mu}U+Aa_V3-4HBetF;%hDhMp_ z10c+>gpDvMSsH|3{X83YE5EdMUcImz#^9g=k;zn(%!IR=NfYynQxIbwn&T{fkt5s; zp-oonFwj~_CN;T69UqU}4@SIXr_w5zL<1K_Qp*o$CoZ$_bUib(^narp2h8tz(p^Lj?Yz*fFKkf3DNek3D zR&X#H^I2^TwZKwxlh}~p&Z&+{fwdK&LBP^T7%S?6ONGF>#Vc86k2Doz4mtE3?W3L* zwk4r@M-*+zeKfGPDzq_X0wQYqh!R{61Gd#&xz{6nDz~V#^per7tJDdJ$Epsi0L(lu zBBUhnADJj?%iWcE8c?GHLu3_LkHi$nedoyGOAG*M zm34{%i>B7{ogCr=AhnYPeZ*;XVOY^N4tRYexyTCqt^aLB90*voR_rK=xA~kA@A`OK zDxyEACHFUVA=N^OSypn1)>IHEF}-u*MS@vrdj`&`iXSa5CJ>6HGffe5yQo(_hycVi z+$|(f$B1@3>;nKsELPC6y1DG&Ik40&xx19V_G8P!vz*Bmu?tOE80Hl?kx|vyj1}E0BvMKXT!N z!4rH*Xf0YtEtx5DaG|>oDtX5QX8NkmY@;|7kthHaqliR=2Jq#-J`nE3nhHSbN&QX9 z`)ws$r<29*ZgzzP>7vcFDS$?dwFnzrw=x|v-W%KkC+Br zzJzTZE)+jP z0;a}VVr`3BCD!1EknusCqSGoUPUucND_RR}n8Pa|X?-A2wNf`)sg2qir!|a8hP?Ie zD?Rs!h*p38-&5Q3!R}I{!B*ocaJT{!UnI_JBLAVu!dc`hXzPTDDZDUCfu89UN;YebCDiIEQh( zbkv37d<$*OLab{d69_A72&G=ZSwsj=zy)$knde&@MN#a*_e@*y9>k=_e;_zX!GJ9_ zOgAMSB63$jFdobb`sIIpMeGG@InlxwjNns@8}^Ikz?mSq7mtqmidPB_Wra^>ot4&D zgN!959w35O(GJ^m}^8zDw-h3=fJyub&%xA@Bi8`ixdAr6pPf zBrOjNG%n3c8(|EPZnUncMgb&Pp`Ir$DTeUAM~Bjo5Bn&f9q493OzTFTHML`pdj|6f zDM#Rw7v5IR5qz5IQc>T$TC2w(AABVF@`sOm5`wg%6az4LHPTYtDN?HoLdgIk-#Me{ z*0KTcLua>^iYdy2!Kj^MMa6f4s+zRI!KtO;Is?hT0tFB&YOz9R;PcO%Q4GXlvF#^% znNAwzw=s-OU}C0mjr*IK)UzU#-5Oe8hF7d+MTBw?YG~S+OI5rABZ)5`m2{vMETDiw zTN%NMBFaFyFfAzpE@d@9Y0?A=rf@2IK%lB(2@*{tB02sySngHQe2=V_&r zad0700G62CBli<}>I}Q{r=oF-@}_zr$Os>-W0}p6WW^-Qh8d(`m2d_y8p|1VU$1Q) z4LfB=`!>1fT1E=IGKrv|EkBT?xj$Ix8)Cs0(u5rgj16aD6~jj$VFzcrz?4(j_fOE@tR70WV$&{7SmK5Q^u=+2bzH3>L&4!Pgb^bf*$dRLAJp;`zpHbW` z8Y-;-<5~~MGs%!^p7PLCBS{ZvFETgdGnM)X)uLCJqz)Vpo04a+;EZ!c86_a1(h3d| zYBViH7u*tc9%TAw6=W?1simO`psm5M!aWF-TEc2sBm{EaL`gt|%hP|tIW}C|)xsqx|t#y;P;`OfA%E)Gnn$d_i zq}RSNLmzmCa%owF%(E3?95L_Z87hFEydV6-4R8x_Sv>6~>JMUXnPgOn7Q{Q^(sd|6) zvR$3>ZUMeUPM&w1ki=8JzP-|CPYL+y?5vjqsm5R6$cd8(_A(Aa%I8^Jr&H#u!Z(Mg ztWLDe38f+ANXa^cTM=52kwS_GH9ej*$qmWX;a1{=FgKRkv{U7rP^|2f(=?OOJwc^I ziW3JRr*rD$)c@m?)LUct@lT;XE5%y|GW9Vp@#R>aM?)|fr+^RYZcbJ^?!D+SK{{XYj( zxFh6d(V!$uUeg%6m--1#iImRz``++>z1@$&%@m1h0&7KC08d@Xa<>eiVGZyw=LG|} zzcRkPoeZka!v|WDj2ySPz(!4`;I3Hz6u*6-Uuqm{7DNQ)I6)?UOAyEbTF{A*b1XQ6 z7(?bVYn3BTG1vORPKZ>C%*JXy$`R<#bV`(9q+`~loScLG=s!`NA23KzoKyGCH<{jA z93bep9ZtAJNIGtZdJJyozke!Htm6j{c?9Na6Jes`&l4hzV*dAL9trx}_vm*5#mn#) zkHC*V`WG*ADG>ygz`sLgumpYy{5yoeJ0x~#@k`*}Aq3tbu}h0z0{;#n@D7PxTKp3D zcL;%ZNbL8u`1t2iYIaXbZ9`^IpL23{LnP)*eXi+$hO?UK_`yP;nvOceTQRAab2X_x z+h1Jx)7SpuWGyA#fx!2*_&e$?lm9Ox@b)4kXq zJOrLVg*ny5CC;HQnDn!g`2uQX;@m>rexDb@9OBz|D$S|=cBDTWI01me+pvmnL;pd^ zb^Gt-l5R1$ow`Mum$>|U^QcSO!V!3TSF>=@|Nj=S1pXZw|0VEC;NKwx-XXC|i~s)^ z@FyF%x8DxFRpF2c_4%ai2XB4SVqSd0CC)4S9@@!f@~vCSKYNe9hxIK&yp{TMCqDHr z{!C444Cc$#`+f7m2@-EDJ3->D^z>H3+vLBWE^pIh&i4Lyc@N;2VJaGy9;xV2pVF~5~S=So-tKNpt86PLg*9^<(ZmcY-2W%0x%@QcTIu7oA~Cv7na2nm%uL`yc@N;2VJaGy9 z;xV2pVF~5~S=So-tKNpt86PLg*9^<(ZmcY-2W%0x%@QcTIu7oA~Cv7na2nm%uL`yc@N;2VJaGy9;xV2pVF~5~S=So-tKNpt86PLg*9^<(ZmcY-2W%0x% z@QcTIu7oA~Cv7na2nm%uL`yc@N;2VJaGy9;xV2pVF~5~S=So-tKNpt86PLg* z9^<(ZmcY-2W%0x%@QcTIu7oA~Cv7na2n zm%uL`yc@N;2VJQ3i7L(D4wtT6M|vC5n`3x#bL z=J-b_$}MZfryS*GZ7aPJ)F6~*>G5Q~L2BO$JI4VFt6FC6*jBesTa_SGg{tnt&KDHJ zAn?yyzi=1Tq%2#<#RIe}sTWEpR#7=QM_cNxhthr>PF8lhR)(kG5UjCjPzXUR;9+G< zW$D}t6eCVyBcI(XaQVEQ&Kb$inn#*#7ggKN!y#m$YsvPAi!Aot)vh9g?R&H-n zoM|-|bFuD4#wwQMZ6gmQwuM@vN1On!l{WszzX4Lxy6mcj!bYIK2n)2g z!U0+_%ms9dRt1{*oTm`kN2CwT)@`j|e2TheFrONzYa?qpyH~`Snie{by7rzm)D;F8 z*?v_z`6`s_r_CqzQ%4P)3(~}Tw1@W?I$WEMerz`Uk;T$F4n5Tc#)%FBO3Gk~hiY%5 zE%x&q=O8VhZ_=?6KEYa3qGDeagHGyM2{Z>jR5lbyS(kj090d=PFzXOpB4C3l5Z$98BNRR|aaRBHK&S&Eka;g6$(dEYB6NW7q=3k4}^m98uyNS}ky42)^8 zfG*LymSj@_W)&1mHulj{Z6DZ#nmOCzY+DJdD>aOq4@6P_BdX4<(X0_ELZB7f*4eUS z4?igr3cJ4H-DZ*dJY+VYogsRw+V0w3kM!XTf>zDJA26RvYck|0BORnkpb~M~&Xvg} zDc}pi-y1u7nvNkNWHEd6mwttea4Nfz`UL5;=FMG_8HjP#QS?DeW19UyAg)8qf~l6; z(GrTQ0GiNy^4w{x(KM{j6 zoa*+XC{;zJZgF91iJ3x{t3=55v~@?r++pcvMO5uXD%MOg#Mw2zr8iVzCP)W`pp(;y zQ2UG|HhVLL>Y_Xjlv6MZx0IUYWhgT?OrY%1WVM9DeCC8tx!su{YSf7k?N9zK#WMyd zrE#{fYM2a(T1(ZKg<`MVJ4x3(>!!8HTWZpBOKppjy0e57)4CDCVAJKOsL@xdu(+1-ipu4XIES1P zcUkdCt|nqWQbT&xp~_t$U6oN{53@cLlSv}yGqbM_6yy+k_PZZuLir83E$aq#GHm$= zd?-%Y2do{!YJq2G-7I2J*y`TeZn@Vuu)RICkMMDobJs_1*l{DjVb_sUr2_l%eyh7$ zzQ4Qb!)jnW3(UWu&8Z-e-FZ*r8|nbd`U8;?GyFI6v-8CYo_y1f#Cs zI%VC}ChK^&46E2f$$VRX)a=pqz41>R9uE)3k6E;h*I6!b@f)qGawB&(vG|XZsh`=_ zyBFwPJ6uqY=uZz^RxK9x6Yj6Od)I#d`CT`4(N$B!M7O~V`Ok+JJHO?-V;kiKvkblq zFOJa02IXpTkP@srMNZtlgka>E|qVB&bIw(;!4->~h@xYgb8uZ$SN3GHL>cEJX| zx4({$Uxs%8fqDCQhFpVwXSn4=W|J@6S;&HOXZFtY+ZNnesZQVW4Y!I?PTlqmw+h}S z@s^s!9bJf;{NZideLu1~@Mmmyr`&n0Qzm}q|76N|S5&SDI1?9b?NZY}c!ntvk$AYJ z5#TSl)zS^LL0Kn&U9etCx9GAWQ zWY0k`Tu3``_0j$G@eAfA!8)nhuF~FrWJ8#{ewn(*XGg}C#|MWCK^1nS!Jh1U_W_M; zWxY}K57>coe$OY*zHB4<>Y$91O^c}-vb25FY{!)^eiM0Rt6J3()&T6aP};Wj8<;W5 zU#*8P;AC;r(#^K4A<(rAHWsJSKB82kAk&k6AQH`vxnkiQQ(qj%WNDhF?bDn7D1Q_1|Oo*s^1*+RKAQYrEo1-L6Jx2nVa~3LqYzF)6%z!J6qTHI74SawUc) zTtM6NWmOb`@`E$&f{Q3NU4RRhhOVWwSwo<=^pS)TRI^7mBjip_x6r$^L&-|}Y#bvx zWh|ax2hSWnEM2rIjmlPi5kV>(gv#149u~)afzfwJ{d4JMO@rs=t}`sWaI3l|LThXw zDMno~GIp5eKQ1FN`CS+mZC$xU4o7vnuR$FG^TVE?+257=XJe*iHjYk|9qjyadD$Ld zk_1F+UX>Vh%2uy2KVyZFl17g)BFCBBH7Yn9218-cl zP%2ZvwE;Q0Ab6}xAa05vraGoy%>Pzuu@eb+1*u}TZN)m9nzG+L1B`N_vH^k%>pd57 ze2ITUyU>y*GWdWYxYTz^1`7ok2mlh$gGyXOp#x1A-l5d!x1AmTRcoX#sbZ@URJm8k zWKf@N5f(;)xFK14IpqMnfR;C))i(HAwaZB%hG+-e=HQY%Uzx=0k0_vFhq-3%UiOVv z6t>=FvSaU^UF-tC0QiXD_^|C%euCR3hi#x`*n}O7dbwND+XBg;8yG=qpkKL;V&Wt% zI@B3cZ7pk0)1<5KsNPrHs`{lUU`ZU0)U^vy<8SbWaRzo%MTUE97q5YqOm2CmVrlw)M?;OK!&jU54R@q0Yeo=08 z%Db%BddV6AJg^^Qeeaa`P1R*2%htL&quy$!ZB#b4a*r!CR}~?a!}5?hS!LvpzfiuL zI}eWsjgiJTw(QxncF{IQo3>rPRLSD2t(O?IXD+oE4Y;jUIPi)^bY~@^GyNT5zMX5?L?T6PVFWPksdk8v5j4C#4fw?{`A2UC}y_U(vkRFLz!e_cnpL#SzoJAjY7W&_ix%yvgRL}(T&bQ0oGx4*W&4_ST!sT)#!I6w=Mfa zb2dqyyP7U|+w2C-WY^a`viChvJ`zunShIuJ3r0z0>)Uqf#erwsnTn?K&?3XgenVC%7LJ8HH=8gD%h3`eE?+A|JrBTg+p z~yK}gR&vvVJY9DK9efJ34F8v;N05-V21*oog&bn26-EMHlWi#LziZ%aP zO)9q*v*l8!`(!w6tsaB4_S<2^bh54T06xv(rx=(jOxkN9z8p&%m(wxEk$wd$Km7Io z&H@^v6b&@9fs3gPf|^s@8?$}mn$3#7+y*scSumFmI)%s5g4S~dopY)L@JJ?c&<*~! z0;yKutVBRU%xB~w?qe}a*4<6z`v{?cKi&VJuG#4_MhJ|e$ON`%)TmmL*Y5j>;3TF5 zot|XV!!<9`QWAr5{kWKdJ4xz`lt(aUQ7|Zx1~g%^Bu#0KRNu}hTwCR(y@mw0qwP+v zrnyPVF(-pz4i2Bq#G@TsF7v5Sxg5cP`1=x^sGlmk56_b%!|a(~<`h@eNe2geR7)Hi z9NouYMap1?r1mULY9I=E2$3^E0@;8Ox(9_SF}n^5x8*(5%M?&R?Wm9pD@)oB&~zr2(ppH;ilOw;$$&Bc=w#wnb)xM! zdj*3T-74FV2tiVF$2zl-5;bCk5tnZ%*sgepZ&Zl_nCH01{Uo6uS$@Q{Jv2KXR1}bU zA=gR5LH^6)zr(g;V~pPNst6@)bIiIyBe;T>mJ*52ckLtntV0pKV|p8RWHN#6P>$F2 zG=7wH!E2;rz@E(*+pMq1-gf# zJ+O>$Dt+%narK)tQ=!&J>w;1V5V((m_eEi@DZHH@GJ(aCBPV=dFDhR61>qSDa@7S7r$zle%^+8jz2RkIsV z`XuC%qSx%z#q{)c@HEJsKOwcFkJY|%s2e3+p=NH3pBzY3K^=;qBui2eatjMufeDyZ z=e9DUcso`^oQ)lSlXBiH8P`R)Kh?JmRL@~4`>ZwQnr)L-o@30Za_Hlza-xSDDid@n zW5^{WL9FS-Bxy)yWF}0N@JTA?0*vlZ8emO5SDf+nr^qSkQ zs^lx7&4C6^^`osJupue@1=&B;zH-!%OLcZ+MX+IDt5Vo+B5*Wg$lPBWA&B7vr=c3a z@EL?9j%Ub@Y%h+`WQ2?ypyAXwXFRe@e6LRt!U2=~2}`sVJs%EB-m<&N)eM@sCr9LQ z(W)49u02}JovbY8p(+E`3R|qjEHV$Nidcd6fnTH1t>7tud2x$SC)Ts1tb_;@%Ms6# zInDspDY-F1Rv?gAvnD`h`H7)cXrUTOlUj*Nya%64`>(g5|uh3h6ofrB&FkV^Wg zG*@0|KO`|!UedBeK?OxDa>yHkeEB4zF47E}r>$y)TtzDoToakD9O|*(Ak9jP082>K z_Amz=AJ-sx1lJ7>n;Cg10U{-dh`pdPXiJS-YUCREpZ~8 zXP5rfMKyC>;`XVbiZd6ZD~DOFJg&Jta)J`Yw%XO=)!S?#Vi>K;3d%^Si?kwGp(e_5 zmOcW1-HMs&r(A*5E-3a#tqc~((1z=)xf_tO&X z5p@zMVbzCB%Rokdjs=W~5ZaF!Y4Xv*+INZaZ#)w5A%26P$`Mc#pA=THPI2Dz>9kQ6W~1rhTmXCyc!-!;2kt~6M!Q>0 zORBLDP?D5L99mP+f?XpPwRF6zZxj?7UtQ!-n`9Vq? zb@=Xg8Sq4!N)KkVKdNS3 zvR~Jlp{q_Nb+voqm+IDV3G`Vf?j4iM@@FegU4oV$z9x zs?Bz2(ftqq(oPNb*mCoPJl_ouyrE{*ddUW)Dq_g%W#5WWoI6!@irr8>s-EEHgst`| zZSoJ0HXK%Yz*_@)%1*N&pR~%|hY#4j9&ou%)a3!7??u+p@}ht6=godDSm5oX_t_RR zW5@9j36U6Ahx^Blx0cqMcDB$RoFW~+&v-J_7?tn0XH&H6BX#_1_W92ld3M>{fiLa) z*oteZXikqJpp`{>cXD6+LtjkO&$u)^$DU^FMrD7?@%|NJE+6@7%(f!Q{0h5iJPEOn zUW@6F=Ph1a!zv9s)lpL(9urB`wW4{v2C`r9yn@$BtF$cag4fVoOx^C(Z?h9~MNFZU z9QvaAfXlMH$?(SkNkaxVw*S$0?cepC)rMUJc`cvZkuYgpxa^^g}uEPA%MxMnV%svY(_8w?F5#hh&#cR#E9NS}$3 z=Z@X-!D7%13}D#n^K_@!+_uNbglfx$&4#tO)9I|=!t$K4@fZcE9Y#J~vM*x(Uu5sk z092`&D$i}LLpV2G+H7td;b_wCh=(4Zwbts?nuqKrV_~1O)_5b`HFB@`bh;Wg?UyXM zl-gY`w1Bv@r;Pp6@khqn!$>RI6zi+)T&p;@6C!kf?%dOnTlqyLZ*R?0AKDRl z<(?1qEAsA#N_K2Jc(vd(&zBJ71>rex)Da zS6tdSd=mb}4_{K>%|3MT$wTd@2N(N+``yjUT@1e{fRF2+qR{lJilb(;*Nd^>X4BJu z8>otl#o;~{&@5JE*hS;LaEFUKyF$C@)*jzDq?U7k>!cZ+!6P&6L)Q zm(IrlH}Fq?`O7KcpX}+ECrO+4*It`Wy+3~*7_5Ca#6@(`Ch<4^=7vI)pJj z;>p7&Lu8iS^WB$2XgCINgvC=(0=zRBBmg%*(AC=_uC;w>f=$=J+YyiXF9~=M@$sr_ ztet-8%hUq+?+h#$On&dxI5lJ@n@)f8BAqyQf7p>)s-$@PeX_cu0B;fG=KBQ}e&Jza z=$o4WFM?OCyVnDCWbr#)#o7!A_^k)DTojGF&M;hP0Fa8-Dt4$vv9|UrKNSK@n!TV4 zds_+F;@j_$>_&0dUDRXP`sZcwMe)F2(xT&BH!uLUde^1%0-?QDabu;xduUKupvo+> za4Y*!`No>gw69{6E@k_;Obpisz#kp0uHE+_RBPF#ZMabHiXRN{F!uC!q?`An1d%Ew zzNTpOW#IsQp$8<)r%RV6fni(e))wFFcP8l6Yf;&%iqAb39 zoMQZEKMPye6f{MnaX$=+!$ozjD7Lng$KWjhl{LdevExsrodZ^d4 z1oWZmb8WEd`*JlUldh7nV)4|r@>~tP`5O3om&u^7XW$EM!7yxgfxwT`eUPhElxd)W z;%4`g1LjT9eEMlDzTv4wH9~nTOWtPC6HCB_u2jR~x5ETS#eC6#6zESmuD9Ej(_Cxo zmCX$N(}O7BxrmCgcq+$#`Dt2wffrgr;BC*o+=s)8 zV9?k>w&tE7Y}ozp4dh$iR`R%jTGdZf_$j^Ee^fLa@2M6E{L!URoMGxDTn(?Mk$2#} zxf!RDD{d(7j$gp{`z|-&QZgItb1vHLvn?{jPX}7rU3Wo+-f_M|%5AXqA*LjaF(gJg zmwS^i0zebkCAKC(ln{alE*+C0JpJ+@(sMgz_>9|muN|c6*Z&pJt?R`SfES>uSe?-s z`uYj*+2RY|=?_kTXLnPyz3O$U8raRvc5cmZMbT|ltzEHkzvdH2=GwTL!z_jIryYZf zmVtS2U%=K zRn-ds&mJ^G=vr=DwRrz&fF)xLEsR339R#?Pa!2HyHvq@g6R9;gk@CKYw5&x1na~ZK zj=Wvku#iXwy5t(3*Q88YWAiZ}D&v&aaGkQN`OY`+kWF_O1$@yI1c(Hs9HSb6yDU}2 zp*K4oWTmf&1oJs7*F)fptI07y6X;1kxAVXh+Dyvg>)LB;I}ZJDumFy1o_pYH8jaPv zW)|Ps?5VRei)RhUKEAManutrkqglri%={@56}_cKasOS_SQfv=vk_V|&((@h0V-SF za_#sS`b_ikEep6uIbgPfQ#}1J_pY^=2XaM^?>FpY@5UFTHsN?WUS%_8%~hN;m1(k1#5m|JTJrgV3GR7~r`=}0 zQ&Cq|`Q-pNL4JslwrBQ(!wV>*@k1Ae`!Z|0w5?}xQS^=no0A1d}%Du)&^C~CKF28cK?OYz@07DJyQp~zGNwQJl}n~+@%aZLpH znp!wKPs-`~bgm3JQ3Fl0I-Bnp!o}zNm(-ZqC5OZEaQWCSnoXL#+aasWM9H{h&FZq;8ZM#E_K1q#vCS`i>D;-Gf4r)=Oh5PGk5~Pb zm7Sdr@Ai8ue`ROqE7M+Rt@J;XzPYt^`t+wiosM^l94D@yPJisvIM(-_J9qP2H~}u# zzxA!sJ`9(ye9L;`@|CYz$nlNR#AWymF7n|r9PVar&yIGt#HBrYA6&lmZEJsA@wduS zx_tH9WzFR)y&Ql2My<=WZ`8URKRb($i{d$PInFxVEWP44ZgNTc+0An|zFl(RyR$R> zs#PnW+u6BsqpEU`Zmh3={`2%GtzZ1SdX_HMJsM|S-l(cCsYl-?7MC|R>z+*ez0bkz zt2Zp;GQ6=>h|BS{t&69LN6&5L9{K0Q<&8JmetQpmZhHGU2bVu8!`HsHv-9<@+t**h zW#DPJACV_0D>w@>Fcxg5W7(@8N!gaQ`IDO~xh1cdTUQJlt3eSoehhNa;f4?T(nrouN`t+g$Rw{XoCtRzP6aT`O(QxO$)t4{6$D`qLdBgRNkL(2eau*IPW zAGgli^tmVNqq2bII+K#P+C_5~Fj=*?_f^#}x7uVfX)jsz65ExA*n6rCk@6fGwnD!( zy0|q0BxDu79k$V7yX`~79R}`E@>GVk-d_D0TeC;(pFRi!LdfTnQ$5{Ja^Dk6sKrdC zlR3~LQ|sLKoZ}sox<$Bya^l5Ax|2&mo?-kxLAUwC_mm?ck3n6K-cnvm$b;~vl37m> zc|r~+i96yu^*bToAxxxOjNn93%+>C!qlNAGc{{a@Ew8m3Jykix)~UGKvV(G8uSdJ< z9r|7sp~JqD+rRL|xno4tei5)W?qoa~*VR*4uS2g>`0TPtxj9

ES3Vy}oL9Z$zwa z)A_;i0U!2Scgvn~4#cr}*X4Pub;6lG)`89YV&{x)^txsbdTy)2WIM6%41N@B^g=k& zI6dyU;cU&wy?<7-T`hdu2d2I_jtxtDmd;o+!Oaio=Ywd%gI$we&#*n|S&ffiTY~_Fd6_vf^Fw z=ixz%vdjE?Id8@D7NBywg%3b&%>%uL!5Rry9)BQ{E)aTf3}Q^4?GJyxMT4-ur_xNe zaw9S^ORt;s_I@E95FfO!!Tpi1$}BgOp zJiCq5MXimo+1~kMN|@=CJx=q4_%Vdn5+7t$32AJOxMM+eq0g(r!)Oy9ou{$HIZOHZ zd)Y~Nc7DnsiQmhSqB2aiW7?+Lm(5+io>>ei0B|+l(#j0iC=wxTiE2q>}kSqxb*ATK7=h)SI+FmWnDE~CC#k@5SJp1Rx zva_0q2V7X1J?0YywJfW3X)$cPl08L4(AyBdTeV-N!ccf`Ad)xOH&Uv^@Fg|fu-9y# zCzfT=x9xQs+qf_+yz1UP2}iu`Av2$S5ePV98|eMMt|!T0=#;VaN;aRek<4kIsXb1gOWQ#S@be0 zfTb&2ycL1O8j^nAYD|nb|L}+*D6%`1rHHT1X3!iLuQG3F#P!N*MnDY ze$4D2`90~3w@Q{6(OA9Amjn_B_F|GbL14@@gIO7qsDvk((@{pSK|^zV0F{BMune9l z9(V9B;*#ZP;*zA^rlg^8btJ420&48DNt>r@grvI_cUOsNmM~cA6bb96D^w1J#V%vh z=Vlbr^^h(hr?kD~qr?esn~FP|bSf{MX9R=5Pch!FE=P=|1g zcK#s0b%lzVGt=s1RCwT<8TcE1n_|rnnbid))p|e2bd>pyJIKPTK;I-NF$x1JIZFkO z-=PH0I>6jHXG83x3yccbn`;{ytdIXHG zvf)rmg~%UCUSlHDf<6oAVtm&|F6o6sLkoo*p>?7oWcl!ero47U5|G8Oj;L@_A5fci7ALXtL(MwxX zkHxd0#aTYXgBDEY1&_u1rzomIlSy=t=&2=lfT+k!V3_y+;5V36?x&q_+s@{?2XcDW z4!k8WG7a_Z3s1HKFAX%+JFq(!S-;Ds6}`|!Rga;KrVron z4SiygXb0l#ugU(W)SB{AX|d0T7n_^gj~UPNALAPuEqRkhl{W3(wW=N5`lgLGhu8!N zwbcAN&-S;g5f=_f9BpnFJ%JlpIi7{^DLO~^)ygL#N}lDzW7+%Zua)IBT-x=R;RBG3 zVf)#Ts{>LS`;ZCXKvvJw%p2`D^@-%`=29m?IDIG+(X1-yq2tb-W--ga5Fh8P8{?$t zi~^0@(0ygUARg2FV>wTQe3EU#8KfV2-aM@HRDk0_wq?o)x8@2;Nfe!^3)*UnPXy9RGU1)PeO_)lf`Bc)$Gn1b8%LK zs|(MJ%VXZq)6rI7T-AFSX2tbtb+L{Pi4h__5hv0T}S>zv&x2czJ zhN*rIRPGmnB_ns~gj@un9@fCQS>vBa4lwgmXNySY54M=9LOoa&Z1iUdjUL6{u#{ny zSfQ*sQ6<;g zm#y*|_mB!X&~f6JqH=m+jRZH1avw4ca?%YPm(eOM9m?RfblN_=w5AgH*{vJ`956^# z$!bE397)F@$HydLhDQJEf2kxZCs`3Pc_R`ZC}T&Ap!vWFfSEzFN|A-OAF~o7%lp8B zAeS|(>+Jx4OJ1r}Xr3skk(1Kx)y1-RrulGQ6+&+`+PUpOGx(8_>g!amrC?5-{r_$ zGhVqW*~n4jP9k5X5sP6aMlJT1qH@X2lpBjyhp4JuG~g3WdJQpfIGFnh2`DF=a8;y3 zf{5eb%`_4+_A*hKWYAnljG+wk2;aNWAE|wLM5#VNAC1LVB4|Nv?R`X7C20Xtn727f zA!vt42HyN7HOU}=u;?ejr;}72&&nzqBMq9mt?r}hfFAY9Lq7cp$kHoN5;dj+YQ}s( z&1A)dLyNcr@loJ@680D-zDm?D=lJ0=>D%B|52 z5+QNOrt=r0B4j;h^YPe*e6S~Z&_5m+31i|_Wiewl_wE3tzKLxoCUU#q)q^inv4pOBUjy3fnR&+F5$8yTB<|9E@?>ATwU=sCi)zVCjs?tgIfXo!`wpI zEtTr>kBSku2b)L?RDsy*r#5u$OD(o^xRQ{(vm3H0=b6E3lS51?4kJm&-`El<##0f5 zlYlyRfNLc>k$=8!oo86qEM=AXsflccj&+6FUN_sgV{{@&uRwcdMB z6<1DRGmvA}w89eU6zV+ZleV`A7Nf{0IKdJe>AkE}@S4R@2L(m>^#y$}zNSVSUCaI7(WcZ4MMh8@rsI%&MS3y}0 zzK-M|v(?(OU{usZ&TBCzB`|P!i<*ClWDGh7{XrG#@?|GM4Um_z4<5-QW<_Qv6{dQ? zlq9ss=QhfOlys&JXJL@&L7f!|HOdXXjXomvMHCSwW)G96w~y4#L@QK6$1=j6jCxRS zLo_2Oj&QCEaw$0i^<$l#VOHl7g>=bmAGLU|U%wcpuq_5bPCfZVk`Ru0Imk9s0DT0E zbrUNveq~zY2Ny|lxAuAJk)DOSH1QIbv5C&5?My9DSDy}GV1})62MWW@5T@e|9GzPC zAk4x(8W0k#XlXGg>%ne%%5o{2SfOF)oMsi2)lADVr(AEH;nBU)9CTkSSH_USp&GyY z7tuq8o#oUgx*7qGgHWGIWENa$32B9#kFZcmoz6!tg7EYZ{dXC6}69>S!PO5piP*Y1%t_*5^{u+4T3n*K3y;QDm*2P{(yWBa*$}eR!Ubb zMQ-av8wqw?JKwDIE%9#_l&rHUD-)rMGw~tLOtesp|DzTYkb-|NLUwqTsh`$%@acyJk9`cqah{^ zzsaMi>vp_f?HzMBp6Rm9-LxBRd)X)Yik&-N`{m{pCe9=1;9AF3`MeLGBk#s-{6u*w zHhgu(T_~UF%lSW4y=tqy%5`t_{|ZZGEF(9#+S9{!Z+|M&O(6xgG*kOPDqDMuuk%Bz zQi^+Jx#w)^;`+4OQOq}*ZPdjP{Pk7l{cnkbuc9Jto93O>K!s)&+vYax(OSAMBzyJ2Gb4R7e>#-D6jLFl~h1tF~6&czyfv@J%bH!S-!>!T)B5o4|jxn%wITrtM+> z*)Z(y_ddZ-9XXzMz{+0ZPK$uIJznA8o{ODBtjV!KYz$t+b<7ItY6q`G4;cZ=W>IK0Fxcm5$BL zE4+!YV)g64zS!x<$kdDVTdBUhdQ~2;-G)knuV09Bo-B8zyphNB%Y9apDokkN?Wm*AqAJ-_sG-vfaKCX}xjo+&8K1HaeZ_nhw0`L8)(@%`u6Np_6Yh zS~))3K^M657@nB>lG{e>GgT++;!a<=d6Rr&qlBw(J-Bmqn(X|~;7{CTdhxCV2-=fr`z0{Y`HbZ_awpl z?)k~fTpcxk{NbJ6SEjAj`5)qgB%Tnq+haa0VA$TH7hle`zxh*4zx3YscDs_PlOqr#v*8AUe_Q$fcb^*l6nz=q zcmI_uaq1eKyQ_{63&r?)3av(UCcmue@3}{~oNh(lyGXk$D;sCWDC2l>XEGV`e*8;a z@cHb9`|ZnN!d)3R`lHE&&lon2Uz-kEt%QNTav1>M@(!Igwro$vN~iO*ji2Kec;^dJ1-m`TiMW4e(5@bBSW zWY*U5%6_EvxlRyEch}lZe-Ily|oKnBAws81^7M| z&NTnf16)TD0zokYBH-;@zSRwX1c2`hcW$NRJdd|C;G1}M=AZZPnSvC!GcObMA9*B_ z0{BnltbMX8pt0?jurk+LyU=AS5e}ksIFH3AX5o)s<}JKwt9AKKZvkd(-;43COcrpTf=wk7)*|dkQ{;8x@o$)KYYs{qV3wZaQbH z5%BGES~tDlM*v2TkI6B>tMiq<|02{ep0Rx}jfw7BBAD&56eL=I>M7+IRt6cITNlDK zGL8I&Zi33nazO06-7o=YzCn}4oB!Ztbi(2(Y_Y>m%c?v;DkmHP3C1Vb?r$fXO(!@BV3`Qn7K5AZGOF zGp5qq$Y09vVFG#TwK%cPG#Y$l#3jFPVz86hr#LvMqPX1U!h!orfAmdRyenQy$mRz$ z2U|z!pZ+g&`ks4uM;$Kt$Kqu&HIlY^uhb(u%h!=-Kb2EiJTE;mw^Xa$HdCkASK?23 z&X7NUajx7vH~=!_pr$qhokLZ(wfjXwF%Sa&^#cI*yux16yJBN= zoUj*WIY1+;8`Nv(&fX_i)Ak1-&zI3>x-S`6oId^JlY=S1Tcz!85wc34txs|IoD_7}@jeXU?z^uJNZY;lX6SfyUPHaUT4juG1Fw|# zEMo*oKs#O>>D0jU!8+>FS1dkyj}OYG&sX-54G9}!SrvN$HOm2JgtoUJuGRivfNOGn za(Kr3;6>-w_`*=YSGlt-JS95dSGTEUiDBr-)xeU#+er5X=$e&J9tLTceSBfL_O#&5 z*YEx=m92lN>K_%({?;$)yAqZQmd7JjugIK5R+Vrye1?jvK{oZ(Zx*wRi`GN|sqGGr z=+IGHdwhKh*||2cT2rd9cKT*g{SEfN%J*HIVpxBJPV#MOUnIVz9>4{At^w8y!GNT5 z9kO6t7^Z-)BNn;S@gL1`n|y=|FC|3*nLoP0$DclUG9iT$lGn1F}}SHMKtF%5#dn{v@msd4$Sq zpiQnwSiOoB=3#6U?`z~wah&-{DvszGu7z*bxjC8e@uwOQT^P0^J;%z>@H~0|_(J;? zTySA4h``4;*Aucy5{{0V{48xE7EY>NGytBMPx&YZU3=}ObTfY(Wbsv?AGY%!s)!ds zDIf%$^2;Jbo|mqp2q=B1m=TGhcQiKUS7?_2-hVU?z`0JRL$U0lFN;T6)#CfxL$xk8 zs>4HB{6=oAOHwUW3L%Mg#iFB|j&dd15^1kPoGqT=@nn+m#VI-@)#r?lw_MxmQmSBl zgu-5k7WA2xd??U~i0|+7<((4kGQ=ZF!JSgI9s^a*5*G}E=mm5^d3TUTp`096}y z^}BR@jhV>vMI+}-SAq+OKt$rn98vu^p)cbXiSsQoMYF)GPQuRfFDd4$#9ZRPnRS7! zI!URG8BRKV9CHo=WGSM=T8Tf?Q)3YeC8$Q+w3kC7E{>;w!LLfQu;+qu8imb)P3>sa zdvRfWc-<+fzlW?>eD0bBF?hu&kYonHT-@%aHuZ!Oqo(m8qdzkY9}h&2G(1wy$!>G- z{yV{_89H{L-escgZWvP3M$ zn3Pbf9Ah6O$xjtQoao%PNm6_g>eh`}H7s47Q#G}w?^PhiZ{^nP^g~ZWjW)n-pDOrL_HQOe{IS_jiMA_n}!~iVQxkU%}Tuv>K>Dgeah+FT8 zi1)6h$v*iT*3m~JAOE<%z~EDypRe*KCm-JL=TCB`)2g38T$%Ezi9T2vU0f%=I!f#L z!xewM{`{rWR($hjr)+$9^W5~0`0PcWzkIFIh49YTZdmomN`EK4TG0CH)zLLR==uEB ztDhh3?zT@4e#ws-U(Fw`bn-_uZ*cbU&He0Q~UUU1}tEF9o%eAkq7oUgA=bzPw zJy)*|(?vdify?y-1)~+W=RM9(tyggOv~2OrbmX`h#;YvP;dW221@;V5oByrO0-s?^stnWx zt)+U1ubce3facB17FEdOFy&8>&_5&_Tkfh}D}fPSOz#b8p;GrWfe~NNV`9CFNGl%e zX^|q0<~fX5SG1`gaj;vqWEOC@fnHE&n`}gCK#9nGEB==``^Y2J*=KC#UTOiEQ)Vbn za7RE8{Lpk>2=j=yXq3iV8L+&aeshWuorSy&rDxJMcVtGdqc=04)#y#dWa3*1Z<9|m z`U!-0REtX2z0F;}tsL~A!nY0cF{(%MR--OIe42`2A^5}98FM~D*$8+r{YJU&#DqZH z#is>qJg}(KbN*Dje2V+dGIhOt!4*^Het-i;I7exBRqS5Y_-&?G+s>vtJd53|`(amB z!)iUkJZLaNT3;^OJy)F${0Aa1aES-v7B3$+=<4{tMQCLc7tAL_zlR+Tc`JXkcwwdt z^3Y=49YNhq_QGMK7tw9Mm~0$>xK9VruyMR`<(gcwzLWb%nST8v`)k!l){?uJO1jkM zZg=T^zh#^Lh+mH5e*CCN4o&&3E*G!0)bU|8VwP6d?e7N){ys93c`9js9Qnk;9x^x} zL)o_dp7U$D|1R3ouXD5eiE?-C%C{*kwqejcrJh&`vqmu5T5&h+#&`p|$993CV226I zg7it)7x3W6N30ol+t&@6Ld_Wrpy$ma9zc0*XtYT4b|e#0Wg|9}m^ALh_!Q?j$J=!4 zItSg`=E0d#DsIv#K4m`C`eX&bM-FHjU884X)g#BvEaI`88&RdNJ8&V4cu1A)$eZxr zC#cNDr26`waqJ&N{^t}|hLI)Photv;@jX*KaCTi;2{gJy8PB8=5hS@BeOi>~FRliD z)Tt(%l(Ie)bW8SZAK~$_s%K!a;gOOQ4i$l=T|L7*ric1(gHxB6w3Qoif z3#EXg(g@^k8om?~mGo4EzbrJ+J-K@cGQKeZ0Nq8#aT7<~*(vw^E?y-Q}7 zXB*W%7N5xD-|16zuXP@qq6+I$Reb~mcU?OcmYjY-xfpTKrLVH}2w0eYes=tf5aQi< zlpm@W<=DoI01wHkBQ8(J^b^g7P^bu}v}#>}p+gW5JZp)w6gQ%2UD@Y;)jdCEZr{6o z6=x7FZrCSj8R9+*6n2oQ>Y)Peff*3tCracLz2}Jey>HV15)yW+!NDeEqXcJBR47o{ z>Fbw9Le7P#idU@YsaPRJ0n83NYt#zffnf5kov0hsNgAq0N)SpQ&0_OC5D4ltkDALO z8ZDhyzDV*k01HJ&(m}He{UcvoPy^#j>binj#AucRrGy#|%5!zEr^iN3HPer2LsByAtztTe*@P~#TK|25h<>XNPY z_1}q^P1oYNqTJWECZo!IC5$6i$tI+vm3K#^C{^Qv&UK&(UD43flX^6`58_0dg^C+b zF21B3JxpfTG77j@d{~H@su(W2LHpvzFo_|iFZF=8e2q42c)r=g;u)@&u@{h!0G2X;0_V{#emt{U#>;_#Ha?ua&w4e#j8!oKW2e2p( z!F~PMR(-T0l4qh<%@@pXv93KAWe&RchxaQn=X0@f1wDB`4a3bZGc^ymZf(+KFMV{8 zT5xb~*_k%ay<16Z%?8v6muEErXlU0C8*Hk_O>=Ryg~hY74Gk3-394i2W!y9VPc*7t zz`UOS7mRfCS?sUf#C-9N>wVR^QAF904;*B)g8lrF9Y z?x$dRumlJK-g^&$9n6sLkXZiwV^S~hyDxr!Gw@#t@oOkj+oe!$ZCQ(?jSxEZd^eg9 z8aPP_7mMrdgi6}4tN_4Zm;75wjo+-7>#g~+WZP-lsG4TLd`HToUo#Zjv8X~+OI^o5@~*|h7Q z*L7;cQ7RGxn39r1n}&bzcl|2n5&_TrBv#$B#gBtV70dBp@a<|pmF|j9|M+JC9*;sF zL$(F5OtWtySbk{XCx+J2hy&bn5S&6r{j@8AQ>_RI0P4pr$b6EF~0w1Rbm8lo~+@3-L4WiEC z$Z%2PRww}8-PXIZ6jcTV@Tgr^93=>i3`I>yb%dqlkb5#C`6Dca`Nk~EGV>MWj870_ zxC(3v{~+hMT+J}5pADq|&WBut7Gj;#RlxvR^H8pbQ0B8r@_oqbaIFUfiYz`Ch=LW( z#)0G^en1nW7i*@NKJU<}vVxCY&Tg8!qb`hab*g}S;$N&G6_(1@6O0B)x@b%_3<-j8 zRzPLF_AUO~+3C`S>JzHcFka!QD{u7}pyW>nry5CGnSSjX>6fR;&2?z$CM5bcP zV z3X(CxINdtP?dy>7D5zt}vfgN9<>!7M^1{DlUAE zlnha4g}6jIlsy+pb zF4cB`<2q1RfJKjqkT6s=D&~xj@YJ&JVjvZ%a@2W^jwB zT#*xRCqb?U8)?ymy2Q3(WRH|*t(+N~*%CP0F?$?fIi$|*pcb#n{n2<7GyNEO=%j#6 z=UA{DS>0oeaDrI^fm-ksow4$QU*}dsd4*yxObeeJ7n*S4hXSDu*XWtdExf z_jq(tY8gdJ7CG$L1*Hj`0LUw;zzgyPo}4McrBJ3M&K#MI(&&?5yr*mlo!u zl6Vx9vfZR!(hnl^d0HijV~lNpC&Kx8l$u0l7K%WE$LaZOF^h0sOKFN$8?QO>%{+5A zm3HbUvZRpiRb+LQCa`T&R20z;6AyWniyXOfL|KxPytw@Hf1j#SUF~yBlK+U7n_g>C z&;TspXh3)U;X>luYUEbU;V1y zd-Z!24wEBM3t;h-(JYkHAvZy4%#os-G6Eg>VP_vLxUq{aiXko@^EaXacEV z(k19pyC{!IM1Dc&1;z~0-P>cdt#Vg}{u&j(W629Z*&qh8fkDv1Dzx#ucp*m111jbb z1yp7^?T~!zL(YsJrSN7*t?Ge5ucSLp`SU~S4wIc0zc?(8o9w1GN~_ z)X0V^!lPU-m-;Cd`S6Bjv37!`#vWbTy$flhJg3>f*h(WxXhXo0yYrx#AQkw)k2@g& zF^Sc5gG#QHkMsl>Ytd5RGNms0m(a^p*&5b@LyE6>@8xkmyE` zv_ltS9Z;eWxLGhU4R;6TG0==PR^=kUhRqVh?8A#IfF)R)Xfiptg5QQNG&K1{fE>vc zX*s6&e%1)SE)ptW0>3^0DH15{XPyB%5tNIb3$O_&1yK|{FoXTlPN|?D#Wu(QXIv7(w^`&;@XJIfAm$XZ+0PiFDA9tn z2$lh9JGb9Bl}>fp93m{~A}zx4ZaE|}BtQ>w(=m!<$#s!kfn~$S$owZnOwwMN`vj9h zKu}S3sBk$_nFIi!Or4TQMe=b$#efTn2xx*gQUsUm@BeRRbF0Pz5#+W7A9DeP zYZkjYekWP9StKTvrLPa%aY8ZSxgHf1%10J6E5?KcRq$9|Pxi-o5>ZOX&oBx2;^G3B z=qVyb5e7|0<%Kr!vl~rI^Z*f!<|44oH&T+{SJXMkgr<&2XKq{okAtVAgmsl*d{f~` zC4xbZZ#OK&w8=9Fw0z=KMWW&by+rjmZi%!1-OHweJg62nh96-dY9NtH8cBq_YH)jI z6$3G+CacH;_CnUyP(>}@`VCF(pCX<01H{Kj5E2EaaGAK|gs2q4!WDe*X&ma>NWz|q zzIX+k*zE0GPIQD^Ad_EFgU#?@lkpNk8PbOV{^4?Q1;n&k;f)UqF?ELCG3#SlIN-JfAlPY`OiYcbduZ!-@Zs!<@I=b#2Gg{ zp&jSZ+b*lqxN+p#8ah7WStbuo_P)Vxw1Lx%vj^O{d2}zSS!fl#XfCojWivN zjyYKV63=s=8k+sD5AgYo!mgl{$M)E^oj)0Dxm6VxUu7lG{rTBbw&f@DFO2oRi;fwk z>2dqK-HzR1tGx0XG5MjtoVMJqH5adKtae{Bdx|IPPd0A3yVGztb%$(OeiRAc8`}fJ z_5Imrd13JddTqhmmM_N=n-dgYcWdtK*NYcBpWW}Yi-uBI zXD15OgjkTEB+&sgI5>Y^4}95fldP5Yo!^7~Upi)uSM4q*xIQDdvaft8%$c~YN^#7l zH#c>YP{m$1CBCco$pp_kapYrn;mhrFW=~cYU;Zy5=ZVMV69c>cq@!!G1&tPG;}Gr1 zOE%ryj_HepADkkJuXkMt&car-bJMPUI`ZOTOG5PT@TUFAZQD-CTuQN3T`#s5%OcF5 zPN(Ppx!GQtCVvyaxV7{*-7n~nY3wijM^RheMs>nU`ff98d+S@=qHe_8Ce+`Gqjzw+MePM7j+3YNlre>w%K z-H8VWOyrp492`V*1HMTLmR76Jws^3gz<{NKwsQ~dTu;NI^|vmoH2Z2U?aIf7Ci2b9 zBd2x+jo-UfMQfby_TD7Ea)i&w%Rh=whq{gK&NZ;KTbD0WdX>$^yX@gx?O+K)>Bi=% zKNA*C#Zi0n_V@%XnBt_0og8eP-QUAMQSXs!o!Bt2G+S(P-Jh}LWj>DV#<}Z5{wAfI z^QUfu2IHchuu`h`R%QS9@30xO{Lmwxim~O&lWYu~vfsWX3lZ#^%*&ZQe5w)M^5|0f64N~1W&mgfUbSZLn56=}R{?N)o% zu@ft4SqwYn7r!_S#oqe*j$NM4_PSO*6S_q@>Ecx9bDU_s^=6DKuE(A{o36O~?tAO4 zs%jMLpSpbcwQ9Z9YTcl==E^TUTOAa8M~{ByGq-Oy_D*%)`OGvHd!4m|DVw>u-#hyp zTV~8`_d;5>{Mo^G-m>WZgFnd!u+cP^@6tAH?g~%rC(gHV{zJRFGdoq@YO6(N7cQg( z8`$upmW2)5Ov|R6t zZq@zYFX(}berGpX8qPdk%e)7^K(eUF( z-d(2X7>+x?zqi+DUi!rY>Nt9|Gp;HGzH|OkoU-$z7jGXdW!rM0tI<~!Z=I?l=MCL; z?K>5gR93S4K0@;jHc)AGwS^Ak#F3-x?e6V@$3aY5lK%pH>{4=k{SGun;ZwyuUcsHnjH1zOgI->^r}Yz{B_pSF3~-*C(Ds zApg&OB!1s64up_REFf-S?Z)8>F#e>g4r<238tOi=zC6&g;GIp2DY2sN)3a>jzmZ_9 zeYhB$VQX|FZ|*h@YdMZF04&`3M7qrg<11@7P^|0i@t9TKUYngb)62pOo9Ujid;4~n z8mC;{y1>10qqy64VM6iAAHzzea`xemUEUFmOmkhB(Y*Xc7>&d~F?#p1CDyUe+LYsl zIuVV90Ya;HAso@OE`?uT2Mgma#RIaeDfkzu;KiJFdo0vy8c({ z07-nRTCGof;?ji}|4Y8KTDVi6sv9L$gT#BMBY|3+bM)4C#Q3m7SHki26YsvOs-~=p zQqt5C0toHkcAO%#cVFHKSAzzc|-KEKVd#t(fC^P%ZybQl>D&jKG4g2F%Y2ysqhE93OQaA#P6Ir=Yz zin@H~J2%wmY%5Dsw!_&A5tfDlMrdR_j1Y+N)2SG5>utAx8^4^4sT8eT#uz1Pp2Ry2 zRYSXCMddA;pHLnfs2ZIQgD;7fl^&&hyePi3Pr@GjR_ofWCBAbPN-@55Vs{$jr>;QG z`pMdKwFH4Qp>L^Lv%$_Jj|6{0Y;LcYyCI<4+S{#EaerEbXQxvqKvI#Wlj-X6fYYQz z74X)4_buTGeWpD%!tX%1x3GOy09CT5!yux;!Pas~DqGZcBub>PaVd9D`uR-%n94Glxdv}EhS zy0_`JfspI#(rRTNBC1z3>e}u73*sAh#;B~f|D4dvylfIe-HYL4@PW`#BT`7;Aggu7 zte-;A5c}e0mEddh*?tIGA!xCKJ?r|_s|N>sh#u%f{_$g)Tpe3z5PZ6mE&yq#v%O6z zTV2b12d*Efij%TpoCbzO^DhsKgreB3@I5}o*(mdnCF0od%AmOA%O_&4WqaC9+!I5o za=8huD$~|LQour^dHBX;EA+}%YQ+|_o&6m`Fb7KcjJ==i!?HCU)dc6A`^{^lbFfW< zYKJmTx$-+Y9@Vo?i+$!hs~)`x7v<=I%=kc*PCe02nFO2OAj%LZZ;SCeK@T%lf6->? zM0YdGLl+|%=<}NxZ>Y%Goy#;DLtBh^BW2Mc6zP;3pHM!dejF1+pZ#BI6}E4u(AEXW zfCBo?sZy-M#6f=&OA&lJlvPZth`YjKZi>{PBQ}uusdLR^H*Q3vZWUX{i0;4Z19Ve` z5lyiRjjiU^13?z4*gm1&=8>q{X_{%xF%Nl^VIwHu=CP4TbbVNihn0YQ!3T*0#qO}X>lK?e2qAt7pRiJcj zkHr+dbAvqACEctXnp*<3;&kX%i9k0u!fbmQmWqd|E%C~w?gmh60SA;VLn4`QZVH2q z)S}>eKr-oJDIX^A!+gjSfb;TbS~kg1x&@+I-Q1f$ zD{oq@;=tw7`GCV@&10u}yNT|zJZ{*Hak&fQ(W7vOJ&D+>LJu1zU#&;iDNg$$n?BkF zne#$f`J!KHfltiGU^sU^)70?X3h4=)O~q0wzLfL*CZv0ItWG1P?Li+Zz?d2aG2%I& z@Jcu8h?Bg6o5Wa7}oT#=e*XbWNND*U|LX9E&3d_OjNClbYIjTfGahleFUC43-YaaNVn6cEMAg|yD z8Th4qMRRK;A0$(!7|u*)%LCDpIh=i>+#W(wB4B}DVg%6G3SSOva=W#cyNyOE#RPcWy?DW$^NT9M+snZ^7PXkR9WxT=$X>KjQPvCbNOo$7>!T?hAYp%&Jz438PQ;R zBA)|EIEm)6PmL>>ntZ#{xq|=gZ+G%ZG=K0f&A$BQvuD5YMtvg98^WSfasCHk`N9{T zr*t;#N!-v?#g~rB zEa(f1g=m@nN_n+5p5aU#(e!qGw$AIqLg_bNul?$cQm5jaP2W704S7^rrZ|g*jEknf zTxMF{{#FluphubF)sY_C;^XY261C%0stjf}o9pC7}x=&3LGYq|7+ zXGe|9f=-nz>@iX5^{_BL`;9;BH9pK>{~Fobyx2qZ9S00(-*>i_ox`q{*&N|)%@B$cTN#jw?x zqH+qPe<~SxipTm9=?T1g-RjuZuq?mDLZujTD$ke7&?Gz@hVs$zAb1xzTW9O?!s6xj zI-kNt@o2mzi=&deCqDGUkF`uW8u2r|D<;mE<%tNI0Ud-9J`G@ngKi^=>dNQQv9&yc zVN~`g)nQ?jH6A?Su?r)OM`fb7+3a%Q5;vwyRgQ;q&J{d$<#6j3Tb(@SI-X)4Wz;jC zZZeKLv#Q*5)hW@{i|2Zr`6%Z1{^$QtG$dXAjZWmgH>@)4B%p)uxc3F`BxOI;vAV=z zq)z%bTxsZcT~IJr;qD~97a&2?$&YaF&kjEV?gz2}jz5t2!xFxS*zW?=n)AEya2VYF zrWUvNa=Xe*{TkXYF?TdHgRBk9qx5&+Kd2AcZr=zk7T7)_=u=wii!#ul;uaFhq?vN- z3pXGOWJfeA*q+EuW$dOFvH+IyOU`CHC&#UBD^9F8^@Ac=-?qF<+b7jC+YAj}O7pD1 zu{o%){cdx6&x)OMn=dMN*+qTlvuDcilT4+5*4Qrn(^R}?BC;r|2kcE~cAvHW3bHpt zikbS_9K!R9~z zmu;w}`IWnnNL@-`O8;B=*3&Ifn7Cu&G9X7FYQ33T(RHS~^R; zR??ICF3;{Sc=sY-{l5DDNW-)pj5B*w@0=4V!ICyPl(_@cAl>5K@A~Y&a(3F(8D_&g z6lsE8h0`i7&sz1~iqL$KCsM>gq!B%%g~GFkHrr<;!uVhl?HDtqgK%^o&$k0nB}EP% zOnmCOn{1OJw!Mpm4Ul})Ozy0Lx=yx2CNhuWMKx8oyNPS`7)5I||UJ7ZbPZ14F>iUT zF!y8#rvTXzoy)Kqu#Mm$c$Tyra$zA%u+cw9jb{3NcvG-G)BcH6^8U<$mj<{>oyY zWvM-mP+&iuYzS)s+H)i5^w*&FzLU+3=?nH~*e?IM@CNSqrhWV#oe_=oDN<+fMK%vS zJh>nsD(h}gao6#dUQY5qq^;$KpV8}ytQ0NLIDbvcM!Gb+c$fDhOFI52M6>fc{~_9D zYWrOq*@@BoPhMt0y&wFM?r35~j3T!aX(+wQhn(k($V5`Iz~jbXjx#C%VPiDd^o9ju zQ6k6u3uWBkWp)of@gMD`+Caz6S>Q#iQQ%|B0@0Db!^6ly++sU;7kFs%#Yue3UrJuu zO>7vz&U3OMgOoM*SE65#g1tmk1}eqcV?zb~vZAT96% zjFY7ZrwL>OmrAC8W_7q?x65F+U#)Fps56(~p+-hsT7qo@34qXy`2mn!nWg!V0;tt? z95v`pegu@Q&NbCo@PGqkJYItAl=oc7*q3baf|H=sn!r{5`#e|;z?u&&G73wElJv>< z2?=sz5DPNu|;K5V0@@B%Y(Y+#CII` zD0ClP-a|Vy7J&D2Q9zR*~W?yok567}*&jNW6~|v=-I) z`QyR=*E}*6KhhBY*iM9en(3)yXwy~RXAEhi zH9_uUXtMrQ2%*8u@l;;RBqX|*yo&Rgvaf6`0bj9Lj(z+r&FR~t{UD7>UtQ3b*>&eX zL&f}EU#xEWwCalS9tr@qPBxPodd8+m&DJJMuwtwHb@0M%_}-?{ivXObFWbP0?^dKT zc9MN;qnx|Vj|017w4dixe5wQE3*BdeSOcav+R(T$8E( z=!$<`fVXLCAdM5)m?zj>ajdqW7<)H3@%L@lXhmE&)A+v>ySVw`H4|LT-{BjNrNWw(?wJ@w0Q;x=j#OJC?l(UF`hk< zBqSe@Ip760^C#pJu@yUam+e0XtGSeIewJdp2$=948M@~e%g)*3Xqni4lmJp zx<|QF2|lBgYg7mD1utP!G<$bgDg=yiQXfJlZ;Mh#SGQ4AvzW%%?JVXp+jrMTqN3X0^N)Nz`4kWQ+R&^|8YfN ztU0q?m@=88a1#m@$MP*v`<~6Xn<^72k)OcD04zQu1(KiHW@B`RHtQ~_W z<#OtpSmVJT*15Se@=Mr^9Q>&uC-D%a)RZ?!us*?Ba>|6<5N3YLijI)bB`#TnH5SY% z711G(6fBbyfcOzWlSq;qkq{B}MNGudI8Q`;oIhk}NbZTRLh^e~GaZ@2MQTub_65Q! z9*&8|?l5Z-JFAhn4f#_kc&U1_K9agNWMI97TRuSgCUT#8+Gwq;~nP+d;y63J5+?av|;8-+de zzf2>6?BUn#rNh>SYrTcjz}myFINv@ne|Hcc9cap0`b)ZA%& zqoX%MHeAj~Ch0L=6cK`}Yj_FDE4I^ToQj{WZ&$pC!ZDCUiYu0?x~2`NIZK2@#hW~r zG~2g1YaR6v-+$nJjvxuY&xzTjBq{sslAFfkIHN%Fw2oNs!6RQ7HI(uNUA=;(pnWq{6so~ zpK_sA!USMteY42}+`_m?zyaX6-hoj>X-EfGoKIZjBKxwb#rp(IdruHXEmafcSN2V7 zG|EPGER+b10H{=HxFBDJa+bOm0nk@t5Q!q%STMl4I=>cR5_A_|Cn;?I;|-12NQSOO z5r?71OiGACnw?gIWW*@Yf~1_|qBJ}YDNV^-lq0O!5rHWgkV{To*enlTONTHBbKs6Y z$6}qfjTh>mT0U-^R%Jm&1c#TMPluH%mcU-US8?FZnOyjYD=6Cvz`7hM7V2O*;@G_- zvp}d3@Fmk&KxAQIR4j|Q4{#)FOwCc@1ZaQ{T%)MT+9L-D&vF76^@(srE{t#0tY!n3 zmi#eP&baJBI2f)BBUK%a8Vb+yL!L^<{T%vZb}`?t;kTh=BP^5r9!dG zuN;$tz9*QsCMW}Sk%%KiZ1yG)`Q@d)($s>K6Y>Q?Cm|~eO`$@MP@+L_OW2(7=aDN9 zob>e}@d?$afHjh?l2684iE!eILKW!lTm!rSUmgJXDXUL1af}Ck+4%$RqSc=nrKLWR zngOI`m(0aHMTZ^TjO7*p@uIy!SaHq1Hv3ZuQhk}88kr*RIcgpvK`=*?O*l-?b=3T$ zS+yI>69!=eKtYt{1w4^PltlWwq}tr-x>3qbz9vD*(4Bc-6+EW5kd$J&i+mI>JMx19 zh}96U0(0#m9=*&GQHV17s5D&6m^25D=x9GDNuQjE3G|u6bVW^hf=a4HMd+P>QRob5 zvybv~RJ3I%!^AE66%p~ZjCI?EZVW)B$BGT$^4*F0GVw=^EG^`+=*J|khoyav2YHr) zvO{LBKvcCN;EI6ZvJp+w206kdi$#r!aPD$=yh<>;yJOAU%x}Wkv6~ajnuVfnbtIf& zk#maRG@#=xKUO#M!2qF*<`RmtYqN;n)$Etaqy#JyXQ{a!c0(nYrppkA=v%TR@X%j$ zngar@sdS6fDAwgW zeBw~5n52d(bXPnyb=rGQ;j&Veqi9VHWCgv$!12_YH-4*IGL!A>OHag@l*37x8FE)hY9gwiK4 z{%8L!Z*YE=sb>q)8aC!bA7dn8T=?!zbYFyvh4sU@15d){WhutB!WZYEklUol)D(F% z7Ei@Ps>weeSN+{_m3@eOCcr-3;$&zvdz-#Q;5V<#A0<_SGW@lucP%hR{q$)R>}b-8 zX)ArR*l`wSp(tC41=}z-{ILUfYs+lu6G98^3%g<^kGkhHl_$h-gpK#mTG0vXUz*tK zYt`c(J>k;hrj6~Tw`Tb~%b(|m)=IAP@&-@bll^wIlY7Pl`&H~9MyoL~Ao&j~`jFh~ z<^JAe(c~HNgEPCyJQA<`-q>3jhwlC~Z6v>MKDBM;(?mPWCt z?u!ebb}JX%ZgQUe?F^cQ8(H|(1^Z}uoBDf@I`z{uw8wfoL&xJDf^5wZ`6T8S= z6O!PcV$0VQe)5E%cua!GSc}e%?`r9XULA7JIz+05ZWkV~S=8?~hrY#AVK=G#xa8x3 zX%7t-Tk%LEHm2R#lpgtdw9Y7`A>CtNOtbNR-0PIPuDM%y``Tl#uKvC8*KB{dXXR7T zRklRVw=IRZ2SrD&+TYwa@I=%CDK* zPfyy`8==4FF8ZzQF&qC=d+F}AzrFtq%yf z!xU%0-mksmdHq&2KJejFsS+)xdID~+*W-_&!L=R<2NexDaaO)#^Y;x*4s87=|27+G z*`0$ePMX1=xv@^9^lx4ViZen!e>*X^wNJ9?K2f~;+^yH_pT1&6I(qcgexObFucA8w ze7|>aHpXW2#0SdBkgd<&aFO!_1|hH;nVB_4SKPC<;H7)xO0#*P6o)_j!3onxv-pV* z)>zioq$fFygcB3?5Uq17YGh~iGc8inkt4r2;0&3x+4=f|)60!3M~+Uh{DAK=;F(HI z-zw8VbLC?nKbTg~-+o}q;4R(L4ODXMtUu9?QD1)l%zCP@Vpu(Y-genQy7J~#26^M? z(eo2%X&gDiGuX)C5=~Kv?aPPX!nlYQH8C!N*2x7v*uS^lUdQC(%3jC6dSAe3;+}iX ze4RS2b9{L{!2fM`?X7gsaK3-AOlgHPbi$N1fS;beaibU>?R@bQH{P|qMzQf^cbC1M z=FcJIvk!jo+FRcc=}HJ;it;Z@zF}Q7@9htQ z$ZDKGpGM|Bk1F9NagZ607Bn?um0iu?bH|VMKm_AItivjb;;xfmLEzbljmZ1V_@plP zX@PWgI^$@`jvN_0Kr_I4W+2M9m+h;HZFf`>-z4!-th71-}R79t|+);=6!!HyUU zJJ+CPt@ZH1l6%FXn;DO+jafOkyFb{wO$#9Xm7An-EYt0`s!EK%aJ!1H{gqj9bmd|{ z1dV>NvS_lOHSm^+<3@-wHn^~jYIW_52THFt;XLxdTV@*5IDY&>?uesD#PFgxdGZ3e z&E}e(ia=)jBs@x(nY6ORmy-A-iNCZ&eysB>-H8F*(f+e+$8PxMrFW)uHuPGmtab0l zmqMRQQ9~+I8=3jp@-Pt%&KHFbH11vcZcbT0Hvi-+DGF{RE?g8;U zn%j0u@;a@jx7D~#=UiLFAlf2r*jvsrC3-WBl>{>g1N z5%_(zK#XU#esXs+CI_EBPmgL(;2p+a_@iO`Xa9(@3n`NTEH0Wy<~6C3)?~R5$xa})bs6zj+l|W?K{kAzE|$^mMAxx$|$m`yIv&dslc2gE^2M#};XgneW|q zX`%N%7>l&ypiU@@)i{|(Y{cAdHzu?DTw*Gxk*ye{f`g?%d3WE&?hZCkMeAI&y*)o?||rYRb6Py#$tZnIT)7c$6RAf>Akz`YcdRLq%voRYF(Rg4Z= z=q8kMU^i@qZ-G3Tapt0^ZZrndXgYhz`)P2ErXL(kQCzEQG}&Ax1uX8~d&PL?KKZb0 zqtFr}#+t3qp9c%N{ABmwAklhg38)mhL-55a@;8M+4a|=twx6e`5asOy4^0)7j%U1B zb87uTfC+-p_g=Bp8{RXpN`fq46i*RXg)^utXm2>kEsQ`%= zbzqX$!-@A)T)$;9aXiM0XK0qAlS#MRwOwrih zP6^3BT1FU;G`;%uFhw^wbbCTcv_u()7kwlIbrWldO9s4^ORyEiBaiR^DwP}+B-%Wt zG-2u3Hx`17HQS9P^ACJ!7#uG+-2h~qiMUc&Wb|dhW1fK_HZ5#zZ9L9=ScwRtto<11 z6@2ogo>w`TQd0M!#D8IE>^LSZz(V>2R+{IR-PWOxxJ3mw`(k`yyIJCMW2&KZmo(O2U&1;JUPTGkV;0DFt*wy##_8-^(>WckShzy7ResCI%y3L`#<7BS zR$zb~s&h%apsqh>h(}f#2L0n)4+IF6w?`zqzUU9iU2@f9IA4rmDcV`xlmvE|t`O-Z z;~g-?vV(CG(wmwvAg=~i|EVxb2N+L4OSy_D$uVEq)3YS)h@u*0TR{by#h^SOfk8?% zagGlW#w=UbV-9svq88oDW-J|Fk=!(mzC?$#xTBWtAb)5pZbBw4DR>|=g|_y677_`3 zyqs#au%gB2#MU^F3eUJgDlJM0K3KWftw`AAFX7`VHGnCh+5`Mn-TjD~vawVO0?F)3 z|M7L;5<(c1!Y-xA)hjF)s9y9_Jq|-vd0^hno;L3!LX6g!6D<4YZA!cVPH}|M)bRz~ zi&wi;m{Ta}k|!?w$dA-o3h1Gw5^yQ%I>`B=rAnEkoT{9pOIvMMm38#64PXgLiQjc8 zL6asL{JLGal!`GK>ueoSzFcNnY#9s(E%Iw_R8n)#bsTeaECRyJI@iUEsE zPCE12Z^lM|W0RIhil8O-ai*G4pROmQ%xx%^T##ku?i`Ix`_N+%o9 zeEXo|Ya<$uI_3 z{7#v%yj^2?{m`JNs(RVDXm*Rz7!|1{I?v|NpyzU#jc5+O#m9ah#T4hj%10mVoq7$^ zoIm_DCOEH!-lyePJ3Hs#OS<*Y7qTf%s?XL*>9cClWxJM3zuoJ(kxX%Vy%DG4RR5ye z)2TSs>%E`bRsYf1TTG}5G|Rx!q2wQNC8>@!n#lDD7RBIST0AV zZ4SJo=e;XV^+-n#=$K4go<4X-M6V+@d~wBW-Y&TD6torVovjE4?t-MWQI7JVTlx-EFYE82PvY-?u5X)yj&iCC{r)6-*77ns2(u4FD||4SCSX zlc#Tdnwhm3dXEh;)O9S6THRyMmk)ix=AS**vWHM87WHyIN!oihV)v$l4@u9gdYItw zCgk~U&&@B)l)F zcwad8?Dt~$p4=Z13E!6&zc2oM(Nxo0r+$C?zdufAyjT3a7NLc<-eFx-#9PI^7Rx<8 zIHZE1IktJhSm-^j4O#s_;)+ci`o(%wCG2R6`8dMR-9}{cI6s{zTV+gqaqef0G@d%g?mgk3|8<+r zZOAMtY1-1?#gxq==j!64a!(&KvNy-H6yP(TKCC&OZ*%FE^12+tPi%FNdXW zYLOEtOT`7}KgUQM5f|w$`t1licdgukz`Vc#JBqK{uqRXlC=3M{H|x4LS%h;hSn4?+ zcx-#7ZvkE?{m=em&_8c6^)14txJK)WzBV+Z*yXh#5+6gLb>bRJyA33s*_;hvR`A1h zCdeSmbCx(XrLFdj*62kMz^gV2y2!?4Y6S}p6X8;CXco{or*V%(vEP?zr}T(CcL|(? zC^ssPU~kcF4BbbVa#%{0ZL9Fn-3E^a`-IhdYy}@cJP$DFYqIqok*1*2$9Rt-@ne9B zvRSTL!uE{$L+w!~i%SiF7`bV<2?9o7;D+~2HnDADpu&g!86^Oa(YLxYx;<_yWf(+* zJ+*LA!*Tmb(f3?*L{auG0UW8J9bd8-6}Hg*nQuZV&-w*klra}9F+x&Zo#5aj4!l|Z zS7~8GtI@HHAaj&3Ri5{h6ZhMNJDNt~w#LYW5kJO$??DH$;qf6Q+llckBqO|+|E$|%Z_`Sczp zMt;Hc4nzswdbrN1pi7#KY2!RuJ8JP@0o?o>d!_jU=w%v}ci)pJhvFzw21!r?5jl;^ z4nK8-Kx!O#s0ed&2+j*m5^%^bV#Ld?D`p8);z2!3L07vR@Ch*?E|fUF6A?rT7dbR_ zVp}XE(D!baOge~c*p>@uW#ofyjJE|Y*Yl$#O3sL0urI$k5wZ4DxhG?+2)za&|uo~RFm6kq@ z8papcNR$j&>!vNsvO?k;c5ZHT1{Q^8PZFBJk8(C&ZKuFgHbQhsp&(;y#vlc|;MLa3 z%I!r8ZEO7D@^&$wfqt1EPu& zQOfbExA+>A+Vcz{N(h7Sx3Dq4`3nMRW0>Mm=%TzF1z^-ZOmpvbC8KHE)DvSB4Bnz2 z6U(SPYh*zSK8p?Lk#a~NkyQ?|I_>%HD-7!h)0ro_V4?JJ!3o$pF^Z8p6cMI=VlBn$ zX&amid)g;Uu5Q>#MQPX?^8YO6Azhf5xXqb_h1|OMJg64 zj_I*-bPb@?ER!G6GngG$=w*p{1dd&7rKf_zkay#;TL8z5DJKi8w$&xs7Z(9@%VWIN z@yT`}1sR{gj8#?welNT-XC~oUf@hBF?jdB9_EgG8J!xy4AdF^ELDIu7bLF;1Z{Z^z z)mW%Ku3oc`IOUWCQ8X09N(`J|6<;LKq{F#cFj7^C>pkS>DA}D1t;$F-i*>c4Iqzw$$cP*gmuVINDCx+w zk;{!Kv~HcGjM@dtk112ro6xCuayHfK?{SIJO5EdzEadtHUo&rQr^rE4U6whr3`*ewV$=u{89*=+0Gm7T@~oW8fK;O(NaEGKhpQN@J$Vd?0zyN8 zrA)%2JvKN*z8*+ak%-Z!LCIFgV@#YA?e(J67(H621X6s0h-}|siB#h%OsFeMV+CQ? zoN+))lqXm{x=OS> zb#&)lORVkX5Mg#$5Z=fX<+S%*7bq@8}@ULwM)+Oc4m*C2DY zVmbRMsEoWj%1lGfJ3O- zqjGX^5o*52!RFerJFX0{_k5ss=eah6ale3=TnTQehHP2NG;Bj-MiaJ$IRAr0BVo5-#VT&I{#B8Q0j(c9RY0F5w7G5%`Ov2CXXrzy# zivbm$E2z~zU?Bpk0>UZRqSMOQW5$YBhM0JWE^A2r+l&0bg(SFuPn}$$iCc0PZNNts zF)d;tvuHX>XP1&e2AIlXPH1UrK?)=tx-x+(iOM8zlvD#<$#0~C>7+s7By80JmJm8J zLN58t40wk;QK8ypJgPN7nfM;^zuDJ-Po$^AIAxoJYggCHTF0EykR$U+N=o36mlvdS z!v5nwr+5^SDBQLUP4%Km$}rm4!WcAyq9Kr914R=0Jep9ScmQy*&*B~cIl4)qDDatL zKoM^>EvXS+tNg6Z$IvLu#!UmjSu+wyIZYSUeCX;yU?CMs0Hl&%lu6~)lWwUN*Z6Y6 zD5hjSMG&P)q93DK6^+#GdJ&Q$bUE0euGW3&|4- z`6uW^NG)}GCZep`iIZSXDfX?9t9=fc6C|f^6$%zqx ziRF|^RUsNjsKHBL@Vis1xLVg=)1LCJW zPIuK9=SqgqldajPJ8 zMo+<8@JC}VLyVH)5+!jCs`MH-SE~90LusrM9F1m8~(FQAE5TVBQ!oHhi)ijF@}MZA$ko2L&J*;2Uox-Yp$ka?z%x&{1fp}L z<@Tl=dSCyGbaHqlu7C>-t}04rCb}g%w3j8RJq9qdc{38HchzY?kit(|zQvLvKa1e$ zjA+UiJlp#OU|X6aRSWO(xtgwpMSexd=_HUnwVcB>!ra_)nWfv9&xrxL=>9vENq!3wZLJkx2hxi!G zcW6nLV(#LRx2Rg8YEpgv*)Qi1WP*-&4mijGC4jiHh_I3rxsAG1%#jR~zgA>he07Yp zP-hd0l2&0SJf?iIplUOkMDrBbnJ@)S78zPMTwxIj>T05e z1*mcKMU;Q&DtwyBhy|AhY>Kc#vB>{+LW3lRV#sC9mJBsefVBBunzEqU0sDSeh&a+h z7Gc%jc61Wa3|_YvAe`r8GJcV(pa6w*72M%aHTM_)#L8t(4aEetNY=1#?kRvKK7zLo z5*#6kycS;8-4@q1oOoOr@vu>V!Gt2ymy1SQV$2&U$`Pc-DexjFmn3n(J3D7f z_P%MT`N$*K$dV@U{RlnIgIjcY*Hal)B%k=1%JK8Iog2s{Dh?0yrB37h4O6qdN9j%6{z%`-xx#qFw_Ldo% zxOr}=y&%aI;SxQ3buI6L#QO@oL;KwS!jO;71uo_4(*SuFZ7V!((|_Nnun5ijV9Eo$ z10UJcBQiF1ZW)2nW}4c{;G!h}65J~kXEVEk(I(_Z_c4bpTs49-E%^Xo9P zuwS(#s;1qEJFwTk!W!$Y5LeSPzh~h|Y=LI8@`di~Q+&iPy+|v+>f)UC+t&V!?+-AQ zzS0ObIBl1XmD@=>i(Vx2Tjjpt>dlGim=71fGK;o13W-Nm(Weo6eK1Q~#9x^6VL7`m z#aI1m;_fd!zSXKe)=J^cduR5gHw%{3#lU`R(g+_lzTVWZV%vtH7)jlSA~dX_E-AO% zo<09HdcZecwR=;)xN1)~zob?*P#m<8v+Rpbkyay`Juy{{ES-TwF^yY~^NK7q!%q#0?bS=ohaWE+mx>fw-t$2?+kVcCKRR_b-C^}#4x=@vvH2K6 z+8o7xystay(@kRoeJrTx1fB4?`$v8Ig_xF)Tj6i*yH;8jUy5nvCJbHju^h(>q@*3z zydZrwZrIcN_T0|%J1@e;9cGVXddy>A>l8~~1R-L3_Ru)(8Dh4zosunr^^&E#XFTvr z=qg|X1+LqkotwhK_`5J-&rM=?v_ND1gQ~Y&z3S{AB-^g+tFE6Ow~3{=OlOv(RGbTb zasI2kJKQq&w512GGygVcTZ^YLl^W5f$wF$Rw4FjIe>LoZy2Y2{6NydN*$M{tpHH=% zZ|X+0=@PG3{Wop=Ixie67k|Utyo|Hdj;$g1eoA((M^?{Vnp)$^ua@PwVjImz?;lLy z;>wX9KYOWS%UNqBFielMAG|ybW^9ajE+#fS+%ckQo|;b0arjGpwhrg2oUd4Ibxvi2 zo>qHs_LkzU<6)85wAzZXf>G=1&rQ?Jnw;)23!KQ}b>-+`yz@DD6JXp%_43-C#woay-G`P_SVVQk)m{W=qdv=zoSZS@F znX{wPy-hG#L&*&sKg zx$@BmbWep*%}<=|?WOhBId6eQ$?B&*WmD)Wc6t?^dr#-;I`4RAO*_S=8ht{y)6s5( zvnP2!anSUqPCfS=@s+Y%EMAKp8$(s9*vdMtVuD4NF2SjE&)UH+#;8+rCeJMoctqWv zl+1YU$L@|3jN!fS-$M8>TsU?6nx>WU=Ki%}SlIOJ{?4AT3~t|;?e*+do1tjTOg(tQ z*Dx+z2veT(_1=0*w3nUh@4(sO%$axI!4${XeH@D6k@AW2+T^|7+4f;ND^7K;KM;3q zwtD29cZ?<-J$n86!E~jujgH_pCvT92@x}f3U%$Sm?pwKWHbvih@WCo(zO%}@vUjYQ zGaib)lOO6NHtV_*8&|JFk~`a7T#eAe=@i3c|HrSxven|uvwx`ztwWa<$M3nYi>$F% z8c~Hz?=ZzFco6EvaCVYB5z{QKu3gGIa@VbV=K$7^!7avQGF4p0MYwzJ=D;!hBOe7> z)$*;pf^nh>8(u-p2{_6uq z7g%=a>{e_2KC21|JlbxLd|JqrGs(!qFjfG_U3 zdjd0j>&Ow#V4?${8Mm?$eT*q#$~KX;=leEvcf2!f%BkNwu-3?!*s-MMs7B^ckmu*By}jqfp@(O(=d*r7WW<8R#(#rNDZct^tV@uStW zs(7+dH|fauTt(?(CA7lS*i9A!jBk|1^##yu$i99(1y&1^cvcdkH{rwh1qt3~Qyk|X z+_tbs$G~`OUf8Q|s&nH*WnyNc^sQTox_jN~mR0O%UI&T&tJeTQ{IfD~*_Z|bw%{DB z+qmmF4oH!D4#e4pf=ZFAH&mAx(^%x*&2!ilF3US))w}nQx>FN4w!)O~)@8{B5>Fek zaspP}iTuzIEUWr73L4IIP^_-q`usdV6VKRS*~-ecduM@^H9EcBeG0;PjAk%Kxpa2_ zMuDVHY!{Wo2YJeAARkqO#KZXu7p4)KdKWH;31;u!;6|{pAygV z+?1B^DyGCo#H#~PIi4$&$SB+GlcJ5&+j#h^fvF^!zb{DQ`3g(RBIug6#51;OVx#!* z3Gb_j#CKx_7S5lzRZ)qx)`eyG&$z4>gCHG7?|@;Y@xbW-=bM;rkqK?O z9Yjfdt95|2nYj<%%|kHcpVVd^Vpgh8&qBuAJky~3^ zhH6^#MJ=UBNYuG{BqVwd^UJgp;|FJAwa1stX(6UKwBL)ej&&Ab;S7lJc}U={Ye7pS zN;-|E(*g+E?9&vvhN%SgG9p4>6<}A98GroP z`H6D*O{(OP7KS^wT!_&v6{5Mul;03ph^X}+WQ~xTG?s~ulW9U)E;YH93`}#!?xOc# zmA-GO@zD*71$F8)DWIDEMBnh4vUV!l0~<<$Sg*H)#G-M`BV{<5lO%qdY@$*(im@=> zT7g7f^80a23fXuW3QTY4w&*aUOQf>KB@WU89WNij3_=Iz6Eq=?G=L0TqG_@vWK5^b zcYw?%uRQ1Cc>!o&V~iv~gV{g6U{@L?u>gQ$&S7{rjHNEn^Cj`vXnf=&X(~zoPN%SK>ef! zVeo`f#hUz^=4Qe;<>wTDxQ2`P%s;{EK03_Sho$9WzBcXQz6dc!g8a>HKgNjMu+~Fi z$&Zj(oNnmYA=mhTvjcj=ff(0nZ9*m;msdHC?(nZJ6Ay&k#aE>)ubuKN-MsbnT}3c;{=JfKoq+Y(o?eLU;qnq!F=W5 zvP5#r6C+hkiV=8KiQ^f{RDLbC)muD}pkpQxfYPJtq#Wq2m?2QVlHCrz;KwB5%4yDS zMIV#;(B~*#CkK2-`RJPNglau{7vK2!?;-+SI3hR%BKu7~5SEzcd#sv~!!C z=`tcOW|8Khl@|yMTUJb1U~~yD@F!MrE!`;Fm4H%Fn79;a2&E4ZpHj(4D06_pI#{T9 z>CjQi<)2)RmJls~Efuu}Kjj;INqCl1X%m42;|njo(9m4EML`@CdiH!0>E!OyZi}lq zr`-woJhoA%{H<>g29ZU6l$qjFCMeqCCts>XzPZQ+4+&7J(_wwN^mIxxF6iRGQhT8; z7J|6J@qHnZF0@dM+l}(|RIB!c3{H2Y#OZ9F&eL`jDc*Z7)AIfVBcC|JxKk&ql$4@U zJg5jb>c-O^WciSq{Wj?Yigvj(C0!C9NTD<_3V924Aji!HxmbZ;Q3{a2?>+2? zEmBI<1sBPrvSm_)!j=7_pJ$``X_?}5vMJ8!Vwp{F9vzlvWlZzh8)w7wn4CQS&8>%I z_Oe)Dit`eFHh+2Q(1_++ZB$?IzhFdDo8n;h@=~!S zW15$)Zf(hMrL#DV@yx*iHXXkupZz-7phxRTorYuCu;=E@?oP(?=0niJ$vlVVFFe)B z<}c-bHU~QB)wE##a=JDP>WY@?^_?JW)f+*mb9GBtez`<{LBred zAHID1!16giufNSl4KkLHPYrtQjZm8fz41_P$@57k^>7wd$|v;vvhB;Lh?aC^iu3kC z*?V24IB#1iQ=C8ihJAJ+VbF4>Q*IN~B7~ik>_;r=Rt#8Ly)gHoXtlSY-!SKE_rD89RU#wWM2& z9=98(crM)Q1;$VGz3!YTqbPQQXd8}UJd0kQF&1TeIK-f63lkiCUV(!K9)K%Kj!#oP zK2psZpqA>Q5sau6tc%nlj+YI-gKsh48k1$ovq0eh2|7`yyQolkbP~ALmgaXgiT#+#esEVSZ!T^siRAFH^wH-(ZIYtU@Q?NHKA;=pQ>Y@+OcK# ztWS?@n(cR3@zJuLz1Z0YL-!FVYC;AlEX>bfm^J90cxTwXhLm()V5cYeHdjYTYx~v0 zK9j67+aYPEysICri9E&;Q?#3yU!ZsW$VYnvWEy)fGhac5&5|XaDKl98s=vj&l%5P_ zo`W_SsA}*h?EK8ywtwcgZEmr2kqxTsr_Bqv--OeU`~R``hB1~M*PY+F_3FKT(`=4z zH=D!Oh?c7#A4e@(t9=}e=`pQ%tD8@BTM)7>g*IfwNuKDjA{dF&7POAA*bPqiJW;)h zVYIc}ghrgrvoyQQB*v!KRvayoY%b}^E^RE5i6bBikOVE72^3&~46+F#AO<$Sf8FKf9xun436n{4bgs9dCOATpC;C9?b;E!G{=gsNOODO9MkoY zJgA|JAu0f+Z&Qn1Zh0;z-YbET)f#FrL3Dn+$p=ZlP^2Wx@EO!vIf*hNTe7z*jv6QQ z_S$00BBDd)NLAMkRg{!dPo6XMFLA3N_W$p4N0)(n#dq}ZavDgdBK9gbFnHs@S#cg6 zPNZ4?B@3DIZ|JwcR%JN_;{7n*)KA2%7!u_UjB+wW;yoNW^7{IgZsPf9kLKqY(N)@c zgO)u`ECa8HsHQ>KO~ALf)sgDQzw#;F?5XPud6k6;>w9tck>ePKJR73R3K1aMaV=WQS-u zN?%dGw9GKf>lghmM`Vh(sQD2ISE}SIx=Clhj?`BuCneq#LJ^5LRIUo-QA`zTL+7h% zu%y7niRHJcd0ziZh#wYlx(*pj4y?|ae>#6ssC3duj2Wc<17{8-&?vja3a>5Fb)}1I zPGYOZP~}OXpoB%dL<#zZH!!<(s5Z8&0yA=P609*_=PYrI!XDP3O(ED8gkNpSmeIsN z1V`}$U6togsrOy~pd8Agy2m;y~J}kcE=NVGXl#W|=2dno32-FmgrW znZEq@Thf#YlTtVS&vsn%tB}JUFv=VT@f!St`JSkGUOGY`g*unb|B=a4%V_E7Yhg*`z++1RM z$3j5Stl+>jjN!c)oa#LdshOl~(RsgS65ZnuT0DNjxZc_wno|wJT4(vjDey%OPt*sX zTn{5DUdvUYpz3(X>gK69IJW6?=DBpQ#<2^bl)Lt#+ce8=@?$TavLQWws+VD(rWcAH^HL|#OJJjz8WC5PEIbNsHvF*TttQn z@QMl^;iGey5wXCIm=6Ox+^MU2j>Ltnt}b|6ye>t>qwhLG78w#K3m;P8#RHU-iGf)Y z6UAa|Lk+M3H_|~ixhS-6arwAwJ&*mNnOkgl*AYBL%!fyP&M6-HchvWXMz#yLuqtq+ zYQUa24=S2cu3!ke$gkdm9gVG3aNKfexAN}}NtxgVF49uVR!(%6I+MNcV_X~$kc=KL z$nEh0%}DjBj@AzD95SK*7`oz-gL7K(L+ zEc?QWKWAxh?<-zMFHGY109Fe~yRN-3@IQ`Iph5*hiUr!qgok1g7K=yoxG)*v1AKN( z$UdTg!6ecmKr22c6;BjKGgLNc<*Bhvr8yx>y2?C&pbL|trWO!NM;jSeg-5=Eafu)+ zAd8>~I=AtBQQvAaWF z9g%=mXSP;_Ggd}k)bFx4slE|5~ zxV}}Ok$@Q(@;QDC5-AQIuz;aRa1k*cDT;F=j^`&Y%7Z zNMgpIph#Ar`bct35y4jZ7psLb*J(-S{L!YgP&D^>azT8WuxOgs`YcIWIBPMTt#y^T zglNJQZoGzf&@4b@L)mt3~>I zd>g~;5LahXsr+)u1D&XX7zA@O)U9L#S3wPr<|W#81g(@T?WOYrm}e0Q%oJ?Hj6Nt* zid&XBI`?n~?FgYk0_7Czgl1C(Vvu-T)dz{p4(D~VgMh5#hHSvBG@*XX7VwhG(W1<` z)d1C(+XT*mXBhVa>54guLUh+Pv_xf4L!y!-)dF;Z=^Q&)RbP>yedwD=e+fd6S8(DO zGs}P>SKD|;1>&nrdTV>O1RXQivsA3l)}9Z=_0W3jNA+lD(i-yt=SBgClz<{cv2b2} z#bGN}c_!3tr%xpjv~xMN4pLh%kEk6t_#VIai!$`&@|Or_os5-aq?l9<%XtO|p7c|% zEP9ydo0mW3xsWeTR%f@Jc@bstU-!zfLDma8N2_qHh@`q}^64GN@4z&fgp)&+O6k zc(H&h7eeUjf9v0e%5J^wl2mSkqS2lktH0uNC&G_zirX!%K_&}hjgPqq1iV&UaB)Jb1Lq)X;bc0;LY$w^In9Fb3WCxhfJrPoJk<1eE@*k3m zB7X#>a(bH}!%DeO3LXS+o8MC-rSi|LtyD6B%69!1$BEPtJrd5Lp?Rl?$Z#a-1>^-W z$LG_;iu#}Htv_|0NM)0@Kg7AgCFdSD_yK;LIO8l7`!)f&{IL0iL-lBgtZM8QBXyG( z4g73qZC1*n@P%WgVGan*tw`b|=e(-gH22&R^irsht7K~Q;-ENNsE3CYXc5E`qSI|P zwGvKJ78~BCO;l@3I~M7^c}wg-paV!coIvLve<^Z`i=s2kCFf-%UA#Q-1qsh)4FW*v zvMSZ}%kLb}Azjf}u&WRD`v-0pu_0x}(2*+gTnLJ`b9mIx0dHrKdZZH7R7tva_pr8Z zD6r4BhWIkm$EpUWfC;Ax^oT4h0dMZCa>))nLu zA{+`8zNNUNT4R)+_{)W7c|b}r3QQ7kn7m-*14JSYdgtqUP=JtpuK^Zv`I(J=>{CU68DNBo+)UZD%S@p{zEwN~dV-Z|HnP4YvJ2+4QEjZ|?L{priUV~YCkAal_Z{i~~(L624>u^-O524kw zq9Z{a;5SwcX}t;j7Q97#H+2k|t%VFVr>CAROr*&QRzsa>I>>{@+SsEvNWV_H*uUJkejP`3iv?QeRw4 z6n7XYhfN%w!G^2B&E4!b`|f@E6^}vO4*82;^8S>~g!N0h=RBf2wkn)>a&98BtMkoC z?4|=tRl0pE+pSyNN@O|o^ta7kb~~=D@sHS^r&+0a%&LF#aJ-#0mmAw@c1+IlZbA&R?9N$vMah!Ba zO+21@T6Z7qoBejplliOem*SxvH_`Izlq4C)k(vMel4bic{=aM=qgPIu+2otXDBp{} zXa43tFq?P|Z{@yELbXjBaPMWalkInH`{41P2>E(un$34&hWSGExwvEdG1%Vv^6o76 zm&bc{{rUW>d~fR&yB^)H@JMFpbq+#PsUdPrmTYrJGnajWs!6nn>X zl8r5zTX%yuzwA%F-)GfL%de(2&pQl|n=jb*&3Y1=`qsAR)9PE6@_~KL>{oK4lCzkT zA8%v+kiml=H`%I5{@SPfTRiQ+sm@>1P#`PVW;fIAG-y1$NaNVEndZuAVE*FH$kQig z_5^;O&Ma)k5Z>Z8@K4>U`VGx*DGajVPuz5f^XT@YW>((~>EBroZ`M9&;*4T$vrXRX zJgh@bPo=bNU(Rcd@;!9a!;spZcb+`jznhO@&H97&U;N+9`LaeFXNw(5A2&>6J4QC{ zfOXgFJFijX*l0&>Ymbz(Sa9WqJ>rj+uYFj>?y8M*!tx5pRIQyh>SJ*1)f;r1*jw%& z`2n^E9-(=~ZC-vxIlHW0Ov3#YzB<7jF&4_^-1ud#s>c%I( z{wfQqZT-pFs|T+wb=Q$PI9PgD9L`Q|9z5KgelU$XH^2Y;j=w&eb*jf7|FvJ6&A8NW z9KY-{)<&)$eO=ZpKYRT;XIR)7jYicr1Ks80V-}3QtG9e|a_EouUww+QZ(exU*4Jm) z(0SyO-vPW^yq zt)I^O;qbAg4?jNhPUqr9zkPbz3s=|tPIKC+20bnI?uE~=fFew>@PNU;L*lIREEcv{_y{5dwesMEo*Ddu^M&I+ou!oouB1X3XK*9 z=muZvVVQG|Un(|4i_)@Q7w_5QWhxkOeA5?yV|^F=;(thwKX|y$Ai|0I5O1~sdeenU zSF2CI`f8?b>qEI{iG@C_T(82#$FFl@I@K(F^|AHlR71_i#?g_68g7DMCU30uZ~{17 zz5aEC>FWA;4@IwOXk1?Y!0_Zm%{LsX?1vtDb)=qOt$t_Ybi&n~FU&MK^r~vVRxf&A zl}#t?l{A~7wR;1b@I`kgw>_T5%Y2t3Hjdv}bZ^N~5=vw7^q{MfX-7+whCW)BZ@bZQ zr>D-V6+6!}9v^gd3S~$4{FHwy^jYKC9QS%uaL0@muQgp_fqUc)7>02<|;! zUOy{6-hxICET@Ra>pf{*eoy^PFdu8F`6|vIG7PgeI?_gfRtn+h7Wd-X59ws#XXXG6 zRGxlFyn6UDeU>w#8;8OhYns@yi}6}rPBmUxqhHV;n8lFG`iwEySH_wOJM6Nqs-305 z2OVJQj}+Hb7Hwi?)qQl*XkC0*%Rsl=>Fn>3I}UqILtd(v=<#MdJL<79-gy4BAvxMm zm9x%UH&Wt4-?=d^@_|91VO@MDQ)cKfH#xO}XEb`~RDyt*@Fs}3s3r7<3YvaZ<7Nw5%U zHW32asW&7U#}AVj9UBhi!cH9DXfo2)G>ubgm55p7CA58q&{-)t)-33uX87E`Q&`o# z5fi-CM}GQqmgM+mI-9|;J!-^cqhNNy*XyJx8xFC}t+zhRjk!F5D7bZeaT<(`{urh@ zZAQ8mFRkx#&3p65NLs$QrE%8!n$=+J*84T9iJfQv+!mOj>{-2Dwz7QnDdB$qS>_?) z-?)(`6t{-U5>v8}hQeWuG>bME@FN9$eV~P8R$aY*GICNzXv5mx+Ix>_N7kD?uKuvT zwsoSRW_`UrLA9+tR#R5hU3)|WSEth(nB`21^x!ha_j)FW_O6r@KK3XG<-Mg_L(+LO zb>{9&2Lk;q4b7$*4KRt@AsYzI0-&?25z-OEII#7&{3+Jvz4HhY4 z5SUfdps@8+f*b6qGNdrs(sz#Rq5iW2DPCT_dWB>PzKTP+G6XdPuDYaN$8#&Dw_vzL zfx%U|L_@qaqONM_VT9zBUz~xSC~4*y;^KHq5Vp#KP%qeL8ofJD7&h`k)w1ED9-apu zbhye&o^ch+7~^^Kj@K$_9&*wDL$C~AV?5Y;19VW+PDKO&F>nE9nP%*CNGt5!g6n#E zJ1M(0#BsbejRAb{LAbhtoN{j^p=~29DXm>vf*U()IP+nEl*)V9|D# z!9hL{E-~o!5~W32#u$x~1_N<9vrx#PG6yiv4S@mVE_Y$T+;nuztolb8thkx0OxW~z z?$tm;Qo}J zxc#4-qJhj2sBFRaRKS%1eX)UD!nRzl&wH(6X5fPPd4?USjhE0ui}dI(izMzXQq1T_ z#OFe#FQzPhYfGSTWs?Kd&59N`0RI=fpM(NCn3ZTxBy0K zm6$qX(K2ZnSy8}e3bDn70arjF;L5Jg*(It3bs^QIj64`jtU$rhW^GJb{@Q=ZoiScL zd2zy9AiTJeUu?f2!^-pfzGrjzd-?(mFRpxPjyW^+;);Ir@T$olv|8SLv(@rCxV%L3 zdViz5iu3wL%}X>teE7!dYWqUZYI(8eOGi)ZRh(Z~c|~95`PS*iy6~HC*7a9!@>QH~ z)g!$`^ZNWHn%7@XsrSXv^~1lv*}nerQu{K^OHw?0|MYk(zq}PH}Grfw_z7`Z;ZeI(k*R{LG=_wzz2xvL2>z7`_hNk|B zAJ(l|z9GX)Gj!~J#bz6t*>(Avaj&=0@9+{$?~vc-ycl|sWo=(tHv1B0&zf?pZRB}03QTdTZA)BC)K_?gni#`Jk4b){-}PcnhAlDXOn(FWB-+jn`q@m?c5C7uYN-%SJoR4-Bx09W7|O{msKIh-II>;|3$^@IJ!-=Ki5oCTCHPs;_ZFuLRq zu4+P{z?c?S(Gle9dF4{Umxy{3Y)G_#IyiFt9;pynm*eGVy$rSDkkm+)r+$*hIvmG| zxXx=DjT>2K_KFKk5xL}^sQ`|*oMZ_+0B01)O0xrKO5+%ti;8-!edVZ#z!?}lr@uKR z&S*z^v5fMth-bm}0)BNnAU#n^h)1>UFkJL_qT}t`6}&j+GEZfuF_EfX`Gtn4R<`n^ z50;=OOm(`gZa$=tV3bF|^e2IeZ6p=thYT?Xsv)ldtuD^=1;{ZRRo}DlTKe0Il<&sd z53roLjsi&L6Hm5hoxhX`Tk4?2nli!p~Yf;e_86+^xiIB1#B!-vP24^A*pyMd52)T%?pmlZ35Q_t{l@tMl78ws2=hPhe z1NbcU^ZyOxBHJ1Fk0<<(Pd=BL&(RN%_ZyfVNNuBjKljYc;+(Uz`!$`h|0K1V7f{fg zUgW=5##ymSDuvNy%iz0^eS7S%@5{RoQ}4IG@VEY`IeLn1GK;zCKw^dEN3eh^ zluIP;^P?n&)_+oI+a!Qmhe~n|$P~!uz2+#ICqSM-@w@$3OHwkJr|Z(iY=i%nkuXDf z7DaqPM7gxLMlO^wD_@8dmKtLY;_I@E5hWzfvu;hlb@#dOBm$&WT_;na31op+N^=gI z3yB0uED1+0a4uru|CBUBFQBc5>dNJqh%)N%QULscS=wpQgf!P6;Agu+@luR zvs_#tFzdMw{%JO!7w-H8m7eBVN~Z`hWX0z^hn$ymhNa=2NwmiUJznW^C|O%spR;s9 zZM%H6U@4{aHxXyxr5k%uai0mk5D}LM{|j>xoIe_hr&KY2K!)ta`%+k-Sd-282NW)n z&R1s6qr1#0FM=gBl$qUr6W-j<6IbMe+3e(aygrM5zT#wdh3)%p20OqHKXVjIj=EGn4c-shSi4#41Y z4$3#87){%3^Q3~>DO(YB=C^0PLy|xHKb}+Z4*9+Fz>og;_pYpWN;>C(cN~At8Q)9t z9mjt!y?w5%cN~At8Q)9t9mjt!y?w5%cN~At8Q)9t9mjt!y?w5%cN~At8Q)9tyyMUA zliX)OSx|8wr;YIB&irlo?`ZiG`Q`5%yp8YPVg0lB!1*5k&%U&GN;vbtJB~kd#rKwY z$MN4=f1j0h&+&_!p}JZ6zzzO0>2yZ@$%uQmYTs7=k1FPEh0_Dv2Q!~bKdZ|9S;(Qg z)_yM$=P;d6`83 znk9ApQcyAIo(2lmJWQ*KWy4|FEL76+mB_n<$}whvMq*VBY>Bs>5X@@@FtT{o zK1mfvJeA)b^+!so*k_=veD}^|Od85UBKy@JJdGVN1{{%gI2ja?)G&Jxiw~I7i##TG45-g&yAm8VW?8WBE2< zu1h(q^V;5auKM)&wjc_W@%J7&&3nAcXBDkoMv%8-9_aDU(>J_jx4pZFvtU=PnmH6d zlLJFqyvA`v!qGI`P2{q6H z+J~s#M%y8ZNagpoCe(vx#;FuB6D_SM#Mwy2RurDaP(j-&>^!KOoI!w!yrmCxTicDw&OJf{QC#Fm={^H z#-}8+=FmD)VN12_hu@%ur3h6jzbZp`hKkw%0q8Dt6eIX>3qt$>JW^ySpx%X}&u5U9 zI+H(>rgNyWES0@JrXE0~*KiF(Z0Y_gV9G`yyuuVMDaO-#mRx80s4uMU#$)>k9YM?L z_zz#erxC?3X)MolKTcBknBmDq2ufxjh;+pYKYGh20#nD-EYF2uBq~6sZG~~g)bbcM zOU>c)Um%-D5v;?*0sNnBWD-i|BGi@xSx?BHT4x8U2o-Yc{Rn~stiZ&EGoV%u6Fw_{mSI%n(w`HtBuuJsQYw3M-X&8jIv zW^QrHX1kYCn3 z%E^QiMG`^bM=v7;?~h63C^Q9UWUWc~ojmAF7_8!I7C_lpq`sPEi8RwCGP}L5*6wRl zHs#&rXo2!_OR-SKMI||OK>p(UA&YgDqeR#s?xQ0KRIPRJp>~L1R^&5%u}8yUqr-=_ zfQXbUqF!xYFKebitWr_txws5!jOVN)9>A79$``Zx8Xb0AxJbb4LNm~aPjILgkwWG@ z%Mi@fy%E>i(dc(9CfVW|a_1E(g*(+&ohYz?J9HCNnZhgal%Yek+c3+Sb_6j-;51}P zh}ruYnf6`_{l%R0XbD5~pPLe*b7eP@LJQISjN6z(jB_KZXOmRCORJPcyd)u%P$8;> z1f73Hs_%nLpMpjIW#}LUeM)~o%3MrA@iw@)5S*O=Z?-^(Z$V<>W_-=7feUquTyng+ zJ$_e>ahWp6@xcp0Kr#Yz)p&|zRZ@b^0W7o@hB{1}vNy*PSd2ZW&7@1kkS{5V@Qi~N z{$AK^u!8p;Iq<8D#6_GXR6u;Av=!$ar9D^w$Aesq`!rd#m{^@ z=Pn9po%UVH3)d99kS+Erzt5Ej%@c)O@wS2scHt1n(0Ns@nKONk=lK+)77s#htDNTi zER3>{!4Crb2cfXe_>R+&IExo)P8{IOvu6~XY<@3A+i?~_ctCAe{$TFa*6b2W4Tlh* z9~Z7#ZMAWQ57conIj74O@VR+07c<*-CPfX^c0-v}plCW%N0E%yizx3SNoKCpEHSDv z)F8wh5J0b=rKem*9`OY(!;#Eon3@aDNC1LMWtz9;7$Fzi#Ldbvhjyr0ID&K3MVDf- zWap5A=b){K_5EpFaP7(u)0O_3I zgB|=(@WOqK6xfyzEN+X;vGn~4o-r+GQ*B}gS@d?&<;k|WI4dqdQrBg`D<`bzpNI&Y z(l$hkV(sVecq-4oi%s$VXeC`6cND?aqd5Fn= z{H3c8g(gvem#}2Zh7g^Zz$P4tNa7@zh*Bqa{fBHVz%cva3h|0sYhnRW=J9&PNwigC zTmW>dGQBIB3q$P6Vk=cRXF-)jw)sQ>#~jE4sf1XesE#ln3dDB>S%HJ5ye8I0#VQ9G zvJ`8`lK0^zOt-a`rcot9mmw~eV5ddiu7}pVvjBpWqB*f3+n{v03{3_x14kj;i=~9E z(yTNB4tOlXgiehr^=C8xdxPPtYu6lYIdmW7MXI6%17;%CDJEI!guEEyysK0hR#EI5OS z>ZX4M)SkG=RIu0#j^)f>yiXlTQesZyTr!S`5G^5Qw)iZ}(vZ2BFmy8;6l5C03&l^U z&^jhi)GoZRLz4|Gs2?!Vfh&1Id+8~Rdp~xQ{o}Fu0m+f0ZG9@i9lT7byb!kFR*_gY zp^SGGA$4T_s=c`>YwoHdH44O%Z6JhF1WYd1^>mlpx4FsdFKz&7cWKR;1) z3@u8>aU`%R8F*_^D>R!Oah!wX=ORU55$E$0Uo`ugyjHbJ7p5)tC9rCqxfDh>oM$cO zLyIkXn^*s;q!{*ik%C=ixv~hs;|)L3;ec@WcR^*(p^KUx#I`#bkTQY$wbC?!K2icroucyW*-v|}wh0xV@?;4br@5--h!{LoZrp^ zOH=`>GAN^sf3v0_yrT$bL<n}dc0LiL89Dafe020qwx7U zLDCIW;{!fAQ8}W^@Til4{|f&rIhVF#G8p4tOWR%tt*GUMuqw$^s69^T%uy~sq-xYB zKav!{{81C8hOWmTxD(jdY0sX3WAHX}gGrSoVmlnU#R+*1^*Gl#rnCgoQGnV6x)jsF zrbt54sW~PZN|G0$!;t9x8G1@Z3hxaf=p@uAg14}A*}++yTm@B!NSV(ZiAl7DoF%9G z9162SfFkCZ?SJ{El~ZLtf0VJAM69kTUN+$er|@EmJJT}~=EhLNb7KJP%)$G{RXWo` zR66g2sAhAqioZo<3tSY6UJt|z>4ai++zt@gR1A>duu2Sql1#(}q=qVG`}M-J%-Upq=7qQ!@9#eGi7fLk&JDK0o;*D#npRz#GJF7gY-msP$6)@2w61u z&&W_R5f)JN3}TU`dX@d=-?bqFJpSXm6eBQ$eO?#dtgGqM2imZ;@oV0luG-YsrlFzq zCLJS1i%-b6jp>Q)ha3$gZmVM1x*SZC<0w~oPlUmj?#7U}Jh$szUBz#iZs6 z8u9GQRfGNe$Z`A0JpeMbGV9RdwYcS2O)0m~AJYK4)Jk&9VdU zbki-*LXH`QMxSvDyab<`#=<1^!dvwH*FB#+A3V=ua~g9`pQt{@4x1UL02@Q?%s6Y; za>LinZA3>-M>|Kk{-{q@4tHkt&oTCB+up&BbI!UwZ?6yf;pj6K-lzxmdZN%R-d%q+ zXTAtJ;qK;EFwY@-9@uW$;dS~KGamRNZg_Gvc5xHGpQh5$>FWE`WWQT!!MAdHB-M_=Q{<4J^9!>V~7n1F5pVZqQ_w>SE%-23n-CyHJ zRF2(A!`Ku%G|@-4uW=;X!5GU)4QM;3oVc&mP1ru+w!oy9?OQLJ{k|Q}^5Lkr*HqK( z?8E2Cg>d2y)0>t3fxYJBMagSy0T%JuPL)rW4)U5at*r(=`*XyfDhe;0o&-q|)=Jx8^WFsA&_FsHF_gCCM+TU*m{oj9G z8y&orz3JFj{KX%z*+Y4`A9noP_WH)r;I+Z-LDt1!m;I8tyiOQq(hi)$D|T@{^qVbv z7*@5)4r~S2Wiqq$Sc|*tz~S^WcG0SjoqLs+esb>)IK1$}Uc*nVIL$dL_X{t8J6(1? zqy4mb9oV{$wz#vz=bN{=ygpybsCt)P*rQB#VB_QsR^c6_*;=)})3mrb6}I}e;*X3* z^j6|&cKRAUgK&k z>Q-Ph7Mo@9t-A4vwEb*{Ygux3_k8zdER@z5ToUHxC}|#=(U6qussz z$!Ui@QR7s*pYkDXezbJEc;kfqB}4V3e6<|H6Y16JwN6#DYhQJ+)UB^3%w6wJ2kcOM z(Ce&^v~6g&Q|~$3?LI+Eu%%LW^${cY(o*QmX66@rJ@zCzc&)Q^Y6ZJ`O}lV;O~9!-rlLRH|pBatH${B?DCysoAi2@FTZk^%Uti$GtI=<=eWnduHFPn z;RNxpymob#q~$2&Ivj?O`#Rlv7vI=TvtD>A?0yVe!c$L8CQb8^|J~RX{82h%uBuh_ z^t`n6gQI;qW$ZqQ)y5>{S~Plmw|gz+2ESL6OwadjcW9jJ@K28!#5$|1U;7*_TCJ`g z(|4=t>8DR<;n3NPO#|)?BNs`Ytvqw1p?h?@zxYB!*$f@yOo#6DPQKANo?NNDgn-+5Wh^VCy! zzbVH*^9+8cG&cN9>~Yr**n*AABf1VsY>QSWcldU(_~OOgHyr8Z@WvZzh^Llc{dUg( zi6hw6oF-G%RU(=5^2rIYZcL0da{Q2yi2FC)E6?n)w{TTmdtr~3GD|Vz;MMB%PLuf{ z`}(aRF2M2bPU@3S&XDSa_jQhOjVepGuugSts4=2b4SSNZDn(K)EF~@`ovK>;+Si(f zomM-HM7dL~FXfzx$0wUf#@X^(J#8A`iZcZk26RU@b)4AKd6d5FdI2?`0#*mm2o~F~5JyIWC z|HkQQIdAru&%{U9_fF-)B{uw2FVoOs9($&;oMAdmPC0L4WCUc#$PSsQ?Gnv2W8kW^ z2dZ_KI;uln!rj8ubztcLmpvc7(N2*s#u>O9^DrT>6dSjRg=m?W9G{^A+u~Ygj$ju* zc!$$3#eb_0va4R3-ewTNr3eGpE|vX!sw+#g5>L_S@uVg^#u-B06J;8G zfa$66MGLu#_;4~&X`(eyY3N`_@2mUdW01IXg2&DFpV74#&s`-BOn`tl_9q&!Ha5Qf zZE0a+cF&})U4G@;%B5k>VBpu8wx{0NNfSBr=l)`56H>NMWj@1j7iLEq?p9)&CE~`0 z{V1`g25ww4n!ZH33`^3Ypo}{-Zer=sNj{e-|#1G+G`%{(C87UmU zuYn3jx4UI?p+Jf&!VlKR((;2fN`PcCnh|oSbShn!bQ?nJJQ)^lU8^!mZ-KF(@ReOK zOsH{LL+G?0dvc4P@O%J|PLeAw7YZ+6e_zxy*CwM(4bXZ*ZhPjlr+0;~rZUSZxi~lL zw4ai;&)eFXP2_kDHSCIf{WIJakn3i%3{C@G;QQ>kmec@teQVYf$J5p2r>#^Rzk=V3 zIN-P&cUF`gD1`(Lpa0e+YTX}Xtyo`)*LzU zl@(VJtVZ)$ZV>Cg@y2%k**D>=#_@QPAS^S+)Bc0WUWQ01V^P%|Zo#CaPfvt!d}4x+ z*~3JLk4|PPh~IptD&f)En+#6s2H+1pb9~HvrMmXvreTbaX>#XScsM*h*7!QSkxgga za0mk5x}{tl=!{f1YG9JIQoG%_Qd|{#QBs^i1@oB*=0K90-U0=F+uc?T=0JAO?yStA zIWqG#mIncmzYO1OCljS+1cic~jTrTWRhUh|PADmJY=PgvAf7?(@a7;q7M~IeI4z*<#IuDMfuqOlB>>zq(3o-eeYOxoo zs&78$gH&n73D>Q}MHXFCVcDXvj6}jE6>fh98>~z)llV?hv{+12RhsxTlYrdJ6&)f3 zqJBiJ8@Jl};$WgwUdX|F3K^&xg=Y}QsmP}m6+UO3ZS~JYAnrxxJ>HsTk)9!9E=t65 z`^Dc8ro<919KK+*gK;;uj_2H3K=Cm@!&@`uK!8}mtqa5{Q4v7*eDir>m4H#^KDk9M zJljg87N;UzUWHrm3kP}P_q5=g0-l9v86m>PTkMTSt}PR$-g#ciLQ8hB7Y$OlXrZ$f zc51S4>*BN5^4fclMZjFP>C=MuldigkMHT5>-IBcN8Y+H3(sKJYxa{Yf(o5}rzD3J) zT2;SX_VeAG+ww$5TFkV!Fxu=1?Fvl%$BHef;M=!TyDRYAmg%&$X*z9d6sN70xKeC6 zeY`KfG;dkiE&2BC{^*OvmMzmh$J2kfS^e@a2|xEJ>K=|!6s zC!}v~#(FZbxcS8TJkHO2XTBF(E!>3|A)PwPO|CL;CYQFDk8v~g;?oH{h^=Ws+@}iJ*Z1d zYgD%CN~tRH^`MrNS5{QC3Cu2wbBHRvd@dbsbX_8O&NM)b?r7Xg zBsUQ*jWIuQOn$vG;CAz%KGcu7NBOh-h2zqF?WJ|;KSrUY?~CTE6iEFKA5F2L9N8RS z8xBDyg0b=HzVidBV&8Rbo1{XzF{F5xapugB)YwaN{@Mef=aXG_+YqX86} zq#7)5gk0)|LM3O(*#N%V4ipgh=K#L*2?8oj4e@iqkTI7o4{z6>9ls^BU!r zS`;~lbSQul^RKjd4`?7gp>2y!FzK}0DIkct^jC3FJ%w8xGOFL?Y)N6A20vIogc-f; zV2no*x9_90Uom?ga=MzNR^OlFTphw8-X1bi+U*vH3Q5O(TXWZ-?AWuWEK<6Wu5`@y4EX0DjdI5ms(F4OBpI8SZ0p>qp- zm8@A|?qg&D_~Flsd^={jv~1zUQZQZwT2jdi;7gn$GiAlRM(0=T9?VXg>HyCj;EJr$QD9IwOjhV62(&C3nij{qJo68&C5*U!HWC=sf1Z1(Dv>ul#8Y; z9sveOu%yRBC=KflKA^S7Na%!^R){6Bbs9PcG8ICon~Teo;ELydNrBJPQ>AAzk|imq zif-jp3|&c~MGm)pn-wPKO!OT|IDDn36kuGE+nkCEl7bP(vP5)bjzUy!?P3{o@rc=J zA{i~B!={QDaa(hZSPLXIqPQFVo6oRj0ZXKiXU;2lf{ss;4n)SWD(VrC5G)NtCBnBG znA(Z9nDF>&7$Y0=q_7~!KuIb}j`w&t=DaU{Pck~;d9N-N$75ttkKeH==FakzRI)QYUC zq@3A&?+UhiG!Jexl~YBf)CWd91ix_%F4t`_EbNTTMc@Wo#*fgnND?O>M@^^nb>vxY zrH3k>v+9UG4Erh+S|Ia74Ls&F;WLPM4W3fvgO ziiTv*{#N0!BqNgo&3UFvAdYFR}I zJq6tZF(`;}bB5Z(5;HG|q@tCWdezWmsl&>&LrswepE{7d_#$OJqr~V zL1_%P48i{bP^s8QIE330MCKA7!z=FDB;1bn@hZW{**5b)0)d!n>Kx4Ij-f^75<)2d zgoBI7Jb}bU@}! z+&yToO~kVf1$3r*Cl$s4(URap>5+br&Y3mnDu@L*O``FtB}@Cvjs2hg+Y-aEf=cx) zrp=Q}yd-6XID_=WzXqovH;%*wsAQ+cY+b$RK8_H9A zZp(ctA?=(PDIk$ZQaI{YSu|FF5=hdSBk8VUQcSKTf!%qWST6r_BNHcRD(7f>k4J^4 zqN6=Kk%ysQsClg&<#Q>b=(V!gVJ>)8Q{%SeesqgFCE{t$1IvDK0dxSVHCD4w!Buu`MTKJ(aWlXFAdq7n^{e{uC!wAmaQd$tH+74TV)ufDb$AU^UidFuy@xH;+-bzHV~3teiX+10wh^LxA;ZyyAowZ+5li-JKGIgCG>bxnLRLZgN}Si_ zhfdr?@eyeqZf}qgLxDFM3v{3a={Z}g!;QPbQsae9AfPxTEH}_GSiTF!0(cleq9B*h zLW@dVHQ8Cjy|n8hcE*QYK&7^uU4Yd$tp3YiEWCDp0$H{GqojU&b>(y@Q;kGo3-iyi zC21=)D{WG!ELI85Fo}WcNHSF#u?u9ghH5#fquRIO51QEsP{t{sl>1FOXYgxa0mD z{5VTa;7zi*WE(u_kP}6m-ZWQ)%UOd-cM3|dpQ67G{le~geSxm~@yH!8+kVuTtFVkd z_Alfc+6X9aq-smWu3uof%w%$-x{z+@2E=yVk6RNNG-1(k&S^FBtOy&QrnmoWnK;_7 z;GcvM5j%!WFMi9P%T>b~KF12zX>kQhej;t}1sm7VF}|UqIoQWi{J_p+IvQs`-Z?cp zo#2v0VfL9*dUC_5Gznl{n)zt5$!?6?{jvN_^WA)C4KxsQR>}C+nE+9XTU^Ayw(izo z6H#83i-_%=GAG$#bZruaN87tYyHS{_xZ3t0>PYy!ZKt33l^Zs*R||h?zhScJ$TSrg zWv*u1hs2YUqp?wAYfR${G~Z}-Q}21;sG4>~>c3Qoo)$Mo*{4S0;fH0mW= z-)DZw0!|yQ`3rg0Uf`E+)dA=3;pz3$I$v;zNp1BD{R!2|y|Hc5p?^Fb@EEN=ZFsVp z4!e!-zD75gRn#h- z-PqUzcUS8ccQ>x@ZL7;zBDGps*_Bka(w|I(`wPrkPsQtcQshjFy@~5xfNY(|M*DYP z%hr2FufJ5?9t8!LWx#%fS97w(rN>4mcN+K~ zVy*XU*6-~+jpLaut?lpgvIL)x-oMMMINg>0ixcI3?@EJo2p88|tQUMz>~V%4JNzD> zu@-)Q9gIzvc>RQUkJp0y(0CfPlSy5x*KarA&W3D2TfLEUvT$jAFK5<~EPeQ-d5wqI zYon8y=kB|HesZ@tV4?gD_|WK=FHW+wT)#_ASWojRi%WSWXJf-DEDWw+pShiUj9R?= z-K_tfbSMARmSReoHceCPKll3ZXRoko;jPhr<`>}tGr83pKANDJ(n?IedJ6dVEcJ=s`YWtzi+SNP9 z2M6zZ*Oes zzaLo?!%H3@5xqv$nP$xv+%yyk}JBj26}+8CtJi!u{CzoYO_0k+ZZotjqh+R7aX#}&%fIz! zOCh8;&-MT zk6^{nmxU{tjo&-XY16Pgo0UWd2QlPHI$`0|(#~f!;C8zxO}vV;#(P+kPG_~Fj?t+e zS#?IurRtIQ^cC+s@*}S>JnE&HW8O;XJoN14+3et8Y3bqz!PSK-C23h*{f!Sem5uS` zmuFORZB6TawO0M^iE!^ybIKUR0{JwPwx9gTlhZc+_{5#6evDB7&|7FBJn~3A5)Ny- z8f8f)8id2mvtjY+Bdec zy?F@_y?ga@AD-l@y6|rLC_R0Y7%afo{<-{lU6}-O{7QLkt;_8K`IX+4GStHtvKpdV zEvxJCcZK6K(?_k7&lTs~onxK%Yk^$s!*}VDdVH_)Yo+@A(^;oe zb-2jn5UTfo=JOe|pML)<-)S0Nnz(v=EXRNT^LN0#-cSFfJ9}z;qdj?nhj*XyM6W=t zvp7war?TXh9J%Bw4uAK%_tJ!T%}RN?P`Gpz zVQhWq2ks(`TsRrIKh*j857snmr^8jMXqlumQGNRxHed;b{CuJgX{xo7Uq-kBY)?hHrryQ__K=H-ZfNp0MhE5;rvgnDK;pkC3e^GaaS zZ6FwzE5g`1syk9$mo@_T%xFZ96vh~{gv+{y`$`rJ#xlobal`cHvAGxz*>&U2pgoaa2}$G!KQ_kMn5^Xb&l z04qw;_T%nm!r$C{Zn99k@XZd5m913T)Q#ddr1%R< zRB~2T7cZjVjLB5Ay%Zl_SesF~`r_~WPEjap*32QZAtEIV{f8MV=2V^)j4Xb8yPX$h zL=$XNB`FjtsMptp4S^fW>xy67hzW!(J;3M(et3-$CojDNi*KcPV`sY3nGhoB8_(So z{h*1X8Q8&~p$}vDm#_b9m44|NQ0wcPs1!q8A7EwY>UCz>M3(#R8^aJSn^dD8pDTL3 zc@qmKyxqs4O$5)O_-IwdBW$>xd!J5JB~B~jj2M2fVsQMUL zN3*>XYOpKsebf&sY-|ivnQG%2(_*fwYyO@MCB#e~RX`0fG3dB0T}rmSdz68v&0;&?XG z92nzwzLPWiQg2{V!bj@@^Z7v+kuz*W#%3v8CS`2d)ajIeQy*Du+wDgwfWhKAEbDWR zJaSzsv#Wnx9k7N1g|o0%5WU)`o|XK>I*+f>yNOozG;#@28RHE(6YJ9-IT*7NTYmJx z@mK}_%%kHmY^sCt>_Bl=qwe;jQxKg5c`aF7lhp7x{SrgmU9&^o0sJM=lgE(1!)VK;9%UQ@mE9e7mGWW`LjucPs-Da)x=*6`IFTlroXckn=mY%*qL{IReI9aNo ze0-3eJFpL0(Yoip)+-I;b!?1yf|#=^yJ-ICaZdpsIGlh7h|0lzj=#7M#(mA$&ZQp> z7DlLfUtFezj;G{`BV&@=*RY0KagJT2EG|61!ly!|3dOIa_)=P$Lw(ocH~oRE5M1|v z#jfbkNY~Zvl8)j$+qOFXvcKOB$}yOx99(&QeO*UzUdZdL;SQJ;*Q>RQ%pNb7BPT{~ zKL*o2-XDKC{}COB;c{@}`$ zZ+xS+Pr~K)-*2O2`07{3y&uOQl);?#*BSb@{E! za{C)LE?lA?3;OD9YsKa0t6R^w3`e`W_;A^Mh8lgwP9JwJZ4WY($yY48e4_L@V<11!AGf0o)oh=ADi$tTZfu|l4(HQ zGl8yl$-S#M7l`Wd!tIHq`+hB)#|MVe@q7yQRs|i8;mpx8qO<9fRfk2G!7a{s^1nDlQ@63EMo;XIa2ex} z0XAGp#V0pQ9{DiQ4B}>V3TAuRh+2|5HQx`=>bLKa`}( z39`FtYw7-vg7AG4?+*U=rlPt9q(z|jbMJpIx3_Yx~>QG~2p-^pWapWa*CbGD0BF5OwS zrI|g?BihZ(tQJ0M^&Xj{s**946n7)J)A^yM>%GBJWN}E*E2MuG6M^nznU|qiC6tN< zRokmGuX$x!@swI|>~SqdPb=Qkyk6a`4^t1Qx9bwhY9w&_$}-ImY$H`zJf^6(sM2UOjD(|I$cwKkss!zX zcME5vZZ_c1v=!Zi%^S7N)I#Krk|puKabz*S%$WUSWE$idv(ltgjB~GS~|)4G99rh>UnAF0BVi0fEI^7IK4bTkzRF|39-) z?D`fIB>XGzo)FYbDLaT(ks*;{Gex))NR}1VYZ<}-j2VF3S~`U#&RdXjVp58^O)X`6 zn#M9B(aEw)jIPKUH6sJB>+n~Tq+0*o2740!3trHekOwSD6h@RQ+a~eB_290%bLLkws?Kn_RXw<|A0w7DyAM^lgx$%ib zX4H-N5VhGJgjJF$f#L^I9Bsm610oryN*qx`HFCR3o+0g^iaiWTI&v~OUe|;KN!huI z4pjI0M_-5aZUh}OXE#}xiTYOJX)aBccqd(T$`yy0esj&zE(N2Mtv2Y5aFK>@$2?@C z1P6#LPPOI33zhQr4}zUdQCSur7OAvLv3eGynMNV0Mjy8RCA1C8@RC(mr?D9Qh8DbOObLS+XR0DQi?o$D8XJ?Q&f+_b?2w&((~PH}>0P zKeWG^#Kp%Z#zD04OtKVfXYZ|;Ej*gO`fVj;-d(3hCNNGIw$)4IOIut|g+ve|lEwWr zGiOz$%2EX@tI{r&VRDY9TYz0?fOhD%yfI2Fd&aOppt%&5Y*x8qBUziY5kqBlv}jV; zpo1+T6%+FoW0ywwwJNl8@S*+%vIldE2|OGcs>^OAg1mmO!ko8-6*1nQ6DMmFd9b5s zAePH`+b%jvJVMu`TIe8!1ty!#x~Wa#E@~eq+fqvwz((S!_l?+()KldN&y$09xZc#E zrUBqXePC<*uxG}|+|=bjBjVYon+EUtNsD;5@^FS-!22ruAS)zBc{~I~+|0P@|i)4Y(jk**pOYXuDEHWgy1rpxG zgB!R=Cj^jg5Vm!YouVao^`g?Ft13%!sY`Tj*+iL%tin?erygdb#6$0%hoH1hmnR1^ zj~BfbQBe@&Ofe~i5juZQGgB?8$z_x$*q0+@QUJCnhh8wvDIrE0d!lE$n_lR92^|~K z%sT=TE90KhQ%?jJ=bmBx7MHsmuzEB5dmIy&Pk~Y-bkaT%Ah|1N=;;diGCnDkWchwd zjR^fkxB{R{fb-eTC6#E#>r)(2vPe-3Id%3ZjPl(L`NAY6hujMji1na~E~4n$bElqc zyZCugS+a;UaRJ#~8pM6v9${59;~$XV3(%CV>@Aax!?aHUS6C2_No!cGvU~e@i-TL2 zbI2r`&Bzt)*Zz*ekt=aI_|Xco(+;s$_)*_>l-vweF11!mPb{D}2BVk~y)>~TB(X|3 zX1fUHRREW(=!IzHW)Mrl@N0`MBxIdefw?Y|S`53XvE9TTtYX9Jgi&qO*EQ{vq6nrs z%TLmDimuF~HODZACBHJL{K;;UARnRI@gx!hMy#$?ZdRmJ9#uuncM-0H6X=ivu5yy5 zfFNmb=?N55nB-J^g~<@bB`LUJDdUAg+7Xc}1$@}=l?CV#Xe zE+EMXv*=#53g2H~)dW%!c3FN-8c9%j5X$KURd5LwGS3knUZEY@5} zfs70w(T1#&#MFlsG9nEWuk`ar6hEV}PNC6~iix}w0%^8PtSc1Gm0-*~CQi(ZSBfD~ z=S^%_20Yf&`Nlq;ux{5yZ}u`NOHQumB5#c@c~J>bdrB=uyan7XB@9EgW(BAf>nUO6 zUo?02r<}%1RtHl2E2yII$w+DdSCccTUgCr-3P%r0n~OS9IVfT(s&bi}3aqNFLXSl7t7#PLnDqFAX2G5|aE{?w+Cr)I1K8M3o~2Bo{)0u+~V* z-S8z{ge2@(JZWddj911`uq4NnWcUevuyZ%5V?>)|3;XFLLa(#qSq$=siZk8>A)z59 zFkRz23XFPyQ3*YWOmi5G zp-fpQhq+|f4aXlQ79~=FPTp<_FWkxl8L~tuSIA`a7)e#r$mYNc5#Tqu&^P)J(U3?4 zql@QbICX*nb{dQA4^W%N)<_cV7TNz@XM&Jo7g&F3N-$JAy4S0pFhYo>grGdlzE!S4 z764Ts;U$brlqI!EWq_bY@K?H=SVA6Vq-KMJ4|8EZ`pOrg$R*H#ZgU0DT8E!94r<0# z)!g<8X0Dheq;EvmKqi>Gd-b;&l`>V9>=hNQo)%qUV!NWLE8j1WX>8o+B5MqAp_3{N#*uZ`6fe%J!ez^fft$!ivR+W z%fW|Y4@F3Of{;Bw(jh=&nM;4sk|-gBZdoK~yVd>iUO_Vwbi~X!Xu$UmSiFes8G0gDg)}4LpCsGUs9x?JRgxvfl1H898|nS z?jaU`p=l~6D2!pyPuwQt)k(qr4T!vgpv9Lv*Rhe&l zA)uwz*~pP+3lNN^>9zoNnX}f-Gr}IE)=T`^o=WYnP;c9M!!_ zl~h0v-mEctEDh-s$!f;^r2OQtq>|zmu^b5Pt2vgbv~EjrI!wAdgeJvYh8JE+WGN=i zY$c5x0zU0c)6{={z40XP1&)~TI5O=l<>8z57!O2wKKa1NnrG12QjO4!eQs}A3g@QkO*`W$arGdj zez{7~UW@#O?71rL+URp9`?faT<%a?Go9&y%QsIlU6;(D*>XXRmy>=GYX7%ueH8so+ zsZB||NDo?Wl0DVzbK+veONssX2BSkmPrItKG4<-VgFU%ND@>NTgPK?~Z_rX>-(rfq zHf5kWZDz<6PtZ?eS6Y4tD5mjRhYi0G#}`NKbakwW52L)nqZD4F^YDGVmmY0zH1%xy zD>43V+&qBg^`jT@6CB|%uDk<%J?|F=C2+-71MwJ zMZ3vH!pV@62@D&b2(=#2y>YVF@j_|#WRrex$Y_V+wWfb+_+)$g>S(ul;$d=)EIu_# zlW2Qt&&j!%;^xT%(%OWEZ6ZHd_V6(a#kFvzaqH$w(MI32X8ga}q|&EpuFvwL)0G@Y z_H0O__AjNA@e4d}eXz~rx*5M5YFWsmw_u)aHQQ)ZqhhO=Oq;;T@?^_DmbaUm&&S5z zsH+>zmVJ3r(=IhHPp^j2+?rK1J+V|Jn=Qd+l{NEp)9g~4wl?^U{We~rh{P+&*dFC8 zQ%odu_3F!A+-PpZAGctSr4R8;mY)V~sUwV;nA$ku#liL8K$OdQYQHmY#=m!cHrt#{ zPvgmS<9LqVZg3XpWNI%a%bUL+U)(-x^Ao7(w0<#%^pbsvTBd*W*Qg7dguBk_!>yOE zPv<<%UD|nWo_Kk&GRoI(BKPvU$|0K758;c8AENo}{R0lJ(62a`a4SakI1dJQ zl5qxFyK}&Y(I{1Lkp^JCdJ#8gK7kNpKC)`BB$YveW(2N)GH}I=pys(CR3` zd*6F}GSeZN3orj_p0S7h9i!1apFjVDKgf^$%|rP3{kf@L;|#~eTVuFfc=$3@_QzIU ziP{bI-gm!3fFlL(U~6dPOEj17{8y=~>vw(V4hI%$4$Gv8Ho=4?dU1Nkxz#;}_$q5t(W!P zg=-(0-#I)C7k}u7wCjHTzArz>H|~z^KmRBb6#BmWiN`22Jbd~3C$z*EK6sO|1K-P- zy~6YV<#+wS>{b0>|9gJ?&YhgY#ZUd1G(K2+;itNTaJ0JosmHpG-NGA>{rN&-9 zICpg6XMUzbbt@}h-WJuxi|yd-Op5>VY?ec~u=4f)R;K;XLu@xi@$dK-c2J!JnB_x0 zWx|P&)5F6H=YRfZ4yMLg4t~o$a<1sTk3A~0xWJG4#whCPorFq1^sechd18m`ast>A zwQNAO#Gx4!&xxX+FsQ0ZyMuKwA$4&U0>3-DGh$DncS4ZmsfXqzXO-$>#s)QZTrR>G$W^p&JL6PrvnuKOY1g< z8wV8F_=Vyhd8FZB0!n!Qcd>XWo@WAwD-|b)Q24p(iJ!2R9>sJe;HxlE;$69N@`66b zvU*`Kn9t$2+C^FXhyTTsQ*qne%y3yK`@P@%_8HtR zT=>;rmE!s45|w4BdDqLYTDIl2+9GtzHTBkbwilA+%)vvwa>SpEr1;g-w?L zKt7YlY0E)4RC((bieFoO@(%vRFp?%vd~-8(JelD`7iS$)QvKZZ1eXv_PG}yQ@8o9A zfAW1;&(e~w+``h5rFlAc?)?wIAcgS$_usjtV`D?u9dg2Jb#07)-^x$a2~5*$Zzprb zTh~$0=In)8hFNH*IkP{AY_j+t_~A@T)BWp+#V;>k^w${g;JZj>8E)F$##eDJj7A2# zcf3R5NlYC?-~i8X=jBXg3oMgIaQ^)Ma)P^V!#ezCZNefap!nZ$qsM$xc)hkZ zpoU@j(M_g?Y{sd-Q_HK%@3~^cnzg4s)g^|U%`5t0ZX~|)*QptO_~i2@;U2yDMzUY~ zZGs$qWzktJ(Y$m_I%t?&XFXZj!_#phj_@<}Wx!HruOqE$H!9{cIpWnEpd#Q7z2M#CIJ^s^j{ zNS2hm7CU*iHu9Gdm){dvj59cFaCU`U)SNC5?BUf25o5^1IxZWQ89Z+Z&sU`G(D?QE znu(xh)FU@HGSP<^M~Qw&sVYgA_*r1(c8WWnayN8OQPKpbNqjCJplO0@g+7Gm4l^nmUD z73msXa0p|~pv;S>e}51yoX8^suj>)2Q)pUTdZJewsVmwmVr zmDj*_D8m)+arFn?g-JuxjNXmz16&!zPF&x^$q&Vg;%gKxnKWg$)IeiAYa^>Kb{(g= z$bIb^QPMjg1Rl8iKvMIS6UoHAR+ct-ntzjf=6~Og7{lNl8Ozwzsv%|=CVu#=8BGr$ zJx6UjDC|A0duumR8RI#MlR+bV>I|FxM9FnuGfD}DLk{+kIJk5|a}l?ku=KTq=`3O& z=hpbh(cz&j?>{Xr&DzsX&+!L?<6~8~sWYw`3YAei3GC70+y1g8Z?mV;nG*K*(_H=n z=1J;j-+3oG9@M6WF!p$GN4!U;EURgb-IQsYIJ3@rY7p6`}OX_C!*B8o5^Pf*f4 z6+JZx-RoqbnpH~51>ND%6-xHITt<#%yGSMgmpI4A*19YNAb-`y9Ul06hDRYYc`z$J zJhS4Ok;Da|@%yIMZ;QlCe3{D1?;HK@gX=Zf^jlDuU}f6>B^Z}%%tM(NH-yd&kC+r# zDqapJKs|P}o=F0g?Zm#d-FEmPH0K7FE{mX@MR~wn4nMLXj|$DReU}#@pZ&^}E1&!% zvnz*a9>@P02Ui~7H9ti2+Pt;re_{MVzG(O{9XZLzyC2grnB)A+GcR0x=F|1@MYONn&xgG6!j^aH3)$$#i=bx{h(Se@lk9Tzx=QH*3 z61jE!MF)Cxh(!a8$VH zD9+#bTKwI2^8jm)wqD}GUwVR9xbnHu-0X1%w46x`?Dwgw-DUm22v0eV?4ZjmIiY&Z z>iOfFtp>?J@0*ir30skWh#}4sPk+L=&E|j+aqMTgJ*aCPqM4?;W*Fq>KI)q9E^f+Z z`KW?h9Gk(%q=Mo1vg9u-jPl*ry*$RlAQ&*BY`eR?@l(vKGq~05UXo1wrcC14^-{#t zd~8=Asw~IE$Ac`x?S&T%S?FbJ8j>Ric)8zWjak<`&-c#7{K^M~nZuuGn*C#bzU2vi ztmhbcF4_UTPC2b6evuJUG7?Y9U2kf(p*>^OK0mFVq>e|8ZDE{{?S3g~1_;Slj@X-F*O(9Q z(y;!DmaV36#NGss>h*Ao_N>W*??ViC27Koi=$k*l;QnqwC zT6zd9^Hvxt*&sp;y%tskx3poxYPt0#xm0Ww0_W$BsLC7|B`e}hPxpQff=(hQMvf8m zG1&Y61K6{K$-da%wJIm5GnXZ~I)fCfiu|bF9-S?=uUVz#W=NITsGKTG??z3j9a6EM zAffmK2ZK-~dnD5=r02+NDtzWrtVmy?wQ!!QY-rG(d9;qez7H%Gg;O&BnUESnK2=(G zdM}xHX4G&lP)15gMQfox1p zon>lK5FWLQ;zAe-W;WbJTy@X5PLar4t1d^5h)iWA4grwdja2F;4P^5u(kcZ#eA=_6 z9Bj8N$j5uo>V0$0MK3(vzaLs4x>pfM#8Aen_@;(8ZKVLDByX4oZWC`Z9#9F1gB>1` z5>Xup^0Qn9%RM+an;bHd6KP|WGJcvv73?Iv`_B;*g1kJI+9sQ~jw8LmDpQ`tf~b|= zuoPIV<>QIj=Q3CxyYObyU%abQ{0kuEmJOq>G$>N^UMNvQ zLVkwoC?4^kN(w7R9O$@WMB|ZwL-JtiF`}MO79>yNT7DImc=8EIaz-cyyCb0>RtyND zp&zaa0e9CHl4jCiqCVqB0+r-vYB|Kokvg1snkU>HZmLw-ltl0A3BuMs`g`QdwBRJN zHEq)k-eTzk+{N=Y*f5A=p9d}dsAPE`)6U70grWaEV*471@TqrLgt z!!ZUH<$0!Zmw7o4Xz9Y`!;BpKhRl&Z{RdHjqXYtmaecc{F%#_v6h#8xuF~lGB z{Dze&CvzuS50r)GM*-nz{U%Om1a6Y3;U49Glxg8MfT!KTgr?<4x;6r|+$zr}QW#waxBIL@x5{OO@-nsY7h{iDP#W z^=r+uYZWzBm!eO%iGix$C{&SvHe*0O&9|B(d@W016;TdsQUUZOzbw9PPK9ef{8Oo{&H;M*lwM-S+0_=ICg2+mCCxxX`KeW zqf)a&ui(@Z#3N$GrUI&nvZ6>VNo9?zqH2^ZLTMgwkJ5qaSZ-4y3YvI11BGKH+C^$r z3uk13pz<&tsU=Jc6kKr?iT=+s6etpup$*C)hN@8kB}jzAeIqGxsb{ssvY~rR0%6I< z`bK5t!Xk+wxy048ofI!*vpF~;F)Ec#OTPrLS`hYdi=&(?;lPj05>`9RYli4ic6jknfbz=0?Lc(B!#W$tf zihIg^sxwaFCg7)lkr$i>!Q==WUT)0shFlJ*_tj3(_}$GjWXVns<}Fcah;D(^Y6G4D zSAr^#$P5sjNJ(V$xtn+r9@y)N2||AtqC$`r+`TE_kLk7)Ob3L$3M~-b%Zp6JSQ5eG zdm*HlEKiFJS)S_SJ=YgVqzEXq@OB%lVU#0iuH26jg(xko*VaJ@0{kOGjaAGD@Fsls zqH8I-keWgO+!E;hBT1A|z?NO+Gm#Qc6~(XEYvgNovG6(EQ%|S`pFFczNX3&{Mfn|} zgs&7~`1c@AgvS3!DiG0Z_GIFzN0K2$9=Lm6^~|V*#Kj;{B6vCWb@~BMJF)-)T_h&q zQ;xXgP|tOQsDwki{(J@&bmzGkX3I0niGM=GS#eS|XpxK`yOba81iw8|gSo&;pP-Py zrS-xBQd)$HuM{6fRm*snaIS(x8$mnV{2xsgUzZq7yI(?OF`6pxwozOVhw&d@7RlsF z#ZWXOk_IIaR9sLhn7n)f0uSTJAB!w?7!4D#b45&i-umJsLZV7A!gElZ^&VD^j4DDD z!{QZc;T8}*Fx|5Fu@R}xS;Hsqdet`>O|w(T*%Cjf7T{weq>J$~lRJ1~#7((KLNG(( zUUC5nS>~?LnJF!DIV8CYhN^`lq_eO5HFaF2Rs9ly=%wwxO0iTmD!T)HF?(RN5F;rX zJ?7$gsupgkL^F+_EGdstOdYAo49n@)HibHq(?jf;$HbacXD`wsg%t-<#J*9$-eu_& z$z3^%CXPkpD;8GnNlPBa^@1jMQ+mbJLXc6ZaZwh?AUwbVumpM+d@r$gC8%4v9nUENi0&@DVm^+dXxBhZnjT^=K*2;l6~t~}ISDIbCfb;0Pnv+^e$xVC3Pi)* zQ-#NsM#2G!rIP`i?*fBA)fyhcv}hNlff9`6#H6$W3;@6N zI|O-O936uz6-p%S3~R_Y03k~m@r z7mfdkKauR>!Y89Jy(cn*XEfx=EHwPd7X8+hroJPPHW&eequRFaB;iuR1*AZUG4D;~H$-4IS-{%m~Y z3+Wj!uy-C`PPXi0o$-(?p|4%ro$#w0V#|~GvhBTnJp+QEwF~^-R&c6Qq3OK+Op3A54O!)AZZKEN>d z>qy@nup1LKbs3?)0B5d~J+mnadpU;<|il&c$Jz47813X zYU_bLtXR9-g$l~+X#OT6!eo52o-p1v)tDtaRoaSaW3HD5miFk;!@9o4FNZ_Yrt|HW z>ZC?)DNavs=_q5{jU!7#0v!mRO*YRA7(Qi|_5wOq}9B(Ib!)Pxiu`9ZN%&ay!jwJDhB^=?$7`^;X7+PYs^dercLH zz|-bvgE=a=gP(Pa^d%}~L56yg2WV+)U@u3uH=y$gN3oc}zt~LkwV(J|_A6IcUOrG| z9vNuUs-0s8sr%=e_Reb{rfeY16}v9VAsT*>{@|22+km^y@Hs@2bf9N+Hghh6(~Q40 z+Cd9eaj>PW2y8sU9*^B>_44$9m20&+m`>3EJNvpWlKaZeLC4#P4Ze=k#jwQh_TQN+ zer+r+{6?#+$HEiq~ z_B3H{Jm#S+KR&NbD)_56yL1GT<|et>!`Qr$#uMW=Nw-edBcz5JXAf0 z0aVooFYy%^%pi^15eIZG>|Jih@~_j%`aH%f5A&0Yhb!yPagKr=>>JnsU&Hy(<&R1~>F5Ob~ zfd^i_g~^8xzI#00ox|+|r#sba7cPu9^!0|YbYrjU*j{FZ%WC)*qeI{zu)cb;tkWq^ zr?U_~bWI}}xi?OyyLmNyhQmd?-3h}RIf?$-$M4*!4t@V#8;-)pE0z*REH+-<@dG`p z`6*r)aLnW7ox@!J>t^{cUg7+ql%Tzw{9gRd;QDh+rS%_Qd*i!v8jXH4LbdknzCHm| z*}=itjDAAfMr^gJzWbfCGrW}(KL5f=qLr7wPLpyL$8I{;twBsEZ44VJ{Liu{$`x<= z)%BH?(I`gc8qxTC94B-K#5rQXW`7hsj&uZa^TmXQY8WOywoKW3?bOix@J4s0INNQh zWY|b~HV;c18SIaj-Rs-*ZGWcub@63(DhSVQF?8Q$0#tqdUF41!bxOMEo=Cef9 zj6K;2(D{}3Zn{FNalCWq zkjk?Em)y-tKA~AEj-b6!((@hp4^{1TDSj|)XjXG^5N~yl-I6GNi9}MXl@TdwfSXBv z2$YS#_%6q_VH_LcB0?+G1*i>G7RA#H(|AjY*LO=u+$1xcy9bZRWP+>O9&`rxw6H>gB6u(Kk zL1lN!&|keVM^!Z6=_z=-uyN~E)nqkvU4jQHv&?y{Nn)_9m{RGR@Yc5NBrb8Dp`sy1 z_zqJ15JO9I{BY+~bl0F1bTQ#_mN4W~af=?q=d9;au;xF0RjI2N%5$CvhC7!I^S6H< zqL=EyKuTyX`B!n!nO1nf*4OVypfQuHlym*S4|J|vXRuMS#Wh?T8^@f3O3{Ps+*pyh^|K$0ZP==Ewe&jK2{s%xGf9ISh}3bI6gX{R zY{#Ugfn^lc;EQfkd>ang%n2p7+A$zOkm%O>7+C58qqmOJEmf`Qi&kbkI;sl!II7I5 zVvL_*@k^8I%xzfw&6@@nYnkGp@+a0=GBMQ5!Sh}_gKwL`lo$c&1zGk8XKFOnQfmR^ zb1kk0HMwBIrDmn?rq6wsNPRrQ;u&YjsYOjYW-Ln*?DV@57ye^n&2;KpbFIZETQg}8 zAI%ekYW-4l#nZK@EUFk4e|>Am<3;frlG2piEG8v3_L8KswiT5sN$>BZcr6tf^M|Mo zc{TLp9H1wcG;YX>KU8AI&0jE1H{uK$bMm!k^5%taf~$XbT@iTU}+5r1)Su>+rBzap$aH z@lkw7ynvQWRhR4|{}XYR`>iV9=%5PiFkT;dTg9y|6%|I%E8HJVDX3|#H;K5!m&;v{ z8o&!wockyGkK)`a;d7u!9homV*@38iGd}@p)#9-|QB(v6;zDJnL}hgkmEiD#14&B=9UbVE1Wi&h}c%)G{^Tw z5~2q__2b+immfKiAb)rn<{27UFyMNp(uO%vXc?FAd%f3*v4uo~Nvb&)GsRa!zywR5 zax*D~WA~@BWfF*CLRnZwH3G)x9<{4n`0GyH=)f_+SaByQ0DCUx6ZH{)^FfqND&oNHo=19doMecT(g3W5I#T)U5ceALV^KUWJct{UXTn1xTV{Xp zFMF`>VRbDz*qUk)-=a%}kFbj0MfBn#;XH#*5eMiX0BSiRzS|_KtcK83USJk_*MM8R zWhX5NmBc?>W?6oWa?wT}&=5YFQSKh1QSJIgrn>=4H$6t=bg<~-O4Vh;?ZKpc+Lhe?woV{ty13LafT(ZpP z*7Uv~#mRHY^63MuS#=}_p94M595h+Sg2-*+B3V?U*YK5FGU#9rhl^ArWZ7E(MIA13 zF2&b@9&Qpo3zsvtI7ybX#`VJCqUIMQ%k9GLHa^wWPv`=gD>`L~z%iyPIW+`(_ z8)JB5r7}+?8gZBBHLsxkVMW6OUVgTS7iD1h(d~wnB=JSrjMQqf z5E9AUO<6Hp>Yr6+>A(2iSFqwH!!1B72uEhcj*HJT=E!dWP)O9zEYZW_3~#R)bdiUq zflpj!Wi!7q;7;!8zeQB2?}7Sz;(uhc5T~9-75{$FvwTZ|5M?J+ZA!?a|176x^v@t; zm_b#41l*hG`iy@B@!OKp+hTp>pH}U+(JE&V|KEMe&M@mw*(AEn@Efycu|0>;)ZJcl zF4A~D!qzKxAL@x!L`RKnQ4WX5-~(_IS}|}Zf}p*+hq6@=SX-dGXyWZHM0pYyq+VTH z^IU1EJ^{&~O5$NsA4_&bSuYwT&ckI0} z+>&M8I>7aWFgBS^_biJCt1=D8qO50oT`lv`3t+;az9I;b#dE#uzJ1&#u<5K+=P z7uQnZhpUZ}!Us{%zWuAxJtB<_aYz%$3yeMD@yG_ukC%pxC&US zc*ZR_$EKQjWUVNl@zGLrVHo{0^x2b>`S^ZnnOt1*Wnq5h z#99*alzFp;+-e7eMI8_c@SVY44n(Nx5m&BT``si{yri2p)^@mpJt+gDo)dOKvG^s~ zYNo9cJtmV7SZ1^v_}qs;PGRbWYL~GVk*obuk#K}HhrEvnz*tIL;Z8zwjM6&7&J#h1 zaA^$q@D?I`>2uH#20UXn&+Z7E=z-#T@Ozw+^AEesOZQYOA+juOw$kxA!vbp^@*9WSi6;CLmNAp&Vs(1bCe-}P zyav@O;*8S@8N2XaMV7S~Kfjk+My7`_K?+`pl#vFjR4oa>e6s zEM&RMg=VCF+eKx=ePn?>;%Sgsuj50mvSZXZDO@JXAow1|=Z&Ixh6&XOo=Dfr(sRkp zcCs2FEi$G}*cRZXgqVrS@fb_Zm#uF;6dz%NEC`4h?~fu=xeRhkqKL~s`~>N5hy3>F z{~!B*Lj%lxZ-g4HvTFTSHpe-fdoEC9m`HisWY%ZvYMSEjZY_HawNU-QNKi1XM5~| z5@xK&Z6eE*7P}C!rCf7wAT+~e-FTU1r;Qy=m02710C%EX7GJPM16nm#B5yM75Un~4 z&#c2GRmodzEp={_5ITE)&n###OXR6wG+L%wk;#EDTeV27DMBty4=CP7IRR!39&c%qbT#Ul_ykWu>BPZfNg8{%VE|Q3yP?51Vs$AnrIAtcwB`<5_Bal zSo&yp3isfHpmJz0E;ULqV5w^yW=xrOn-hb{D@~q=)5nJ* zJoefU1%e2$FJk;B`YG3KvZyOX!z;`QSlstKX~ZJ|>djI|nR{7MXmUCmadZ%&!qH=p#>pnZQf~D2@)UYhr2zfxUg+f$muev^={@tilsuB~ zaO!&2u_)ToT@nSn%SiJQst29GC;AP;=ILJLJ}(o zQDo;I3B3&>oe{uhXgUhdeX4o|kogmjpZ?vus84+W@*A}Rr3MY_lYTu^QmhPNIjK@P=6te3+&zGf`>rR=KbgavY*={6myAs zPpic%6-4iQa_CV}%}j*<2BdYa%DMLr+cAd`bdUYo8Q`@S4{g%&8ExlkFw0Oa$&_ zTqB2%C5S@~eM$ykE3-UQH^I$N&+!GqM}6xesS)7_ch4#tb~`@~PzlR5)HeY%k1b1t z$OP7q%hwG#q=L(EPe?_6hnWvHTFK27hbpQE<+HzIT|u!3X~DCCp!{`cDiLC=?nx*~ zrU|(i`j#z`K}1{`@otjDm>prlJ4(XG$^*}6v12DacM1q2emwTuQ&DUfD(7ybMn*J} zW1=yWa$%FIZwl^nCt`u9T4`dFky7*@<(-I7)d3hMdaP2A1awe>K1uO5I3j7yn(Roq z85;_y?^GBe=n3SH)b2#6#YzFy*K}Hy7o5%$3xx{Jno~+|i7rH>4#}kxH)UzV-}tvp zYx@ETPg<*Yseq9C1@E~jgohM8A}$i5RwuPR1j8D&leeU(l1oq#CAdc{o1SC)!CbO(XjOb0pxRR1AP-pG~vcgKIx-|@w$MHyo zqRh6g3L;tftU?#yoiG>iT3Scrqm8*RRe2;WGQ-hA(o^OF6xN5;`!iiSE1P&>8a+=k z{mnn+QYsszp~UKL#svcq3PKVIaQozCI=xZ=?gHF*l@dyQoZA}MC9Sa4akXtENs7W9=0w$+NJ7AR^*6=!i6g#ZT9S0uzeo%KKIiQ^?{c91r3rah~f) zlv}MHlF|YZiP9!zjD*B#=*mOQfA%rQh)EA3wy9SkI%>$>rgaK7p~78;pSTLzijaPM zBlJqw3f&7#QJo&c-p>IgBoh~;r{KiogF5#3x#=2XI;opw`0pXzgiDfTnHT}6@c4yC z#!`i+QMagsczq0&6AUeN3`<~B$pClQDC|`+=alPL#5e_XltV3xtEGmOGH_xt){nyoAp>?W#@}kJyD6k6lwxMU{`AvhbJ7gPCnrabe}KB*Py1q%I*Hk|p$YsbOK^ zoV&~q`Wt#xAQmzf*%Z`qmZ=9QBeGdcf=NI|mYh7S-2iT3%B2^U8UB9TK#?ip=ve~W z2Zvr)>XOyKf~Yq|78)>O*$+sl@H8E>D~KQFq(zb87+S_~BiB_t1?p40tZ?y~Od|M% z$)WUp0%k|5N>Q0YF@pmbM*!XrzlEvnSN{}}mD)*Yal1rjG`=Fypa)aOiuzQM)Mkk| zyduMZ3r`CF_Y{|iCB^FzU27sa8au?bN`zcfMe=eg#ab-0W2uOU$*qzg;@XfextcxE zC(DW-$#L*DNQE4#mzSa6_spJ)oV9=A&BvaSLoQ!S;yuAkAw?Y`wdKlQXE2MDM7STs zGeH5p-Z!j-676fk^CbwQ=X^!ed3I?xmUw&yr5ZJ1LUfL7_kBfKdxKF>FJ`15Ah|0i z`+tcdPE8Ijdi_v1mRBmdVmy(oyNpEfuGAzekutqtJsj}|TPq;E3Ft?_2)f94sJhEm zEzvCL40ino1{*S$J^3u8>8}= z`b2mjt5-;FU8Q6?3Xr%|W|DsGDAS7?exnMqOq>curwJk?5%VMy9v3h&VW+(Fzu$gT8cJKyw!#Wy4Nn_8`>5ohbFvPzr);=$E?d4}=Rbdq zaYrNCGJSM!g7TXEA8f+awY0PR)Tuqzym*IizqG$m^D=6>)`oQX`tuu4?Ox_vV_DyK z%R3Xc)jj!W8=tr}PWyRk>BZC@+t=&cn{RAfO)u}in8MTh_S9+KW|!*48+;38lFu#= z?e$e2Fuq_}KP%57dGDenejDlf@pyiRO}?3N=ePFuH1eLDPWG`X_A(#M>v~RGpQ0`n zneA2kROC18cF^#!Mja9COQ*})&RpAaHfDm?wP4#G9yh;}x0!#opM0qvrHyv`XnY!` ze0ye^o4s^uosCb2Dc_XYeCGG9JIT##?-Z8p-bOs#LvrdxcYU!9ul{#-zzaQoJgwmg zU^Cc_&BxaGkjHdrD@h}c8ai(`)t8uBpJi9)Rf|{F^10FbR_g)U{{Um*;J$z?jXnEp z^Zxzeq}koGZ}a|+&%vcd= zSy>FI^r;+EWMJG$lkN7Y*bJCCkuQ9x&S}JteRg%6?AXIOtZnDmUZ3&8V}LtOSAUa- zvpb=l{bEcHp4L}}ECQ}J@p!bI+c=^n?K4Z)v?kzI~_ZLI5JuI{^d@uy?9>YZzAKhd?ebbjrM&7k6A2H!kO z>{rCv=X_6Kd31B0ESx|8p`H0_i35}CW6D}uz4-Bi#CXr=36Q|5wHI!5kq`2`=dpt` zgN^npM$^fJ*XN0kT@7~5=Gp28cQ^vYDEHvFJwfBk%Uw6i47T|HH)ZbwBiWJP_x)bY zo93Hl@7|l{Xw_Ve=$g4cqDif(=8B@`X!`P-xe>3AUMqbBqc8`?dE{+`jZ6t6h7nfI zC8(JjQKQ2_=#%Myij<^vVgSOCgOMNvmp}-5dDLkw$i~`HfQus}bAPN5kt1w^7-j;; z$>&?IhsTr7l2SAMs_Iw2`qi&~^{Zdid#~!%;)pw;Uxhbv_ntgEGu-CpJEFp#g5O<$ zb!7)C_#fHXd1t}5upb|DXc^Bl9(nm-Cja8iaXMl|z49g@^pNDevoshZEtE?ju?b7yBd`HbbwXT|Cx51-tI%F6fNyEE|A>v%b@4tLkqp84e6 zyNAVv3xDl_yLXT5{#yJP zs{F|xU^bURc=?G_)h_(xe>iWFao^0=Po|;WD_10{jm?91o2C<@JvX(c!zbInIM(4; z4{smba5H148Dl?&fH_L%iY0q(Ku$L z|J?Iz4+`V$NHdlHEljViKmVkrI`>!XiDkE6@leFz*$O-HCh8%>VJrCSSG-B--g|$9 zZG~p57jExsgCuKq1~eh@U;R~WLSvO^wOFhc4?mKC7At@8p~09CcR+Ejy`_^+GDAAN zrx;AxNXahQ;V^Po-2H#y#!Fc#!;S0Oic@v{ny0d?x@V1}=^uGw!ZWbawzYhNZ7!dD znT5Tm>O}jo5_e;jiohzgH8KXw|c>jwFbG8AE zAp(_)7um>>y5W;gNG@zhXe=5E&VHVTesh^JiTAaWid=eM=Kjh<@G+|fN@BYu8cz?n z|IwWhau47A9h(2c=P;#&#+S@$wLeeCPO+T0#NZR({0rGo(O@s}qI6~D-W8v4_)4*T zMH7mm*ji=BYSNKq*eR$?CkF=w{pN=sa71=twHeXNR?7Y>=mXQo%kRE>c80cT?>by= zJTTayvNnE1obcG8BiqoscnuZeoW1IiAE{{oG=3B#BIWPDPgacv3av_f)O_2iDrtG{9k`o)#rJ0~<_ zdFin`Uqpf&N0e%K?6PHz2B_?b%LpDNxZ%+Q)M7=dT}GWFeh9a}lDLky&+aZ3UpmT! z*~yA8jYrI@TWnMUW##+-vY=>uiQLi6Ysii-Cf+lCaz1BLUNLZibx+AG0JpXlqmF%B zTXUyjR)^bqV|`Dta*yhc!gHs6NVDy+ZSt^SANlkY`09m;t9dT>zWAHc_|ShBwva@1 z=eAS!#f_U&PaHWz73Bh38sV{g@TCJvDLc?n2J1%?Ir14f=enJCG#pXeec~&x##t$yD$Ch}o*OePzr$+tize%On@8{&Ah^7y! z=b+1oG`=Yt&mC%6a1Ah*4b{KSwdT;nHO`PNZJ-{G|hYw6_;y2p_oT#!HM?ELVGpI-&V&#!TpD z6-Fnbc9Bz~l3V%MV}{H)&M-#&s(P@)&ID-a#6Vn^gYP0V>l^QiH})sdy*u1orU6ul zpJZy`()=``tL`&eA6{QdbLs3T_nWHvO;n4<4+pPAsD-X1hSrrMHJ`-?=kL-_IuBwz zDZ^fVnQi?kblH*H814qlF}Bv}&4uD&em6p`?oX%UX&joby8qpmN%{Q!&-a8;*BpOp zt7UzEMdgc?ijB8nVjPTV3ERia>~T)F zG(>g%dX&=IwHwBj`A94H!A`dEq5H}~D|kKyP43c8ZVT7e+E@~qqO8!C{QeRfxB`cW$HVQ@W@R(Zw!Mk-NinWUe7N2~l+?NE{{T)XYQlwQc4|&?!5ZLBaF2>JHf0M_CL)vhkRjTw17~g&Np2y?l(y z7^~bt(`iV`#JMDxUr2G664D&rEM8|@X0k4)#E1z_9?l-Bs4}g$KrSUiZ?i~?PT9No zl{;v7xXON4%7(J?EUQb1yAM+9rI|zLgb)HPLZUW7r(J*ZElSbdxB3$mDJ^K{>oi!)1KwxqJ;ZIdIO4=Q=AXyWr>5%+U%eE>2s~ zWSHmWLkaNvT%1K>wBM3kQW^|pe{jxAjJa4C7QA5E(zF+JdF#8wnMMTstybB)ddQ$HVPN_loe^7%GP4r@L~$Jd<47qE%Z z^JJiTR(u(8vDXD#V7=VK(VA^x91W#7n(o=-LrC~+aiquOvZr`7L%W-uSBEVXCUJmq zF<)89mi?@ngj!Xh`FLzaR259}T3KdQ0)$cm%{(pSm-U&;=e8iphbPaxw3Y}B77&Gx zx~d8ZpWFXA-qKz%2iZ9Im-ZNHz=}X#fS=Blx%&)f_B=?_c{l#0AeVJ{AufDZB zv+U0O zY;ip1D-FBPh=RSAt@4f&p2j%BP9h^Pf?@AH~8+*$H@KV*q*}n<-FaJ zQ`jE;37u8;>Z_mF)uv5&*~&FK{?xCC2Tnfwp!|*Y6t+L8?Pq=_^Afdr^X<1|-fnsP zHfOj&N*7E`n&wzKr&X__dn~^cG)<1vM#q+6wfVn$q<~;BX71S7g(c5i^2A%|@ zoUOg544qLnvz?1{%;a{={5B;z&dIb%=6e|DZHRFjv{r?+3M@j|$ zoGvc$5ZQa#jxwyZ*MAC`Z-<}?{Tc4PVwQNx;-8V@+a!OxvOyCiY9g20Pl3eG`ThTL ze~x;*NADMZfkG#CXm+yI7RC3PE^y))X7C3`T;^pp1p7nqv>T0kk>Wb)T-cVyUDO$j zi}s;v6)vkHR=0y^?wy)IPws=LK}2rRtxN@T%7TS5&PdZ4l{cWe52}}4&UY<3&eLhs z`d;Q-5&^DC+#aKQ-X&5}O364@qDkm89~|7a&ki|J1~Bt6X>l0Ultmwyfd6b@WH}$r zKWq1Ty-t4)&sqX0RY#FyU*gmuW_^Bo9nWN!8maT0RH4I)q?Y`RjR-EhscyY-i^HgaQi%{7PnWiQiD-6Rf;C z%J_(rz0BTx;Va=gCV zh)LBd;R+g(&Kx_lFA0^wxwyTt3IVEQ)o{KFQq&VU3XY;uDQ7Ixoa~>28cakaNXF8B z0-dPmW#5k7Y#-9ffKh>Qmj6AQ6oQ^=!7jl1B6Wf9MF+2pz#d~5?qrc5mYSrRvgDk< zA}VX4R?2%N9e5&~z3YFi4HY1+QUC1X(jU3Rl5CRF5`tIEptV%J3FWc~&*_<*EwW%9 z3nGk|r(9~>U{XK~Di(vm6zN!y>Mxois%8) z&`Z)2RIxN$3m)0pk3i{0$ae#3NF}J-OZmjyqGI z4`Wn?gIrGZII(IsS22-76*`nwbW(L3(2nnfP68TiLY}qyZKFXJe)J$D{Dgfg{Wrj1 z#0g&C-{CuKFquj;wya+Kx9vK{w8OaFhelkr`a;-?z5A;DlY@x1SN(0Z7#vm8-q_ww zHXC|d{Qn_};=ITJqvWaWn3Sx8?Yl2(2rM3vcHho$RR`ofYsxL!!oLIUsHSno>W1Qhi`! zbjVYd8E-Rv6Ws;=xw=#IgTpTWWtc`Em^V1;4-%7womXwHZcjGmJm{X zj6I2n_tt)YVaIhJk;jrzA|YAReLXMV)hFS}qcTzt2B&SK?0=ZJ7;nFMNNdslw-xml zQl%Rd;K+jp-RX+VIj-L}Tf!g{o|5;9y*yoa=%dfZZbGe0LKM{mchtiQg&l z)PUEydRS~(KI})p~ zP7G${R_bL9S>94c=(?Z?pvx!X@Up=Doi>;($1 zSwLtpbqV?eUmlBGjgqUK^NSfske{d3D1H)sU|HUV5+q(hdUVfSjsgQ?I=zABs60tt z`Xz@z?j=s20U+u6Y&*kXlD}m3)xQlUzHytYaa4I-*>y(`>U%Q6h_`Vta=LH`&?^*z z8swpZe~w4`vQi`@e%VEs*+Xe}6^qP*DW`Q15Q&^U=725<#tT=drJLD)5Fl|az>>?8 zUIkni+^DTYW`?M*Ct3(nvL>xlN%J=7FrVErv4$MUEiWXr0i=7L`8|%$)7tD&ivKMx zrihHVWS2Q^F;X2Su%G3lCv*r>7bT7q!R3zH2HPvMEnra>aTIMk53c4!w8|+ytMaC&>Fw|Kxl7bqj#3QYn z@Zp8=0|X3dB%JwdL!>7F$pr%;f8DGRj7W5wMU&Bps{-(IsE-mFp$KIT@ya|VP?y}l z11MBSm*l6Qn{c6?2{68-jLy;t5->BQXzHUZKcAo&Nw?tJfKoKF`wR}iI?7lerlPR= z56K1!eKuqvAmpe3ZY)2^Y!{J*nGwcDKrFv*#t&jBb3|%BG!AXpUuM>AuWFJVrN$i4 zl}KVo7@tSW$rC{E3iCLRcu1XiR9tSXOG^nob{X321{9Fp%z`VwbCql1i4e$K@m!uX zJMg6gg+D_Igkt)6*11DG06RK%u8sv-;L8C8O|aG_FPEX5;XM2;zAxIeBq(*oC9}74 zfOd~L%Jlhr1^L;wp0044Z4Xm_US6B~JeF|)ZKIZ1F29}D85tO9$e1&) zFn7)!CuF1IWW&Yt%M_idOpn`ep6nznQwsdJM9iQhj({X92^17oq}JLe&-EgG%qM2V z9vyUsV0rYn1wD1wD0;Za*a18X=hOw{x9p%qC`8853F15#$&k6tO&noGe zGDdcp$tGEWW^a)mTN{N27p5i2R zgQCoNL@M#4VlzS7cFG|xU&~lyMQKWTWoUlve^Efr^R@LOVMaGfcP{*vqsW9HZImWi zcNhVbuxrAUbN;S?VAX{>3RJ2R%SF$QS?zX@DE2a0P! z%?&a|hgJb0gbN!{4Hs2mkRxU2pG$1uW2$oKd6M2S@Wi(zKzkW635(Algd$1cYswt- zwF&}C$yYL@Y}7OkCw`Hi2$EUBT$0ST?iefxClOGg@3}sh={%N%Bv%U8?8m=T4+t;- zYY?OYQM|}SCmW16ij23!P}>bKA?;uaoDBLCF@DY!Ja4&D%yrr02k@{3H^iJ@s~Zjz zsS8E5=X`-yCnhh6_u^SPV9{|X81bI5{@9w6ujn)vM{*GILEVhTe1 zL|~C}lVH#qAXv|76x)e0KiNxebbdW=q+FmYFHm-D>K@1gL9jj8KamcllZaU1?mxEk${9n<8C?PNoZ+y4OcPW zwp%P=U18_X2=h#dTytPs{6gdc#e7{d8Ht1$S5=?Q^QLd)$R*F0D2llTF(K2LVY;Uo zWh+-YLH`aZ*%6*OSravjOVDDOJ4ZHv$o>&46`?grRX{1iDi9F@U{ok z3RiUwYq&c@1G0;-LV^4*U2Oe%_MBYjF1V1QqPmQiKf-8&+dR18$l)=QtN-&Io~P9h zjr6hk%5})ZfNA6b_@lZRIjFa%h?MR=)(#!=j_Q5-_<&bt)r;t^JsjBt0j3QiJ49Ts zT%7umw8iV7*zmOMcj{?z>h+4PoK`7b@BX@-Rg`KtI3>7EdCbv61z-jIrG9cPDZo!`JI9r ztGaxBG)b?o|K^EcCGJPH*Lh{pxf+WM$>G(F;(;H~s=PsNXV zu%Dk%0o)hnA-OH4LGq(YN5Dfm7;|HEL}S$QHIIdHNW5a4 zH5#E(lg6ouY{HqMHHmX!{Pfb7Z8UvzN^Pd0-W~Lj8x2r*n|Xgy4~jAIXgDo z*t(4k{p8dKhpDnRePlPVemCyehZbX7#Jkni!&zfT_B)3*o}eJNPU_L(Opo18(6RYp zX|wOf>YR-2Xfrh$8`e^M(HQg(cq-adD;|Ha<{-QgXXc#@{Fevs6k+lUz8Kim!-rpe zjtxwm&}p?ACO2*w~~%inkt}*eAB`AWZ(A-Ci&j6S?E{T!IfnkLj4L zOxQg2^PjK3Z~%tY!mj6aylelEH%uN6DCAB^&0Q?dKZrJ;U#jQnnQ59Pi{r(78pgwa zp!=x7b9Wm$8f(&+{L%OLBw<#{z7_X!xWoJi-bULo zGUpuZ%+KJwxc}xmXP#@cSm05kvjseS@WXj4P6&^V=PViTc5fb}#Mwv>-#j=Im1@#( zbG5QQR#`kyn<|&iUsw>g?YxNw_!t(G(%DCn@z84g{=wbTBk))c={fc8nV|LfOg_}^ zWHRG1QvKwAX2s$9eebX_VYT?4dn-Om#@2&}8k&q{+aG2J1WGw(!Ev#x7gS9=JX~F^ zDjhrY9jgo4y7(_cJSA4VhKd|wJGnkmCtxYBd13P_Im3uerv5d3Wy|-w(v%5Nj zsefsFdU!Y}<3-K^JnUZl^potm2y4a7m)!1I8HB~LT6t@=89UU!_j^z8a!2HV zC!Ns%q^xkFQ+X{5er>?+Ds2Y9C)bDX7nQ&skCWFOIIT3M`PQmY&G~5|eB|osfx3-e zvlc6zF3LI&;lhRE*ibeH;-TrQ#j2e#`zp(o55DtevXT;Mu445c#!5-CrMkV`f*NJh3!(+69n_W$h`T@1z1T^(q z9%o1OM`?UyV}krS@zvEPO7P$P-TP%q#(Mc7njd&i?Ug$-p-LK$<>@by7`S@1t`jeI zulHs&Td()zcsxEl>}dx$CI~2aI)`ku3Wf#NF&3LxL*1^YKpS!kPz{{dsy>!(PRcU|e>T{?VZ+*Af zdXp}GU>MI9hwR7NzB)c&TU}XBViT+^-k`=TcD&TgjhbQ9lLSAm?~WJna`o!L*sUxt zVRN8XKGS!m=b{=-g5Sq9&}`xQ8TCi-2h(I|eppZ=34U=W+5h^FtSIXKXe3i{?|qzm z2)p0+eTL&?y!*hwZv|fzXgsE7ZH?v2b5$NGpsO5Bx1YdUUEL_fE{rmPBCVdvV-MZy zGY1~E-zOCXyZk7t>T0tq?rA+&Q^TbCxAL zLk`h`y42`)Z+Gzg>7A6;E>zcy(%8APA3dxL?w`Hpl(K$5lR4o12c}baEHB=3`!+n4 z4|Y(3qI+-mL2nKVh+op-U=~y*k2k~{VamYRKY+&O~a{R|1_vApsB`&xh zzBIKYs+Xn>fihl~N`}s2-;rMb(rcy7(fIz%7GSfr;;=}+`_~D6zIbjT_Lxe_dhlIO zG~O9&YjYJC1tcj(VX;bUGNsZqtFQw*JF3_KFG+O?{<-I9TdK`&3`&0D^1ChdAYD~I zxN}$g_4@rfF@p5yAq~yLy3ytgiLUSDTgrh=M7>-u4#vqbTx-)Y)R>&d2;6*Pk^aNN z_tU!u6}SYe-kgm+@XXb!N5)GHm76o@ybbmmtt72>%dgXIW$pp&S*0~tHiPDFv zT~W;mX%hUS_YXwX24l|1a?zc&G<|wUmXSl`Xg;{4IRjg8XfD@~&90PW@Ok@0sm0dW zC{gCxL+0+n#;y{tA~@E&&=AMhAy>pV>gj1rh8ev1j(A1zu)4a6+~=aUwzcz4Yvbb_ zb9Z5|gB4*U*=Eblp<&{4mA-RDwpulsK@86Oq9P+f+1_EV3IjVnCO4`kfn-sAnIq$5 zYBDQ7Qjz{~t304n7bM;Xf1VTsL1tEF8}q<6||&r9RW3--J(j=)EHiF}u!gO8}9 z109x&=^{aezO6X@kFv{hUmOD;?&IM%8|n{~x-oCmeC>uf(xR}3+=uY}`2P+;cM%7b z4;9&1mdMAkelnjFe)} zsw`hBeO)owOn7Dw^ghRnV~F%_FI2fJyaY@5I_M6zS0j4@5`uqa;$UCs?JLT_er+N< z+uL~VE65%EmU>l!M6ApllqJuCk_1nEl?#A0p2mO9?9eXWvjMhB%h~J_QP3d3&jqZJ zI6jIbDm+9|VyT#NxuVwT3>*1n`I<={8)a(yKuJW{S>yl9Uqmzk5d+M77D!XHbukAD zV+XM#3tuLTJm=L5j|7+-S5l!l!M;KaGY|!c%9Nj5C{)q_@T#)j=(0=AH@dF!dP)w0znHqnxrNIb`WtWJqY zDq}+MFyPS$q|7qF#_SiK29mOABd|FDgcF-`G;o|}~@d=-967iOf z-398Hxcr_;zWNbwpGIO^xyF`&s`2oN%cHt!&Xs%Y*3o=Pp-~1H_ypWC&QUZC%QCBHVQ^ zd4YH0M)RnYfPCkZ_8^=!jdwEgAG#@haJYf;CK;lH(r<0X|B z@>ZPm!WP?Ke&QrO%2u3)lg~c-DK@Np)$CKE^3|Gi)Ho;Xt8AiS+smz6x`_dwB zf8orsM~k1+xoJo1kK!Msq|?S;IIx+{Ec@EmsR`7+3bikNMkk-uCSKmU745h2Z!N~l z8f~!!C!fB?mYnRvOQ!Ns)dVW|XJ@Q#hRWisjz0r03wRJOiv@d0*rEh4>)M)>Qn9Wr zIp-(BQ6qRcJLCJz`FkWZ$6ib2)mL?XTK&P_%>xJ14Gn;5MHHBTukfsFp)X;z>PoY< zspnX&ImepDMlaHO`Akr@#)6gInD#dhS^2R)ahy^tEY(>TLTI9;h@OH*4I!R1MPP=C zANQDK8?3r)P=qNa*@^oOLoX8-a%C?RV^oKD1aH>~0NyL`6=qhxnCBYh_}*uD+~&1u zw3iF?6;ju`fyp<4z|vuPSWd-NUk6LUl*^?o#bb_(`;;_AYW?H+_{rr$TpcSa`X^k<5Yhc}xe_c_?~3n3FWwjaGlQb=KIaN2elXfm zIH&(hDaNIQg_-5p2EOeTL1oI{Ch?Ck@og}^4ak=b{;f%fu5EO{|K3+95Z$0Qj_M_} zkI}O0gW{wJ(O@`cW6U!zMMidcE81w+(mEq-QLUkkJX!$su zu6c?w;t|ml0u=L{UD>0EjyWE;2WI3qo0K1=T?ffFj!J(m0Gwf_pRvx2Mws~?ZNzgI+KMDvpH7|T6hI;b zA`k~`dn&o?^Nq_8Y-oFQ|0xV{4+eK%+W7Z>3~x<2%y#+xbl6I=E`zEFMWi2%p{3NS z-~!ouxi~KXx8NtQB_okX0gXMp7KK*w1+$EpD-jWEwAg1x6HS9xTT<(lNg4QC<2@n| z;>0%kxwk_>i7-ibSw9m`%N@Ag`ogu;#c~* zY%cRMw{#T6u^&e?9;6u>kH?hEwiUo;E4?W|g@Z4EDoz$1i*u6gnfyKxx>*>t{cQ`* z`tp6AkXZe?FyttCn%<^pxeXOpx6R?i39?!fjki#5wg^t76gYX zPK~_bSqQAn`40{Z5)awrP-$z90!RyOu!TR$q{u~!mnlK@XMvKu$^lNI&vd$=xwA1s z+FEPwF_+_1$EVu$rf3{@Bg&eHlog-Su)af@LsE9()osPSH8EYZ1fIyR{Sl;7 zjZ>%oSUJ{sYO%>e4-e(GQ}#o@g{r5#Ie`|QArK$Wbq6GXA4W@Cn~dH8#}vZ zzpNp0U4%h(E^x(+0f10xD)?)6X~#6r?fsY#WWLDQsbxy`Gi0I836}+2kx11IeTE2R z2>X(7qMQ6Cgz?S!DcOq18v!T36QN4VhA&;z!#EztBmgm5aHwuuX&s>ktS@~9(#XMP zor0^%qU8tDnX)UitFW}sz9xxCW}zh>OZG){KvswCI|Yhc)QSjx>k`%*g1$|^O@R0T zx-^R_yF^O}z&$7>r+qA7QE}Xabkv&LK*V}#>mky-kvhB?E!?KmBoUQNzESs+2$v(? z2EznKiF!^TM0@qn0te<4fg_tR#uU7CG5d6(j1Nd32LygEw7*MK1*-w+5@f++rUMG#N2j+ zkF}3g8t)t8y$Ocpq4G(jd!bG=M_R{lMF7=S!f%qFuvIJCEwBrob%e%>*GJ6o>Nh{n zJBeOBUcm8*P4_=LS%K80I->9tjo_gYyR0_BOd$6(;%#fh4p2-&)G01vqPjE%a^G<7 z+Bc9uz7L0={d$)TMzC27+b+s?mBXBdoza7k2v5xLNe@5xpXkZ!$-ioWj?Dwnx?1N8 zF)>V^uqlU}LaRdYhIN6)Fkg98#Z7C!Z+{I{aONcAF7K6VKAAc7Z3tfk+xBciNd@ep zvkezD&6LvSdG5SxQ#$lIw5ha3^F2Hyy|Ca|E$w0_wsutaBsSEJ35-PsR^!8)IGO#q z;8qUdIoXxv>d&<}r!PSOA<|3~N(Jb0As$)ai7xPxx8W?p4{sk~ zG+M{9h_QICw@axOQqn5mjt#@m%GvEHBAc*v9v9264j=k5DsNb6N-u%l+k?|f&4iam1t&$lqo8VTjc99-cplbm=|Ri^EH#)BiJG+ z5X|#U8A$~BQ_>NaF0}B}L(g3qQX5ewI~F3pEJ~z`xz_likp&lZsTW*a=HA=Z%Z5@3 z0@t>;P59io0wny=Pw6U^L_aJS#oeZ)8zkiRho=c4!qzC^1X$mapYI5RY%J^YIyef_ zC7bPK=<*`+$|ZkHBQ;3W1;a_Wk(e@3DLg562AbwJGARUfshb2_xwbHsK}Z9g#PxK6 z63c1Y>;lujBS4BJ0u7ZRSD)xALRFcR?zTG1MmQ2xLYzjxeJO*`K%leWwspyn93B!= zLUaP{Oe+@4?uM%LKb{U6MF_SzsN-r!P0OgHp7E#MeB6hY$=x#Gnh*jLdF&(JB>Xe z%s)=^qN%9i62Ea~3BXVg`h;uN-o`S&P*jcN&PY#RnGnjwH1eI;GLE6u3>-cqAo`cA z8TFtylv01@+_8#ydDk+S4d0ie-#Un`W6ur&vSbI_E%`y`M1<azlb+$L_EfYgys(0BmWG1UjN|y(%7dz8=$7!vtTJezH#dtq)|}+<3?mtFTyptk z-xiq%B7AZib1o>3CKij^3OhNIDB0T(i3;rVV~zm>3;>B6Hw_OwhbNDtv=Kb?4Msf^ zjD`->Nrr+U*twF@Qu0~4N~~#X6^Ikl47-8`6K*!!9^mLFXvFh>U*&c+%u?UR#O#6 z47Q8#8WIY!@K&3UmdC_%F%iePE8T&rhu={ zYegm49E?3jc@)8wX30Tw!pWRyE^bIQ%0$GV#ZPI*r!q2x3v$Yu7T<&96fB0>AO2G= zEbYt?q0yL>1-yT1UD}8`#St&J&md z%1J3S8XrfgM2u~XGH`1BV`nh#&??|a-O8B;v1l7faf@od0F0JU9Vxk3@00NyLTLLqqxsVJE`^+mnMcic({6je?k^d<8(4%t6;g zV(EY;;%y;310YP!|LR}pLn|$cho;r<*p8$bdbVsekc$>=S)3DlkRPP7gSYow`Cf=@ zqOPApl zl2USQr4sLVkw`ry(Fy2R{9jzo>aGnJ6XV$+N8%flY~()ymG~$ zk%3SEdFc>c%b?=zTs5a;=?5o_s1si9<)ZDNgJt&E<1p+LQ~;P`*i)%H8TpDkK$C8cli>%ND`?RE~g0)d~Yq94+%O|OS|p=p!>3slaT2R%D3mFlCSy=!(Z7@3m;4cEDdM{#jaovr$##B0Afr&R=n6-e zn<4a>VoVkqyvQ%R#5G|+@LVi8OkrA_J6GUKHzh?b@hcS!vWSF~h0kp~nls1us1|Jj zX-g0%hMfoR{jStaam;x2UXdrqQjci+8OtGE4atmxIS|CQEEhjdor3UU{;@0#a^kAh z_m~lsq`M__*2zw?D^KFrx=2`<`pXFUGRlP|(vr}kif?Nl(h->7bmWeS=wgXbCUu-> zWuoy)+PI});keD^8?+F*;;4|}##sGE0UQZxM@n-3rUD(2ou_V=^&@3^!$?tE~+$p`%R5! zw17&8;t1xdBZ>q)@_a+D;zI&{?s(!sf*wVuGFj`g*5ycS4NC+r-npvSq=3E26+gB~ zz?GC;h?+dBE^y!(l$wNUYA%PL9Z4ax*J8jHkVsN|uaAqMAaX8ZQK9V0xD3dn8o4yr!S9Rs54^~;G~k+}w>@-juHy*f-by7k-a83~(6G9r$N zjrU;b>F~;QyE9vi>d(ff?5Sjz7xOTkKgZ#8JV_dt@78g1J_9k0?KD;8B3-fng9pq< z{j_rwc_}$DyIC!o6HnL&KBeTdk@<=9eJS(iC~-8L`Ey5o-)5)ac0y1oPWML62Djgd zZ!oW_uBYdQCjkwP;n)2s*bWQl{F((dDEmhq=5gP`W8wFB#^&jbsm;+$hUij3X!@O*l6V{$r; zEPj8cW@XFxse=;+xB82={Tlj#{B6vZt;Jy5?&!s#Lx<;{^(OB*3KaGbSf5+UZ+#ov<+WMZ`;zHrmZXLn`{e19Cj$1;9d5$kQT2w(n{gM*m;rjf4=J@{es$+IT% z)p?zY)93^mH6>KWd3y|t)LAG3^)ilUiKcv5TXED|TPmEPBPw?8SA(xCh;EN`Dh`JT z=yaUK5xDK9nr_f}HhgH3um3NOY|wk7X{brqc%Jn%@;B~~o5iH{+xX()?t-#ewF)&J zN{bi#{Y=F=Z1oT?fm4f(2$=SvR~s2nex&DOfkLeY;4Z>-a{y#x+hh1+Ro+!Fi-D!@{u%`ef=jt9@$1V=$_q9 zQH#Dm{plF_67-;5-uCcNyH!|^*jbzvsaGCsr4gDMhSwJn-){a5?ME6^@4%DpnBE-C z=W2&{Z_LjywbiWWXT~CkyLT7l;=}!4yp_xj9zFdcr{Z*9epz3DFE3vG$-8$Ylj}d? z2N=fs)4y+E)%`nn77MoG)OTj-%tF6^=XAlVvQEbj3h$NIS0gK$pw>*MlJF}-wbw@J z+I^NT^;J(=t^bX0oHbDCLkzzxPY;rP{s}e(eAjnPCQZYdCY>+fE5$<(O%Eja4?h%L zO)7C3r+a*IqL)!0_`pj)K8|cHC|_c?k`)(vz5ND^vd5uD_+2eCO=ag|2RVDE+}xPX z6fa9lEK|NX>H30m7cTZbVgRhV_EOXY@$$8ODjPO7^YKs;d{32se59jKSPC6LPQ3UU z+nWgyULU3fxx@C=<}O645%Y9I;+$uLUsZ2r->TcMgJlno>buFQ$v_)=uu(WTMeuh| z!M4Y*&T7Cm%s0;)8#)k%Npt<|+lvKVxIcT1GOgd&{3LYxeJL89FXkH9eyO+&!~6_5 zwQisuFkp$?p?`h90p9QXJ~cw}2d9?)*?(jO-$&Dqkd@C|zMKWW@;wjBBGDD@yLnTU zq-gd_tlYczjNq%Qr7Xd>AnL0pcQ-jLR z<;58g*~$hB!;3m}6T!2+K|W_pDA%YvJCz8>TF^<2>RM-mNbo#fZ7T%a>GXU88)*I_Ykmlc+#Q|=$nRSB#@T}O-u`uE zy0m$6J1%IQD#hrCu#e!K4PIT8!hzTGv;aPQjOL^2u{n^94^xbEO0BvZxu*z}+#JFp z>hw7zMrotj>k3r$s7fZmkEtR%51)}=_8uG8^2@e&qwxckl{FspEO>ZebD0A!JD0fP zHcFM1oh$eC{nI=6R_+ree!Kzaq1<>Q&8I8X-vRRp4N>;BLK%X^tph~H zT`7|#LBtd>n{@Gu(jIw4f?r#UJRV`8?svZQq&JAPdoYc_D;Rayyu3kH$Z@I?OLb*x zAj9DjolP@D6&|@VR-EP{S6~j6*Hu2SdE=#~L3H*yQp1*aJU82=OXE80izTuf_g!=R z(Ct5wsbI~;liA`%_Uuk0*7^Jd-47nl%Rgg!#>74(mw+n-ZnhQaQplK(C;@E+FUj|FjH6C2Mxf}qHIbI+Z9d-+ zFH5E=Bm^1}YWd`6Vr;k6+qMo`%G@d$MKGJBvSexFj4R*`HXcjzE?>($XdMYe0_30v z_1<76Jd9o9T9pcee}k9ciTDIotF2 z_xl81W@H|bU5wH)Hl=0tWDLm7kdvIcurEg8HLh_kw)75!LsrVsjRwEh)0Qt9ru|GA zHa}tW?H=~X!vOsu%+PeK)?PjWC`bfHWFJpbq-xYs5N-mpSh}K3yc#gI&F##6D+1o1 zOy|V4_=cK=F!4x?bDspvm31f3Lv(n{XBjz^xI?KZKD)T|v*fxMyacPrD1%|m2?Rxw z3Q^$PC1V!YVxNPupSUgyP3qAwGn-{!v=uir%>K@Q3e6l7B)?3hl3B0YK5nF9=x zfH)~srS&Z-M?GJ`Re;9>BgG-o#_41H71T=c@KoEpVY?m^t-_0SeP#&!x#hz2$jE|v zmr1j=<%3uPF^@M=0&-;+ThH+*OV4()^VZAPN^5!F7sp(a9gVX0s-o1eM5*#ioA*h0 zsk)jx2q|K5a5Ya0sQLSNK})hrxoz?=#n5Roe78KsW`p%5Fy-sI^|rw zc0>xxJ)FRt9}Dr+TsY5OFweY5gA+ft=4OZI3h<~w9^J0RZ}XjR3!=Jh+16TtAl6bK zL(16*xH3ZppMVSzu2muNqV#WeCwDO+DtM}i;}MA2EBVey4v5F!JTUu}uWxR?_@d=A zWcFUPym@ACZ#>SMXx_@3Xm*a?Dn6mJV~&p2*-V3<@<}u=v*mG^w#?N&#__U=+)hPhRmzSkFtqIXX|{BjVqi))BBZA$?s)e z-ui<<6qPT(&3QKXZ@)c`9i58vcA zn`rJK_hM0xTR&F0lg%7DUk>ZUA>+t#K5ywbju<4&M#Vo76fSav*0Ul0Y` z)c9Y1)$I5PQ~oOJ%#V1nP5kI6j*ocXoh)uMASv|=W%Y%?gO%;7+;iTi=+>7m$XKXO z5m`+T6VxbQKBVih;yl%hc=VxohDU#$XPWnnx@>f|yQz|+x~leS%h%b3E+ zHjTNbZ!|Abk)wJeEwp{1%snu$2Oq3jM#n)8c>)9vEFB=q%%bh>qMqZqj|4CCOhJ&w zmCMZWt&C6Hk0a$syM?}I2t{7a+%M`6lhKsXKsymv^zRyW2TT@EidqdDvj=2V=ZSiA76S@l) zFC~=aLxx|-I}9mW;}=D7C~)Ru&fB8AWG;|-oBkc54Ud&8XCHo6$<%lWA&-k36cS8W z;;t>H_Q6X0wU=CnoDsQOnSa}41&QYv+&X8}W~x#WYfZ_uO*opKs$0s`L6hsNn236j z7gqvqL+|6s7_oEeaW3n?6@f_W=6{PwQc!$9EJ|M8*-SW>2A~j0U4t5(^Q%?T|7MEjYKxiFVmzh>&0M zGbBM8#oBQ^%IjRv!5{$oy>&uTIw07sH=fn#26EITlFCZau zW*tr(7r~R%$K=eJY(okBpoz;RqVdTn-gCkp8c(;fl9mBNf}Hw0@9p%?Eh?UL>6;LF zQ&pIK>>a7LLy_7|l}bJRvemKPjjnjkv$gb9TEmJyAG@Y@?X&II1%f2T4$M2Vr6ovo8dLvaJ*-K87!=RQDAx9^(S$+)$cL+p^I{+@tduQsG*R0w#|T2T`rm(@Mv6RTsLMoJd1Ek~J7dDfJ8;&= z_>EQL{&1Zl)wXZcHW*%Ih#sd)Fknw@MtWdvSMSnF%%Pb&R>4`A8g(;&`{wcByr1LH+vS^+Oz`DXiw(m(Tfdggj0j96!raJ?2;@hj8<8fR`!V~ zr|r|_&Ep1KVf$$Necq*;)BO)h#063MSrZ5Og|TmW4?{8$`4UarV!N8Y9{%FW97u`@ zS{ruOP;_OB!YSdPNF>=0=4VJ7UdAaU+3<+*=Ds@FYBsaH0|~nlFMHu6nR+*I*wIMuMGB54eZGg0EEgwh%Uc4YSJZm%)Ls*@w;l5XXP201GuW7w{cBEMmH zQN`?2(l}u&#}41gW)mKuj^W^ky2ce3@|6o8BLqb*@=N@3lsQj_uv$$SJVOaZ-o{!H zHKG*~rqFA7v27@!%1F8ml_k@PsvO9n+>f~wl05>$y{~LV`3}4&paXvVL2(%ctZmV9 zZHG>jU&0iD$y+$ZYVlo$Rx1IY&p8UHr=@t|0i@Q6nARecORCCYc8WsdIWd0nGr09g zSs?WzKvC5}2c1i!n5b-{E(s~{lTV0WvgA~WaP>d_JA&**l^`b}=2l=z9MnbXYQN1C zNR_Kr&T&C0T2O`vL48^oVW-;N&WUJ0CKpHT6Qt;MP;HYkOQq)s2!gsrHV?{BV%w<) z%t*CkrfJh$E67Eat?EV~Yb`m}=%|H&IhSl{HH%el;$vHKf$C&=)UBSNjVqp9IJxBs z6*41RlGC{(R1`xXu%Y}^AX8f^*I~vRwwqvb7`zb0ESKz%0=~FmO%UE=|C;RrP|tV3 zMT=$Rb1B#^2D4HcOK5?+fNs4Fd0MCDlWj^E}Wi%7i}Rh{HKU!j=k!k^?5ZGRi_ zkf~TScI9;%CiWK~k6UU`o7&Q|h3Bq+@P)~%MsA?x!#FC3=vuDg$ zi6q$~B}DtJC(P4~h-1jaGR+-ZM)$xf5QgVDewOiimIjDEQV#fHB12|d*QIsEd7z~d zB{VDO6m;LHG~FO;7_8~E;xA+%F{!;AJ#kzO7%_00>Z}-rr2{vSf$Yu<3qpw>7Kx8n zY7Hw7FrY^dY>Nt6b$F^*1@`twhOa~lwzm_LLM;=Fc<^#k+YtcjMZ|NOk&tu5J7N|G zT(sZkqDNmQ0(E|o-x4d)w-imJcuwW2Mxk#1`p0q`Gyo_xldp>1;6x)6a6NX(VkJe1 z>oMAv5*5iJ#g92EmRoD8Vo@oE2O(K0xPwC!p_51k+_Sm}WhbHo*mYYag0L{vA5vOo zb%lH?Y;3y^Q-cu64=rEt3UE&LJh{lT4O&bJrB{P|AO%XGBo~y~=7Ix-NrrES3K!8N zL|4Q$036>_$bS0-;+)Z>T96eV)*Jbr(FDkDNlcV8swndpiI(1RJi;L-1BoTM|C?=~ zk?+pzlmxskw=zkRCz+ZqIe<>{tqx*{N~nl{OJgenj2eUknuy`&KAz`SiZ5AliXkEf z}WCY)_+V+!a~Ilei%HJ(sKynQ|{fXthXSs_g6JOk~Jfp)%wG z&9<-9wxQLfaQRC)v79Q5If^Ptj+s5dukiD8-USw(I>*wz7a$HRq~%&iaFU{}*v76( zrMB#pBQ1&aDLo`yy8n-{_YacuIPW{3?s;eS-N9h_?qUHBpe5Kl7(hb^q6ZK}EhR|q zU9RXAkG>k|Xdi5=oZ*kKm>kPlsuYKR*ty>2ie4)?Wklf;#7Ex^WjaCb_y_Fh?szFD zHKb*k$@!{KNv^_6T$i<^B&v$8idCm7r&779`+WOdK(ghy+`IGkucx1W`st^i{_%Fd zUD5X(W?qjl?nGeMK$*LBs8-&4V2>gX>_r`X_o;dbP?WnCLV+cSKurC_vBdX;ggNhQ z&9O3@_+Z&xkgOdlH$e*4L!^Q`QvM9>6dn&_ zf#QH3jfz@g>o}VQh{QyG0chE(1KUi|VvmS0YNTY3hjPl78xoNw?#QvE#!VLBkAcOF z1cpH3pbXXT<~#w&rx_6$A~XCn0tZn2FP7G(9;e_AmNO7SOw>ar@=Ksi(GD{1ABa;5 zNBr~;{|lb;R^6F{sL65~A+lHoDeFiJXzKC3W81kXiueOAW$Z>+0NydITjV+UouKZW z2-zWfR8o@kt9$}5DvXdo5PvK;xG`V05vk>~40HjFi$w$il>DzVj7*VUGYG!&MV59c zkH4tKvyXNFC9gX$Jxxu;-ChbTPbhiu0|Y6CkTA(M4>GA$Y$BBkCMwj~h$s06!xWl1 zujHgi*@`?d8D+u|RZU`(+7|yA><$OA*tGwUPyjaT5wsXqk&VwvTca_BU_yWc*sfOd z5HwJ>!4?D1T3MI;ZW%Abf@MW#(WP}xtfmn9>4H@d1y7>^mvbk_r4 z7b^UxLp;T6LS(SyOO?7>Cl3O<=PMr;C>+|ecM(E|>`!Wkfe&Q|HGS3F0&DT-`y48T zHRWi&V~?Re*pa%zm-$@m&iCz!r7bG07vFR<+kZRszHGkl;<<7fANIfc*JJfNZ;XEL zVp%-XZWrg>Yw@+MQwJNv<@maFH+n1VUGw4bC42C;UoMCC*g+Z7J4ITu&rhrIWV6j? zuq{iJWIv6|3A>|06{~Vq+OLd~?GBBX>@oTEVVp?Ihgo$Hcaft=i-R%gu;1jm8{l}k z83H;cnGYQJiD@`a&s6rFeKkb_pGvs~;`H;W2r>Mxe~I#54Obiuzxs5^N2TWr6)W7*fafq+B!Hl zi^FT){)4^z7>wB1D}K|zdSblUjYAG9^d^sAW~J2M+O*USo83o8HtQk5m&)E2A{uS@ z#kfCA``gnv30zSJx0$-UGFwbNhK#$gHl(Nii7Z~`hBUS6;5W^unN8z})MJ~W+0E0q z)3z1Um+f_lv{DegjXw9w`H@TX&B^3;$_&HE32`D9pS(K(2xkF&%&5 zSkNbaemkZ5SkRcmL#J21cR(hs(^tNC02aT*e&6U@=PnNqC{S@3dluPHoe$9z#V^!{ zXv!^poR(I)zd@d~(5nXrGi>&_9R%LwELJnTj$3hL``+-@d$Jicl8Rla+flZK?Qz+9#9SCaK9bh-kvx+@S%q@ojEMNOBoIE+-zY>Dks+PWkvyZ%g3%zIlM}Cw| zd$IHz$HKs^JND0$JLcJEMNEZdxh7{W!zg-OkbPes?-meSPg~I_T5$=^8@W z3WEfeqIGWJ)|>0GY&~?$IAl~T{M@q#@2RXR?#(M)*;P zqescpQ1Ka>gI*sE_?;W93AYHUMZKN3*|irgaD@{is^;U52VHg($@P6+2<;&y9 zE2dJnILx&^{)sh2e$I}4lp|X+UfgmA(@r|Nz|A}}w!Riuo}U4I^ymeujdNJ1p8xiA z>XGgK8`Eiv-S`tW%yNQiFuh5Mipy8tdvi8x75&S>2=9D$Qzt>thHLf4(sF2h4lvqB#4~xOAyzeBdCDDxh~}I+gvIrJC`h?eSQyaS$!+jvgiK6DKUf2QRUe zSzeBDHrxK6VU2V2$U#g}1SdT%#BIp22TpF4RJ;O*oCx7U4ncV@GN`#+Sq;CiLh5nD zEibQ$Y{&Iao?0o(>Xk~yJ_FNg})dsPlKxM`DY~Y-d~NAZNqF05FrS?{caYxYC4@Bcw1b&4aID(?Uu({7K)I0!IoBtGDA1;ZAs#=?RshRuFlw55flUR{Op44LTVf%czx=-t~?mp}Iq-qi7@TXYz(q`fqn zU|TR!(Tv$zsbc!s1XlWE#{gDIdYRuq4Nc7J^{59}%dih{CZeN*gM=%ShU%ZhG_D0S zQ=W0-zdYMnpZ#B#Nic60xDAmE8EH%6gO6J{{MobA5;i~1K%t!FgMiH^L>~9sh*Db1 zRZ53Yy3E){E%9ph@{_n^(=qUucs;4YF_^^ebS^wtZOcY|26okRhECy}ovu{9OI~@H z`|xmx#J8Hg-YgL5LoZF!&O%G)6p{SGFG$}Wb#`s@D!C!c-qjDwgGBaCm7KRGc`&$q+~1JpWZ zNSX1CMo|ckVf=GIHJA(0E?5j_0!)MCAzh;z(B#({sJsgt`N=xIUF8-4<1Y-wgo5!T zP@NLJ8=O0RV)S_gyrF|{xw{vf>Kr0>_CjS8GMrVFq!4$)$wfh>0iXj ztSGAuj@)dk8fwNeCTLXM2q9hJ$0T#TfhS-Onj4KL3)0}NcrwZTI(c@n{HX?5~XYQSw7U`py?=X^T z#>i+>q;6VBD7xogw4`c`XaNw(BxS7Ql~k^7VS|>o!~s^EIGsH$?>3%`so;8 zU((U2MCzVY0(F+MBUz)%mjcjc0Z=TNn4d;y(wf&{3t(!XyzA;H3KFI42TU|7=0g{G zNM_|JPNU8SY6ax2GUyRuIG{bW+yyzaQpsB%$#FF}T00y4!=s4!Jjbc;4@Ukue zu6$tbPNkEHXkc;%QIW*?iZMUOkZv@C;RRzJv9_$X6L9EmB%H)+Hq9kKM-Z#_AVdxQ+I=fAF#aeX@SBF{F3{#ds^${UnEN0lQ;dk!t51tRN?ZsU%7S6Y$~}O$a%^8cEjh3c@6QMa&QZ zr`bQ?Tswf>al~RhV~my8@FEsp$H0PRk|L)ekID+Y+oNpzd@_~Pb(VJ;0!MNbeOOZ- z{Ucw)!?;K$C=>ZREj_6|js_*{0x87Gr#Q*8QNLDlKt;mm7^Ny6BIxNTayyd%t881L zQhSN;?n)Sw2b~tcn3a6b4;MXU^nkDj78^)#*Yl+X*2j!K*RDH9VZ2JFf z)A=R&gV`+8k}H6|xaKScOQzgMW7$?5L|J+JmAtGf$fA3h*}wV<8)eS$<&3e}(;PX8 z*gAp}HwIlDIeGhSvqw3&vitVMeAHxjcRe42d3kSror5c%v+GZD{N3IjK{#={aMuN_+uJKG5^_tUg>co8`N8bfD)> zN%Dc7ynMldp8ALqx8m6Dom+7{B|5O`8?Ah&&j0!MsDmlB7Z1PnaGE`YTo&PKBUvKCsD%yaSef{)DHz=%!Tu>>Jyr4nqs zO@gNw(X$=#si)Om`B3{V(b9QQw#LdbA-4{1ah$z24%mRn%X=d`z{al$5f-}vu`$2- zQntSTATDO#VQc$-%%A3>^nZd8itxw7@AL#>(Vt?{-MFA)-1Yrg9NM7tpE7?OQ_O&r z`p<6SpB?o3km^tF8jAk6|Doyr8_20PD%-FaRmz^Ns_$yp7+4gZUO};U$XL=wH;)3) zw$;QR8n$>8?t*FX6JcBOMT%HfO_I|!`(opPUeOc(`}uISC+%=4@QTxV%htb&h|>L$ zDK8%i>xE_Oe%NnWbW7lI8*bRxY}x#y&2*kY@A=B6e%Q3vTDD4-F(eu?d1CL_775DY z$(Yc;%Ui6uhyA#VYA-cLL9s5|&+>gJHkB;j+Fn+cI_2)+lFPkGaQM6$wUjWn7DDVYsIv{ANuzB6zrLF$%^C!7`yfvS`zQ| zQ_uE)tn>eo%<-%Akcqhw$ro}&4wN@CbtA8%azy?{A~G-s;2DTtK?=7jD1k6)_mO(c~e_SyI6~fxhW)* z28@)`cpZqxc0x?#w5$-jd3gBAC*Ha6(T^KsC;n5_!%e_UU?zvUN=X+r$1h~eIR2=e zA>F0CQ3IY2-;C3rK{(V#$13Qn3bxjtsROtIt2mvR*T0i=yg@dAfM z>#EK{P}OI-51({)3>g{SCt+l;4N%16}&iN1IM~~GFxZ`w^jBdz%jqq9|IQl zS}y&Vs6LgHEb>yPX!uVJRRLhjm#Vt1>68wJ8$!s};mV&-U>ALNM7lu}#TN+O;zv1; z6-#Q3$0)g@6RZG{>dN(cT{^NLV2hcS6%mj%pprSDgFwkQx!De-3b`b2kia(Bcc5E+ zIM1Mu0|EoBYLo!!iHV`jz(G+V5>|RJdelabIts~0@kC_YF{Pv>QcnYy4#TeI$~yE! z_6Wv9cdo7K*Y7t=X;{sZP?T%1oGle7RH%gE4IMZ zOc@+`7sJSdu~N`8oY+DH3m4h10XH(;#Q@voXb{rm0?VJ}h$j_kw6MNvSm9H=av@j~p`?J1W?70#;;AG_2b=sJS{Lq=9t%{{*_O&U> zPM#~HnnG~&$cyl5i#9_?cAU1+Tw1hr+`;Y>wgpRB5CTwp4`@E9YHGgtx-p!rnVFiQ zTl8%QJ_BTN2S(dDj>vF(RgV{(2W4wQktFcskE3cwL>9(}>ZU3?Z@p|UL8tWq3?aAJ z4Y*>SGEDu<2RChn1j#ku9iJ9ijJ#U4yh@V@&N~swe#?{lM%9_XewbVO+e$M2F@&s7 z`symwNktb|Z7EU(d`c7cTxNZY26Ua#Y;LV2iPB3;k%fV{8X>ofo`pw{Qf>B%sz)jo zGSPq59L_J5jm;%0fg+B?g}yXVe1schU{?TGn_FYS*P$P!{GGt~gXF7}9_qjmswHy) zcCG2ma<7{Vvl#rRd+^PEMk^lVDUQ$p4CRH1RC3{+{{SqbUK&UCpw2PO&q&kgqiNJf zPdI-(R;xuqeb_Wi%-=$U<3JmR--gsnZcsRpJlRN=%G8aFdR!WbORBF8^aE{)Wut2R z1?nOZJTxkaSe$(eiOwv%0ufcQG)#zcwa>-?Vf!j=;BWpsfLox*N>eyh^h2Z2A2JF8 zuE_tZa?dgh0qdjSG<^>KoPeV!x7zRy5*ToT`1;5H0DlMsTs7%z92!_-3N~s)((+L}c?pggV_XcVD23cL9N!LPMhnS?RHzMJ(ljqB znOXppsC8Q^-jWr_M>a9p#ucP&5F$GhBhMTKRFEuTv|)@=)RZfd;T>2wGMo*x){g9N zFcttC$uUxHG7j}sdl~_9Mm$hR5-{NQlW=0%i4P`^m&{_4Q)Z$^l0*~A4-?kS zE@hVjA+Ubdo%+GYekqX-Dhorz#jlnN0fQJAsg zAtmW-JXAmn)UqWK7;qO+4h80j!CkuU0A})=T7?7a9d%ZxAw|HYg$f18#QegAT_dl zHxbaO&X!nhfYURV8Q07FF!X&giC?_(b7Zs$%qD~Mc$lJ3jGA1iX$bNR71Za4k$T`i zXloLN?Mgm}H}S07NFpmkI^y}4mm1X2Api&`)xbuA$qm*T0zug^gr=!IL3lj;1@=GT z#C&~d+xx=hPy=II75yQnN~!_XfjSVNMP)3e4mvrvibyjEFv<%v_!kOsjQoJT&M%>$ zr=UwVjRAj%YFuhgLlO?7ia?MH7NfJL#7&Ao2$!oQ#b6vw1l5|N z%Z0^c`=xMtRnI#YO?yrb=ELCyT+y z3@g-`s$yvcEH=SKIiX2Rs*?~Kl27QIQh$1B><&G(2 zch0kR`Z1+lr;YyC#1Kwh)u;o$NSNs1k3FXK*rre+1;SJh{@2yO^dR@KOJl+Uzl@7# zu10KR9yuk7#My|KK`t0fwi}`@ih;^XhG9Mc<#7T(MI9@03?4-~et0o1QWV^umM3;5>O;x+d0xD zSu_L!GwVW~3=1KbQ3c0TlWBYShXnrfZ zD*kgyWfb{=g+>Y$IS=fi!tgQ$3i?2Sc64WKfffXYaL7=;3SH1pGG~ZT@+_*(Wx*JL zViRP9ny{899svPBe^gs z&wK_Axr1^GnW7x4)Ii&~^tS3XR)K$GLCWOhx%gIhZpQb3ViHOc(ydG^Nckg|95>#o z$;`AB0RR_(B&s%YPz}_=JJ|&pG}H)Ow}|18_$1uQI6Ar6e1 z@?xMU);We85d(n(Wy}xVOzvtX;7~3jes@sFkBKo`TRf%sbN@ght;qy6NP&?fR>|EH zMfDgyz+8xj2#8le4EP68#ED$?OGK8^v<0?A z6iW9ouXhyy@(~Z7k)LQ{6&Q6}8V6{$T2{)0^T()abMntz7hY)VZ=XwmnCFKAt1}cK=Lqm#GJ2xx@nq&BW z0h5Y{DO3`YrM{cDpZUuc8sTy3;IrlD$`MpNzA}z0W%X0OjAKOdQ8xtXV0l65nHTQf4Vu0OSTx6 zHp{B&@mgb}8h6LlU#Ns)5USOU^RYd)@!IAi1G{h%$k^UmjvTDF?ZHy)uuu9|9~dS0 zyja-uQx;AJiPql zlh^59_8zXT4L?~HT?$)Xuij#n%%MaBB_IGL>ihv4Jm1`9`DFrnQZiZ6IuixYyXSF}H{c3-Fqo_7~Iw)r$ zS-kwk@xN&D)rEMW@GlnKK;P)?@yj0m`^`<rIXjI}I7zE3SY8T^QMnmuG=r*o zhhC~!3e}iXH>1#KGpgCT=*FSxMxFI)eGo^fOiwLu@e0Zf(L*C9lB`m(DsEegRM^F* zXw($@(;F;3lrO~I$a-;HRjb?O#b_tX^(W?s8?nom)k9om#`2FsBR!Y4k(l|aG5sm~ z^x)H*)#6S2ybZ!*_MN{S?Ws_m9G3^{{qo5#*>#(Y?Vru8o6^12baU}!c(-_`I!nL% zx+UG9d-3_|Rl8m64?|?GF*TTvKu#++AO4a5B43j#&s6J3^&>Z2TKZyjEAnDXTZ*T% zE?4?o+L+ibi+ojk;!~=i>#Kh9ift^9e4zdwV$jp$%TIwN)9|HmW2qBwnBVPQ+IsG9 z_;+X3i~g~<&<4c{$6v5rw=1?5?EHw>b4~17VzY)mr_MTBn_4z!3+47OrNpf`A<&a7 zt-LxVHMg}~9gics9ZzAcTv6F9h zVGqld@fdVYc5J#cq9xee``2C^3ESMmYqPh&vdp0XHuSgiojN*-!+{>{((XJvW|VQ9 zk{sZ_f93MG4?;n|-@QHKu*%sFul@TOSkA7k4H5%`{zdkmu9IpR8*Cgkpg^puhjHNO z1*aYk0wvPjI+TnXdSvl?pX!OJve@c72 zaR?iBjm7)(Yir+`+5d2zcNV8lZ2kgNH9mHPy^>?ID=W{v7}+&EfAYEK-kP=j=2`Uz zWm&TE+OcnE9H!IV#H~0Bp|Z5H@;uGKaY@Svy}{i&HrnD-V$DJMotrThtsi-CFd#J> z@~btsZuab>A!xtTv#kK#&F1S5+OU!CKYHbI9DCnv_CFiP@Is$8i>=m2&g$S*t97=2 zBV+mehr^rct$BOq`SHQd&fMJ3KRZ5nX{TF!_n9#@P^~;afMs^<{%?O` zL6d#Z@BH3uX71s&1CBMAeYCtu$x3^QyLEPEN9IFdUpxZa?}s6;){EC=@3t5EMS}0` zrSCp-aKP;{r@#`au5;h{PMZDwKS#wbSI>{wLd3l{bihQN{scl7o6VE+&pkIwo*nCX z<_PCQW_%cG1Ag;pQ6I@EE?khLHwzBW7}8j6fvs7TgXw`7|B;Uj7*Nst>-(*-t(? z9*glmNd@fe9B+2|h^TMQefAe_Ll>IvmW@ONT)F!NyT>l2pAuP^Vj42jc_yZd+T#77OQZzBWsL`IBl!&Usn5Y?$Hy_m7G&v&L5e-aygJUa=t}1O5c{EMMkZ6en`TiI5}T4p7(SzEDeXMX8f(G7{A%i859TqUaWEM>S!DsT-=I0j9a7v zN}@RPp)gQ#jyeWO({cf0F(;m7lCp!eVj57fvVtP?CmjQb=&TM$^~@pgz<=P(D7P1c zDeWY_^Fpod>@=I5mGN!aWuMHf+5GJ1Lqbvc;`Njuxr-3Y=H{0B`=uCv-+e4iQZio2 zlK0W()hARE??+s*CDDmgw%PlRTpiD#VSb)%-3i8b-+fm!&4a^;FV9mUU}v^OZ^eNO zo6RWDT>o4(Gck?^WxiY}_QDih-`@PL^5Cp*OtQ~Oq@FG)^0m(6&%>%lNn;?PePUs4 zpu%2W9tULvnP6fUg>;EF9S%ZBiH2DQ5Our#3yQz*I0F!q#9yU`o5iYo4gvD^!4D3U z+QLu=5q$eR8z<>o7q&teQ;nTx9w)NVX>C^#2S;l~j=}CJSZH{&gV}U!@N|~Q#a-0;ybK3km3ME6jvhON+wUbv z<6MV&S2RKh3_4Em#xDR}j-L}PNc=l#rbBsKH!c2WKY^-2=OKj+c&7UHzH>o!;&hG= zm58j?63@s;@oh4qB_w1LzlXdFeT6rVayd&tNi1$%rQI~$>bv_iNLx8tOZ=tYkoKZ; z72De|zQt8QL5zI-8S-?k&ea#kV7c$UP7z`*JhIuO`H4ewnEeS3mfn-FG**fkzEl)6PIq}yNyl5oK#g=I zKXvSy**9>bucl&rnkA#StH}h#-Xbhym%}+V1QjUm?{BgUMc%6B|>$ke&nTVb7 z(-l(`iJOS63Cw!1k2xPn(~oAAy%;c@@<`<&}|E=GoK{1!N}~<12je zxT=+y%nU*(mEu{n8Sm^IX^-RN0NRY^JHu|pF7GV7YySRkN#d=OFvwAjp6pwG_R15SB&|sNA-~MTuEh=UtdCAydJdrR3SzYOJC=1Nw z1V#&i2NEw{BsozjJbg+?J~NOIVt}=lLo-2&#Q|alJIEdjq8zy&6U_1Mt61cQ?w%R1 zrpql0fa+8OScuBZXxATTvtxd=1}zGQB}dvYBMD1Mp~2FEByd^r`|si0+kM{8r|u_b+C~gMi^OqTd1s9CqZD2<&Aj(US5)A3;#& znrwCiu4v)M6vZ^j?iBR0xHx}>qbTZk^G~yr4C0OK$)!N!WJkuVY_}i_sq7LD6vf4+ zf*5vU<4ERlQB5KY8I@gZj)Q!_WP{{DI75-ch7%6MS+N1i`*AhJUQ%mQF0r1y^M+}R zf9SV_HP>s6#l|FS1f&t@4lk0hIbEhL2xJ}$LBV)DG8_v^2)v8sEc+1_pwOzbs1lVX zt)Uk?x3T?L*IGaf?_dL1kaVDZ)wB?RGR=lD6=RPXSonI$EGfXGVzY@!CDL>ZZ=x&2 zvMEk1Bt2l!Y6$+PUy>8;9b|R#Q5^QVWL@G}@~0Gx^#((%!(7R2I8R4@^ueKwDLONVEQ&eLaPuXG#v5KXt#@t3cEeQj`v zrM^Sv_YPBETg?Z0uI;U^a{OhxxTfPk2M3+v%+uK}A97`+eT}ona77 zzw`A`GszjeFUKK6e@3Z1{E6z7px;@>B^KZIqx)tYle|K&A|5&%j zB9W(hd(>E?1zFg>S+{{^5vn~^6V0Tg$rd)>WX`RvZ8*sSm-papwTGcYv-Ir2FUwWeDxO3VSJ{`jPRDWPOjWEtWt_z-yN^V^ z@kUD92-PEwSr2Y73@x!MIV~cIt55Flj=273-OLWfWCl`E?V zrMYS?stq@X^ljGNEA%-KX{W&0)gnDA4QH&L6`NA3VV0&N;E}?DVLUSo_fJJO1A9sX z4ZA4Dm}5h*T?5rv*cd2nR}9OvM?V#v>1uz_;s(A(xFmnyY}f9LNCX`zRV z8b?+P+4qBwq_*;(G{nlNk;Ux#V-NMm>5qkF@Aq5d561pbXt*1Dm(;rOsKj@1;==r1WA4*6){85PS`_f(J#P0|G5!Vldi^C887AG+xS}aocHV^CFawx+l z>$fQQy#KJg#8C~jR}n5UDmJ5D!^GS)qh&gG(Y_e$Vi3ny3)kbtO@3(+NfWBg57Q$B zmYWX*ejM^v8``hh_c5ZtX%b9)+&8FlM zTrsFtJIx6T&zx0!fCT#l!)^F-%)&-$Mn~g@0CP(Ts)*!eo&#co&rE0x7;Mqmx+P;( z=+VWpTLgzwIA{XVEb-$=b1M$PnJQ?>y9oO2wDd3kowkn3#F#D8RG}W^NPY?DqRBdj z2r<4d!1wrt)PoY6AD1U!LV%~V9k@uo{MgL`!wD7=@&wPh++q2}o`oiXovm7TL3Iha zG8+&moSSUmIz`?%qsYZj-+5Qd6vWe9IMZ&&vEqbHwMA;BP2!zQPRit$edj@MrOx}~ zgwpjzT0fN_H@SyMYDcmp>mkN;cn-_G{`zhXHD|XYN>;jIdD3sK!n&?6wuQCRXQ2LxI1rG9haXfTH#mqyw zIEJzU&(^#PQ+N=>2Y#-+;6hjRytzoJ9D$z{lf3T5Alpza2i3x?TxBfCI=ZTDUb>mM z6lgo_($%0GJ?N~yp2M857#g0ZBReuW1}>}$&J&Z0idP;~ zCEQ~b$b+qjxJFsxEs8%Go&AAK!aRCrr=(SZ&-MbNbDA+uZ;2;tUUqvP7Bel`fVN^1 z_FO<&TrJ`{Jss>RdLm{Y`keo7;r-%9vOQMHL|9NGKNM`fPk{Ia*DjlZsPb$Xob@>* z%+)$%UA_#KfMVFEOLGv&^n+c93-mVLmWCn~wE##Hzo!8Nu$1VlRb{j4=c%}=poiuK zB$yxvK2^}hx`eU|%rmhmm{p7yAf#;JUCLq^#9h9Esl0aO%321{B3UAepuhAp#(6Ii z6NtVZsGWC_QoQI`hRL`Bzq);B>Qy$O1Fs$qCFpo}*_0w&1keqO)Wg+Gb&anVl#o_D z1GunQ-%b;y-;Sf<8zgsNfO9+A35 z?#S)grHsZ?F&&5wBhu@Z zBx-{ap-Xn#NZ^0?D|~Gwbx7!JRdNBtD`vA5mogEw3q`v@6ty5UZ+rOVrZx7gm_zUi z+o#&+3(dgc0cp?J|AELeg<9^?zA}9ftP*8z4OIVzoHj( z9cK^hdACXh1nbUy0gBF~`CZpWw5z5raRc7*4tSvoo932Ti$)3EqIr8%3-eHlxwB67 z_8n{4rC;;Qm1~ucBVHUSEE-W=t1X>y)Nsmphm2FCgi`pWwpq zs@+Q?Vp}Q~Yb{BPm$nK2;CI=C(~X;6V-Zvv#DI-&$WY)F^FKMSg@G2q`#m%+wT}`q zKef-m7#2!s-u|X)XlJz(e6bT^^*{s~^BFf}IB#DL(B#L}GX!xx&9ZF_t-VM+jI347 zxoSN`$XNG==0S6Yt?D@U5kXruKY85d)Q;GPb+LTXfZIp5`u0ls<)OQ1Zi<*p{C*L$ zCNS3`%abi>|+7IleJS#nc+<7!!n^J_OMHLOGRI zv;pr+i0d)NN>bZ5sgzj0>_fcOWsA$lp0^E}&yrJv;NTEB=p_(XDLU@MA7slQ-wHJ` zOAbeyK~khFsc>8V+IC5FcC&57>|0f`hc+6D=s4RRkBbp zfl5%OzFG8Cp~~k=t3dG6v=EX9OnKdzAd3V z#N8C}WKgiQ3Zp-zDi^;Kw&_?3W*59c4uWWJ$wDGe{8*qF;c`B@oNeWTno2||2!W34 z3r2rw{-7Ws3juaqL7mUxDZsDx;n3XK4R zf$<9eWLy(NL_-;>j53+ohV=9}qF`){sRKz^wQ^r6N>_6zn=gftlwpT}OGuUl92H|c zMg)YX7GD2lsO5AzaRJq{5(3>V;rQrawD+V{ZIi&|z=N*Nf!zy%u`BY9&N7OSuTzH+2#S*~vf=&A`2 z@@fOVY75?F9~n|}MC}$6n!BY0V1P_Nk87X#pA0LOY8&DK2%R1z=ZR67)Ffs4jB+ew z7ejd9VW;Yg#DZreO%F+DjULNY2BebHFLDAQA!C`z8sjAXGNwR%=GGQT0||Z7;F87x zf)Nx?P=JBpx;+&?au%4HQMq9$K~^;cXIQ~Q0r^UQ$Q+XW6-0?Z;0X?jK;!W2DUeqz z00y#(0Fk{0Hc`zSmU+}c-FR>1v=SYatw`tS-)%c)&yRcWZ=9uGYe&f z@&W-^#eYJi5EVkNtWllnC*Y#T?TJ(dmqmz(9O|rQO$-|Xk5;Bd8{rI95>ZG!LN8fL z_yoWb0)c%-34sRiz|tz=;GgJ0p|co=9F()f#oQ(^LNoBK6e4A@po9bIk-GBLvM{Lw zrIHyshk&RN8g>cy`cKHr4h~9+BnbSlO^2m<4Mzo)f3F0T#5vqa6!}i>X*{`Q$qb3q z&f7Gzh+H%n$WNQPpnQcV1)MT?65Mt6U!A}wR8GvLksU!}&Ew#RyuwthY>SkXx?qG65M=e;u$DdC^rVi4sqF2Yz+(tl;bypK*k(bbiNQ>|*lmeJN-}E! zltb7fKt^<={O{*!>`#NccvM(=+aOvD)CgKE?K$}!%ms%oX;L6xg#<_eM$1_V?RXP3 ziBOkQR*WnKSAjhf)(8_^0AO``2qWf0>BG+u9fD4|?e^BCiJHDppn};QPnV7=I+*Auy2x3sMQoKb+QU(Ui znG9(krg@Z6avsP;_{kQF7pcIA2B_L6*b>3>On(v}bpaF#n21xV%p++Lb@0nfw1zp{ zae_qIi<2%SB@O)Q%oZ8&=Cle($}5K_*)kJ7V2DWV=L%rer49oP`P8^3q?M_)UkdV0 zrvJ`tl=aqOp@Oi;K>wk(6WRDRtsrwIR_!8(+kD{#^MqN2Sr?{N%u({)a)5~KPHBv}jI>rzWFC_#^k(KOis1Zy9cO!)_p0;E{NNpHCBix> z${o;A5-l@M&0ory1trOpCmfjaPbxPbaFLO6Z#gZGzDtnDM$t(UWcVrHU zz$@5c+ZhY|q0y{&y@t}tkwAJ_AW67cPC|)2eZ8KW5dd9v-u1{J6AL)zobpm~;*$bu zvuG!@oQGlWMvj-0KUC$+)Gs>ZUIf)fd4ebc$_QtdB;y>xa;hStV#I_b5)X;-tZmln zxe6+619XAkB1Jw1Zk&RK3N6Y)bGfMAp_j=~q!yX@uywOg)}YTF68$~51Cl0-kiY<> z8iYbEmsKe$4u&933gJg%2SVi(YQCX_btstWPG_e9iHr*(Bnj^DPoXk_uv)wPFF%F1 zbP&ZqYD0Xi*L0~vmvUbQI!zW?jraUW3MlLlqMWRdRQH^X;K6z{orS{`7Wn~cGqbpd zEqBOq5f`tk2!B8>naJ-Y>qpSHa7vOWTRt&>jbW)6X21oZktu`5q3XjV>{_`(eZb`U zD;NYF4kAQ~qFCUrb6>`*wdyRbs6Y#MI83>Q$PIpW@Fkw=Wpf9dHHh>`Vv!+Pa7B`) zS@pOp^-ni48h~?|ojAmynMC!dv!@W=$TLNYP-XHxm+D-mP_-ztCIg1 zEarp0fYEio5B+Y(PFmaDW5>h%(B}6~pcMI-mh1UpdAt=U*_aPuojn2KvcXpQKAi1Y z+!!uyvH<$ls8{VQhDBZyBJWRC_Z%Gia&TcOj9sIDhSvy-V|t*UFBdP_+`Ip9Ylp_+_g0tk+T?sVIbW?N)^qv7eK_W- zOV?c4+i6t2U$s*O)$odawd>u@qOtE%_oczosWhZc!tPh>1NOJ*|En|h6=d$qHfZmV z5~*$fM(S0sSG}a=qayje_HH4SX}|e3|FK`RPtozs7cjdzX=E*pbDU+fdA@v^<~3fe zny=Wg@i z$K5NdH|BT!$=8+|Z~jl{kKf=cRIe3ZDPQU?S$}uF8tyXYdEi&v&eFsczxI`|)?8)( z1WUl7O6Q^W7K@sUGJn%gVZ2ZNmC_#C4Jmy5GqmuAc1W`x#qk$xc8K1!^G>){YiXF0 zu0&wOlsNwK;INu_KH|(#oWMOcD=V*0vFGkvJ{SkrIJ4CK{aI+vy}uu3kz+88wQBYj zeR^xiw*7V2dhm1G!gdcwai)oly%(N}$Z_Mu%C`>^-;G~c0a>OcrC@XSeDuwIXlgVb zUQ0X3W8S5;GrzDf9MboSmSITl_|Xd?n0x8ol`CJHPN8Mxx26ZFXfzfl2{knL{*S+j zZF3*FvKG)et#cn923tRxQ?vPQ;R;x=k6z$Jh`n@Vg}Z7f5%zQ%ipG(X-Rr{gqYtmW znX#>{-2_|f+{NL|9aeW9T)U-`2-_6@(Q71D>J?H$F-Mia;(KMemjbEQ*o$a??#C(9 z{r4`z`9|Z|`dZhPnK8SKZn(0cYG+#!?Z=6>$y4&HN2 zW1juV$$KxQ`#NuwanxX|^mo5AK5%ybeb=sumLo@QyqaL$;C(mWl>eigzTivm{&OE1 za-iqPJr6w;rnYnB$hlq!ey41{aXt(i);>{Rcya&z7v4A+H(amLU8Y2hhd!El(fs(2 zuD!X#j@_R>7v6NKwQ&ByFr|bGSJ=D1yR+PUgZhIX_guK5iPGuQ7v4bG`;RT%*tcn` z^}&a@y@3)uxNtM`<=J!7;NEJrF2M1W?pb*7!D(o)JG$RuUbTB-Ztr@SdcSb`jW=Ys z+xy6ItM%Z6`&~=j4>C}=|9FbUO~LyZ?l8xwAjdhWr8kYq&ZNA7!bElYf# z)OPfq3k%4k9bLG*a_bgjFb);bZ@Qz!8`ofICLG|={t=)r>{FtD^xvDa z**)zOCnlqv)PeIc(rFgoeIt%NdG66t zCGp)Z>HWu+rvowm0}pgz8E;Ryfh(mWjh>%|9cUhOI6g-84Jd)Zb+iorq(EEq3bDZs)ht_zfiN5>K^VzISqqTztQ^kG3d^bIUfRWW~9K$q-F&&w~pA(K^~G3MlrS4#g)|^JyZX zXtxu_+lAA5lmBCnnC)C&?f~j}C0{e1z6;av$Cn2KF@E_nHSNkH%VIpoV5D+ja9L;2 zY`#k2cyGLX{dy#wbGK)to@=#IipYm&$Q2>;1b$(Ae1X&y`ou%;A=5DaLX7BkcjNa1 zG%O!4m&N$^on({-nbpaCpdBah)>IK(iZiS@z%yU;!_;vq1!Z5k)G1X00mMRR3^)@c$UO^Z3xqHrWVsDT(lWr<%|k`<2Aox$Jb z&DSr2_ta9=CB_(6Vd#;Z>}IoC%qeZ@61sk8p~-tV3Q-;%bQuV->pBdOc$CTIfLvH- zrQfHCafrrXk!z~)L#1&9Z8nSEhmfYAwXs|~uyl9}W-t(XM#SOYv>9ihPQGqR*FHt_ zX0*DvqQY`8?uZz#Lfo1_epwBw2`|Z7{c}@j>XaAI&YZM!d($cK)rsq0n~l9+T)H8K zx0)Z%ZMV469^z+bMwmj`JXdOk?B^~pN`%-2$!7B&EU=w8ZBy(9<7iC79sAguK+nyc zAJFe4hUfGzqHXX|PKGe;VR(t6(wQik_K|B`0!B}<^2To^urddxx(deFQ)2%f@Ao(y zqf2^35N&{`YKIzbp3E|alrcj}so9bYA`on_S6|?qvef_|hGRONY&RB<39i|+n(_XD z2WHWd8PGWw+ko*@H&?+>3>d$u%65)@p3yfJPudOPJ=I2tn6jN-Wa5O;Y2TD6C6w&! za7kl9H-iEjO5LSq5ek4%emAdKV53O&wd>;gxz{mDuyfQz)hx}Hi@DdE~N=&MBq>sD18`As(D8kp-lMkky%!~_z@^l zv(B~;<;-Q+YJePaKtLsFSjZ4%J5=I>xe#)@Fet?MmO`0JRa5Lg_v=c_S0RX@S07MV z9N@!U-0kB@=1$pT&_Oa-6#V&KF zs+6}_c8Nf8D3~e$ePwAXl0y(!E^ewzApcUO@PG2Japnq9nAUVK^)-odkuMq014QgY zQ?IDjo{$NIi~vhIhxAc@Avs%hrewJlkuROXjUi>T5Xpo$T{3|94S2LGF)DJ9UUOpD zF2x*&4i3dxhodY=z#_&h`^k;eFuuZWQaXvFYo^STIt97=mH6lsT*dNI(c2qIpTBn&ANR`^HnpV+3S{1B&w`DG<(8XtEqj@(J7cu!C$4snx9y_`8HcaJy4cCm@0IiK+ zHKeyFdcEF^(l0Fn-4QL6=uUa-5_u|DMtO-e8jg@dB7Bk!JeEpivqTBBe5!!D+8D4Y z870Z7B@#ImwI2Y&s}jBP8VH!CKlPOElIgBI^0bvY_OcP{BRR7f8jgc2X~vXz;f3wA zmk-mtu!pVtY&zG@oYBFRqNoqiSiW0l_U&@;V;o%h`Zq#8it~-H+wf5i(Y*YP)m0sy z`O@wS^#PXsCs(iOCYtp0uj#-_X}<<72j3J;x{1aHzw}GG4QIgYMR&=B@G{3=(mOSl zv|HP|X*FY^tALho{(;%Af$a~zRoF`}byt4--!k_B%Ui$A-8!;o!|*b+?7pqkFTAk# z^6DZqz3}p$<3P`oFBE$^MDwjbD3*0Z=?}iuDKnOT-OYFE{O<2?$Ou}#@pZnM2VY+P zy+!!4&5V8uLn&B1znqt;_$kaZ=-zU8&>`+)q!NB)?1Cb z_VaBpIXuUzH=_B6bq}?p)gjOx)!*{=8P5Y$-=O!^`zIJ-Lm;fUI#bB!0%<5 z8m64W9PFwVim~&si;G7VldZ1u1@*6j0X6Y!QVX;h+lBckh~3>_JB>jgYqJzY4T2hm zmXK3!9ob9ToEI+cNvbOcEr?w=KpSmld5rAxQfBD1@l;EMUyxoJ4EFYr^fIhoOI6kB ztcLVO+HbkKx)1tJC%o3J*cn&6HbwGxkkgP`0GW50x-f>8Qp!U%HOOJ09WZ?*+E#;R zq8gL}hb`U&BE0Cq(7$2=-^Q@?Ejj-TrcU`M08$e_Y<@7%{c!Z35EAc%p#^?8MYt22 z?l`16zwfdCBzc0*e7l>FD*ZE-}QT=OO1C_OKnDl4R9yCD_3>v?b(rWR~I{ zl8YuBSsDVsbZ>E1{dr$;kVeCZ8MzONo*UEUKFLA0nVmDKWAc+E?-t&LWYdEDj;UuU z*g7Z6r*KL-*H}~cN3Ci7NbbCpbOFlzNk7ySD==6%m3T9vn`N7*5@t#MMxR&g!CHE0 z!oq!f*q#J!tyy{%X?Khq*}Sl{G^mcW+^Hv*etnIY30Z2~QOyqF0uB~@=~D{+q#$~W1D3B@TsOqumx%(vWn{7qOK$w{P>r7!T4eN^L&|{2*$z5J zo@5CqOkscDRx67nnUX(S3%LA^@NW2x774(g@VO}Tq{k!}AK6_nvj{C{5PBMamW_yG z#$Ha*cEPU(i#>+H5pPi1gf?pcNCS3+>A&I)=gcmX9=y9YP!2kCkoj&Jwm6pFnKkB8 zQz;dj{j2|_j+Q-vQZa?o476tB>Qow@spDx&x)!DMH{fPq8Kd#7_C#d4O(2OZfzI+!)ekW z$scL@18w5EN#Qz1;-Wu3-?jF+KgjcjbRu6D#a;KDwbxpEt+n_5o_+TDaWCKFr^URG zeZXsav(HkeK6QmAfP@~7Va12Mzc=<@2Y-NGst2Qu&Gt0ycSpdh_5}++C1X}3pc#+& zLbqhD{0y6G^nq>#txY8zTj_pM&Wxn!_m_JGu}uI^X^EZ6V!!acQb#*5V2FD>@MNZA zpm%&bdZGW$0c!YKOZ|rQY{gJnLH8+nSVpHiBsy!jWa~DckaLQu4p?EQO@jFwhBo_c z$s@N-bl9Ox`V$(=G?}b;9a86`qG``;Df?rI8z2KO&OdTO3XC+bxf-E zFkEmY1^XUz<}v?_8n0^nykf>T_l`mA7{``q4x^pgmqzwGy@B!e1wYzwQSI@bg!hQN z(xT~tL0_;iIJ#?H(ecw4vrb*7ATDOoT07@_04|^IIu-b@JlkJ6<#?%DxN*TTP-z-6 z>Sk`IwJVU&_lQYO4Smx_uI^kz*E6fE<-3DDY_0 z7ReYyr=>vHu;FZP@qKckQLGWqkf`9VMalt_Xd`vwE*ZpcSV_Q_jRcZV&h>`2(GQ?_ zM&~J7Z3Jx!AI>}dI83cEC}>lI<5ZR{ovr#-!Qk7)WMqrm6OLi)Bh* zXP*fbF5r(TFUfwXV{i%nZ(4iVvHo-ug|n5?(D8)NxSO(w6`c@|JT>Rb_|X}6O_!NJ zBH{(!Mw`#QrLCQD#~N^-lO~VbEXQt>_RzP+%)!xTtvlWXtgYN0oHX737H7W3$Grmp z>8B=~2s??ShjjE%Is^pGfx1s0vQYIun@dOM&C8>Woo+j&hhx5%<-FJdMgEr(4x&Hj zZ$0FXI8!3az8#h{MlPd|9O@|t1TB3Fhk5jGZYFR^+sO`&0IoT*{j|+LbfZh&i}dL} z?-54!Doa1#Un)@1ev%ed9P0OP{Hew7`J4Q&PJC+-e^_un=Ja$V^>;1&6=g{rk9&J5 zCw>BeXiqMIyDiMcmUz^BVK-Oew`D| zf#>Kx_xY3NldPO4&t%*AVuSA{zqr|WcP@O%ZCyFD?yF6H?!d~k&+y-;uxc<5n1`GE zN#Dz+v#R6I+cw+L2H%%`g#H42_sBT#jFEYxss0Dv%_uv213cPCTy)F6eQ3&&+2vK! z(P;9Ee1*uv&<=0D3kP5`aq{n__Thg_mLoDI{!o_db2R?>tV^dGPXDQtDkm<9(4bt*}waay++V#YS=TyKKYpM zQpX>Ave`^HK%9|u!%i;0JZ{dX8=U-~Vx8k2mL@o*Kk|uBaY%^X&0DtleI(%}QA@vf z&pC(xkhQOO2{5?P8vm|GFT6{S`RAMWCis~y0h|OJg!Z}7f8M=0;dZKhZ*#@ExCwNu zG|k`Kv4gE(QwW!Vdp;ItS#XOoHQgw&|2X>gu}B7mGR}QhRs~Lg}mn=%lLs zuKmz>bnbtG$X!j@9lg$?mpJbjfV~rFuINX)BnelRyuHQm(O$8a$_x3~%|Z?DOY9Z? zi1FTsp77(FtWk*<2KBfQKu{fs9AYFmnWgGYjMTWd*~5;L&&-ZSb5604l>)O`*~IvF|X)S;lZ zBm_!@y~n< zePF1m&5Sg9%ISG*nRUq8lgDy`n8X__Spww{%(?6`MC=MG&3Pt9l$`4Z3DQxCqOpT4 z__*4sa30|3kuqSlY+dGpPR>L5_|H2Z(H2J(U-pJ-DB+<*Sr_Hhj-L|>2}tp>#Ii>* zdTA_pAa75QaOu*?V{K+%kalU2sRN5Q^=t;8So(ftD!2K%MwX`JX2QZQ>9kE4=bU&YNlBI_!(g~d}dd8?p0xicn} zdZJaUkEJ|P(|pR_Ge|mGcF=OmLRn9H-1vqqbFt3^d+oSb9a^rKJt zhsx9d#NX<{H+X7(Rx0Fo)gbFilMiSislS1gTd7Q>Njy)(txNn5$dsf{ZJdCc7oH)F zxDA9RK%{fw-jyaacb4jkb!GFoabTNGnh|j=qOJW?4#ojPh+Z(`qc?PxZ+Yn9-t!q} z^}Kdet-R|m$ixxiY|`A~ujCpoG^g%m&*-ZHvkQ*bhF4nQ>zUR*Tl7>57+8fxyAAtU z-f}az|Edr%?Orja^?~J6eynk7nGBdsKl0B4F>^9x4ha<^9d`#yw`H1e5Ci7hsWxdj z-WHf@P-a0-*>Ui8QnHfyhpB}l_5A&{%zO^C6gL=|8KXAq2zJlD&JCHqwrq8s(ET*G zn<00<4+BJ($dO7i`vBh%aQwIt@Fw-{*EV=l!Jq6FKPwI|Ea@rDzCsjV&^_`gM&u?P z1eU~+DcxhjtS#aYuVWZR;@`IXq9qPY<3<{29$4GFKvP^1NZ>c1-G$DRN36cBnp8yq zF9hCAG^dBom!ECUANy+@l9eXs=BK*mY;;BhbK+7H#||_ZXy#F7H9vdJn>EWM_(5JS zsuTQLK?U!7HtZRHRKCa@yN)a#NiYu<+?oq%mc7(u`ox!Cp6g&|$3BQ%f7Pi)J{m}E zChVK^-=Xp%RP85S)-^m1z+T&awzH3q6SI%BU3WrPzJ=BFn=aG%K>v*`PTXddcPBeI zvG;OdzN+4&jwAO$OYi5E(hJR&&TLjR^>mxQtChC-GJmi^{_{eG6YG9whls!9hhaLe zL=^{eN+EJi#l=NaSlsM!oK-+KOW_r#&c!l24zudW6sqbVH)R*YE`v)-9fZjbk0(AZY(@rRT*n!Pelpm5xrw=Yp;{(rhJOK+q6B8j4m=&MiidoQ;U!@wKWEzk_nlj7Dkd*Q5z&l~k_%vEs9)N3bg zj+UF^nppLuAh0S6cElvrX?_e`#arX>APF(3#U$?LtiWl&7w7Qf=CqQZ=ocuQvdsG+ za7iv5R$zTxW;v>7yqae^f_KR5m;SlhCH_GZOKx81B^G_vn^}$sDYGb#A7>2Lh&Ljf zvfQ!cCN~!f(FA2arIDRd0d;&tK0rOOv+6GOn5H)PFGMjGcJuQ$OX&8wf@eQbrQ&Dm zveu5*t1n5F-*aodrkXRipGqFUo8b{zR3%cDq&>I1fBK3&tQ8G5@=(3kTrrBGdswFo zR}N&pxtWzns<6&8FK_^+GxljO>IjU(c4TQpU~2 zvpZIb0-)r)>B@fLYnQpVca47rPFe2d>|U@=!^&i_H@C*`E@rjL-KkCdt|$3n3yb13 z2~IZ1B1IW06v}eZj(5B-^AWQ`ktMz=^8V#tSD9VWoHj1D0!W-@N3J|TkZS)Wke=L5VgH1Lt#%` z2|HahP&(h3NIcd&^nPUZQ5*Y-?#Yb@Kh9f%D#item-O!f#v|P-+Qf3HO5$n7?0sj zt-h-8zUa**13IUbq_N+ zJi^RDbj+1XcM^H@U}7AVqzoK8FZ6WiM^F8tLmH5eD4-_+w=w|h#E(VEG9QspA;6!R z3csTbuSK4sFT`{Fe?5Cuqinn+)O`2Eywj9}DtYTU%2|?M_Wfqp`?Rs=y~MuZj_zXi z3RxV{!m7X?L>B&$^frf$o|56)?@$;@u?W^~lj}?J;FZkN24{2vUBApqjzlBkja)lej>RM`Yerstdt`E@~ zNq7sk?^&V~>Imcte|}$Vffc%{ zRg%8@lX~sAx2~R;5k;KyT*pPa8Q~c*MMHwTRV_3PDD{U=JxH6gpc!N{i*hsx7Idh> zJH#usNSUx~`dR0fW&RFxcYcAXZ7Zr?sl6L$!ZOJ$>WzNn_y&(}E?BrTHA+DDeFP|U zr~SX)qDz3)a-Xz=0ibx@BR2qREtQK@bgpg{0<0_ih@E_$CSGINP8c(z?mD{L@;a&P z|k&ZhSAJ#?nO><0Tqc@XhC-?7jI*{bdEO$+2((x|2>|B^# z6m>y=Eurt8c^!4YV&0{igN3)VeR}de)QwK~e+lV|_l6E}Q22We1V00SaATK$c7$xa zYd@wdNQbmgd5$frerrjy6}PKFYa4E%Fm-<0*b&&rVhOfCOKW6P|Gi`Hwa=}maa%n~vMDvo-`0(;;&$cvC z?>C7{GzoYiwF%t(^AF6od)YoYRbMuQy)I@zt}8&(9$y^lfaz;+GRVs9%KeQO3B{jP z^3a+?dy&5IKg>MX7-t1DpR~|x+{^|3&1A)$V+8T;m{XTUZh!pE+-xP3!v^sAJ2d@k zj*Khqj4oa$(*Fc}mn?h@7Sgmy>Bhd914l_@DjELCHcdA!>i^9vc(NqJW zQ3XLfmFTscZT*@51Sg(Nf&UZD$-U7hbN{nRTzMYUm9M?n8-Jyw?lL8!7<>Z94_JC< z_hNdjIq`cQj`1k>Sss5fKWV@2KjZfXmE{YO<=C(7OIgkqv+(vVvb?Uoe5t+YvuL_5 zWSRAaRO-tneRsb8OSP88DN~)vQV`Qq zve1?7#Vkgbm8FnHQ>{{!A`7_p#Jp{g>B~SCDm+W+y}d7*L>kduv-EwLXE8?9XGu5v zBH;PwCi_NXM8vc&PE>V5RpxQnf5FaBb-zL}zR;*UPRvA0r00LLQ$YiXV{|r}WWmmJ z1e2XC9N3zrD4gY;Q--|=@26$qH~D=QCi}zMm!^HJ|C&NsivDiF`!ke9{o$^Ap)U{l zCmUy3_%(f>MagPk_<|+X5fQBnZ=dCQUt&ZzvIH(mec|gzZheG{EsyAf-^~O}iKgRY zP-4%{r76aD3LZ_CKA3_?5oBKUX3vFXo@`!oQ!42SM?R^qi@=;Gd6Cqe>@F%*)BC@D z>E819-D(cwaK`8Dqx;%E%d&1ZwK6Ta^k&Z~1xpE3G%hw#&VT2PkW|&1k@&!~8|7D> zdT~Mb8vGK`$Lx|MhvX%qdp(VnT7g@!l2S2_fKqrg*2x6yEx|=pnF@B83R!NYx!aPI zOUa7GSc(J@Qh`@dMNbhc-tUr-r*1;(vyehrLhX&SH1?N&fzk1gx&m4fR@A_~l*oRQ za+oyv`;#DI$>Om|Njy&;SHf*<&f{dLg!a_!yFy}!%PZFbrG|HfmH14~ST#5A% z@sNd@=BiMuFd@`UrWwiK6pcW1$kKc(mZ!^EJY~U6yOTzi%nqNR^#gTFRKq83o~a=$ zfL&{3Ap=_qK}w$!Np`njyg_Br5}wITa0y-rbpCfi6_7?7!xR_Eay!Y<+*tvxs5AjJ zotBya#VLwj3!AvIXm=Tjl3nLZ5$IB^EWnIP3s-jK!_j~e7Bc+O?{{Pz8U`*ab-qbN z$dtE}LbWTz*j$*~+#>7KsCb2EQTQy2lnKNT#nt8P9Sa`5YpTw* zOv*Z`u6+x*#JNG4`4=GkoxV?gB}ii>(Z(+BqAD5^6oFUXc@zYU3QsDMsbE!a?;qD3-<21i2GQpEvuD{)bJL1wfoMvycb z3d+gPTw#=2*`k(FCa_FiC6@%y=iq*>gCOMD`6M<@oH6H4!VQXyuECPqZew_*;=Uf| z?%3!m9heAr?@CrbGO}wSyYF2X!Gw#6vaZ~^Dm_sm2M6fi9r&GCB zmC$*5QsKeD>A)oA=t%8MRvg52TY|<$*y8fIU@5sOIYAV z9jg8X!AWCDr7DZXKlRTuSp&GlD1C=hDgr*qu$z<@P5g5dW1&7ib}R7^%t1#BgN&8R ztn4Kju6!p*Ek}&YY2p(;(-+38(W!tr$AEMPQjmNjQURe+YI)`elpeNHkkP6xVSz}X zEh_OkZ+Y-%tMCWFmB0?XJprArxG9Q=b{6u|1o|9_-P4l}2abU9N}W}7I- zu5TCsnMP@Ai4t0xeN+{iq?=TtZ+!(S1ou@Y(a$T#Tsff0Buz4R@e^A00h@Drf#Brv zx3i3kLfMIpP35$(BNX$UqDU^j!Oe+9zfHI1x%8tgvG~IS#Bkd^9~ncwLv7A7?=xoHin&j%qB^q8{~Nl zWv?fJ$fUucDWD^Gt-~crVAZWw)FK>-*-HFEO z!4kRaEli#6$ARSDqyriDDK%4>iWpoadnqBJRmzmKtyC&d^wHg<=KI1@qxkw6%JRzJ zB^I<21(fPe!D!)5eG4?PXsC)7_+#IB*Orux3g3}t25gH|78S`DSZKJyCXYu7O4w;X z`{+WTZ+6|%yWdiJ*nkhvltr_YeqXe!WtxYuYVRpaRTc!OI1ef0xkc8T|DQjhGR>HT zP^nE>TF&HjVNsMJF0%q&&rjUNJI?Ow=)saSSKM4#p)9lBNt1r%9d>(?1xn5}&ZKTg zU^C~726wxK5eF$WBt#L0T6z(zRJkv!szUxb^e7g2*n3`jfpSrn`Agp~ev{~(XyP13 zgCcL#IhHvA{1ORkD7cq%UrCx8ixifttE<$1JiNx&rDoQdg}$gq>ek9gtu0dRi%jPG z^_~z`fJT=w~?ObfVY8qlYbgvB_)v`9WxXTTd(i{8V)TLE~d1Xs3t__);c z6wP~IVxWD0mecxL0=X~${id3l`n=PvvVn8A)AY8!2HwKEV>J(MWT*`KsPfk zde4E^dLyD1NfW*&1(L+6hdoWA?Y=L4PwqU}FtT=<=#Mr3Gi?EH!gU>m}(y)zqtACJUs!NYeB{s5_Pc zDmL#VataqD$*FQAR`>X1wVkPma?UZ`M)@{}yVjE^a>Ws+6S?k^qUx4h_;37A?$eJm z6acFfQVxyx1w=?5&l~VN8{N(%RX~fWkVS5PD$^W?ihLE#hRnybqr!4xz0tU${gA3Q z?+c!9LlK*oJ=~a!MPABV$Qx5oCWHNQCI6aC|Vi9OW#pdoHnxdSD;#$#h!@5g$d$yr-EkO6{~SqTquZg4^!I z6jDR+mk1YPgH&!(k4Ajalql_}-W@~kPZBRLPS zGtx0&kI=+}R6T_qk|uN?Ih9w{YbOze~@FlPwGxontjB?Tx( zjua~U9r5W@ma2$Tt74F62t{ISOaAlGEt+xs-nV2(Vo>ruQRb>mQkDp1w*fOaXa4P; zuvVVD6bGl|rR2IvUn1stKh>+Ui@Ot{AQc3-G@+OzbwtBrW0&NGM9H^O?mawsL7P%BQ;}y>X3~P7*4QD_ zMos8my1w8XV!2_#aPf){&IjuQcc-MJRZ_C5U{Qn17NFOv&kK2Fa*8szk_L!T=e!gO z?M?;m7JOw$tTp6vS*B=mLer2*Qx~N4B+KoDH-R2c_@ck;)lwGhi*hxqMR$e|<;pRv zLM>FB&&#SR@b~+Dd^Myfeav;>ZpvZzLKjz{uBNCEN_tx(loA}P7gdb{<25R-2jt$l z6g^F;quQ zG7&1(zC=n&yffR$AdxwbPrlH_cjeS{F0LB1ybNoY4)?Rg<)9nW>@|32nm2bbG5Z zXAJ6rq+Y{xD=CHm_Fjk(EKqP4dscd3u_OVXNP#_jojAM9Q^`T47gR1O@_hH-s?~s# zW&_5Cl3{6*Q7UCh?P9UyNYUD(VD==nas+AOY)>Ig@CEW4NkM;=W>Lw}nxLngX?M=N z!d1Hq5nPEJb7f}i1ux5zwx|%Qc#%->J*23>au@nQ{8eSDaLqy{%rOAF)3UZ0>u!55UFOCgmanFATpB^H~HE~~)i%w)cma(H;; z%o$@r@h47Xs4Vc%!s2FmW-*akSK(VxSwe+7m7>DgtdKftN zSs`O3UukYEI7;LW&O>y)va+BcyLyF=H ztf@{#r85am%8+RmE|LUu^3qx8OS~*;jO;~AaI6cv#OzzrVD)k83;kkPq}i^YP%_hn ze%&Y`=ddL3T){Y_GLz;)(aQqvYhZ#p0pxSVrh2axQrU9>=9jZdzFy;KCN1#&2xd>1;tlUXNuh5j<3#FIpu)84E z$M&V$$dDvZJ4B@pl(G{3+)yUjXF*!x6K(t3Nj09S6Zela8SX?`UJ6^Fszlla`%^_c zX_Rdt6Z#Y@dkrhKjFHTg1>vLr=nYiB&f#OEJ!J{7tc84*UB*gjUPiEI@JvPn*sfEl z21*wfN&;#ZA~G>1R`{F{yc^M%Y%*5^<*k(Q(7r&*aox61eN)VR3JD%cClID?$AJAh zmFouSpU{)5aABjA;B3OBOiG?adNm^{Hp_TlqZBzjQB+~6G?#kI^|Hv23agD)8p-T% z)I`IY?kb~w>wBWoq}_#j&%TU6G*58HBx(A;wS>F|jjZ zrI!Nbp81S-O_rX(2TwjB$c)8JE=w7pQf*!qq5;0Qc`8iGGMRAvCk!`;?##*Mn&<6{ ztm-U(C2&O&s{~BjT?jX}8HF5tDr*k8NS@QexWbt2M;{`kxyxM2iAjc<7n<_l$7Asy z$j@DChNR!elT1j_grXOk#OfUiY9Ya#?`cs)s07w7~k8?R<``_0P*{)GWXP+=!B#D(t&>w`vPbjtK%i<|g zUrJ!cLVaehQgx8`rZ~X8$x9-N<~@OZB=#&yY?Ex6ty*NQ~G+^aahe$2vnlV`K9ei*X@1WVUklKEh(HJY3^TBmxgT?oIP=33TX zE%Dsicebeg1ZU$27#zaJC{vzjrAfKAu5q&mS>G}FKb>Y?nIttPY-kE|CgE)3(~0LS3Gc?xY+L%0hmR6Ak4`%Il#`kK-UbZvohFNfe9Mq1Ns3>8Jf>{(Qd@jvQ-ufT^-xl;m z(Px;u(4`p#;*54?nG5`$$=?g7G_%d{o112JRVZcNImA%PJO?wLMTQo&8@t->rM^_$ z-AECHQv^xOg^a#cV=XY>pnSv98V>lKSs)bYO!fuT%@4ptsKd&!(u^$$QlRXc1eyp%MZKc|ZT;1mbP_pI5~PKmRI)#fVoDS_ z=|hfkMIo`0H>-I+>j9YcWC*?E+m?7~!<)iI3Q^q4PxI1DC|-4gDY$-AEKxyYS8+SN z{|^eRl)|$XC@DJ#+3mq4&ZIiKWfRFcsfay_6jo(d#Z4;9ro;`UhqynY69tfK!J$(k ztS~-E5>(!SJcKX>D5?)Byjh&`%*pXD*tA!2L+Q0BqrFI>RK0b|-)v6x1tO_PqP?c_ zviP{d8BUhZ{adENCbTpks#Fu3urJW;f=c9qIYLvPLV5a(A@-RUW03p4g}EpVWX>g6 z$P+IFK8~4PRL7j>7B@eg(Pd)rSF9HbmB2r|5g?UPP%(sFH8;%|u_E+ZRDs8VqStMN zMjS=C!mU>k7wMPZ<4cAA9I4thWFMmo-nChTCVG#4Uuwx=vEkB6fhW;oK4;HDB|Ps!f9 zUysl|jfaU}0mxOu<4^k=exGKaa6FNh8w9}(tC_$KRC%JlPlmwu% zPl1djVoFy^?F;szo}u5MtS~b5SyXD93iDDjd!I!Klm){L`pgf6Db0&2x*<)%-t%)8 zvh!GUV!;96xS<4Uhs+U|BHgqvQmM*vmxzh4x&a=Cq}`duHp$?x5hFWyNlb=2kiZFN z1X4s6X8Kg16)J_3Z-r8VL*@!{5E%&NBf${Z#aQ4C&^=ScIpm&Y0Q_vfE4l^^)coiTFN@GMRRgLU`Vwf<=2!A(Tz7;wll?6Oi+PoN1iw ze6%^?bvyOGeHam*rDRRkDI9r8?udz5mR61qiY;kac*&$1wUY?&uWSQr~? zC;yi@|K*&IvNuu(VS;5bnh2r{w3+s93*1$i6k*A~fs!N(DPS&!32})QdK7jKEWD3T z=-MIWnM@cOYfW8lGR-q=@mV3)TS&fqMB;c$2OBZVg-Po`Nkf`5S7Z<=hG?-+s*ROO z=EC_i%Oc6IuAIjV%ZHROdf0@|S$_VBNLSH|W_%$UR@gu*$|90N z-HbAR5IUi3uEL6+H<8Mt6zI`P0y&cql;3*87-ppqoM&-%w(OfT|MgN;sh^V&1NCDp zsZSU}QX%#fD%Gy?N^3k_l)pY=5Hntrej=9D9itQ>nJg|yd0SFMPwwcEIXgZing&AZ zfJ~((n+c(-JxOE|cR^Xpl;elCl%@2+Gl3XU&Tsr0!%K+1i#eq%OE9>TN~ZiWg|0Ow zsX{EIDhjyNo8;kC#GOg#i8ZDmJNh0T6)AIRgos?`3mgYX zpt0!)s0hV{$|6mqs_9%Q(VdbBjMzf=i#mOUB`xN_md<|-uAlv;S(OXq^fIZtHluS% zx`$`;FbWaClR`o0H4~7gUm1%_h@oNl z4UwXq`(9GhCt$S`aC@HC0{&O5b}GZGlQrkK)9hn@d7gaPbmBSyEzo*OisN z@X1)cbU8HVvfqi6W`Rm{27nOsaVB|YSn$@t@=hqrg5sF7(m0hU$kas~TAYC=%6K zcQ)EHau!n|lEmj9h6=%fO8_m^KYb~O8F|Y_!;3W71#*@}r)%<;zn!6)kcm%JqfU^L zZ!+b&JIu)Au?~Pxca|`bWXzi~ku=m`2}$BDL^qRM@Wua%U7B>}x@LR^dyP$(*J#1ecuY)9--;3&oQrSQvXl)80QMy5$PW>i@=mM2h@Hvt`0z0^$DvCx6sTxOIoHOhO-_G_36t)0P~vY^D+tV>c|f6TU&F!ecyv*pz9HjisT_<3g~R zE$Tc}Oj(>HDuKy31?X9tt1HIA)5+Ds$V@}B$v^R22(Cq*8K0jHCLg;sepFDK6XH==SQbJ?DM){hKiWi@R zAh{PpM3dn*DiJL1@ks&B4Ai-@=E+1Ih8Ss3lyF>cr7VE7K@ow>Hf6HPE+mv7nQoyHq4>L_I3k}(%=cWO&puX$Iwudfg()l|aTHFjvq;2N0{SAmnB!Hs zY%|KFp=H;_*?l7*gR)GX0LG_CflFATkNo$Hj=?3Y+C|AZC6udN3+^K`D?HCps$hMO z&&@R& zE~cuYE;wPRN?jB=RI0fxhfc7?9vWIe-64AmbJXC{Z7K6e&;K zGsCrmGlkIhiz*f;|KAdnMM)Hi90@$1TikKZqF==g;_O#Mv8TdvLzbjim}#Zqj;3F0 zavdw<6~*XryOrdc@luc|xV``>{&um4xu}vJ74-_XOlmV@@)995uB}tDP(v0d!f_kS zl6lQLWwic*B^;e2Opwr2W|cwAct<>=x=jMx}CXF63?V!Mqm3IdJH`Vzf@6@X}_*c-7<7?)NXaY(}y&CBY0hk_smp-*2%t|aLpwmQd~&C>aUnq(Yy657xlJR;+c8k-W83^t`EDZMbW)CyuEPJu*=yqtzm+(A}Rx$+^ELEARs$=v1oV7we-S4cb2$LuwE%r!PP;lD zJmK8T8T8qGn9gN!JN7Q@1U5Ru3-0;d;<@=Rh z<7N0kMsdUaDFzRVI*&p3a5CsKqcW3j2;sTpox_PD7uK5s@|2b4Qg5k4{N+FEh6Gf6 zdXl8Q=Po)E?&o|FmE(5CPZySWtu*3V>OB!mTkKYo&C}eJ3Z~>{c#)(XO3e7lB(uQ7 zgi~gOL4wQ49dBXPS228Ib7>w|<|5J}eoGZZ<-A~VSu>u9T&U=;4F0a*O79E|PK79G zL^=Q9nNMQAJaS`-isYp_=R1Wc&oySeUudy%D13oW3KiCHD23(*m)&}umAL`&N-+>T zLY$|pC0^K9q8mNQ8(GC|gqIxI6cM6x7@w)+LZzq#2S^tdnVii-6eYPY$%$ID!2Xy=Ehmv-$b4@C#dkRT6?|uUxxXXWmW+}W4RrJxfl~*%|UEW{Ty*BI7e2 zAx6ny5rQ*p$#Nz6bqw*B6rWnd%l3wY{zK+%+Po@KWoKdj6Otrx4Sivb(V09sB z^-Nf`LplHI>mgm^G2&&TH#0NknRJ_waL|5NDg%y7z4m(bCVG?y{Qu!ij@zBns1Mq& zQ@~y@Ywt;;X@9cU*5yhO_?@q=w7GR-Jpyasdn8s|u7O`M;C*vz;Cm!iT&{s%G2nf3 zYv6k%R$Q)uUoqf)b8FyxBvxFmfnPD;eRFHzdn8s|E&-p^xU=9nWLbX$lzs!gH(mQ^ ze>;<03sYa=??kfwR#M-(m!T|jy9<5rciqKn?eh&sU}?sG!?UjwJ{5s)6!7UOEyVlW zr&QiBUtuXXth|x03*d59|6X|6`kSrgZ%70AKltUR<#~Do^}CO2f$5t5_G%fdPJ>px z>elBUo}QNFH}dlRY5gcq>0TPN=fCoY2kmR)Z#DwosHNsNyPyBR%fANxx9E_rfnNjv zTZF)GQM2nA|Nk-I=Qm#YM$c~EPU>zw;TQZyzzswHtB0~w^Rz5+GZ}m-;I~N0v^&S= zAFvaT{yu@jNCu8+DRH!ymu$UqGfUK@-r?3VZl}vNzc`xxQMp$64Y|D+j>A-j%{Qz) zF2l!TD9c}b;YO0RVHF6h*Z5VSJkZ7(_yJH>VOj&f3X})hSOY%*$|_82;8%h2KpSh| z2S8bcX$|};P#$Pw4g3Hot1zvBUj@noZLEPG0A&@XHSnuId7zCo@B^T%!n6i{6(|q1 zu?BtslvSA4z^?-3fi~8_4}h`?(;E0ypghpV8u$TFR$*ELzY3HG+E@cW0Lm&%Yv5Ob z@<1DF;0Hiig=r1^Do`G1V-5TOD6257fnNp618uB<9{^<)rZw=ZKzX2zHShzVtirSg zeibMWw6O+$0F+gj*1)d<<$*TVzz=}33ey_+RiHf3#v1qmP*!1D1HTHC2ijNzKLE-q zOl#m*f$~5bYv2b!S%qm0{3=i$Xk!ih04S?4t$|+!$^&hzfgb>66{a=tt3Y|6jWzHC zpsd2Q27VPN545ocegKqJnAX6r0_A}=*1!*dvI^50_*I}h(8e100Z>+9S_8idln2^a z13v)DDoktOSAp_C8*AVPKv{)p4g4xl9%y3?`~WDcFs*@K1VOj&f z3X})hSOY%*$|_82;8%h2KpSh|2S8bcX$|};P#$Pw4g3Hot1zvBUj@noZLEPG0A&@X zHSnuId7zCo@B^T%!n6i{6(|q1u?BtslvSA4z^?-3fi~8_4}h`?(;E0ypghpV8u$TF zR$*ELzY3HG+E@cW0Lm&%Yv5Ob@<1DF;0Hiig=r1^Do`G1V-5TOD6257fnNp618uB< z9{^<)rZw=ZKzX2zHShzVtirSgeibMWw6O+$0F+gj*1)d<<$*TVzz=}33ey_+RiHf3 z#v1qmP*!1D1HTHC2ijNzKLE-qOl#m*f$~5bYv2b!S%qm0{3=i$Xk!ih04S?4t$|+! z$^&hzfgb>66{a=tt3Y|6jWzHCpsd2Q27VPN545ocegKqJnAX6r0_A}=*1!*dvI^50 z_*I}h(8e100Z>+9S_8idln2^a13v)DDoktOSAp_C8*AVPKv{)p4g4xl9%y3?`~WDc zFs*@K1VOj&f3X})hSOY%*$|_82;8%h2KpSh|2S8bcX$|};P#$Pw z4g3Hot1zvBUj@noZLEPG0A&@XHSnuId7zCo@B^T%!UXW`gWNS{qiC)*Ye!?4*?Y0I zO?xHT>^0jk+qmB)+sDwE6>m3uUbv56NOTJsS9+D3BTM5G)E0%Js3(-x zZoLQjgaM73QFaSGv5wGYkFNenvW~|w*8A3W?ReCsedVAwkL9~vaNcZe++~#Ju{*cp z7T0I2Fo4hgxE+}7DmjkXI$GXk?k>VuQuDJRO=&HTWmzP zl;*yUb9vBQ2?DhPq?pM;b00?<9at+%q}kz!-EYRD&GM+V&BqP6Bz!pbcs!v}p2%1N z6jEi`m>^rZO^GHNhr+tj;uF5Pvmzf6{W1G159#QGQ%`rF!7!m2(U_TekbsRg+Q;(4 zo2E7y0v*XPQP<$XKIUAY?+@kkkol%M+JDfU+5s?l8rggTz&Dv{B+T?6B_>E?59RYo zE5vt&3?nNXGr{D?w`m{Xz=RoTT%rjdA)JA@wLL7#K>HjF+sIAsiak1#iGa@NAR}qI zC%8QbBUa7F4I6J-8g*!F4yX>pBLUV@6D6h3zu>_r9Vo#o?qS2t<-rlOWm@=@8b0S^B7@U{minCB4T; zP;m}Re0oA65h=FCpz~TfEWwIbcpn6#6SKfb(;UgYLvo4~-m_f7L|^)O4=}Qgy=w~{ z^DZRyLlil(8!K;R_keLhY7;ETCu^kgI9AK3(85${oM$O}Q<1>DP~@d#pDAX^v3I`cbD?Ru z^lsbf?;W+D9=-nR_(6Nl<&z=BP%g^W_^Vsi{S;YP0ZQXb(WZ^=?|0jqNAEOyQ8TJ# zL?>pSc(^0)!f^CHQi$+INu!Stqz>FZU6bJW;?f!yC;PcSjg^&)e?k#2RjRCw6jhvb)V%#EE`px;yzP zDY`T@?V0Utj_uLt{n8 z%E9>9zO{U-wdY?ReSG)BjCS;V`tb)%;#eHg>}0Q{*tWDou|ucFuXAC1(mu=W?bts4 z(xG2f!Si6NNzLW^y94fwUp{Nv%lzZ<`0$#w4NnZL@1u5abYIIkEBly7nAC#vYvZFY zz0TbscSrV2T*rCz;O(4EG-qR0#JopB!0g5s zUEX~(Za@Bf-ofwQcTH3Kd$=!)3x7(PArx+w-fP_HwN2h?%D6cxCs@nK-a`j0-?Qg; z?lJb!d+4)hYv1mbCV#Vy53FpZj44~;w$PXZ9CG$Q4d54at2A2&3OV>3*5=X<$M+xE zTjRo=s%|;cbKQ}R_Q%g!w{wNtanl{A@3ix`9+dGBhwQw|SMN*R{-drtpl5G6| z8k(=8HjN%=4%ma%QkVYTuh@8-!?OJ&91*|U-(_&n3CBA%tsX<3aBN3o5NSx)Ht(WI zvm@@2{GLLOQ;hk+i7q!^QYbST8+4LJnlA*Rw{*2o*={32W1R#e`?&FNkZknaCD-Vj zF&%H{aD5(^C#oTM|5@dJURP~hLz}&}?ApE4PEXAbZ4CB1ei(Qt<#}Q!5kLAExf4-i z+u7RWm^a*iGh(umlsf_@Bf#Lw6Ev{3JDQ_V<{E34mPpOe>64FyNv3MQ9p2r?4SYKW zz(Y#zzKmLp`(Hr)%U82~s;l07LF>jGUaBBK#iccvBYL_7KrMA-(QY!H#A&3-=54HV?=Z zt*p3a-NDc0OGitzCDa>B_iR(^5{R^ICX{yW z?d^pGhpW(Yc|GX?zFnElO{7yd2KOadRlv>jJ4Nktl66~%TVTF z@O&5S69%4ub$sX~=S8b0XaM1O`iYTLPx%8{lYt4 zco=t~^T}pUPC1kqK22ich5ET5X)n1Yc#AQ$sbvnFYi4S?iX-D}g%L2b_v{O<9tqc+ zgiKAusFCe?F%hSn(HJjnkiD<8W)CLa!Kmaf{yAfXKi$GXvsN__kGUJ&hR=oO^ddj( z3h8-n-!yPauj#H|zyoJfkePaPc9R2epC0}JTtUh1edJ*LyzMn_nf)}LV|$W;DG7Jz zneo(K0N1Ti86D~X30LrBn{K(^h67J}Jz{s`t+L6BtnR$q)6llYALiI>B__wuqB8+m zh7fcXeD%>7r5HfoJ7>bvcfA(F*~aD6emkv?Pj%WbC%EoqotE~PXPF=C&PQ7%QGK4q zFEpl6k5czz-%Pzd_*?>aOMBfDCT%*xM5Y9ABi3|f&!)44KtL#RHvZsfD=7Qdq z@lMWu`)4da{-6IFYwlTkS@S};=Vvq3`_04cZexP9_igmBEiYO3WUoDK{5zUm$L#Rob^-&?-0c8-^x&}k(>z4B_qZM5QY&nkjH5>fXKkX7ZFj6W_GQ~2 zz3+e%_tC-lG-fulCGB#mj6Q1Jk363*!0YJ3?DL}s#7{ZH@7eGE34r0aijfNCp&GW4 z-W-j%g|MwNtgV(pTGnEA^^kS1ZNqlj4LoJ$^w?A01G(%baV8+a zl6LJOAzI42j_d7-Y@Vw~O3GII)N(>VM_wxlN@Ob402Wg>+JPd*mfC|N1M`!;%a*fL zgA>AZ9hV5@>R9M&;lnu@{gUHUt}k;&6rgp&ACphdq9i)$ofZ2c4xH(k#0PCAC}FVn zn4xhW17(G=w1Ot(X4PDXpFAS{RK7UhOUt8q4FKgxhu2{m?28!oXdIJ%F}%?QK8 z#qv9d*QJbVza}#GB{Rh-e29mdeYB`*g|zQi4}+>8By>8smqeCEF&%h%b%^CdM7Qyc z0$%{w=q=qEG*=DRL1k??I(TuF%_GLCV9PYy+P-INE}P>K2gFIaS!(#c099euu)KVf z@}XIw5Vb`E4Z^3;hz}4TirL2#$wkRI=iT_6RQdok{+{-n`YtmVInyc^6zre1v&`ks zPsB`G(Kwmq(%Cf9VpR^%r$4P;{{rTj8*%iDy7Em%`)L2em=o)}1;81nO!q!K*obGT zQKuhqCUsXh5@2ltM%9~rEK!~!7O92ZvY0hut^FYPJcTUm5X~Xcz0YzV-_cawbNBpm z_WE-)5bv^X-{lAS>-oSf#TXmr#pjW!I`w9BY18I3AqT5v(wg){mSY;t4Sgoxgu9+> ztmCQEeGk(mjk#>5srRiU`U<)@b};6SpW26>Mya3#4k7it*ac!oC@oiN(I)gsu7~V8 z+}h0wbFOS5a=ThCtz^Z?El7c$PsUMEMe*v9O=+7h3wV4WPDUCy=jgbSjP_3@dtXw~ zaq0<4(_{RhKd&M9&L}Ard~d?^O44XAbuChBZ|KyiIXVw1XA5;lrL9bnK{EBQ&0Oq{@Duv_9Bi0Bplz$B;lP@0bbIR~?!FXZc|K~sg=|qJ`Zuu7*_;sYG#_w_~f>OC( zpoYdXaP~B2Y;AE3$dypN%_*{Gjgo_+aTn}%+GTRTAurwWkFrb588Xv}j#Qk7-OFy~ z>5XLL3-HG;5cfXdNkD-^ha4P^i5d~9iwl%p?tHF1E1$NT=9rrv@&Pz zB-Ne9Y@Z|F>}I1Ou4XFqPP*B(i(R$BO$Iyb5UyGrk(s!x{^TkK0+h7Z=B)N{qDYmY zHV2AOQ*j>Y1fiZ*j^!^`wn|8(f|44Mtfd{gQbI{lEt6UCDjLrkadw-puqDj`-Kg6v z-OaUG6M{Ri)O(xRWb6Y(au~A}GBchL!_HV08fQRptp{69P^-9t3;oq5ojp3oyBo9j z|221{=hUOK!7?ncpzD}?l3>H_J_UJ&YEmkZ2TAiSQA0Azb*Bj8F zw9ot`*3?jiqCFYCO-O|ufUdZ;Nms}?TqgJA)acJN)*Ly~g|t=Rr}@Avd+jM9TN$Rv zGXgW8(6~xLuqSeM+A3Dmi=q>VLgRzsU@76xvn?Wo8Dl~6GwI75IraRC&Qd0Yz(mK6 z(5a7?BU)G>KJ}7UCN&;6^IeltH{S2E<)emp#jxz)p|r{jW$$cVoG|iWRBOCij3b=* zL?>g>mpQRmo_x+H zInkL+E6D6y8kIyHR!*@xF5P3RC()UfC1CWDS+-U;=ag31Ma6k#qteFJIy<`6st2A{ zo0hjMBel8BqlYeb_NV?kEPxC#3Z-x0ygyeM*D!wNA+yh6PU|kypQO%1I#k@9Dxz=m zLiWDvlr3+*ovu7DnNK~l)-l1L^%SFyD+^gPbI8EdC}%Gwh72*YU6Y)wB$&1!%`Xo? zogc%#Hg#V|?22BN39}?uUtl^bMHYzs64=-iZTIRW807G=Os#f>=QujvFavqRPGx6U zDn$Ig$SFlk5k`(u@rK5~{yo6nrU!O{TkhoROz+XgefB0EQc&9l2X8Z=)}1$J5R;>| zov2Alp@Hb9Sj96oHfUn6sIiy{KDV>mbr_)Ru?!3E z7Dc|IhwNpGFg>YtlWI*zI#;>aK}RnI;9VlL#D#^5zx|J~OnlCSC%dDmhd1P=@z>4X zAQrVK2Wp~8ciPE1j#)Nm^3esi+m|Hygw`0MRFBmHC_E`#8wf%gi5czH9 z1R-%?j|9kPyoO1h)WIu)p(9#Lr|emtP|}52coqJ?}d;JTIRjZiymQggz_Y0-NQ&mayhYQXFP%3-Wy6apcfXGSe*PDcnGWzSe7g3+co z&L$9&$$`F!i`;Scy^AMTlX>&H`1 zJ@wR6Pt{v*y;W})c36hP^LroJw6pOhtDhqbXZ+KMg`qzcLwwA9yLFsj5>*#$UUm;L z_i6ZtPCeB4uY`Vey~OMtdu8pNn`s<+7;a)5b9ijSDA-~DIr>Y<+{Wzf($Yy;ox~1L z#@AE4;N@WX;&?%I>~y z@gqF`?z*5YN(kZ`+sDebs6oU z9aTra^yJrBS-N-Q#pe?XFJ^3&cvr%jN*pVKexXX|D z*1E7ioYN?wA1Byb*?slq9KY4=zkL!llvrJp>q0y}VM^J$FofEXWq3Tth}Rz8R@_>T zA=4pTg8Byw^{<|s%;C0iX?`*f<*+yVevJ?phPU6T>#}uWaP5bXwVr$Q__nxw=Fxp| zU3>V;!4*?LH_tZsb!IO3NZFHYvv=%L=zZ`LQ|zIgknuJ3Kx($Y_U{KXe}5MBE8 z`qNh}qN%_7!V7eR<)3}zg%>Wf>EOxb;YB0<3s0tW;A^eto|{Zc`>FeW^rcB!I=KJ- zy)Pk7vAnX~pZn6%ra91BS@~oFR+fMEljF$&m45C5ceA>+@>q8=DJ-mA+45ua>b{em z$$t6Ok9=$vX=Rjj^Cp_)HJ)Q8sH$}w89mCg>+@q?u9VMxLX~Z`9y>dq)4^I_`O5L} zAxgQq375mN_3EqhIqPz*w{Ol*%5t-;r}YV3F5Epfm_OWqcmKd!t*`u?-{z*c_N$-f z-tDb$p*7!!Nx1V|8kdJ5G`YJE^k1DsP2Q4Y1mUsAVx;Ww*oBih_80men;%otwa2#K zdB?pIe)ThRWa)(OY$1!c&fdHkV_B|+=f8wZWx4w1AEr8lhabLuv9ABoe}m#b{=kc0 z!Zw%AovWU16o2=c6u)(8AItBwS}j@pJ@-8M3n}?Qr}Nxb_V>;1>-^|TGLZW}zR|@# zisj|dbqaX2lHylTyd_J^;lT&TbQDx|!R+7wQ{A4ByVL4lr5e^*zH%iF3;W2&wr9{= zD@?DWB3|udiKybjV?!zjngJYi2w^^>vT&KtrK;z@g71MbeloE`Yi+-(%63uR39?w3 ztUe)A>-KGN+uj=9u4`m@OqRYD9^IcLxU{~q7c;lLfJsrvtJiCq@9f6SX`C>o*xkw~ zWBaTmmP|L^&D{F4xu;Gzd-mjn8m;~6rDMs`+3H6`J$v@jZ%dZW1JArD#jl@x^Ub;r z;epTGzE#(63~i~rzue+2PPx=>?7KNzYP5s^OGYcub*IpFq`EJMWf0V-4@;#E*u}r-_#14w`}jp84bgolTiYd zwT3h0F3X#6fexxXI9%x6PN`M)H)dEHub^5^uCnv#FV_>AF>G3$RXQ;wHb%V>w}rCP zy4g*UjqyFb2oB-V_3;a+j+(rcn=w{4WQZxZA9EDW(B4un?F3|rr9JQfIC4J__IWAB zE5UQM8;$USt^1$mH5%*md6zYB;tFoEDTI|3S|SBB-`tdC@p)+9k$9aB zD@QcM%EvEVs=YAoY?#p!H(4pZh2llUCWb(6;xu37zC=S9eyjcP-_l^Q+}>?ttHs%~ zvnnR3ZrYtY6Y8w^R(avE8I_?09+U8>v?Ytj>Ml5lR%`U6O2ejuN(-%SSL!}{)~BKu zpeo9ojZu7SBRqXqibe*YQ~t7E?U3s!`lM8A5m``tx9gO(Cc16!YwO%!yT+K_3TJ-~22>SmgYEKib*`!oyuBLw5|`)OQM7`Eilm8=ee9!M z_B){Xyo6B{Kl@RMxxBn&sLr8}viMdQrdUQF6~wyez#@yo6=S zV$}?f?(9)w$L49J9In&tz18}quMEk-P}85JT=k*)2QN*b##g^cWvhQ-KEZ@SYkPnw z3?kd}XujH7>(Gy&|H@b9PJ)g0Vk`{_=G-CB$E{lvsH z^>bs|wzcxM@P!Yu3Lo znLtqXw21|BVYuO z;x#6>A!7V^^;J=o?Ps`~(GcgJX8d3P+qjJ^KRvX<>86&@74-av<<5P?QW0RaOU9+M zEhV=*vUn@7BMs-ASBgVbnpNR}1`7tfp$aGiGX;QW%}V*KxGRh2NK`eEb&b=Q-1n4& zT`b-^_wDvbrC4Tocsw;}4S=l#G^&*)77uk<_BUN|#&VYJoz7x0GJpk!8q#SP%n8ZP zx(O;CaYSupiUdeMqGqjDr@O1l6VElWEA5ort$b>X>&9wuoU4BBbxmeenBdYzKSY5s z0~R%B%vUU*_+Z|cHR5Jp7M3wTnoJ0~#Zp{Dy?##h71k^BKl!K7T9AX+VG97>;`Q-z zgI+4vG{%u(RS$I;R6?;D2Pm5IdBTe&8%0FRHMf`;fF^4vTAiBsbDJ4_}navZyPpF!YC<2 zE=1LIXFN5W4+4`W$^hdky(}Hqmbv5uDZ3;$#pu2-OlB&j=2%GPwa^X3#w)DN-4mXh z3lZWD0XL>|Ha|pJ-jgQQwSWR(y&52J(W3v!KPJSWA^~`+$rg`>vO#d0%yMxOBK!1D z5u4VU1*>fyMGYbhUgg75e!<*;R3N5AoDm6+e7!0;BNZJMkF9l+M&2Ma*bpaBOQTUf zo|8>6{6HfUV{-`;U;Lnm>jsoPW9p=+_VLKWPYEux=ZT_F5hWK)2%xeizLv7SF{Lrd zkckV#*^(4+sIx3erltu8bcQ7+_{oX09Ym$dgai$j_Zm-SW_0L9d{TeH&3^BURp0&Y z#s=#u)#H!%Hqu^I{qAQkUc8(t`z-!~k< zmsW;9KfQDQSJucqofc=lx%Ml+GMzs11-Sf5xc&)p|Itb4E`4=l&2J)upT8`B>P7ML+T_dTk1O{N%zhL92Pg93a$@o8%3ZV7 zX5qgCmuY?GylUdp^N(aM(?>4DWj{xXpHCDx~2X<8T}TP|tg zL+p}^I8%CAHNdXFX&@~W<9s|9vu2SCBPqGl%TApfC5Rv@#xD8OdJ%s~)G+b5FJ@Eq zG)FY~!4E6^%nh!&+z7EveHf>XUk-h zPc<2D)3f5>b;yE8tz6pl2rl1XbSCbH(N>SD=#qn_Z+3;ud+qrT79{C2K2f!58-Gj^SkgujI+}msOv{E9=Em*WvHgl?f@r@7`>aB@Bc z5V7{kehU`B4)5U~V!581`LC_ecOC_O$o-_W(pIol7P2{-f5(D7OIOn~Vc21FDlawS z9ojC0<49V-Qg*~}TvqpnJf;thm_IPrx57Jd-ToHpVLf}(UMeXSPN`}?8VX}<*i5{@ z&|bCOO_I*FhW5Eu;>osJAHcI+N|>tJ9b7H+S2~zuPX9=GL7h%vAQXi~aN{A1Fb{aFeWEWE*92<{-%WT)yX_O}@ zA{0AKhbSt9MWiw^Ot5+#-1b3)PsDW!Lh<6q5X5{-8DcBX9M+i)fTv??;K_Dj51(n3 zyrQyjWz&Wv9lCO&jh20i5+gh^lqkMs6U{YZIo%<<&y8mn)f{PevR0T}wg*);umcJg z8}EZhfvWr@I+P@&KuGOnF^oatmoMA)NE=^N!FtqbPPM!zNtpTprsW| zz1l@x8M?5~wJu}Xlpv9WjJ(_ngMtb(g=I86Zx$~%D(;GCmE!7{#=-0}RC=2FOg_=f6o2sskJm@VVN<_gn`WT+IMih@8kh?0c zEKwZ5vpI9BO$uQLYN?(}ZfZeZ(-#ytwma;`azapP31`#7w;*coh_>9`q$~{79A1^B z4I8}t8KopxBn5IN)&e5biGO&*rD{b4<(3CfpNPk$XhxA$kCUUJqO@UgnlMs}>EuAL z3+SyZk4ZuFLtd?+j+-8d&Pio%iDV%;0<=AeYvrYzPfZ#Ia9g&SP@NGEQ;e|>I!<}_ zr}PFYM?M@LHW@1#T!P%p+4bd&D<`C&d6HOVfG8zZIn6bU<{0h*5}1%uvp<7}#FX(R z?h%Y!vn%dYNe7djT-FLFGi zdC$H4HdF@}T7$A*_Z@6(9WHZrhKZy1&0jIMf?ed&^)%T?s(n&?y-enz&R13QN*lHJ zlcgCftUrrvrbuBtht;bg*Po-fO$9#_E6j4tsg4VmAjd4~>1#tkGYs!35w z03Z}1eG=&r>mx9~jC4zl^=g!5Jm~&Lh|A@4UcJP8<2SgQTu=5$D8FFoCF)QV7Mzz< zs?K%#nJs)AW7W1Q4-DBnyH{^lVKNx?Q-5b( z4xsj`#zFVziR=Z}2*G<7MyF72kZ1TuLoV*FegYh;u0Babk0AI@U~>j8&HWlW_(!OL zsQsJ*x+v_D1O>!Z8Ws?MQmT*jQ0G(P#DKuC_ldFpcWJsNmdXN2o2eyMXVVmo{9_pzP$Duy(RI9%5i5D-CM>;% zu?FtBB*IbUH9*Ei=+tjSBfS)6b}AC#l*=d3kjPJkqV_#uF6SUlYSJeNd$_=r$-J=* zhS>QZf(>f>4?$FH(didrAw>fUpi#MM4*{{112~P^Gzex4`@T|sx(IX8p^TBwkuWON zvmRg;hR*7ZgNGug7{w&ToO(BSrjkyOd7X=-DM+DdmO%ZhCQsy=f#nV}5er}*A#WqB z7`1uFqJU0pPKaYSN!6K%qZS&|qEF{H^2 zr%lOnqPmnRi`B?$z=DR*&U*qg=w$F*PBL-jq&hBPct!cdGDI4l&t(vHoSf6M2{D>a zL@`ntSF+O{Lwr#})44>oAVO+FPGe;e6;^7Pm7ijST1d=iIV`2vRJ%_&<0ncd8wkL;V)nDVK~#x& zA|%K?QJ%% zL?Q)fSjbh}IH;=ciw5(kS!kAxbP3Ra3ZFFbXy;RdEK#lZ6=s;pBbn%tU|qN}wUALz zaE0+z$+@I>8QhRN<#2P`?mHT%0o}VefY)KISAAEo_xjk_SlN*xKV=4AYh*A`4xSWOl>5@iC(5Tsj z=Sq$5QCQ&Q?`LciX)4fghzk@flUURU&;YUiY1qn?>s(NIt{zv0h|4p14!efT5nKyU zR-`P)3bLju$h7T|f+5H=?S~xyAQHN)NxduXi;NK;Nc?3W{S>?jqu(S{lp_#2o?3ZB zBOd5XO_7@;W+H`2$K?h7A_a;(CNWPpCmgxv5KLk5cE*74XwJe%YKEQ7RG1D?e%q%7h{w#)e5?$qOrYP^!0Pl6g!PVLyU~8`vc0=6ktG%-z-_GbV^~ zRU*nER1)Qi)g}RjJ$oN?sGh)o@gK><{Ki>(iU4`B5>uzb3rL8OgW?N8q5xeEGQq5$ zI#iN05(NquB5jwKdAywyWu!~;uv}6OMm$D1F&zEnYLIEBrO|wd1~I+5ieu$XqT&*6gx5j5q`1cA znf$~xCKX1G=~4ucJRsGg4tQH1kRPBTma-0FQ!OQ+yTG%XT*j|5hRoylZ`B8 zxibz8gdAYzkTTCa3+U&GM4Coe#9^N3jNjw7DCLFg-G5Sylq(R>3n&gfO&g0a|ZFNX66=Q5Go4IpZ{ z<-9IEpVU}1PkTlRFSP$(Q4v6t_pXc*OZ|TsGQz27qb_9jS%PY0adr8f6RNlV1(}RI|S}pAbozE)mP%a?#o%I?IgmGKJ>#nJ*?BBI!}2 z3GKN8l%65wKmy@8uN$Ct;XRheL=KsA1G$iPps;z+e#C*$o;l;nP*qN@(OfwrI0Zyqf(Avb88-i)uhU?j$9bXH6~;z~QPm%(3#>)M0{f4-F4TIuS*qg>y^IV- zT;%PmgH+)c!{_aZWlR0R6RPZGUSri#c)8y1|A(LNFFEy|aThx~<|93?jQUGe8jNn1 zomseB#+|VK#8UMg-WxjhepF7|<(yCXiIb&1|N+U}<-W*c|=*1c}K-DhGoqKPlvSXGe4&nX&_?Ep%O`ks+Cht$C?Bnz9L)Brt-f~}W&&qxkysKd@G91M+ zdG~}MI(>F_n0(*fb!*SCeSNm85*hcPF&`TGJz61ESCJNc)J;?R+{Q&!JD4o{Ur40F zd-i0sosu_|tinXrlwjUUHMlCqtHI=ZLwQ)w|t$_%NuUtTXl(Ld%TglEZrix z9i>pYZ!k=7rm;669x>W09aL+#JWYozXM zyQuKOc(7OPvW&df>(2MvyVvPzN29@&V~&>feq`HizsRPl4M?aEIzxM59z0#Qe|WrG z-faJ#&4%tn=9PZQrxRljVJxdRFo(pS4PU>@AXEA49LYJypRad!?cA8w#$W zOXaz9FFfrhOG`!Z=J(vo)+bj6gSuW`>fCqhC^7Cow=%zR@Y?e7ud;+)6!+b?X?0T9 z_|fMW9KZUL4}Aaa8m?!%FSoTt`q2_D6AJ10Ew5XxZsqgWpbo{HIQTsVU$&t>|D_W@ zbWVV5PNK16_fL=KCyXW=mp0^A)!nyrB4lgu?ro{8GdQ_*fGqv<)eRKe+1}Q>6AM?b z)^oZc))B{W2^%?gXXC{`lT3W={Vj(}RUPlAnj<+|^Y~gFT345y24Jc3q50fBgfBmP zTp!}o^c)Ma^>^6pty0+RJqhKx)uZbvmF2~YNAJ2{T7fMlz9+@AkxlvzG;+qG_{5x& z6GUcW{N$}CpO-`I;o|~-~MgKEXwt}cWWy3na8fxHQ24e z58pJ7z4TG9WZ4VvoQS%=okSM)`Z1}JchG?pA1=wS*fK8a!Ql2ghREzqKTeSM`!hzW zPN%y)p=zDZi!UDEC0Sd>qqyj(1@_o^_e+wA+hMPUOSjq|LS>z9Ix%Y4->xuu^zzH& zyYkm3vlEy@k74tsRVSpx?c%KnVs-UR%0Tghw~p%i;&&kn-FL>5iNs`RNhrSBrv*?| zD;bI}FSL*j7WS-~r^PuTRoX%tsRhrTy>oqHY#zS1*Lz>_fg%Pdex>N#m-nVKdiabX zrdWH(;kL5U3MUiy<*ayH+W4urzkgCASnJ(CGcT=ujxEtrc>E!X*LMDQ)DY~0mn?x7 zL3OT1*PL8bwQm#dx9$G4o};KL_FR7N?p?_|Xfqg~8Vk3$3ovGE504F<3?sK6lPxP3 z+oaoSzIJzbQMSt1^KFm#><(5b=x1nfxftKwrB8?LzQ&qX3roijAr0pwGl32Vd-@1@18%F8@D1L8GmF8Z! zCq`%2XLneCr$s-c_|RHu4^aGa=V!DvTHKE31xM6Y*CJ#6%1SAVKcKRm6pQkKkAHtp z=Z?DTSFW5ywnDGcZ&ItZ{(#+5uM6P@K7&DsbEzooX*+$Zg&6Lp2ASY?I@A7~Xm?|@ zC;!yq+XE?57Jcj8go=jS$3xX1^plzMlolF`-`Yx%-0g0+Cih0T!5!Ox$`t2@DZ^BI z-eSnXV7XOziw*MhI=I?XoU9VtIFX(!KUVIuXLo;y-q6p{4H;^$ed7;atIzz8u$wZ( z;E8{Rz;Q7uNtkjH3>T2{mR-*NJn9aLYFJV^e|n71Z=dXq+zS$v+G?FIm~3FX5B94H zu^7nb;7KXx5xZYo@7k4)+nnBp@~&5 z$W+?49h*vTZDrGG-|WXIi+|y1#np$+)hyi6oErwmepI7~Oyt%<9H@is5BHIk$z;r2 z!;n|9r1v&BUT3g*v#x8JEe!W5J$&ZU(Jgu)^m0ggy9Qr*wJI1Fzq7I>UrX`%= z8113>g2G+uU4BrZGAuWWr++tG%2tF5#j`ujIa!6EbeOXr>vxsjD&PGx&x%KB-f9i{ zbaTqU7E_I%hZ@ShmL+A$rdub;5VI_u4jmpYosBEcOSY=lNT|a@;xgEhF^P+6(rM>| zk@S;|XND6gp8HLN8}n)T8+>UW57^z6;zNHQ3s=8-bJvkH#-{gi>M@F{{4z`(y=0(% zXil!24WSaYW2=IpgWx117wV2(h{0wE`AjP#s=B73D|H-rP3wojZ5w5;@Ct#=-=f zPk(y+t`pcAJdLht>v-!#-1jeve>S09%9k#g6K~(K4Ar%9N+>>1k(yk&nbb!I1JXlx zV>ndq;beazZet!Bm0LW8j}3iwWjFVuZa(+N#?FMXT6nao8}8M7f;w90H!0tk*$iG8 zsW@fx8YG>FJ2Iq_!0vvQiC9Yi*MAEokJpXjPsbW9@PSnlu-rT|n{77nh2qOHM0ZfZ zJ#lkY39|S=Tv#sR*7|5n!n0+hQr!5Wgqkg|LluuRh1v$Pbfz&fQZEf*SGLa5A(Am4 zxt0=iTZ4%-SZobgc_H^;0#Z6^pd3X7^4AShR$a{5P-XUUom~C6s~pRGW)Uj0MJmy( zcDlyh7QkqBOZ^u2UwYM34*6VzKkbn9^>K zYp@bQh{%g363b0v@YlP;IY^RksdR|4Dgz(GlH6EZmZ^y56U9-DWDu>m>0`_8#H&}8 zD0Gq+g3U9P3?0EjMlZ)L>7U#ap*xGwmr_|a%XpyyQ30K-yC$6ERoEJOF;7G(6bS?= zb1zF)KQkg*BxqJ*;1zahXQ*VP_RFjIJZvS!VUbfPCN+r6C@Wscn0f{Tb^`EE@~KS1 z!{nufg{LZKfa*OiH5mGJ&TT5w;Sz@8qTeN(8^Ec{8n5j1qT}<0Us1s=*RBW{f#NKs zWZ;RFD6)*R&o17H@SRS|{zf}08n|46MwJDhFHeeegaSG|Hvw#&ijLprz^`iUo@HqYt0qFSLgm@-(z69w{Xg+Vv&T7dGMRL%FE&SU z@)4X7t)!zkckZy|j-xo!JH_R-eC*}(`7n+97dS-2Q~GR)BPS;(q4&iv%I~!w|E`X| zv%k-c20bClBAWAxYRWXCpYL!l zCA`zJNTRGgwWf)KENQ>7Wwx*d42^MuHh!Ef{0T064&k-edE=h&@3if^Oy$$#h=626FdGMZ?|`9Yaf;!OQp#8KV%hX2RU9hdtLq=9tLW& zJC5ltE_=yrIwd}h1}YjsMwZC>hKJyhr7h4T4em^_bZ166m1z#EfJ=s9GqesZOzBVB z{-gvZSE^yBGtd4)FMPT@{H8Q+R-#Bzj8hr2)gP2!_T&5LKUdk}{j|R{#H*kW)1(ha|3$Ia{~l_u9%RQ?aOO&RK#oP4Fp#BaD~zau z(uN0cM>=jPsa0Zun1vOQaSH2zlA^npqdksaw(lv)7qJEK)5$U}eIpp{@5@UzJ?{+Z zUyJ8gujdrg7D~A9^vws}a=y)eKmtUev?*Qf70w)|2hAfNfgMJ+<3whlC1WA)Ks;?y zOh^W#Wt{aOJFS9Kbo3b|B{~FrkU>k`SSDpz2`=U@l*Xm*Fo3)IG}D&$dCifHZ%|pc zM;3aIHnYpM(mtvk2-Q&UkTx3)pb5(5V)dL|vEuJihw#zP5R3$3c%{8#N4y3#{hEa< zm7&C5`6#JHf64=~l@K?qs0DY`zzb;DLGT)P2{NcfN-%<(T&Yk*@ou3g>muugzCT^^ z2_g`m<*_s#WD2TROwAv3ac1A9Q!fCm7X>(p<0uU+V9a-vHGvMoE|<)@gWy5?8w;M);J z5Z~dotbD%4pJgBu|0Cr=;ihQ{9I_E4GAF8*B5ZW6b2(~f-h>C?Kl^n}jT)UM;(|y8 zCL~U>JQm?kak7&Dw<61*Cn|D~rxhfSeUT_zY; zD||E>#oXe9$qpmm$17vz20yYnGV}}70MT6ATKZ%m+|M#W(g1!`C?7@Fz7kLFSgFmKCprbDTaZpn@oA+3qwP`#(R^Jb zRVD!~KL5E&aCCc`cug7CqF`A|YGU zL`@Lpq*&<$m77x+1hV1-AL6!q`cU)QSE*7ws8C(D<@j|Azi-p(GHlJO5V!`#grBvW zxE)~f_NdjE+ft%K+%s>N?$5%W){I&4hkMZ{{EwQ> z028BXabzB`g2o=i7qQHoJkdr85od}e*|{SFYx%Sus#HVr-`1`O+?y*}F>!Ew=;;hr zTIobROA<1_{TE>*;8WT!S<#d8V+jm0p7*k?BHF$MM zDI5`ExF5Br?VBa)xS8w@^iluKGLswe<|&lQ#a4$*hMYBPv*l4^eHNh7NFJ_BhCX9K zKhg*mqz|gy#a_`c__nXoS0kN8H()Xa14c1)W8XGaFs0e9qaRXYMkKlHbl}B$AC-;= zRLG(GoYR=Ld;i~Qvc-_BI%7N3O*!+eCqZB?K?KxLs!dUdToJpxNJ6idkq&~F(U#Om zwh~dOrl^^>82ov@s_c4Gp5_P{cxKWxs}V}Xsll7>U+!c13BYe-T8 zC7>#}wSpPAqx}uW1cjrt(?H6V%Hdq>@LO@4Cpihpf6D@GruNCqbZa96tG^(|RbjJS z+d|`jX}?Em+DzOvT`u@rw$e%Vk`PixP{>dwx@0*OCd8zCuqCVjkQDXsYq$j$ITCcC z1f9%D2PepqL83rTD42CulCDfR%AR+VW6Gg58El-^SeN3x1 z#2bxaO#J2AWpaX9%Ir%xDT1rg;3d;rQiRfCW_`1pq!ZX$&XP+u@m_?F;U|z^)u}6Isd;I21CRj+BC& zC_PJ!)25CwW&zL(fku`lL>Y?8#Ze#!`$W@e<@Ah5G$(i@2q3NQ$uURBn#`Pw;^vw0 zL&&1%Lh(-)Ix-A+mf;j~mrN0QrE`i83P1)Djj#$DF5;V-D1qQ2($sUa? zs^9z?%JBqVY4Gjvz_cKf@bAHUxc4xN#L)P-g*f1+d{0$4mE1Y7C@ZN&R+v`5%M`3461}U@39k(Rs<6pLPBZO1ikS@o0_1Dd;z&^!YRl7 zXmR6Mq}s3ldxQ|ZiPP?<2{}VLl|al-fn7zWA2Tw zQV@UKj(506CsON0$zvT^EJQAL?~OF`%w9cu-pCa+;$z$UnW}O4rd@D?k?ZC{{K&AM zgF*^WTc9B{btWMSl^q!JuSmdjGb$(K?23|_$dw41`KcNStGD*?1(D7XJu_NRW~}-( zj2wa_1l+Q=;<(KRz5h_gkPB!sDm`Td;3`xu^q^>lQdmiZ&61b_hD>*jk$r)%c@ddd2;QD+~0}i$5^}?J%&e67F1dbPd zf0i*k2H``bL2&*D_(xpiOqwEO7F0{03!<)#NrNt>EbbH~Zjhtd_vxKMN@o~}WPyhD zOe+(#R)9#L3iOrJ6#W{`0S9vCB)}`XcG#EC;2?FkU&+q`xrY#s60nQUuUkY62vKw0 zrp+MmK5s&?;pnOdrd>^CjzbC=kVzmNAQ|og96#o;LXwA?CvXeNVuL(&9W?(C@SlUH z;wZWRDYtdAK2we3cLq=e2DT2;^Zk6CP=H`x}-Q0eJCvj6`DLM+~fJzS}IvH`@{+oaX?Ky zfdM<(Sxn^nNg3-4SFO@fU13DOZW8lM!xOAPI(U~$M8(Olh^bTrh}?RJQC5IL7)7Hl zV#($=@dTif+BPbZV4kX=V1_6+Cb>;UJclQvq;e!?0%rg2eR$LX5!f_B=7h9J5he}O z_p?f#C`P%25vo|HG{o(q!;{sK`T?u|ydfy5 zCqL+Vi~Rhv%Ag{YpZNiM;T0a{uJB&vrc-m%e^#a>hFB1CC^W%{cPgPU>{r6giHZUa zGWW%d)K^sAOMNdOx~`nG;Eq^Hb#idZ9GifRBriB%Ok>YurhqOgZ$E1`9VMmLZTs7g zk>bb{ga9H$88n}5G)eSy#L4PNM8#_)lEoMzN}~7Jd6J}4#jV)1b%lg*N4p2PoI?;y z*$|K{K50(A<&T@#g*>&Sr6L=Gvff;}%hIvk%9SfDX63OmXSBJg^w`gj@1m`=nhvo0 zUoO0qf2xr+^NHm0oY082m8zRn3|!exJEL3GFS4ZSU={Ng|0b~gWP&MMjE(LMGAhaX^wN;`Zp_wnL$b`XwMr(Q-su;rs~*b}{Pq$^dYw(G6mNN0ZI z%HN9CFHjq^uk_{QpWd+4_)WVN2P+w5j;PmkMER(R;7?PGq5*>zKUxw?g=YH^|) zZgJ1L8Rlr1L&6Ms*Y(Tu+uokW8-3b|2IghnbjVqe;rOQclwBkfs-cNb*4hz^A*=-U zXLY}?MT5qwsxYIOV^p&qi!@kbu;{zpta+-PrzsmOnt6?fK!ua{Y2u;PWSgMi@qDhQ ziQ&@*7w6E*n-LZ3^E((zyf*viU#CKm+El4mGh+63hwV|_+2VBt%-UD-0+yQgb!6o~ z#m?u#YPw=+)kY&+^%WMnI&0~&g};8!xP4io^JCn*gYZOqEq&fLuh;h+v78xZUM|nd zaL*mTa%8P*Pqqx%amaE^>0lzFlo;FW_yY;LhRg>NX#2nf3Q+ut|^J!2Kk; zR7!Q+!;z*@F~WKBGV5@0BMt%zWrs{3ias>yn9^)2@;(caopXYo--qi5+8}m6HnwUjYL@(Zcv-aQrc_rD4S5Tc*R&7wJu+`UB)`Rs2ySw?9XjT|7>$03& z6IF-L%A*TPy7Oe>H)MoJX^A5@FTOZo^Xi~t+?gz`d@2sw96Si0+`M&E@IxrS^t0%> zzPIy0XXXcfZ|C7l`VE;4-t9#zIhplx$LDD7?DyXEdC3-Zf0;!JZ{LJYwKRm{z0EHo&EV4ReCJ_whDx{dZedCri5u#jQWiTeMU8JK^VjN5c>WRT#W*i; zd?LkP-5U-MDb+V63uD@Gwu1JJTTXhf_hyYLS-g0HcJ-jS0TzKnlov^ab z98JpV{MfMkOP7XoCTX2PI37wFjE+Dz%qde`zWwb;oZB!)Larc-r)IgIguuW(gUq&| zRx`#v%7xn&vY>~~c3-|U;B&}GQyIa;TQZ!?F-%fP10RWlx4o;{44w@>;#KDJIbM6v zp1ZXBR;~Pe{2c5s?-sW0{3t{x(Hj=Jtt`G(uC49vO7WW|{JmV=U0D3;S|UHsKv1Fh zHb?H_(o#FndJ{HUujbsXHrsP(&gHfH-+F-pOWT~ytY|M?Im>yHBHTZEib0TZ?@A{o z2CVgyfyCPA{_uyYY_DQKtabkC1%8Rf!bVTO+{EbHg$pX;Aec*KTieGp1j-m#q>|y) zo2o2}=avq|mjlZ#FL;2hX$=!?za3L#Y(wtjc;?n8#^T7lLkvwXk!Ay;c z36YD9<>ESIqchxWFU?LUGqCNM+yePb2ZoZR-Mc;a96vge!M1xRRbB6W!~|845{`Jr zO2qmdSv*rNM`-SHx;8)MFvpub>#PiXh zDlYt$c;wdNSCq`HuzR~tVn9V$8D46s8k6B#!tQZCfv&q zzP%CWh-WvjRiy9UzJ;$cE-D_k=h9tgaM5Q*e4~To=pb9Xb?4dIXqL={eIYYL7UD06 z%f`m+o!W!JS2t0fvmHn07w@7b^gfD7@h(#zB_NtZtA}6k{XQBazTNex`;NBst3gJ4 zVumsFE1HPlBt_+-F9ImsQcc_#xRc^<{Y~@oZIaxsjqubq6cN~Ttk)@{aksP-mN_%sr?24vxu6HlfEEx-)xt4F_N{ ztpJUXSxNxn+2UQk$gy~v0OF#-p;-RZ`N>2#-GA-pIl|30y+`>?o#No$Zr2#jh?}E)s84tie`=rTpVy527Krq@5|3eYN+hS1`(9N z#=5!6&ZwdeE?WC^=jjU0TRT&_Rz4L8<(Av!CqQT1eGadm1WvltMmnK>iupUn!=<;#9Bxd(S{KD{0n5T1dt;Ppd-rIU= zT;VUeww)jm8`J9yw>j7dJzVa4MxcfQ5%*{I(MdrECu5e2k%mI2*H z0;NMNCZMk*;kl=9>W#K-Xb3TX)JGLMO8sqmaknBa;^Y< z!q+a_?1!9vK<$M58J>;tiskk!;dh#B&CQTF2YPJo1bF0^*PG)A;3807hgDF-iooJd z%E6e)b5Jd&TbUx+LG4FPXzG^03Y>{O znQ+6!O_}*8mt04dcq&T-0mS4~q#JT1*T^=%u1I?$=V6K-Q%+p81M4?LnXlwzG#`~( zHpJHAJ3KDL0yIKNoKD`$u)Iv}#Q6yXuN|Kq%E|dP9M*)~@LOr(1WMbVAnZ~IC*+10 z)lvq_2J@OIIv|R75zT6wXm6PCnRpC^=dP4h#etrvc(u{USal;YjI&Xd4F^t)$H%M^ zj^bopfXIO4IrplXPnZRs4zq|xA%!Ilv7+cjlkfM4h05*4rgo7bnNY~so=@a=7vmeV zgxdb#ge~qIIZ+>3(ovj=wO2lS@#1J?Z5=(SYqKYHa7D*oIJn{*p3#wLk*Iu(9{bzKOlhYQ?U1p$$4I_+0frJH(@aZou zm!U~R;HpwWlF31q9#=ODbm0cdCD{Yv!m6)*4F!~Dt(UAg^rG@ZDt;P&x!}fVW&XXG z;3XT?VN*J{Q8%mKz^ZFRhtX(?1MUt!USoZj9znu*0Z|rlQ4pP<4h#*qsjF{P)zpVJ zb<1oV|46}Ujz)s?S99w^i3}k?QOyYhaVjb|%RZ}|3Oma|I2JNz(lX8jO-TK*-Eh+| zA{pAm?SJ{11r0cb{uLpT&;MNBzkuThlTMrK&*lH|i1_1^(vMI2S4qKier&ezV^jX} zgggI)6?TUGn9bL0r?J|ST`yQ=aagsiB`(oFiP2^ifftUEKiz}fYsCN8tL4N!mI=Im zDQc{{?>*@!tW06Ba}N)!Pi(LR&PM6e*?o-?!|w-aqeNUXG5f619! zI!P*KC*W4bNC$B&%9z+eJl|A0^0-A2q5rrDfg+#B5;-*XDOrMS@*6>oL|2G0DFPW0 zwA%R(GLb}KasXEKUYdGBMOAPZkR&bzx{VS-1rrB4Ja*%;{?2S^3S|-&b6^F81kL+S z)nLpuRa}$Td4o(vL{1(^$6UV0gu==pi9A=3MAIGp-G45n=0_B(jh}6TvC=4<^4jZ; zaO5@n1eYq&2%B-80{hqj!%rS7K~~g23SY>3$etwYQWY?nR#I9ki&L^zM&!ZvYb2k! z!=O&XpwLbAwiZ#0y*#4rX&debmMvZe)eDGL#Y37&W*GTqK_1r9<;5iWg<2|&(2zoC%&Lncc(&O(=D zdBj}y=}3kMayJszk|>;#%GdroOwvag3wlAqs+X_2$jBX%1kgol%6}!C zQ?8vTCUO>lafytFv@9Cb;WViUIdumW63*0W1w}xQ%GS`Lj-89bAdIYN>#D8vUydc~+Y0%WRFG`D^r%(z6P%>Wc3I|LEgixb;Z zQj!aYx}f1c<5_a_JPY#?i|tgRkp-G-i+&1Qr!-0chH`A%akxSOXC7+N4G4sAiKLW; zW66mHHCjeWheY(?$uq7_S}D1X`23sA(Oar;Ey$_JIpCTI?S(Mp25|}#)hL&#a)DhH zm58+8Iv_Fvg-TM&zS8VVtF?K8OJod#JXaY%O*+W1W204Gjbk|0PgL5;ffzIsO#31i zg6=;;Pf4MKgx(jGXTd_LLZ^W{*A&eMc34(ZwhB)x3SfYkQnrOz$7S)zaIsH5S^O^a zAyQ#GYP4zLMorhRDebf?j4qnP6urdS>eVih=UFbP!c1Z%qQRjEW_2W-vO=h>OhSO- zqtQnwBw|R8lYvDSy5-R4bk9hBk$*?ZPT=dJsukv0H@7*{Djrv}YcZ1f-&X_u}19O7925D8FduO076`fhAF5+~`oy-#ImyJ!crM^{3w zSGG|+PI_r5aS||Y-T%2$(vSzw5p2Pn()nCyBUP(fD;;v?EeWF=anDKAz(+PLDm!e4 z>BOE$cA;leN249{Sf$ah9ZCv`j44?hB;BF99pAY`X^hb`JaMY{q@-XHGMa)GFiIt; zr#<2O+bnHF>ZAh-eN6ZmE$mV6fjNILXOW(L1R>I{vwpCeuU-vpUQL-b(GSdKY}ZeT zdPW=?l}mSWyZfX`Njsp>7>$3uY>yxLCoqk9m}bmR(8>UEy`|WEHGD{+R?!M2Bd=7Z zWqT2kM0w;oQbdC_B`63arHP{P4|7a$GOk;6#miETLYZ4vA@RwDD425YQy!+WG~8+# zn}*O$YDAzZK^oFsnSUKs7x0^i@)qd|W*|=j$B2>$_03!X^o4%09| z-Hj+`wMo~!%2MYdn~lgl+g}J1^%G5`s0GY*?7APzx?64KB zTv{Njh6=$#VO%KfVr$2BbYa< zvQl&d)tyP%gc4pz(TxAhRmLTV$8twG;!P4>Q?LR87GFP9WImPs>&$l9WCRpw#V98d z0M4t(Bu7O!CC0=C4``kdE^+;JT&kW&D8+Ne2?4ET1!5XnP=ItGMlKbTp(-^*2y!&# zkX)WwNN~k-srDwtvKt3n-x9c;hKCpuRc&{;@{$2Qkg{v5@`8ER{-Oe4B3F_Llw28d zU_q5KLDN;EGR+`C+$<4gsW_+A@yL<#P@>dKk`~Fi3#BOhj+`bNuVK(_B9_Z?i2u$q zF~y}KVHbQ6GSB$3_7V$aq7sbcB<*JsEM&${1eJHu?HC%fK%$vyLd*E5is)vL?63ln zY}4&rAR4JMf@!4@im01YZM$hs#GNbK=tdGlw2AvZyg#HdgkObMmy0Y?R3ltq5{Pku z6mxo%*aMYKx#(=f*jzzMBm`xesXA5>bBzMd=+NZt>So;lyv81zaFSrBkUWPPSDCXE zo~07QBnSApA%STlBGGoALO%#Q2G zO|ir>IKLPt&QZPm|7Y#}V=POu{I1BiG0Ao5xw+GN4Cy6p&h$PHk5(}}4`sW6*7{6s zYBm|SS8tb=DXmBFJX=_$Rm-GT4Qk=VI^C06r>sVLURqXR?MfF<|538rR$>OxUM=SC zOle($u_(hxZdr>+j~f`QkzBw^UV$z6d{5k$Rc(*G#`4L$5hqTZIC0{{iMVlp#Je6u zV<|j5qWY{b&uCBtk>Ngij*=vYz(M~Ao4_e$?y4L_?|qw43WS0^ctcv$W+oX=5T8FN zZ~aoKsUqt}39wYnl?}LpnF4U0xYAl3*=i`1bXGJCRNN;Wn3DlgRmO4f;NUk6)Cjr? z33*wuQH5CCoqV|;k%bBM#)MCl9LEYc(_a?N{w7;-j-3CVgiqpVzRpo z7u1T3XTT6oIVz(6(X!^`A(^Ld7c$v>t!xQRiJ~W-7q;0PZ-i{Hs%tZQq`AnOa)p$5 zaI^661FJiAbMW85H3t9$mGS8MZ;+Oj`BneG9CFeWb7+3SF;Eyzl zF?OMaQOaX2O(;sU?JyaJ$O)~I=e$Of@P>Cn5j38v87!A|x(DPQm| zMap{s6BVD*AwiZvUenAXO%RNAX`b>L!F!*3t5%&8&?+d1F=w4$VSY-={Q9enKKE83HLq}Czl-H zvTzM60>suGYr>_ftQ@R)LyZ$CMCSpCvu%o$TVzQiE9K*^*4h=20Gp1`6hi{8WPIFk zPHF9keBqkD^&=qV)ix8wq?E0> zT+tml;!n8>;DCg53G>Pe;3jSQ!&8J4&&x~N#iyAo*GFwlL8@?18c`UV>a2J`g77iu zeZy5$xtGMvcAuA%LK-7a<&c|l3XQ*ONHSw2V>Gu`(qYgcH-JP;FyKL_-K+Hp%86;Sx5%9=TL{pAbjR&l02~M8Sx-odt!T*xi*sC; z7oH?~U}8z^pu~r@Zp$%!I?zdbUK4^GQLU9 z7AtS>`?=Z1P(JP0&+OeX{B|=Ppg`YO&LeuyIpk)33^}Ij@42<5)2<9rvsI zyYgV1_os0eZlIqt1M^)phGvIZ;;MvgvBJB&;J+bzT&D5*q2F<7#%#y6tc|1k_Q|+@ zgn8G&E#k%6mA4LTy?gF7fT8J^z?8`33Pjg&y7|Yl#)C%fG`t+2yQvShp{P#cj$U7^ zcj^~el)PN-;CZv|PWNLkGqK%3&}aSrZ0XxlPHp!3%w{k1(|`5LFRQcofvN9j>hCzG zy*?{nJbe6xZuZz`i*MI&&n}1l<36n6lcL{dr zQOciwQh@n8_qL@n|FU_;YK4M|Y{fa8Hq%t2=8Pl+`4adZ;*nteyy10o|%z-yuP{`h!;K5unS)c3JTTr<>-*> zdaceQ-L?VMvy_61BbEFK@c2cvE1KA9M&KF z><16nxN`VYzwMIuqFy+BhbxJtvJ7RcTrq*4d}wEV8btWMK37?z1$uuZwG%!9ohrM+i?)}`g#0Y|89{Hw$=>q z?pr-b+JejuKg*UJeG2H_vY2j~{P>Z$4(k_Qkm2X^Z@!AbiC=%+w)iUB7*x?LmkyOr zgl)IlTCn^b4;eW1aQ(Sq2we1qFBQ1hNcAJR6^9*HMwu5sb@I+Q&e#KW>uY3}+4q0A z3**M)+^GylzeQ;be`}3-qRu&2lCJCw862|SY~RY@%jIA)*=x)6U8Y?bc6sY;r(79c z-MU=1j|cG6-q@I04539JY+l*Cv=x7EGCXEfoygu@I>~yA4js{{GO58uotjzb=Gdui zdr&bPwfpd{gtPe|?Njjkh_C+{xGLYdX=-YY;9QppJ`=I>f-z=>X@J>JanFf4V*UrW zsU=$svLiY=4#Ur8JY*i>yK$7jRh=habSA`k zIXP9Wi$gvXbTwl+IouxuDdmDn7|pl+aUp%YxVR{RfvX{fndWFWD4({`c*!d!3-O2# zZoG1#5K~ZChK)g{DI5LhvQu+4E?Ad21?`cjOvs}kPw7@zgT*v zxZ9&T@|D)gBuZz)P%Fj=E$ZnRQW63JScZ6f#w;dBnyzWim| zGS%GpkuT-|tgCyDr_byC*SB!-3#RvCM9PdUYtENkUTRy{Ubyk}wmklGFYeZOczyGY z%@gW8>}K&qdcMj=9-Sf1o@}o;r}p*`A{~T+H1_7rtB0ebF5WtlUZgIy+Z=7u;&5e0 zP{d@4WgRkJueZb)Z1k8+M10KW_Ee`?A?5>Ii|sZ@vj9;K&}sn&JqzN6J|qdE&J`?| zp-3-FL>ViZ^t-I{9^(nRZ^n|+CP7>=K;~?euS?70m5awSD*v~K6sYGX)g1(n$J6t5 z5_bI^e&(}uh11hZBd@!02jgP+!#jr(z&c-Z{EXWnImc_R8=-TZ)H%5?eFkCbz86*_ z(&|f}!#|>SC$FZL&0oBGwuU>my>N#3)X94z-G|42UZw`=QcDecOuD{Ql4W3y`$AdQ#KH z!iZq1VXj|o6|?V^vu&9vAT?)CV0wI6zTsD-SyyUYaGk}}g57xs#3{`(ANN99$Hrt% zuAI#=bjSC?{E12(a-~CKXTEVtaT)t`dxd&0YP@Of`j}W7bL?T(TD_OlMW=a|P2(Dy z!Q!Udskt<=ToH03MyXL0m0(5N&ux+3~#B+60N zE)hfpLIE?-PBBRfLR#WP3?E#Yc;n)e3yH4RbYg_ujU<1^{|r_pf7eM?Nj!ilMuulj z^x5|<=@l8?TQ1xY7&X{>MDXJ)FeS zxGl+Y<8+`hmid`mDc;)N(F|w%OEGa(ZrH#@?p<-C9JO)eFP13w{O@!J6Ex|HxQrov zppmSkmov+_-#Rf@ZBCqhr!@X=Elv{GN+JSVBj7g}*oqTK!9Ei>@yrntAkH7sxMU5G zevuA|4x;|)-$%f@T{uvkBQeUhCZZ-E9U}!~sI`Ew?#8{WR$k<$HWhkaG0%1dE6<3o zj(FBs-jT9s8SrE1FQON5y=dY^19XYn2xAV|lpmOEe%-Aqu6xo(td;Yil2ajkhXg|- zR$HR}sHwy)S~Ufe6%RyG{0Z4o-8k6EFI^;5pc_$x&_&AzQ>ri#?a+)m$;-SrMAKE3SPChdz!<10h`|kC%c8TBAyeI#H!_l!0VR zBn2u-8(pzwOAg9y^H4f(8VJF~B&Br(L!1;uT`>W*edh-|K>xk}I`8wcaYb{?53zBD z*(A5%xOcfFCpXb(E6(GCPv-U)9@OS08n&Eh<4Sk&6KtNjy3!V$jQiY*^AS^vw&Hxf z%lPZuAvZUCtkUa{8uD zi`i}7HqlH{`uf$c!4! zKNLe3R0T*quPRMC@OI+A4>XHCe^9EUU|D{K4B~$XNU%MhpOq%zU?P7k`+3&HRqyu( zb>BY@w8sDAl>Bwd#IKY8PcHk9KV*s1))`LMa3q|Tchb9!{Q!R5$A_+Wd&((m`^{-G zQ{a#e_5L^B;nB$Q?UzbUj?Nu)Ti5ajUUVL0c$AYD%Wys$(o?=gN54B`mb^RGa&FG6 zPj|elBSY$ZcBW5@Bx|M0KnOZ(sgpUE5eSNOrzKU)sY>7q zf-|5cN>cX`py581K);4Qa>4_xF1bv-=T1c`Y7F~rJGWjLwMj&4zE1Wku5%FAvr%k- zJFM5dz)Ch{uYwL*WO#g4-eG=l&X5p|XJOeY29t>Ya+f4>%a# zJ|lMQeuJpf4AYe_aIng2ua-qQ42R4zz)>`xpxj})@;Vz!McG2Z5;R8ZoIvzV1erqx zU)FwBn7(9OFQ>CU4j~4OQzoZNqJV+kGNL8I#?~%q9UTLqf!@fm*r#Y%qOicWyTJnu zj7ft3{)7(?os+#u9_Mlbb-+hxOg8OA>COD&Uvj3!+G4SQrFBbq@sX6b=?hg@!Z-x2 zXs{~A?s?h*2EWqfm2Xl!{UxU7v=}Lfun27dWcnF!ED%?M}rUK_Ltk5c&IFxz72NE+#qC*mcT@$Z%CLM4|NW|;bzvF?nCpcOx<0yIBhco+WAn}XYv%9v}= z8XL1$ojoMVlG0!o++$aIeqO3hgi?*x62{tV$hGyA8#G8=6i9bFq7*d#dCJtqhU~D7 z<}At!5_9UPWq2rp=~qoMZk;lKzsbQb=1UPVWQ@NzDVjWX$)Mb3)m4IqK05+;H zE!+tG%dZxOy@WRAtbz+F(k5r0Yg}RUmGu3xsINT2`-Wh6e~kbbnWt%FEYZgWn4#jPkcB4&cF6?Y{E z!Vp4v&zNA(X?sFbx?M9j_Rk-bzs4;CI32F^a_VO`=S1n!gWVr%tbZEFz`%XL_${Ut zcL=vo*`)Or-leRImS(YJDy!t<-^?;|Tfczk)39((Pr-{?^&L2QJ{wr#V#cJVlZ(MK zlpEzeCZ4O(ooj%fYCsIq&o1noD5P8yz76Vshb{~HiI1d|1cRNyfXbC8oHALr<1URL zC~$!us1`-bUaN4@-g@;=>Y1iP?zD>+*xC7JaUX7%6cuTwKs|Cqpp1pX?;uOObL;{8 z1eHwu@^TjD8XmdjCMLEQj>;`=hRh5jxnp%rG}sd6EP3KRH>=g?!jFg7*wPU!xAm45 zEIv2rJd`INGWr;Igt{H408h*rf^NSgGM;X!b~;TQDO3-zGUPUndM! zKAM+^Uq5#UYU-kfWDSUm6itnLNcXs%=2*7XE(QcTTjBbJBuvsDWEzilVMNEubh& zZegwi!wo3V9gPr3m+q}!7Bd_RSy}~j31lcCC)9Yy@UJN(3&5Z0zOKBc5u7fs8gwXX z(1nCkK$C%BDht-*;NmoqlRgp~hjY}~q*Z|{!CSOXwpt`^5Q_;DI5IdA+PWfy)0v)n zg>Euh6Yhhu3PokLF(*WKLk4=IuL)JQ0vUu<9z+vn-r5z(7050nIIyq6YvGm&=J)9Y zO|E;RmB)VzmjR!pZ0z!JK(b#`&M?fgl*lM>M={Rhicy=ZO=DCe z4W3PfOgD!yR|798IonDlSu4crF8SP%I-!KbCwMA%q6UODlBO3VOSGZ}bpzg#ODE6i z5y?<>?T1gq$AniZ*(p8iW851ygA_r43bfN;$of;n#Ej=c6FJ+Il2sxhTg94%Y~R7; zP`mQC|8~nI6k)HRGcHb=#Y7ARsk#Xhc!n)-hGPTq2-lDUXS!?PEn24x;f%|P)<`BI zU{N9wf-xJ=hh9Jvmn&n*(Tod1cM)2D-tuf;mWcy6$tH(`a;&qn!GSu3PcmleZ-h)~ zlnBf+YJnuNgdcoQOXFioyPgYBw_Wg9Q-(?>?of{;j`=|WwgmsC?4>PUbD}O`+G!bE z5WUvi*HM~mz^KKbrjpYbmWVw`2*h}C>G`QYPlU%0!49oeSZ94Lpq!H3`;1z#08Dwac zIaY|0;0n|0I^IlMl30xhP-zFH7mU<$@ zkkr;xWHpJZhZ3Crbhfwrm4=5dS0aB~H;`IwhOJB&<)I=_-&JJ@O%BcpOHO-GvR{U4S(b&cRb;3wcyg$y85{%e{jq0Z;s;+xo2p^{;@8_^Jrv(W%2_ z4nW=G5{?;1^iOGo9ljQ3(6`jJlw@qs0=NZ7J%oH?+j&?qgF+ghR0=;w3w6(|gvj6- zgL;jrJu@lbMimYnU3P?vAPoaAm?kX)J0VKB6%Ge~v>!)g0Hzwsl5%iG8-I{hB=T@2 zbcK@tTHpvg!+oU0$U&Ftq=qmcCL~XDVNli_fEf%9mt_+1s%@u6tfBoUUu80d;^0n( zXZDiVvz!XKG2ZtTmCY?!EvagdIZwip34TU?YmJKWW+{beuSnVPL4t|GM6ztLkQp=> zd5DSxtU|EmXi#<2k^kQ1-O5wDWnIj7LK~aAQFpoWpYGg2~2xXe$PKm&Isi$ zq8+^i-X^T7x0kn(I+5cbo2Gzn6>ENe*6|qGLf;{55-ekIT4YGnA$-5i$uy1^&XuEE zcNXPb+zc(464dSnOLbR(uQ;qpyZ{v`!cbxar&~Pdazx;|1DRA%3vXtt;^g)=cuZ+n zB8dZvMG^>@YLeR~ov$OAW+3G-k;$PjFC`KglyV3g1DOuY)cT2x6idj-RMz?V|Grcd z5Hyo<5?f}nW~eqdFhy-)=U~BMJWW)#wrH@AccNzRy0Y65rJRHDJMjcKJZY5**LDf3 zqCzsln58$D==ekeVi_g~jRN<$mKmez2s=60C_i&H&|hv|8sw1QbM9vh1RQyY9|(?t zqjHMNAJ3)^01RVt~e=ERgE?MVyLd3fU?Sw`gw0X$lM zR_Mu!ScsDCJRbT%QbFen_{ousE&1q;tfD3(A(K@!r+d;g+CTI`(5BsPQ1LYfcO+qv z`bezhaR2+NSfNbaJGZ3wN)dP_o|rz&TsIcFGsoYyTdz z`mnLbzUEa2e(iKz;$k=FXHRtV?s!~tbBrx4G)pIjzMtcCw~qMj7Hcw>WB2sPE@nT=@9w;c{TY#Z zescb$VLmJ$=*8Z7f4sd~+&kJWH{B)IQQh)B8`xI<8aL;Ccd{$rjni>`(U-54OD4dh z?%m+A;(7m=kJ-o`OWqFP-eZ*GRUNn>9(Wy%^VJfkkn_)n;_Sxpijw`>xyQe){S|Xt zsaKlb*SzsZU-Va2Fzu3ioLDYIbF6+J!1F^W(r9}go^ zOt1Np)bEjt{HgE1Al)4v)`7Ub&~1sMzA#^367#e1FPZTy@c0+syJEgMT>RokS4f$C z{{!mgqUx20<4DghZ)ro%oLJjmcwMvUGOro)JsVm8@C)OV;DQilN zrTWV=pJ@7;?_X5{+OPr?^$e|i1t3c1Jc4XUZ@9-NO?wY+kCJ1u|s z*^7rrIsCo<%8=V%ZWq*z7Y<*4V;J6!ZvOD5t+f^B{r6ec@VfAKe{n$C%d_!U;)?NS z7d)J2_k}%O{SxuL-_Db|abAD==3o(g`SRB8$=gxqS3kmR5Vf)ig3`ywkKQlD)_wS; z9I!VZGH`eg`gpitb5Zewcqk*>Wzz)odbHZb+q||NH`Jn9FCXH8rPkOr6OKWPfWTb^ z+R!tv+fNVlCwA#21xxprw5XKvj-v~4h{_ieKBNU@ee~exbZ%-J6@Gy!gbL>Ei3Yt* zh4tnuX705zsQ?&$(PH;uKvaEoeu;ouU)6;uhJXF0OjYLZzdtJGD+S|-sTR%fH$JON zro%e>%z76z&TiW!=@%ADlU_7OFMH2lu=j>E{LTEJ(Co&C8`ZV63;YD8{yRHIYOPU7 z&lk7QA&WD1gEy8-LVdc4LC*Daw?^Vs`A}X~=c|hkB<1)f_9ksw#E(2+{F0U*WOsHc zsZ7MceEyIbrl4AkzQv$S&1zBAbd#_(N1Cy1Asp5G$rGevcu8p-3K^=Tj=Ix}F%IPL znm0&4p!F~dnGD|*zV2~Z;>O|4S2WkKpMQ=fYO z;%boTE{;a(y1g1tN2J_Ze1Pe6weop*+3Tfyw|j7Bs^T17uD7UPc*y zFqQTaSJFk=C~|;^HrCMvVISTX!* zQ}@-n%k-;ME&5O2v@P-R`|n?kB|&QPOfe0Ad%i}lc7z!6WXkoxZonIh+9M|Yh%)mR zq?8O_9u0$>Krb01r9bsT3ChCq=KHtI8yXZgjp zT3?@gmfDsWqpD$MaT4v#-N;x%D5P}zq{Dk$FHugL|@zrq~*9NI=5aGgL-^?J|BpW zj-Gtz!RlbXJHto$<;0;Y=vImqq?EhtBbG_n&Io}^WyXVGcX#sHjc0l@`S2x+KM`2M z1pd9=T`Fby57nhxCbqKXRS|c0^k$Yi!j(pH%h6rza`PNauUZhndI~EB&nsz2@{dAX z*EFtjes~&bj8_;^q}3s$7xTG%l>A#py6Y}37vk8FiAjm26REoS;~FHO4@S9bqz{ODUWi2Bl&KCPs8J~xtI4{xn6*YbGQ zxV;qk(yLkOkPC=WQ_)E#fuD-b6p3-O$b^u@=}Zlz14F)-Rq zjeeKAm%5|X5n2KLn?5p579SGBz4%TCH$5RiY*SEX#&jd#cgv_47j?y({M-!FTl#L{ z3!_cR9v##X_y%ycAr0(3p28t(?vl)Aa*E3dywB1B?#EkOn za==G6%`pPs=?HT87z` zU$T8H8@;q`>a0&QIgdtJAP_NLFsWi>OX{q{W+K>HVS2Jl+Imfdq1UbDT$_*Rvc63g zK%tDwW~<~3|9491)+B&8H=%rbve9`C=)dq6ltGGQO-l~o!1Xr1I)h6l?MkXs@kxtu1F5O33~RMsHxq|VC8(2^mp1~its zd`cxh>pCT8W)qjU{7IMcfF1yp47JRHm=^8XZ6s%cjt%wl86dU_rKF&8xJplew7@Pl z%}nb(Jrnnu_-jw6ycaw z1M@au%7VKh0FzCN+PC6Z$fnz{ern?e2U7kaY(d=Drf6M^PEs3Jh&kb;zf?|)V@Q{_ zp@*wz7eAVvDZWw9wnVfb6fv&HjA<+}s<0h4A)CTiab!s7R!V>X!7<}zxnlao6!Gd( zM#(2^9iEW_bzeJMPIjSlT=Z+ZG&>+|NIKWWq5LB)nf|Z;w{+k5hPL9&`8tla;&kPQ zf0(c0I9qav$Fc8!nJp*r=#xLHufFg#oQ&hVeHEvR{3^~>>A%r7u1sH|nYQAL#B784 z=fd`vuV1xIG~;Nd?JwiVhMs>) zU+CdWG%x5&G%eOvoO$~a%@4G#I6sha>3%?4ajwcr+kzgAb|hUMJ+l0s%{iNkvPJJq zF&leQ$~)6naq3sT(zfD!;~Pl%h%GpONmAI7Lp(kzrP+nA1!0$9i&BdZKCc#)_@QsK zEjVBQ-e1M}w*?BDjs~PiDgoGB- z2`vvz<-keLm_=fXR})pr+xfXta9!lIUfyYUgUf}MZpz3@nn25hV0v|7EghuA7Uykk z80XA&q5+CIoCtPB{Of8d*CdKXU?r{i_>aK!Jz=W&`Mi3auxo6Od=K`0)~^@a^9=EP z;vW_Fe^ka_pU;xzSxY}w;{SIeXCEtGTuI61g{Hq+mYd&5cV3VhMb8X;dExXBYeKxp zxb%Z;Bg+rO3WZmZD|Wt%(D~;@<$U0{x}H{US?K)c-(7x8WZ;C# zXF?EOy%(mlu0&|2p-ov)cS0TIH4z0}Qzh|oCuuIVbYQYWN*`vXl^Qygmhv+kGDR6_ zLM`X2;bfqOs#X&h<}!FeX8iOrjPrMBwnM0p`A1bUfp8VZV#Me|i*?P97A@aBq>)q2 zHuHm0rnh|y2(KxG>dbR}32Q<&FshJZVFSyv3H3NhEC{P32gLXTw5HYK&SpwYKtCKf z6N{jBI=wsonaT23ZkJ`5AI#V_AtBVgY#C_goa0F%x~6o~)cqpOQw3|-Y?8SG-|8xi zZa}g;9#Nb-%5Ng4iV%lu(brfEr2k3bO9R6!>&ZxMk7_M`3(mPhr!oG` zlo^zy?@y2~?wo_w4$l!T4vA7EDY9Zd?M{pq_UDu%)*-N(Mns}9eV3)BO)kjb3;;VU zA-+i$(<$MUDGbP|;~xE=wUwP{*4)6R#^VQOjzWMNlDZn@YLJQ&vxjFFWI>f2&%h>B zu?x3Q*l=Q#i(JDX!m~^V7j${7$-HoIk4*Rf;qM|ocUm&42~tpt!)6*h9?R2!+;>wd zx|i~9Sz&crye2h6maawAHT<@PW+7kFJ0W2Uqx5{k<{N25n{ZJ&-C*X%3LU$IY0Z5y z>z2$MA;tMSXFPT1+cdnyz?mxcH?w$Igj(wy{XMrJE_GLk@u?ikE7d|5Zj`*%D-;ag2n@G)=145IOzo&PKBB3sdpwjw@oU z(4R7{odUms-!J%&t23^Bv~l4!{%(6A+2K>i9CT9 zyPbu~Y!Fe(2=8kykU1eHyAOn+TECh2=V#hx`s!$@2U&NDLUR$8GeWf|%u2tvitD9-TENTGS^pM%re zuQh`fz)uwdGgtd7loNG>dNd#t(J%v3-~j@gWerXOpf8^>BS9+!LDipubO#g>*c9&P z`&p)2hWfLVUExeadGt7K9jH{o$`Ba^SeFt;43nTqvHe*@%50=)&mo>P${JuB zK@KL;vIwr!;C48Xa|x2=9WhiZh-`;`-CH1b7cw>Rdq&DHyT1GvKbu&t{U(e^f~qTx zuuv?TDS)5~Cc{l!Ssu~p zsB#I|)3a`^PXtz$gp`Zx8b!_msoX$Hh+WGdab1n@X10%Ihsa@wabZnDN@>X!26CP= zz+yIKs?%xCbzV#Ud;hz%<#eZ+5y%R+!X{W`EOM^5jFay^tHji&MbkbTtQADFJ~N+I zLQ9bno=|&C@`EZHNS4mFD%4$trmEyIqG%`?IDck9(5k96oa;X@6=$Yj3o``(=NV&w zN8|GnVF)vF&Y-D5&anwK$S6$&52GRyBilf9OrQ(kXt=>DWtpEs^O?xf1A0m&nm|<1 z!c~OB6kBqVw>)9eMg-Fd87V_8PxsaZB+zcRJIws}42ys*G{Y8d48KcpP~O@?!BfIW z`H#bzlWDo=sB9-qG|eH8l4<5={X_0eq(vwvPkMx=+o@b@8b(7gEEjrhjgym1$Hj=i z75RW{39cNJGChSLLwTp7>S0iVpZgvSIfOV`5S9z+i`0;cO*x3p5XG^TfvXk{Y6~#m z5lpPEtOlki$fj~;Ggm0KgWpuX^ouwVKWS(3oYXgSl%Ki?St(Fqi@{ zowkywKcbl~m_uaEkT?~0M^cnZt*+-;iMN0xK21TkKRZSVEns@cRqafkeI`~%Iy7?k zpt|rS44$r#F5x24-VQ#KKp`QT8ZGd0fx?1TL*kJH1$)e0DUXSeWCe-H?ydCm780#V zh7Tki0rZD<1T_erGpACgDq&4hWu6IWwFKlg6+_Dg_hD|JbLu1>DCV(OrPWv`MNi5z zSYwTp%q)zj9l zSVFZFPbp&lU9NA8XswAU!H-cU#~RjzFa(-CD<^7mc@=CBE%NpdC0Z3_H<8H6ez%hY}G+tfU<%K{f3w)#46bQT()kv|#o%7{i`1u^h zx?5MLeDO9&!|cF~zxIro3gDaI9(J%+Ig$4E%d1LEN6rXF0#e1;YVjU;Go<=D>n_YJ zB5$)GR&diSTUpN`GboH<1lAO}#x+mKtg;_jM@FgUu&pI+C@SwEhlE%VwA!d{ZP~vv zo8`(uISzwo=S@P;*%7Saue_1t?+4|lwWlm64i3dAIcAiBH8h_7O%Tg7E+^-NYL20RGB+zq5b zD9S)c@_AwgNJv&70r(q)=uYU_ zAZQbSZN%O{g=C%tbA%|Na*f9`L#?hJ;F^yn$kk7huEjYzd5N|7KL!w6HADiFmxeHb z=l@jbS;^L^A&vx}q`vcqd0aPkM|@_+m7(WidP>G^SKgywmCA~FZQOf#3|f!Ib@?V2 zO0;ZOPD=UC()-~|_nWyr_RbmPafh6dPqajTeufB|ez6E9rlYa$`uUT(&?9rqM%LGM zChyl62`;xT%enRQ^YS675@SKbH{!MEpRfll7X5oD*OxcZg~o15++B?*u?t@G(0B;-TOgJF6}uA3ulr{?A9TWP%|w=0inO+b8X{mQrU zy6%HVuzgrQqA4!X`J)|>=EfwtjI*da>tne>MKX^TW4()|PTtV%;h+na1FOf^Ouv_O zFZ%aa{C1E&^f@p6io3XH^HOe)yfMl%`Od!8>FrhaiLuWShWdd%7c*aTACxY=DE2Gt zMtb*Ac{Sekve<2r|7a(jcHvK!=u}zI(><(QY0w3auvR5(C!HNr5DhpX@A)}ic7nm_ zFyo?=4jB!9HueYMV_R)Iwz3L_On-5PYiy8t#nf~mDYkiH!)YAlP2Mezc4>vTPcgY6 zx$FiXH9hXoZcUw+_+#LzF`xqjAJrT+eJV`WCmtbbqP@?;3pR zk$Xi>f8tSCQfm4ke&y_HjLIH^^}|5JxxPR{!|NMo)W*hydnWmdZ+&!C0KR8)RgiM@ zU{vn%mD{6)ERG)RBKV$7zq>$nzA|x%6yA2cbw<3H-!!9eJ^say2I7=*#n|EX35nt5 z$8jW^EjZ}i)qA(pP?R`hUkN&OddZ$%+`oc!v>law+zrY$?S2_Z^Om6_rQZGkp?dgs z84xJF4vIG^!SLH|VeXF}Tn)s97k(96|73KydwR|c=dVbt2m#g6(cue z&T#Eu3)HQ%?c2-_-~CDTdf(ss=;{hn%zyQ%Vk;lA<%H5~5>Q*`-Novyu~z>Cqm$RO zxv<~pE#c)db~C1#_daWD_LbD{-jw#;{8zpyDcJorzbdsaAJQti(YRlZl;x*)4`jpB zFJ02=uDpq}%_#SsmuW1qt;SKhj9XsO!J-%EDYc!%c)R}Tul*8S%intW0d;lTHwQ`S zwx@%=4Sje?vlzFHs8c%7D^W`+Pjuo3o(__3w(H-b?(L`f&QFMbH7Gti+tDJ_n7K0< zmCH+UcSr53IHiC5D?xg-;@c^)`6DDauQ)i_g?>|=KZ!Hav@46M;1RKcqO6=RMV~?&py(%Pm-}f?x>;N>C!H0D~SB4_v zz3oi$(KXSTz6pCA9>aq~b`!5>GwcpXcbW>=4RLo9<9{xR{OsOiBc^B?-YO|fG+(iA zZPYNo9F%+SUW}NG?@etS6Y=vdGTEZ;Ts~Tl7sp22_jmRB6mbz$yLm+qV>-Q1P4}Fw zX_dUpcRM`4EazhD>)*Lu0%`q+AIb?ZGrq_CRGws=rr(uC7hACB3E-Dz5yLdyZ_-HI z<8aY6p)$IUqNVza3sEtx!n#;I`A~ezWsekyN6okG=*b41HD8g-i{j0|*j6pU;}rmx z!{`tT=VgG7un@voU3?lLN;Syw0@`(tN0rK!jLPG3W&DV#CeDxFSZ>L}+CF0NCFdhA zl`X2a!RzpkP-D9{?s>@Yx89IxFy(!NjBEd@8auB~fAvZPE^@`SsKODlgxh68emO93 z;mt4-v(<1TKIWX{>-%phUL3!+7(&=ibqS%7D$VD{NH1!Hh{ttwA)7N!*Tim4nCYNM zWu{SlgttL$z%G>qYaJ5Hr6tMLAX?_z=EK|VHtEgLFf>XaMJsYoLo<)SU~m&N=MSU+ zO~H!f@v@>qjTdqA8%z&;B+U>Qm0sQbH-0Jp*?$g}#e&tHgD4lU+GXnCQ>fk458Uge4 z_@l+&$t^g?i@Qt@QhR(7V?}^gs4AVhvH2lYEZf^p&H^c`Ran5kTDieo?%!9l**JM+ z1GP{*bKBi)TE=F!V5+&rVkJv6j^Mhz%6`IRD$S^&!7@f`k9@TxTx~^X3l1%zg~sS3 zW{x+7?JH5jQcAyNA*9r4G{c`>h`zSdQ!hXHUy^bB;CMQDv__%QN(K7*bdc9E*VDMJ zTjWRW`0xzVhJ3l+Os@XDUzOqO@q1rOHTu&ubY04Cn2=uKbCMYT){?=;9KNM2^m=k4 zZbn2(S@gSGp>#L@IZ0y*LCad1HW;WfyrwRatpmzx>zigeUezu8WecmdWo!o%ka1lu^inU-Rji1<5&locke#g26cF8rF8a5Q$-o6rRQX;xNBeNsZ4Kb z8rzbaiE?gXIGjC!npKT(A3e?U4VOX5rD;#OTDPc{^|Z+bD|plW%9NW%Q?wBm8($dQ zBzdt?T)2`c#bp~TfBD}5?bui=(}^hHCl;E=x8%Vhsd#+RDT(fBaA>O#*4tSU>T)dw z>LTbCRg_e`?6x%e#4D?_4V3xzj1+*!27HId>rC$X=XSYuq_B=rq=7m*LJ;U)4%n&& znGVWOr%`!+JDMgP9o-yAVv9)%o1T=YQl9RE`Y~`#E_}xWVv{01z%nc~lno3m zl2M}^aKV-7HsY0^o|{YgNW(`Gr^3~@?hv|DI{C%_C9@{WOHH84UxZ*&!cSnNF+lJ+ zg(dex(pa841<6Gf5rcCnEof4h9C9v5*BvoDZ=iW7H=PTYrh4EIWg(Lh1Ul2Vxa}BX z5yTD<(OV}TaR0}Zl>LHGpNArzb^K%r$vx0%f)1hX;~qHF zt{(;>DjiG_y0~I33>2+?bXMDuV*=)r*XDiMNxp9T9IBcfbe~G3>+y2tN&~tUgR-CqpCci+V()o3q zNlHu`dd}nE)6@2s+|a|9Xudk>@Rb&S^((m*rFc;{qPf=PhbC#- zii1wmcA)Z?{%CFs%FRW4U1-T4+Dn_Z;`|Mj?z^vlUGY)>_SYrlX!XnaRh+JVoee8j zrMe$aTTYnn9CvcI;-2s(dbR$fs<&)g1a-ZM>L44=c#V_NEPzIb2_Ode?#77;>1!0O z6!_jP@i~N|G=uoOf_G~Z4Row^z^hG((Cd~! z*!+95VyJ&Kuw?rORMQ|?iJ&V@a+TQkCQF6|=)UEnD-%Q-V{eTPef8s>kw zEGPtA@HKhrA3;r3*WR}a?mtN;ByAP?v`&fk%r|vQIEvQ9=al1)KlO4SmJ++1>d(rI z+*t{Wb)iz@7~nz^IiWCtmv9O@bR`q`A*_Z(i#TUlds)LOF3W@ZcO&m3{ae_ zF{~VgPot=+|Kh6AaD+1*I$x$pRO_`3bX9V+QvDhk#puGL3QD$*JqW zp?S>~pHP?9c2(*GhKD1lw|l2_QG>P2U}TpXhP`x48{r29n4S=y2shb&%e``BB|s24 z89AGYYOa+hNH!+WbK-@7G=pOU5@qH?PA)P$Q=*Fow7WSVn27hp^%SbXvQ0(PN;4+v zY)s6stS+xeOjlV`zUCFgmpA~~f^Kj*8cHjju;if>4`HktC$awY4G=-bwb|f~{G`3K~@jDTQ9~BGDXVjX{Xrn{DhNcY_ zD*A>~XO2FM37)PnX;Jxl%E|Wl1!H^*0xQGF-8nWj{-O)t+Ks_ zc%4&+<13Uwn}6wkqqJ)`n|Bs z)})^e5Jysojf0e>A0>WpP=5cvDvx(+#Z&JjH8q_KA1lc@Rj?-0xwzxbCG!c*-$B@- zyhaP3HNfK~g&#~jb#nM(i&@1KjFp@)$%Gpampp!>J8?`QoWd#$rAzl1Bx^frj zvQw^TEM6_oFVbZN(eWW7QXwf|dUGjw>>F}seq>P*)lFdM;tF!O#lvALLiVW3#l|Mv z2wSNo?U2NTj0{vr%T=f*D+&c`1^W`w&Vq(?k+#fMkfx6K&;Cs75EDhf=rr`RvYDko zn{7odFDvM01UVIGM%q@x`5cTgcc_%5h6cG_N_o`{Ru1Qvp>lSftg9)PhSvLXdqh>! zWn?}ACQhcM2&xGIU^`o;jhG7c!uCrdD-W~F3FteNS*5XTK%|-*W?~v#`5Xv#X0by1dgluh%h?iay~`&OaCvCbP`H)(9-j+HFS3{q{Y(GW1Xj3WSvuxi&RDoK6 z0z5*ba-qqah!~x@A`4KPkp@?kb_a2ALF}1YGN6S2Lo9SwmVq zRc+@V&~J-YR6uMFDr}Z9owpUsnnMlQBEwfOvV`JS(X(EY>XSa=0+hnDg)VaJOf(TD zNIy;-Hmyq$p)PWRR&GknTDeJF zQxPBCPYSBVCRRlaCtF(d$=*l^4MO3=bNOsFCi3+MMbDkF-x&)JK{( zoU&G#-UkP|I(Sw^swqv@O^*BHq6YI}V**2~1hIVUZs2mB6Nwo7!XD$S18N6xwRkF{sRtj*FSGPl%)x|WEIb<1G z<>TPjNmnJ*=?dxf(~M2wG>M#M`7KsWvFDs=vm2H=tplr+U_yZ~R|qYT5QPU{R@6`G z^vogfYmF|bI=#_R-?g|CkPV&?Q>-Y`2p^}2iV5<@J4o6rW5$s&MOv6>*HcmE85F?& z#CK6Kgf=i}uG(i(fK*ds($)dz4meG&#DL5H)UkOL z1xu@7f0ery4;;W&c}8y!jYY{(I+$`Nt|35NNmHN#DXCS6*ej7zNj?$_T zsz&T9QC>n!@%M_NDopB+{Ev zPgo780?)7o%DZ0fG}5>)@QkBjSvB3WmpoBF7PY+RIOvw9i#NW@e|cJoDs7@=AVlC( za`!ylE%VAOHCqv?yUe@xL5ghmR<(HMR5EiO5b`nbma6DyWS**LI3{)HzJ887#5ARo zW8dYXQFuC2DCJ^wVdPwx#35*(sMZr#^{uFu=Q{Qf<+(%>r}Xm~DNb}D z8CXQCgHXPc8Pw31|N37lCE~erI<&Qlr4169m?_3W*{^TnBun}7G)N(-n}UxinGCj8)Vkda z3vduSM2uq;*cLqd-v0Yq23g7_T-Va{s*O5@ma$K%ePl?pOn56@gVgoiMe5^UBw+6q zE%m*^fLX9>(Z@pzr6tZ2Q|dYBf8=ZQc8HQv2=w(OHIH4;YoBbOY)vd}Qc>HLV|GZ! z=0&k@kCvt>14gD|B5N;^G?FO;SSrXZ4%LNXYQ!{z5M!ul11FQzw&iQ082fR5k!DoT zblbumuo9gThjEY?kv7&6U1Yz?1JVm(LX31)9l2yxyC)795}Yu#Px%wpvc7j{@Nnd0 zPRSNetWEEbK;!?DZ*aUF){1{lRRIrpp);kUi;{hV&$ z%P3zc+m|{&UIxGR&#lPSYxiE{hL{=O8Br6k83k$0Z8o+rYOJ?KUFl}nN{)U1HuvJU zeR=)18>5@wkJj&HEsY-yD!17tMpW-2d5cyZzaw;Vu^v3m=&v*G_ujNQvXM;`!?WBzltXn@UafFBQRuqpY)fK1S zTvQiOPnd+$>VbF!C9X)2l$~ynQAa3E3sDDK?u?VlI)dFR!M)imxn9dBf(<QS$;<0_^J1BUiJE!io9dlgb&ivtzN&SS*{i2kwa}@j>=a_5 z+WV^8o3aXxTW@`;y1Kf%>h%1}D_z&G?_J8Je%&Bl z=y$#z>D)$uzoVUL@xZTxNnbjZ#j4Bmv$d~u(H1O4*S_j9KHbTorK$5(=eJef^z-Ah ztPh<#ozChnCtoVP?&4$m3qgoR|4N8>|8L)}c}Imf;qFYvSD`)<{p z-1_R{GLvlmC7PLj&t{qQdp1vh{-tHt&(f)`2iHY){U=`5++Ejy;*NXKg(2FF)%kH+ zy7=S;T@R;0oV-wfTj%737=wPHC#e_hu%~xbtv?_iSE2Sdbra26S6<$|y(pgheav{0k1f2>`^8qW%O)VtyU2iMMr6Vd8wRg7u~x2 ze@<~eDjKHZ_&q%RBF&;2J^sw{Lfhxsty|0G zZ1&VsFMV~XRyx?8tRK&=ec}@@YCGz{etUWUImMs-kj9gBe}t|^mr(z;uieixS$zMm zsW)9G|AMY?bGfgs>J;*ezAfn1E$y0FTkm#x?YXtC-PiBgsF&{6nJ=9_Ir&=rw$6?I zw?3oZi+&MlxA)A{eymsS4MzpbM?6hEzX zR85uk)yV^=B2{qmKf+Gn+=>&ffM!|c&79)XS0iQ)AT2wJ%7CNYP|4ek(7@- zC8{)=ee{QKzm%8JTD$zUnaD0wuiF~3g5yp>JN(&Fu}Ht1BYx@TQUx-}9+qz1@z>Z2 z^|qi}x6YK-eQ=+6?aiY2=FL@6!SSt|MU*EecTX-aOVQmce)^S@lgrmvOTEW>y3+;n zZujP!n(9Z`;^ED*14&!IdZJ0WOnQ{+~TW9m2(5_e)a zEz&(Nud9(lQjI>fTdk5~-IG&}6kn{PF5SN<>wk35>EneiT5;mS#};qFIM(P4MRcaT zEQZgzQNajbyREF^)Mv%luKn|sKK-2OVq(1(s`dOlp0V@!%Ra{Ful+BVj{BS1o({)L z#k!$K*DX5e)ipbQ>2AcjJ&Evl#n)RzvefL^k>v+|B-#byO_3s(}-aTLZ zt)1X*QXjs3&wIS~Y;~&wowK>u#r)ADm!6zt-H&AWx{^1ZzRY2Ge7V-!nZaH2t=D!a zN;!-hqGrWCf)~@);*{D3N?udlm6=wm)r~ys)ynycx17^q{{FgK{5>UgBJEdK1?}+) zDK+=Vi&9(M@GqWM_|JYmtCg-sy5+f^daC?__xKy#jn8_I*V)0jSGMo#rPKNq4+X7! zubCovSo$OM_v?UfYFBr@f2qb#-*%(pW543-&&O+z&#t|pvl=b4?eRKJzg`Ug@~Kx& z^|}_(CFt?8#a3kM9T@@kR zTgt6#scuv#HC3mpRY^|ewKt;$n@*1!CQ@|SZRtCz0HA%4fdk(0F#-%)v1v0kjB zcIj4q|JB%cb&j!g?G4?}XUgjzy?0NMMw`#|b3@mTPklMEz!yp9jw-+5RyxF=)n%Q$ z;JJMlpv#qteO9MGXX;l3Ip)4~mUN8QZlLRbJhI)}2L$k9JwJ zCLe0t)lySEQEz#1x+CPCvbwb~-A;->dj09Ay?{>FE(?C9TOO6si|b8O`52#)S5B+v zZ1?3`j&(43$#ZFkzggou3uV>t+*8edFRNCc=A9m|6?ZqIwAU?dF+4r5>gr@~u9K7b zB34~T7%y#pS|$6F`ds$%y@qvT=~)$L`W{sD>eG1DYQCDSzLNs4cMF@;P-39iRLP5W z#wYAbtaHt`Lycd(y5IQM8mHd(%)QdO>LG@u8MMdi1UmM7A0hRtf~wWNsz}Gv<5hC3 zqP6-xc~q2AqV4g5S57bE=dn5q55NT{b)~f0OXpR5Uw!JlyDnR24@t$|$2|MX8Iqs&(cNXU3;<{a~H)$Ngm-G|tkCFKTAB zU+1hiwV!y=DW9@_J@FW0k1wiLM|thu+_OW8>F%Vi*QRuyG~C*B<)non*3gNgJ9jQ$&r10BixhO=b)*yb(^Ay9FOQP)bJr`O zyIq~#>@{8i^>V|75thl3++98sZ*jiCcXzZ{1^xP4#OcgYAEQw(C$U+)6)#lmT{TYn zW?HT6h7H3n&CZ_QTgJ*B*RhK>Yk5aH?eMboT-Iif5Upk})=o6`b*|+xjg39Y*Q8D+ z>q5pF$t&nf*@&HPiHQ2;W0fdmE$kP?VZ9o(dM%_Oq4-FTJjq)@A*M-*Hgu)39^Mj~ z=#iXJN^fly9d&oS))AJPsw^i(x|gFXo~g~@whr-xQk){A$=Zpdws0>Xxu6A|OV#x# zt)O-@?`wWD#HiN29CfUz^RoT4(^M~0ib`>6J8Um&s0;Wq;8h$SrrFnHaPY!@s9xWzPiXZ1PeJF8G5atU?Zay2 zidDqJC#CTTl8W2?Sb9!p<+LKPNrtEVOlw%8yl&>YS-dK%TDqAQy9~DljoVe?ehx1j ziM7-yKDJXY?MhRvQP=&$i>|A`ilf_Ld@Ihf{*H}T*1sjQUh70Xeu+jm(fH<>2S?A< zn`!E=;*2-Z9JgP`>7I`pSB~3n>KxDOZ|j_PzM-eQskzn5L;a?X<8M5uH}v!m+T8u~ zAMlMUefNP6=*E@r&tnX4th;&rJ)3#Eq31*Kdo~aBYdF6B#j$S->c81;qB(i>*XwOC z@q0Fp=f}Qz=8ZRWGfmuFbR55DqhG~|+kw8(e$Pg~_jBZ%XpT3x1-VO3hj!4c8ErP*TlpX> zr&l~p^;mhLPaX6M80G8erMr8##`&&$(sM_x6|(2lE9cU&9?MR1X-@T~tkc~l=u=H) z^*_0+JeVgRfcl~_J#g$E6sxQ&iv2mLpXB}01x5Q|uCb!7*Bs94$)Jx)l-H+~iuBxZ z8<*zDI~)INJfvN$bOgtGIKTT)c|AHr=dNA3l>nU9n35tF{4ae2^<*JEL9?;V>JPvhKjrHlWQ(mr~WjcF|&v=z`N7)~YFO-;f*IcAWw5|iykQ7u9rj7q?~%`H7MoJ zE|k|hoii^df?l_so^=ni-%t&wQTjCq^^(-%-IBeiA=K03tgIgjeR-gzrn+Lv=V2w4 zj$FLls#>cvG}3n!k&h;7RBA#kYAC+XWN56Sl3czx>WX$khZ0jAbkuA9vA>{U*4EnT zYmKMpk*vGKQt4igUvin&=LM~Hc0wWR;ho2R&p54wv))@g=8_aCk#oc`abLB^NW{d$ zPkOX#K`ykQtgQF=t~lXE>8!c@=#cSCl9u7ccxDH!Pki4Lg;CJ-lh$YXL7l$VLB(83 z=6FVDji;7hL{}c3@=5EUXLHs>kM<_dYpn~kRn}&4s)ZEsk*9a{@Z9P!;-rrCxaf)s zRHS3cfBJcyy)Lzsoitz zEQrn`w@JBQ9g_})KGo9PDx=lfnZ9kuU-{paTSY?`S^JWY4x8YbX};EY1i2=+QfVl2 zLd$uZkSdQNh0PizjYT@cfTFfeN_#5$MJZ|VdhCa?nQ^GEadF#PIh?t>L?Oj=D2%_h z?a~;M-bqC5Fv7XkNfkq(;~}kNZIxrq+sZ4WY|*5Kx6PWA_aj2mbTYzPxHVOaSbz(C+UmSUtDbdK-ht0< zDtNtN@;I_v=Qssc-Zc)c)6V;*qgZxnvGdVJgH1{*ssnYxo4G_q%&!^!ENBfP9?F%O z$C0%jdW!OUL2b+30X{d>Z02b>95Njgn7?d{X3mQ5U%@b*{ds#=XSb z-nEjK%4omvS;38;{#$+r)U{1lfsTC^HtF87$7x*O1A;(mcx(}q5jZr#X zSEiM2MQJPNjCu|f!;kZ|gwGiLh=p&WSU__5BqWbUH8E>ZS3_qLLATI0tKE62e#UKw zo61_Mg++^_$h$^_RF_q=URGDyT)h$sdL>mtM-|s--U}BVb^pu@*W&A^GC4B!ohyknMung{%`GgnrE7gFOk?GcNUon0 zYf$6c^44aoRh>hMsU|hA4lcUiY-6oeVVgQdy7(s#&4-n!vw0~;W0ewqV&xcb%s!61 zf9O-F!$Bvc>3D0=W4qKn>vUaMZKB29O|?OeC~VF&&UG!Vhvb^B>sjQNYe9=z?PQ@z zO;y=OU0MSb#+#`&0+k-O7H*(qa%_d-#3mFcdzDW^nr2%1fqLoBzoeFVQ+qbaY;F45 z#zj61)T(+oACNYk)KuHtUV$OG-6SdNhbN8~dbsPP*X-ODp&C`4E6S>k!esa-_K~z1oQM1e4_b%na5i>x zw&^X(YJJh4<dKQ7CA{v=$uR)3aU<8jI>q)AqCI8%$!D5qhKA$9>hi%J@cA~Bq^M`kkuXPw*K zbNW_Z>&r0aqI`<>u4v0wJnb+?-W{m8ICA)o-at9{h^U7r{-3<|F^Q5`Q@6@dTQ*C$ zRA~#t@{{sq*v4B!7+N|`(~M?yz8y)F%g$*G=Sq4vY=cp}nKAn$Agg4ljo~KQt94wI z^na&S826W@VTJ3_Tg5ea$U7m5QramUv)eVLmTz}6cKiF$n9bUrMkohfb>I#hU`Lm@?>rghD;vPtRO z78C&WBT=_Qel7DS3e&e+ zwRGX=Kqx93-m;|nopx5y7jA1(#r=Z*FwLCJ^?kOJHKZ2ji0eu_sW)V$mPN&(NsQ-; zL2b7m8cm+@QKSXbiAy`Uf@z=O^W^S zu#*#NsI6U;sAF=GHx^!` zR=JWz*LUQs1#8jzpFD!%FY!MJ2CLZ!c9FxovDp0j_WCA7~Xlg#_JYqq_+`iH4uKRAe`{O@d8*0Jx^L%!Bex_IWbNyW;ZI=4!-ZB;}5zXMuajrjs z^U&RB6?8yVN;O5ZYv~?=lFE3I4#g`Q|7w1mCe5xPt4fhp%gKd06o+n|IS+yJbWdln zSyft;8eQsd%0AY;^~c$J?>(PYJ$k4g^iYYLoJ5+<QH-I1UR+q3d#NgK!*8lbbw zDAfgvdF{bG%<5A?R{<48zV$?LQcX$D*F#c#gsSXbSR@tA-Mc1ACFk`=;?h!)ZpEqZ znd={f*KuL7E^^6>m0w(aLyy-*m-#*}jcZ!t|el+%`h{4?O1u;F{%p!eeE^*PY&BOkeVQ7h+zV0upR@)<4P zacqub{VKtFJ?pgde>&%`2>wDDjdrnEt-OFvuPV-WT`6A2d3R*8n7F0}_1U?X)l8`p zqgRP);#YmU<*Eshi~pIO||K~#UZ-=+R@|8*>7mKHjaD0pze^C4DPSK3bi1S!2>>^qOj2R<9_2aLsGf_YWR;EPcOz>+xePTNei9Ezyt4WdTA{+%RGn(SD4yH7 z{g5=279uf^XMmpjzF*T;#}VuD5N`l|5OC?yYhRrH?ORlEVZ(F zUYtK(7x{NxZ6}eUN=J%E`0n9rDs@Mc1d$}l~J3q1`CA_%d395X&^yq~s_6t3EpD2u*CuO%EF0}T(?1Hm; zJB;>tWgJphBG$A7eB>^}NWX@o)pS9<#WA%#UeC&CmFnw?cC2WwI|N*;r%%MSOk_9u zKALNdTCB*wS7Jn$#2JOwbEsb>mk;p$1G{;Tug?tCWpB3SGhZ)1_n!r=MaKT3U($#L zU%&3#U(~Ok2Z}XJb>NWo(7`~z2B$=uON+m7E+|SQwkF;nTLmrC31TTc2I40q!+ZJxQ`dxHw~wW@2!cv#@7l;U#JD6~EO$~}HuzwMQad2OQA@!?N%@^ zofqIcP8HhiU_QogYP+42$vPm_&-5s6x7Avg6x6(q*5|_YkS;VmYU{4CBxSWSQK??) zsg-&uD)kw8gub<(h^qWZS@$;X@wIX1TdIrZZdr{Wl6~I_hNuorYt#<1YNgqRHSiim zXk+mXn0>5oV~xgtitH#3!~&ou@+O3=8s;ADoTit%N}}3bxiHac%(RbNt(kU#ZGN?b&gp6VDvtj4OudPwt2fcC+f6hNpZlq}C8yL|ak|oe6{mak+x5nkkNGB= z$Mq(f^?JUjza^t9&8Ii?l%tQ=Ug}LWUHRC@V(#OQeZcYY@$=Ek>76!))NX%Car?`g z?e}aRej#pu(M>e%S8<+ywT|K2Eq?V?k7fKdoc2pS`U6KXmS>)6V;JJNp+{qhF?3xU zQ&-n$yfrCq3-W1Qy%p!acH z^~k5XnWobrGyYVH68f7lksnSM;>qBW3vJz~>6FobKa?DvoWB-D5pERk_vIOZwa` zW#6-K^q%$fo_Ev^ChvK_o9#8}`hdf*Rfkp|d{JMeWo;ARMDs-*v?9!WU13Yt_Xts( zJk{Al(WX1r#%sH}TP{`8LW#I!MZ?niDB7AN&+d9f^;YlX4^vZCwguIuNNRdhi`0VK zsmoc^q&R^|I#+8aoOL`U=FuSA3OHL*Equ#SOZ(XsOPm)?y_ZPI%^ z;`4a-P`V@cpt3&KdZkNCbr-#pN8R;bZA*{4mp<7Bdp(+IR5=owq#>bBvb$+(m0hlM znzSu0i{JrW6;i8kJV|F|Hz7sx%xmF=>c2zM0%MuQxm=>WmboB8(Sc^{w_CMRM|V6? zhi8tX8z&<4o~F%gZkMB`R*XrNm!gj-Ye8L2>IFV@l1ACYdo=2N&6;roHkha&=Nyr*6>X1?773 z-I^rDYT{_z;cMffqV15z>|0|>Z(M%gRYgKpnMrThIbpe)^GLR_Wobf z=VMP4(oU971fG5&C|>#oi@ox<)Mq6^2nA$g~ zPSwfSuyq*%?P;UADoD&S_7I75cjGjnD4wSRPM&){3NC6Vn)(yUl*a6#L>tvOxcxRU ze{RFeo3Z!;&$$NV`l3hHV=Lsyd2p@wq&K_|erl^tWYT_7Et#&^m5tiWSJqEEX>B*r zm2+*HyiIDS>z(Y|%=ordX{a3&L%}3&@RO2vNPhEQzC#05XE)i~6(;AVuHSDErJG3} zldY?WgyV`mqeZkXG1X*D3jLA zNy$89r-rY7DoS%2D#v)gL{)jxO>ODLk1G=MC^yTULh)oWLtpdT(^8+Kn?728yOnc? z4>g*9F7^tfU{Cp#5CIGYtgA1&6}WAgeOz&EWrd%B>DA zPrd3pNmoxoa@w8j&a#X_)b6tz)ik`_;`;2#7}A)EM6TIXqXW}CE!$)c za$b3EIkX<)X`hGEKmK2a(#;Zw62+yZQ^aMWms^daeU4h0Y81U}waKlbMK!zCLN(j@ zRNGEIRG8G)4r+_8wQRW|N>`K*^#|fD!|dGAVfSb_v>xIE9c~r<@K$bsb%ucv%lswS3@h&?m}O;v>75AS}nIqhq=-?cb#W9UrVo^=BumT zv1THg+&FF4Jo3x#85eu4^}hzslP7tXD&NAV{?3p(7;gz2Z1MJmAA z^3W^|iAIwhZwklScabF@@uuA8h<#H!Bz zU|^w~scNiLY>_lv(0JuxFJ@~;Mnx$Xchkl;j97VxRvPI8lcQEP&XsDxCZAm}^m62c z<{9#1Vl4R1zo{KCeV+yX+>dF2zSz$p#Z;GSxFEYA%CpL6twoL0vK4rqBe!%foo7lVuDMpUX#2SfO7?f=3-^+f>a>KlzWA;Sa*0yKUxn9_<4U?pLWQ-4 z8?A=|Y1D#nag*}$KlO5sbe(y=u0J^6K65YcDxpi7*iNvy}Q9kxOgY|Z47R?xPIXQ(B$VA{c?OMYM6D_+Q9-FWPqWGVrKKu7(ZNS+GAt+xtXfNQTab&}U7U5v zE~v~oQd&Z6iK3ila`1v$NQ!-wi-NW(T;S@|jmN8D^<5mJYon_9akwp8_sGr%&$<%~ z1zPmhUZE!4S7S$SbJi$ra!w`i?^S&nKq4DLEe~`hVxt-Y}JKJr(SFqclopf~n;Pw5QA9zXmfiIyg;C)-ryI-L<^ShsiIo<<- zD-JbJ)N|Qym7{2KsHp|hhI=J@1=H47q{|iMZ(E%AYWGX_np{!PRxyq&(_Z!p_UErh zqo4Ve|4Tps0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|uKLkF~{YW>2x0E>QzH;$}Fa6|SU8F?|O8l9R T|LITu=;F^FcQds(`N#hcD~sXK diff --git a/output_files/LimeSDR-USB_lms7_trx.sof b/output_files/LimeSDR-USB_lms7_trx.sof index dd29fb682cb0fe02569b5ea3bb1ce7e653acc57e..908970a82d303be65b12f2d20f0e8727a62ffe17 100644 GIT binary patch literal 1258676 zcmagFW00gx&^9`@ZQHhO+qUi5v2EL)9ox2zogLer`DUN@jT7hlapF`*XJ%bhm0f+` z9d&hPb}P$^0sL!_KTkja=^v@+YUt$R>P(>EWNB+kAZ%~zU}GCrd00;o~$DybKi?ApQ zgBTODFb4oI0P_z-p~faC#;zbFEXpXOsjO^iZf9sipknC2{r}*?|2IwvfCNDCv+x`6 z1N`OtzjpjT>YoIE5&szj0QmLtPyR!Y0TBL~KaJq$@$aowBMAVK08py}{sVv7|BU~W zCZ^6m8_>5fbhe-~vb6g_{YKNm?^M2S{aSxDc;)|p-3#mR%t%ES`DBl}Wk*S?Ak#V@bv8}POV3}PBSS>`O$ zrOL(Ld({SBeba<h-{!n+!4-U~vIGiI0VEbaa$&*Bu6A6LX#c05YPN zFb@!i_D`PwM?Zs@6fr1WErBlP`5qdGM+5~hur(iB!eZ~^cCGh0Sgd`!@9yc-)J(1K z@mjw{fUt{0EsEGLy@t4vqlYMOe%BiCGH-r{7?8&0wU6Lc3pUT!)7f=;0-Z%Z0~2TA zgAmJN6hwYF4{q!G{I93(BW|pkh4y3^O@cjG@PT$~EbxBDnD9V5SHLNh%Ur*l5iCd>V}<25wGFk5(bc644pvE;fz_R8&zzTTRE{;(u0avKJs@24`m<`|A(vIbAdH=Qo{!j>D zJCV&24p2(NcM!o8Sai6vzIs~SpT6`#jUA)B?ek;_l$%SgeDm^fqqDN(O5?tU4dX5D z_ab_he1;gCn0#0f2dVs7oD6_A#8dGnIox{Hb>TZsl0N=Ig+d`$rwViqi$KvpDai;}Di4OkS1b&K5Af8t-xyZ+fEA#p zbtS1M4^8*DG2luJ2V&w*v19^27c+>4gv&u4g06KriA-mOMtCi&%EB)TcYd1CRV=1Y zfn(|Fe~?m5MX_xm(z=#2<)AfeM#PE^*-w}Af4dbcI;FOSiALSMiF<0kr2Sm8(uh9} zi?x&`H$_Mrn~#+KIYX{#m)Q^@b!z&buJTW}xs0h~XeCAfGij&k^{s~aeS@B^2;xjE z6GGB{p&o;>{+Hd<<$)EjZ%gUJIzcOBPyN0xN?ElXxX-ZL*f!kj{ietgoG}jTTIf^^ zmD@B_5zWk->5cMvWy*^3`9yP<@_prDA=0rbG*a1->UEd2LWKto?X9k{ZgZB>68qMR zDnreNh6+Q?R;yBdjdXbE`4#BmhKfa-jfRVM8VIa9Z)AXKw+j6qRbjuuv_NtX*47%Y zGRHu5XYJ44MAe*&PX*LpKuOn_tOtu&m}~1tmT5$kBX)FE00~GolUdIi+n4j z&|kw5JX6NNq_*Q^)LvnQT=NHEyPgsSWqc(q=2T1f6NB-DB_5bHibKD6g44VUDb%oF zY}eL4e4kvwa7>eEfjokO$qubT>OLJJsN- z?s@TXuP!bMrfyy}y53AZ9_u`E2CWrBSMzy28i4gKxbW%Y)Hjb0{D-S}?-L?MDMQrJ zDJ-!;h5%s{uO_*vq%m+*rT2^ieCtIL+*MM^Fulm%qyaT>1aT8Tck0=j?Dk!Vw#s=peSspnd7bDPp4Do?sd5 zAxGeepCwMmtTWrxj?yR2izd*6kqWNZv>_Ry5B-KdC)ykJ|2|&Ze@^HB|Kru!#^m4e znyM&2z<@BiEBgUA-2(s<-wrAho_|MB{$gb0L|K$%d7AoEntn0ZIU@&V6wRfdT(3+}BoBu|HcMAog8o!4gAm!)6rEvXGsbSmGZ$6jZvgvjiRGB|+>ShRKWlLf5hCFPzSlkzvlx>F#m2nrcP|owaN6re+c)L0>c>U2CAa>J&bvqKkf>*v2 zPnKapP>M5<4VdfE?h(?o@VNv-Oel-#T~3~GMo@$~bvNTu6m5TBMd@{`SxOrXg5RT* zDzzjQo2NI(mejSsYU4%FA8;gKopKy&@`p{y|6^0WANn;hqPKLQ|GA}x%#6kiriQHa zhBhwxKYe{OLnAt8dk14nJ2U%#_ZxRp?SSJhr*7`9>3yypF+VG5COaNv435MG$;&L) z2S@uC*r?mP5PR6EzrL;wdC!QO&7WT#@Yala6o5?KvIDx)<>S;HBUBM#L45JfRR+18- zhBrpj=ulAsR6L^q^;CX>`@H=fuw~86vyMs9I%rzq;o|=Car0?%YYh{mgi4lR&fg9y zA=`vv2`HZciI})bmxI=2Vdz9-!D;b!zmH(R@WXx#8xXxVbNNI!1b3-M$94Ng%Nx!l zs)TROFf|}_J8Mec@<&6(c6;}KtRp|zKiRBTHYfd?3j_NAb9mKVo?fr)PCrgt9;tET zw8~`TGumAUkPvYbvN_i6;y~C6`7SFpjh+M{lTY%>akAw5%&_VjcL~chHsC|5u@k6n z=*Eo$M_1O}|JBef=B)-fc7rK-BcwxgNV=%upMWA8V(e6~@DWSID66nvI2)0vK5f&CV34dYBPp1%=vm5lIzt zFdvFz3qNSz#)T8D*6TO5)Wpc`i5rwn4xaR7(UYULon*{Y#S#~n=6O-EoYYB4uw5i} zR!=MxGe<5=sF1%Cdv4u*m|{`KgXvpP1tZCmg|MUy23(l$}`jgH_T|Rq>T25J*+H6=|*>L zC``19ZP@ezL_Mttw<_c-pM+_Zfc90j&=75gy&V#IdW|Hs8ts!MEar3d;0|_2llVte zmty9rZ`(>dwzPcAFARu5V!RR0HAZ41Q|eEza-=e;Hph_isqn$n_F+IZ9cFLeJex%! zU0{P)06!|M$@Jvu^#-aeI$B1)W&z2Dg%WGRL$ytt+3EuPASl*hhcDwD_w)yiQ5N?v zuDs-043%jxlF9b*BDL0OVEV-hFThx z_5h;^fF=i*M-n<-=k>bGr=wBPycE(rZ0mR6T^O~AXTG4S>bTe9$%SF!O=%7>#`ziZ zQfK>CfNzj*PeY%gZvfH-gD9|>fryobgUd}=w)QT#jkorEV1j}ks|+ONJ*#Dzg_e~S zDr{gyyI7!wWdusZX^I9AkQFcytlKZFSj=ccBrlgTUQfyRBT-y{GdlulzL_O?WI+~J z3JB-2Ubrq7$1}m>7arW=D1%xorUwX}?ZPV~8Uz~QPalJ<<+mY^d8pyio84c0Y*d)HoEcT7zxKVwZ&TB*ac{ecuO^(;b35;H<9=7^7D-*ApL$?9yMDkm zp{bAR;9hrgp<%T*8F7mdB0FfRIMKXaJQ=_m8EV7z(1L!a0@o&Z4)$9MPn2>I=+|#Kc8eUf+>ta8WM0|gBZ(%EML&LJY~b%}?*WdUIX zn5%f^q`*aqk5y-$qwnY~yjrnl4P1Z}u<>LC<~4q&-q7P+pbrlQ1wPgWrJds{EZGHo ztq<5Wc^nJ55A)G7iTrRfBYQX70O(>;6`J|R{JWRy^97`ru|Y_i?)9)5Gp}@YIjuGR zsUK34r{MCO*PXR_9zAcXYEsOO0a0}8!_j^67$#)z#Wxv zQ6U-7cTW8gPGI0(b_)yq3-?|n$8jddu-1ioPz`aWzL_OTx$`v0krop*oXwS@meiWl z_nIc4&9JNOJjxgySUMt=XN&@lJ|GpTp%_CH%bA#0t68DTN^0l}oNqW`FDxqu<3c}K z2qyH$xVxxCv4YsU_$%SWi5s$ilqjoNI4%d{xuOom3gY1A^pIFS?>t_rnrfrvIo2Ru zI5NW;uBeHObC|hTUJMy~H(p9J|2JcgR8bhTz9Vg0G(X2P!#T%!I#N=dRV9CTY z7t#cRLD8|EU4wRT!JPb~Uy$?=`gQOeIMd~Qqk&`!3RK6`1SSx0Xdo9BnSC*%eY?TC7T zg}&4*G7kkCfdDbGY{u_(HdNkfc?p!f&qO0!4pN%UjwTk0HY)C$XXD}bCVgbTS0s6& zAjARv$(^+;`lWF%(h8oH3DQd5NgEpl41_CnD4-oF(H$@uG6+cclx>$)-Nka8xox%* zBU4j*EmB1ETbbtSYuZDUe_EE`ERMXwL=DtBTU@A$lUB|A{Vc(y4AT0oeDV=iP}YR} z;8HlVlG*fiB4aadqF!}qA|o??4b&#~Q0EMlW*Db4aQb(=OlPoZ`ax!0TAvAtCT5 z%|z5#&Vth@jRM#RdXkND<-7$;*2|&+{xSNyW z-sd!q0Pq77VI=4x>`16ytmHCcQN1i2?`76?w|-`h?e<-Z9yfL+^GO}*JZUrvem z!jZ-{9)G(&y|T^GHvx^eaIT^_x4xR6fTRiLrrwpZZId9{SmKdCAw~X5njpBB@`r{O zUl@mgo(@A1@TCZuZJjbxR_hc7^EjJ=nQ{fj$p@*L>5z%$CPUN4F{iWHheh%5xr@_X zWR&U}0d-uQFq`BTog^(>nU3c2UE_)7GLh@JaXFEOKqn?AW7<(CE|i7-nCbX-)R{~q z;m}Aa$)rE#LRmyqyt1Xygrt(uNL!7?L_8Cngo@J6k13VMK}S+neBrn`PU-vBMxZFk z`M0Hz(if?H4ncl`q;(rZK2M6S7z#G)6Ne~xOPCJHHqo!aB6D56RqD31mz z^I14cOA0jGUNb&B+e5Bnztf&d+>JQPj*QdXe!48!CzJ_(+Ez~$A!0+$7zC6G={ zQsYB(7Hhjek<`%AZ-n(%<5(Z&{QC{uOU)P zZ`<7pJU~HM=scv~{fzo|`iMk_y|m%{^keWt&biq_r<^ItCx=nB2>@muvp1jlmYh7N z`Bru#Ciyg#{^f|~fdPfvN_+(dv*n5ABdv}!eynU#=*oWWp`IteR&%w}wZm$omW(6w zX@_5lmSku?0x_n;X|UJ>UbOcSU#mCfz;8qRk(%M-ncUf&X2+bJ(PDExY3)o;3aDJc zi!qB2vQqyq6Ia$C4<#Fuk!k!ZJGEu%y(_a6@379SWuwR}nOR(#Rih3JD7JJKgCTQz zOUY?i63QX1+QK`bk%hRJtcmUgi!BwkcK5c5YBc376(ws?S6?&f)20mzGF<7z1-Y}x z&tDdMvZFD!7uIaG8zlQI=b*(<&{kTA%}^JT1+Sq{BoVf6P`)RpPfnvv=vkXgsSQ)v z6U7D5_4fol(U73dvfxlYp->K^=`zNwuvz)V0atyOMUQSEvBndfLx~4F?zQ3NJo_Z! z6{yr@&2x*bNlCT|fcPiR9_^3YFD*tPi7aj>*7Y{HSk^uIqGIz?gyCY(3nX%+u$eJ; z{fp;=QsjnWo^`C{SHVOiK=k!@wBWG3K2o^|5YU|*GM{u8#`)r5n)GE#O2MxWPVir#`{Fp_IGYOGE~&H>|X2! z-B;RY*;bH2ROz;&h5|@--?laXg6*@V&%?IDn!;-~98O@Qx_qujg?Yg;J{8%vAulH= zO^okvKr9?bYz7`QZ2&;T|B?J) z(iXE>UjB==m8q}etWz3>(Sq;N`)Nn=h`iIcs~YZI%f@O;XW>al?iG_i!8c2pZaB}mO8~tyU+Tw~ zo2J9)$J=q{+xNe(%6?zbf4hF2`@8x}_Z;Ono-W}XgyCNIFyE6!Rp7eNxCc(RJ;);X zGqw8s!rJfy$-u^}^rWOJ9KlSiOivUbaODe|b$);TZt&AESDy6cNZOk7tN)z69&=Xu z6UM5$*6Ysy@pikqOUKvseRpq_Yuh-4f5+hg7w<-PssS~A>|Wsx@x6`Y&&Vnk`EV>jQyh%<)+ciw zTn_wUy80YU7ru%_pXqByFy7kPe{AomsVZ`+RSit2BmhS=VyA)-hVOAZ==uGJ_q&46 zg(DjKp@0G^4U7CjA_@zUAiz*w>*fyq#XsfSDA0?NAo$`iVc)hD&PC(VHwU1qF!lT9 z-Q&$x6$Jl6jz|#KA+fQDumU-U;+GU zl0Iud@AN(UU(m-^WcrhXpJ+Vy1Wj9vDnh;|kBDAQWc$RI;w&_= z*kqw3cuCLbc7f zfE=E?W}ZYa1mwQn&`)GeqS2Kn<0h+zPOAs&mLruFUgmDc%OKl>#QMCVO@PU(DFQi{ zMH^fAlAq*Xu+63x%>gN|#ZDr_8a-&(;g3e~{r zvP>B5iL|7{IWx_`Jv=)~QIa{GJORdtVw{=ycwz8P$>td-X-`4CAhZat)IXSsBGA9r zU!z3|>8U~OI=}p-^N^EAQOX6lJDFNcM5NxpgwHwJsepNrhxTz@MxTb%(w%j z5;JhOHf||={wnSXZiME7%k$aa-1Z$Qk)JBw5o`*(W`SZ59QjbY2|CVtq$a=*J}Z1W@RO^S=EPsaDe-6QZiK> z1|7-J77|+UYw%-_^Sq*^&CJq&$>lU4JB7W4p|1=U~XWSzdU+`Lb#G)9z80M9ac_cJT7L? znh9?Xd&o_OqwMp5+Fh}<93A92X)wKZPy1;=R{ zUaaV$9G?#FC{G%VpZFl~uS40oe{8{t!vJn;$d!lvvf}2f;)fr}Z+S|i{-yH7z{}C5 zM%d2a3<_Jp4Nvz_8}9p{KJ^#0+o4Bcf^Mp;fqG%Pj=bIm9ROc)9d31Xc6dal_}% zf|O#n37_4RECH&uO&4Qd2|KyXaP$baY{o};DwiQBT zD{|N9kHE-xG)T%vj877F0l8BLot4=3E%V^6!XUmV`K9f%pnXOyezK)gg^|m%YNN5c z5!dsPiD&+ZGdVOli>>)&1aQsq*$3@uZu<`IGnEn1~2XT;7$l38*v(d#*{W=x=2$6~OI$%FSgt{0+wM>Lr;(MsSz)s>bxhq8 z4^}aPOx;?=>grO}YR~}BGu`G!1GuG{8D^%L%{>GeX0!{{4N%<6Ont}hD!1{y#JDKt z)L&*ZG^5ibG*4(>9<;k=$*110khZ7El0B04@5Q;xuNYho%Jl5iZqGb#`jMMfDd^m= zXHn<8?UW|N84YQ&%SdTKGr+y~;VHaIp2vPrDFaW>uGPA=23%JgpHTG35gN?A{9his zHwWSIk(J?(hj6K9JrNaG36t8n=RE`kH|_|RzeAkGrt2eS@0h@og4f1xy*b?(q)TTL zPnqq%mu|w@76J4Z0JX7)^%y5u&8tHtbJ7T+ZcJFtE(E>I! z?pblzc%~L=>ws;O%D(4VTRN&5Dr1>yWy!3}@gozYx8|6flHu>AJ~5!gh0o1)_-J#lJ3St~WV*4bcMrQg zAXa|ibRZ*}ah$&YJk2iuJGPz2edN-@-(PecH%0Wj4=1eWmBGF1Q=~<%PUJ~c!PPgkrQGsXFR0FwqviUTFkfh zjM3MwEckTl_&QIAFOJJtSK|T;@@M^ZB2MNj(;)`l?TjJ7I%yQ-v&mJ2 zp$9Jc+lJ^#%er1;Va%ZJ4*YoSQ0LoB8 zrV7vVM%F-GMNL?)JugnxKA}Y$g6Jban{`W&p2@guk1-(0Z z26OQ_)Kh}Ao^`B*^Qm`~r?lN;48f^0^)@!33y!A7oxyt&0Ph zDNmSLp*U<+hsgX>R|t25&nTPe$~6@v%*x7M~70-%G|i zOw5BME@#m>@!U(2)t`)e;`UsO**3pqD)f9Go+uo`)j)TCXzl5T*3#qvF8-snxF1?e zmHJ0(6#r;#^8bU@u$Lm<^Ve5~ZfM!-!|}12hVY67cV6TyS`kYQh@@_)=RaPfIA>DP zYi&B=_Yc%CI-AjlJ-vpXml`#gxbTPMTLwgq{ub5MrA{V7oQyaL@q(yqj%$8;GJrKV z4|d@f8&|^Z`Z+i>3pp8HK~X8WRShz(8lNC=$MY1H&TVzc`4KT;7~TC8FRSBg#~fkv|0N6K|bUalE} zqgO}tUiXJQdny_!QV1H7HFxpJRCmM6`%IhzBPx|IZM36@+&acSx4JYZI zaUuyt=5QedyC33V__!Pe@P^!RyAERcZ+f%bQ8qZ?Jaa2bZ-Q3D9bl~HwNj)u zaLf>GJP3V?wG>TmkIj%-ONGU+$P%-Y9+fuE6>@=Xt01)kN{q6(4AVy|62`}2)r-UeK-}rDojUU`5%rzYB4Fpo}sHn|lNcv}VFYZ&ixpG$_0PZE_$ zPLxnWr4?30q$S#gYf(v>&P)t!RD|Sg;FJe0^$v?ICotk*id&=}hmJ@fS6K_}!OV0e zfE-Yj#mJL37i5N(W1GpVyii-fjMfN=WV+B&mD0gZSk}WVKyT_~B zZ40@o`Lj~JH>HM3f5pCS2aX?WWOuin(riOWnc>n!lRIqQ#o@ih7fKr#gr&e@z-p99 ztv>JeG|`1zLiZnO>%94xZHfUHlSLSk)1w0RNSbST8rjY(7vh7CP}mL{Q)cP)DJ8bw z$96JTv1WvP&%O03}jO+YQS%inugsH111}i?hJZE%i!OcE~X<3bRS3 zDdbB=ifFC3Dxg_%?)Y*%@}$FgnMBF&G1JiByTlX!s(PJ%*mcFH(B~1*|E>08lw;sa zHm)`LP906;ac^`GT680?iNvPibtRqaQ(^>P)3Qg*e5s%E22k*P z6^;Bnv?===WpQqVmVPkD&S<@feb+_tPU)GU*7#R38I)BM{t|35v_qe5Dba~1mks+Y zEOEnNT|tvgB^CDZ#-{ul-X_>oc)Q2RXrW~$)T_L7iw)Zf6Q{4SK*=Fv3X^l~>1VZ@ zQ@5I@oCebpDMKpEqX_XxVu4uwMheWMudiqXsW7cmxOJ%6rb#*e*`zfM<{9+QN6TC$q(Os8B^^4ESkRasq&#T+Z}w7lKH|^l z6QeuqSn5z>0Tb{#3$SFzcwzyYeE-kl|5juYNgKlt;%37%Q{WrgmXiDjZn!+>k_wxS zE1c7X{Rg~3!Tfmd2wJH0{R8sjQ_$w07g$v3&<&IDpZzQ@2LCvul@$30PayuhJaynt zFw|gDZY%f${fxyJ{M&p6cgfFeVHNTyQ0twCY?u3{afRLA|GSlq;=YRx%Z7zPwllc+ zjE&IDjU;m6PX${hmDSroXlEY%za~N@*9I!|dSk&ImBDU%50(n#PuqX?FXo{KQetPu z_d|m=Ui0R>PB@Zg>W;r3zXre0-Dbb5-oMgFnDG+99~V$i1^-Y@p{_5ATt$sT3fb|k z<{cj&Z{N$Kld_J$i<6bzs+ST~yoFS_I&aX_m82zl(oI-PA<5{zrD+)2X>CXtCNAe4 zno*EW!-h6;AfN^@ld#4C@BRwr?WHUeOvFNOuV>)5dtOb{`osAp#bPRn7&TH|{kJV6 zRYtSBtGuh-iZMdp(=q&`UePq$pNn4F^ubiFmx(hM-Bj@aMwR$ssY*C~*I?M>a+3H{ zYEQSjzg9O^i&H;#5r8kA*D;Fzl4-H77M5EK@@AEb=3CmoH27z zR`4Q*PF8Q3stK^l&Bb`BDqh${InnesF-cZ(9@cgUIY2+suY~rS5kqR+jM`d;D-(CD zMWq2ZKGqQ2Avo5!PF0VoPE>aGI3!Udsuak-zZmyIrz8#)O3mVSFd%^;BRoc5|@ z-6wOAZ^~K9_C+e*&`mZIPD0GmTM5u~(RSyxeAwx%6(gRO#s8~*6rU+TGSnM02r;o8@HMX7byz7n(q-;&>D?<+FK%(PGX6+ z>wvDd#-3FMzU9e~PA#efarB0~YbvB#q>`RHJ4wx~?jJgbky3@r;~1BV+R-t7*c{(8 z2c<}iVl}F}@y;q5a)6AUDCnFrXpBv5t0%$0+m%Ow6mDyL7`BVfurZajirY97}naY#? z^|CS8*-E}@0kcrqj?$m#K(roi-JUnms}dS^49!BD4?2sTz4pXwH}kjTKdB8~;+va! zxNJ2hnknLG1Dkd-JO_Ydm!3ygEZKn57+hv3-t|9~S$4n(+uDK_BV{o%F!46)@rufh zhoA+&{H756nqUZ-Oid6N{l;LF%!fAu6Tvd+M;*eY)$KMz6V3dPw;R5B_&=x$wFI1b z3iWuNXP_=@@q+^@6aYjt*T4T)TAlGAzj!$g%RqpN!O z9>=U{S6t3`Gfc7;hSHZ>Duz7%UJwMg(|lXR_0lpgH-i@QhH@q_7qMp*aI{Q&iC3-c zRR)u%_ECAd?6u3CywYlmOhDdRVD{lr$q(A)T#<+c3>A4WSi6$hCUma*7}5c0yP3%? z3%k*XgA(GJ_g`Cto7sw(&kUSwdjJ-z-44a$2lI>dHn+(_R;iVF#^JtnqkMCFSf)G8z^gO?G?*5_*9wRz zn%fSXXzns}3!8&PiZJD&yTT?7!lnjdJRw<+49OFk;dJb;R{@|jHRLp2ya>P-C0LP6 z5%3~}e*HCWE5Vvglyrf0bSzEF7Pm6Ce+$GaRji-7Lc8G8V`6O+`nDe;a`(1c(F13oH(w#m|I1ft0#0j#W(aG>8H-X0an6r(E zUSABgNnG)eC-i#I?V!!@WBh0skdMQd*R@gmR#`_vCxe(rb!pwtHixBMt!c$kmaK+< zqvjjbwmS@*<}{{9?2U6ju|-|tpT$}3gg|`9}`kGf3c}dd%gaVc~zhU4_Cxa@MW6;m0n6n%>5wE)PKzpA`nadF<1L*Nt161w{P4d~yAzxWIyIJ04|FWSQ{| zQ3FodflKeaRC4O{#6LV2>l|6;BSA%9L8mw0nTu@>&1~jIZ`F+?O~A*O@XN=E(QXj^ znh4h@M6H-WJ0L7ud4nFMc4t$G+QsYSb!G4>OgG$OfyxTT#Vp|?!6PY{^Y;<${A;@0 zq<;JXo>{Pi9D!Q;^^H|ypGu~{>Rq|$_#VWzd$DYn&!}-468ctlEd%`DT z4Yf`8fo-%0Dz6DjX2voU)uni-)x#8}afl~H+s+*uxeT;Ok+Dx%K`k4uh(a(WsKha!Xb+O?4S!<egG}q`fp4)3Dx7efNeHAvQ&wwD{FGIRuLn; zE;l2+WQnQgx}5ZDc(T%_MzuN(*CSBgRQV0{3W<9mxJmNMDwQfvK>x#}CsnHTE{9Iu zZ4aqoY72w5jTIkQmhGmRPH@^B8si?JL_5UKdUDh8)TzEq_}lH7w5rch|9c>Fhwo2J z_VY^u5ANSbz5ZmKOieMp^w;fg-sBLp{2#}=v;KZu{QTeEqi*CcMc#6LhTGpCova}{ znq*BppvChIf-gJwdGr#v*9*<)SCFJy=ehn;Y^%EVu`725o#^Mw0zub_dtcYzy!$Cm zpgb&lp`%(eoYA_O5^ag-?&)3K6!zm_LG8wFvT`SR`})RS@>vm-_(`;Gvx~HPC;-7+ zPG{q`N_#KpnOzdBulsXkWxw}A!1#-?K0G9X=F&OgeD8lEdrQ3tE4}9Xiu|0HNtx-H z;kAdO7Vf8C{L}Zp+tf{tzj?Oj%2b568Vgi#tyxV9){+chfzm45Td{-p#vw=JtX-d! zT<t3QOk3!DLa`M&K1#1gkD%6C_EP=9 z^K^Vyoo~rhy<#L|zj}Xq4!MlYYvq&?OC7uMZ!RGE-)Q$%lqj}r_X*MNJ&d{KePt?x zkNU<$-S5D@AS#kVH>$ZHgFsKZ%i^g$fMoY5-WGa2xL4Pj>UCF;t@m`cFM%2IY%Ma( zuL72kWEg?fmW9Q|xj1TI(&)s0S9}Uh@MOB!Lz)4*lgCw=+T=ClnxVNa8($}v2+h@^tkudX@Kc2i~>WqKS{<5IF5{K|IiJYHs z>TiiCSFO=M;vEHWGXH(?#r~;ecTDL|uKD*&Jq0qkwQ;FT!T!WGhrPVyG3PbU>7`{*X`9yqfz%gm@~Z%4&9@nf@LPcpj#Vv~mcR2rPEy)kLXBseq=M z7auIB#8e2$KRCY8SME)GGw`0q>#%>ZVZq4E=3_9eHGd*u81q$S4m*n}?|1)W5S)XC zTD__Bs2fts`Kp%Ya_>Zv;hVrL2H>pfLP2??5c(y^dVF)Eq$Hv!?8C*5F+s$KbN3gx z8=U`b3%vpR(;M;gJ@-TUM1YZ48K9fOKsCXB|DLZ5yU@-+u|BKOQUch&V$kAt)^Sx6|vJ!h)71ek4Lbp}Dj7B+WI7!85eg8~T0(N)?f zd{4%|z@o@Fg)OICZ$yIQXj6~h1;cS$K_IBUckmOsc({5KCnKVAhP6O zFue@{JTK!oVLjkN%%yRDT4|EKNxcAzgd*U2@!()`a518aQ2bDY^5j4jVsf&zBJ-ZT z-tq~9sWbxJm>cCSpB1UH0G^3=I1p|U3V;4%B4J=8%%hS>Zk%)+3~H#j@SaO7W8f1@ z5HL#XdS(L+jAJP@uUx6GN?536_(uxSC+EaM3wY3anuo)0na?PXBwuRG&9xz3@Vf8n8kC@B>n|Cv>_c!AHyvYbK?>;qlkJY=dBQFcWsptwveDmLD^e9=bxt5O=Eh55{T}pe^Oa0kq)s+^siyeBp8UF zOrB?Xp&n;lCu*dCRT#uV>TO>uZDn+a6Vq=khvszqOz3U$uO2|PoQgc z+8?JUy;TzVX4DNpn#d9VMnF{gAv)0rG?g!KAP^_QcRVQJtmn*-1VE~);-9Aszz$Oh zF$E>S{3-mHNo<&)h3e`0Ih^RI_{M^mJfu~UA(YWdMMy(I4*8ck&f=3Wd`kT*QkibG za)omzSsV-o%+MIOlM_TcLq!Gg4iQ6nPmdrbv2H>FQgWZw5x@)Y4aL!>1to<=g#_v3 zehaT|f`Cr5dy3k-N9{>!tR@+)E15K?SLoANq?C2p%*LU(dQ10K084I+YLWUB$!1bP zL{QP5Xop>)-E^X&(I8RruvS%4Ko&YM4vSPtj%%&^qD`k?n8LkC@|;Kr177bfZkpD%7(6`T$!Z`sv zop23b#bS|{fJ!$Eu^Y=Y0$c}*c8*ZC8$*I&OIQ|ET~a?5<&1K}ujTQD*tE}A_SO8H zCktU1NU6vTOk0W!e9y^jhicD`SmXe0Zbd_;WeRYr~2UT@GAkpY=e7V5Zrcgc zKk%;FK~iQ`k6Kv5G&lsr7vZbZrF?A zg8OtA*+r*P#k@{I`O<F=`o7o*+x&qc;NY)pxmzaS7ggWo~35z-8<~8rY#st-anLlM|H^`?46Fnz$83GOx8X_Xdu3Zx*ggbWC!*q3-5PJ*{gaHqCG&O# zK@K|r!9t^LV{wsK{eF)s4r2BzAq-d>7JdpQCPhkZB#GZ>@RT=LcpYMaadM)_)!Yu9OaOGgYO-PGDLp|TT6B!vcOf( zU*?yYxt=~^t?Ee=Jc=wh-XS{gz?M&DX=6nD;je-&0>AFD}#dMOdRTt>Gq2d+Jr%F=_yOvm~LD|1zaSNaY?Pb)) zIn;tOMNOb_{BAk_eP8(QSoF;FY?*#LYN&2EwJu*uhg_#ysHo|qq&%NW zu`T!n{LmbS@s1!BrgG8~E}c}5BZ7}mOq&!9&%fOFEsRZOBBRm7ok?OymedRl-8_CK zqgnC9^$6LZ5oc1yBW=YV!l$smpc0$I{L)b$VqGyK{m&|ju$yEB;93^ClqJ=i2?GF= z$W*dNV`6nM=HY+=GJ}c* z&@Y*%_>PdhyGXDw=qL(V1F~qnysi&tl7MCDy5T^Ed-XM`kJODKKPjO3_vr0&aW}zHz4@Xee$y*>Au39EKvq9tpl?b%|!`eGWS<-afqFr`XmyPbSZQHhO+cvvw z+qS!G+qUgG`+45+oqN7J&X0S?Ie&I!W~^ATB6IK9nK9>@sWHI@(`&*}Ca!91r!d>` z2TedohVXohy=De$?oY117FkMn0Q*dlFJi$D3))>4+O&8T;SDf1S*viGF{i;06|^=a zOjoS!!EV`!cA=bJC2-z_8ouBe-SJ7_L9JUPv^?fvl2*}S9D7=J7!nbM#wEW7rA$-; zL{aFgu>yGU#6xDhUMgQ`q*O#D%N0u*VDsy;Tx4mvqt-3dsd`S;<0jE$-I1TRr5_Sl5(`QB&3doE+#p{O1#81Z_k#zPC^;+d zt8&~gXzM5ipM{yN4x)v>ro!rUH;v>Ektz#IA?fgF71M5({S;?j=1)85fDw}aJnq!* zGzCE~V`ycpiG?qD7x~6%gF3N{9LavTn1h|}m2~f-a&!b)vY?+tztJiQn4Hos5cZeq zAx0}Y;q7}d-G3fB7*f8z?4QxgK|U*2L*+b|4HZn=MPp@GVI#a@p|7$js`SoksR*j* zDP&-kfl(=twej#2QXAi7H8hlc(Bq0b;+ZXuHqR4R6$*E>*>&E+Gm5LQN$9t59ny zS@oL51+>GxPI&Me8{74 zmk_XjD(u%{iJ~F|{8NYoZMV#LM&s#XesXtJ^hPJrMtBq?N>$f(Vx!|(Ek9MwBws>U zepGUvHb4${p@05RBT9eY!df3U1lrzm>Sn(p;`pW4m)f6z-;B?OHw5$TuoNBlHN4(} zyFYss#l74l-l%DM#UIQ+{KftZ>^axwd8L+>#;PuL2@gKFs~$Ynb?n+F`d;JfUmY~% zM&I<&I#KWVS3Oj>V$p&0C2v1Lwjcm^yd@7&&(tZf(~~Mrb#uK%zGm6hI`8%ZKvF!# zEAgSl8`+E7;7Y_Ec4!boA9ph0`Y)2=G!=pK9%MgSe5$8eam-K0hY9$bJ0@vzGRMNZ zAF;x3M@C*6G+g;sOuf&8kJ%gf@)xKDTFq_n45a*T<`~XU^^pI8@@6Zr2#Bf$I+gO9zlmngwkmz7V+|{W;C7 z4{BPc?LFOMi0fTmhyG}Zqh;{k6?`5|Lj&7h{E(G#!x`FqB+YZ}N|L;}h{5-senj(U zbFU3#8Ub(+3);M=fNgoe_g3c_8Qx5>zMgFlGPq6xMo!yHF`b8}VU6wqI3mez9|#lW zqP|V|nzOoLbqMuEfUPfW7jog$CyMu8t4HNkL8H!XKbpDM%*|9FXT#=0Z!AurspIzh zuG9rzi}wN|zei%J*uXJig5#3ws>U+h_&|E7|1K(p=F0+rVj+l}DNYFu+a*=JHY6pI zKi`zn-I7|8hfZ+D0ya0|+-EICnpDV$IF0jsBOr07kLQFXQMfGqSiPIWqdpDf&rs_V z?<;GqIfl2Zx&vBGhg4(Z8Wl%h%__n5%-iVw>F#nY-7?3UV}Sq#CgU8w@%TR}9OvUU zv3+onAddm;;9mN#5l(5HOsf0hu2?=_3fNV&U?xx%gn>eiZPxNiBJ z*OsIjs>JOqtca&`2+df#kxf()(qk@XGh|>%J80$fC?l5eEdxU)Nc6aTR)iumy(%S= z=}C8xEY+((mQ@x{Kub~Tik5%~De8hpZXDi7x6_veqZ2ELOqZs~@YU`DawpTjKZP$t zVfEM>2Cd8jq3!YI_Fy|xHh#lM@N-^hVi6*CKly{ITK?`A@=i*r2lKO`BHdV)k>iB{+$5r9R!K|`I5HywuV{!_L3XyZ_|+MQ_qI3 zLH3%^@H3cqF6u04^Y7G`1b7HVk?Y9m(b(7y+B?rc48vik4$Dil+cF;s#wOqaEn0 zrpIfI02;77Oi}!!OU#AwBUdxX%^l8~zV8{m$LFIld~oz!qAgSFp^bLnkU0uSOC+*^(B#rD|VfZmC<6vYoZRq2k^v1R!^{%rrq)l z$-|9riLmUIAq|4>*W(m6`Hg)b0}nhHTb?cn*xl8_mYDxDcHt*6bSFkhr%xQ;dw-HT zPTk;Mm^zLCr?t9uzpB%V?zq#Ra32HtDaqdT=t!J#M|KzLLXo@rnM@#1ujzw39**#GV>ugF% zGCoyNu4mRP4>_+&hJQW#GjHQ+Bm2b(T|J@A#}zpcs>;XqFx0=Z%14}!7laf-&NUH5 zjab|H#t3beem2wI-(sKb)22QCVBOwk?6T@Mlq{#TBTVVq>^*A=c(DIrOyj1W=imRJP3Yd%d@+1aa(i-i(5Y~k0m~nLe$dMR zN%*mV`>bhl``U9zHT9jv33I=(w))oe3G6}+a<7_kQiG;DR;z6h>71c_)kk-_nf?5` zP?eA|G&s9=wdAs z`JqJRCUtqq{nZ+7#NteTm;8U_n>%1v|2Y5oU=aqLk8#yqwFlti1v{dN3+ODK55Iji zm^C?7M6!$o(6B~FSodfH798x*PFV7=ZmmrSpymG^X>==6_79EDL$h@4 zO%pkSnXVIPl1Q%5a@~C-I}6I2810cc6Dm0TX#tb-muqRD+PmEpVJ36bR(*d6aj)gA zJ6Ao=JcrU~Qm%_J*{G(2#p+Gd$5H%z<|Jn^JKN@NXFD8+ zl5LBK+|tf|6fC%&G41rBGPNk1M$_emxNxMC5@ zU7k6W=p#9Yx2$U1-Zbd{(q$93cFs~^wav0=-84=AiCfb=b}q9j4y)6CT+U{ayo6*+ zM-woUk;V@7USPl!kM>72gErY(KFE6M;}!`IhvM=?WD|I)c{)gyA4O_3b_gR)m?Y;? zZ7ULsy{Sjpr@b$$fo>`1x$|`;V`Wb^H7uWmD-6i8fG|LGCL4JxW0Rc*N~onclM|Dw zI5%Z^u<{fp)rw_#W>w4oPyKf|=`4;RaQP36u8jx9#v$uXaiEU6n)h$Xt3rq2q3bcL z51ZkkVPh7atvY+x=^@@j=Buj}Hkr8(Z-{O?lCx`$w}S$2_1sqMjys^Vo0oS7!Q0NM zZGX}*kzR4twual%p)Ak3>s*v)gHZloU8#A#bC4QVTD%%Gp4h*9Pce0dEEMUY?j4ImTA zE`1bvu?@C?u`0DC+r`RIsf^kx^e7sGS99NMnRd1fr`01)-a#d)OGNC(_nhV&IG(B7 zm?hC53yU_4X4k8$r6)_%lEsNSQhIG{KwmP_a6-AzJ!Nrno~UL0z%at9dChbNUv0wI z`t^3MYo|`8;nPTp`?L8c56p9=%-ij+Ze` zo92kZ5hnV<3AKIfE4xM`X@013`fw^N*n%_O_kH#D?+gr`$&UuckS0yI;~JdR$jiLl zc3>lZTegV9!o-ZfQcb;&<|>bA5?3@x{ae2iVy3(m!@5{sMlNNnr+`XD-*1@_*NJ_ zO#9*cWEz}3y7;FCxFoWU+V(|FZRTKLXmnB&MU!TAa2&t7k}V=;IO0Si|7AGt8>x5E z6Av!fIt${Yg4pL;w2;(Lgp^_wlZovN5Q$PudsG@XuhqT!55Y-kV+~B66_<~+nCQk2 zo~7$F6O(&0m!z@Uj+uuV>EM^A4%SnUr4Wt^Aw3xZvbwNZ`nl?Np{3X-#UqR=L(26M ziy7t8^K6G)qZ60t?94XYWEwW>HRj-Cc7+v@9I)h-gsDn!OGi-#cpwxl5^@DC()0Ts zjp%C*<1voHCi25G^`Hr*6=){0r5S`AbD`a(q3McArp<}vRYJl26S?@y;42D>Xg*=+ z{{E2Y*WxD&ClqH~&g2@iBy5)}iE^=vihZZx6Ob-G*a}oQfURW%Nf=3^$_Pj_HJTxc zWi@8@{3p#02tnjh6~rMRRb(zX6LM#PS;*aqOIKULC5ym3j^h< zP$O^4s?Aicj0|nrV7A9>B2`~-Q5TW>_#3>JLmM)gOuMxHGJVQV%hxtLd%C)n)<++i zrGq6Hw5gkT`LZ@k`nGsAMjRN+bhR2=wuZdI03u<7M&jb8*!eYnhuoK*aGEntrVu?< zeGTckX}rrE&)muN!cuUWtTxHM>Yoh>vFShsOG>U^S$G{~DKI9J{#EFT22R5FAtxrwlf436bS&js z!^E127Tpgfl(}?74MkzYPl^>Wtgwo0yip3NUU*v4!^x-1RQ{F- zVtG2678Pi;ZyZzlNvHKjnC9t!M~F@ZK|PCrQ4u5E*mvDDY{=+cS+`p+1l&*%U4a|7 z=vi1Pd?H>np%9T0D}yz_u29G;>U6$8@~i3+?zXAQZg>6fRHQ&aZg{&*{NvKU2vI9F zajf+ClzZq!&KXG!d`NthCRMpTv4y;=w0T$#S<6w*=P#5aVRaVcTaCm?ykTE@c42wA zsaQJ%iMi2avThpEb_%}Bmv<8@8iT#cfjW1TKM7G^NuzTWDuuHL$SB@ zFKo^;`{qw)kVuIc;`vzc6=)mz(StmHGf@r)zyFWGkd8Ia%}nR$A11h#62nIt!7H_0Dm8Jn_V*7cW#qJMHv2V!SQ!NcO&5139kf9BFU zzAe^EJtW->i;-gUkUY4tG1xg7P=v|pl?s$32dfg2kuT?)^lo>S&+3mQ6KKU=D(rbL zNEG{VjXcfBK}g2$K{Vb&NeJbdOA_ z_fmMc`Jbf79oGo?!R3s_+ZahA$D8dDs|Y~@lNVeb zy*Q#`eSwsUU@;2)wDWh8X^WzqRl*fMm27s{A;PFAeR3)RwK4nBx9dH^LTSSq(voa; zg8aXW2!*|3ilu0H+!QP$xt8U3tI_g>6TuhqgoRP~#?@C6SYVmqoWzYBdtsPQS;{1^ z$tegwKC^?__2)+PR{mg`=T)jI-m)=i|8# zTfz?*@j9$wJ9MbB$l8~7H`4P0t*?vO#0jX9q9})ag=FPpC;C4Igh+;*jFL{1oS>SG z$?|hcSrM-QbN5b|)s@kb*dimH zQ2&`M0vk=*j&wk%XTMYJdw45cz5z+7(;Hu!E83{3h&^hc}z%HHzMXSV{L!q4P>{CP4Fg`iRmE{<( z=J9F}8Con>6XS}4AolIa{}vFs$Gz30`yxQ{HhN?FdjqFt9qWPI0Y6zz2-ljbDheHs z8Ch-ETT>mX&Ouq84?;XR@=M;owIx_WT|WF_?gwoxRY;<47%bjPGgi*R#zPZFlobyj z-Hq%6AUOsoAjxq`X$EzLPEFY5wrewl0@_ov~W@K zQh;0QYD?YWlvfmeonV|OrGZWb!wkhZji5Roq@c?teb8pZb zfo$pD<$hZf_g-ZvNGk)IBAlK)pu>26KAc`WRiMFOGBZFZKX=tUMbC zlbM$aqS1M4^9?y9Xywo$Q}aYO0TP~o6>M#tYAq?a2{G9r@p78q2nTd@xdl8fM7IPm z{S{V>@ib53g-9|h477;gAx9P#w4C*`l!4gQT^Mp838zs!pq3I&X6K;4;Qw+f+3N{5Gb~}L}gJbOD@KKoq zKF`W_qP8kw^qCrGKXOM#M2hUhiM}T#(yAU*+lBJZ!P*lP^(0C`;(>-sW-Ad*oMt;l zcmjTHt+D@xflJ(DH>-B{Arr#i&m?(+Jl+*zh%|v(j&~z8+kg|{dRnNY>C)L>vxF+l z76YjM7)*5lIlDW;1kPUXxAVOv)C|nGkGM8On=p$MZn=w&Hosy$A$NIgE9-2oK#S$2=m(Q|hx`2poZyGZ%?i8=NIBY|oR zr8LLvddY6PL~lVD)=6q^A9+uw_-l0JrepD&qXdYoNvW$Z6Oty@C>~j_ps7^D49HtW zkJ!LDW_G1#;8W_J8|VTqN+iWE%!9Go3Kl<}j)E1^p_wpY{a_IpiL)z-DD}q*8kpWn zA!0J2>VIk`@Ycy9;qm?;EQgn0x*>nkza)=A{)NJ!h(l%toJ^s;Gi`)WrU^+?V`kS{ z8#V`O(s%MWI?gMKq}KJIK?TX^8(cMDTgH7>LiDq1dIgSh=6LowVdbrSs$ZXwSR}_L04S)p z)@P5_7ZKU!KAzlMc|hCmc>tiGD5Yv;W*kQuXJ2xweepg}Dfs{;Wqc#}39SVA*|b3= zH-Sp4kEr2j72xSKf%qKXpl3iNYzfCEIj9bVWM3HNRDYLDHEzk}r~ZXPL`PV!ai5;`-78UlVz`P)~hifJ!T3tX4}L-alCg(_V>a$({(Q|5G)3Bqj2El|4~Cq{}9 zB+PHzk!n=ulLC!XAt(=lV~N(w_%sScm%(<#??FOBg8rAQNf`soxTayM*q-#YN$Hktl}wrG_dg6W4sW#Bo2#;h%S7)}2e+C(_}2NDl9RY< z_+eC(N`egn!cg7MQ$WIZ?$-(a=ED~|gDE;yMI_NkI8C(3=1)I9-d25k9k02l@*8es zW^y$C8hUs+{0T5d=(?UqKPMWr?*Yrt;;FI{*Ix~4v&9)-r_pF2*@ zFr@pd>c(}>j(}v=2C+UJ*y^*?8UiInn8>NaOY{5sKMAV*|4C4dY|o}R81;aMjP-v^ z`0%ty$-B6P1K>1%vP{23U0q#2?V1(e^|b;PZs=C72u3mM*ZK!M(L$PQc&D#APs?lo zY+0nXdqbo&fyXZ%-}pWRs%?Pf~F;}+1U~pVgwmy3v86N^9?+= z`m)rz4IxtTcNd^rj6y{G41ZvBD{5(m`d&YiTzT={ZLrzSP9> z@0wmVu?DX%{czb`Uy}8+{`mVgWhzceS9prf9XDWIFAAO!qv7T0J5H$^>a zQM3*HY}eY8|1z#16d1vpwJ?RGB})FEJXI<{o@$23=q4af)q7G~%Hwkq-+zIlpj&7W zZ*esLZ#pYC>1LtIg`ZumS@Z0)l}tB&C_s3Pef_b&MGeNTlP6MFd`vHbLv3sE-xWJjW6N$!4PajW_4t` zyz9pVlzAz#KN^PwvRY=`Gk4Ay{0`Qp)kWkr)E&im-Z5OUn&Yo zPh5QU*8p0CjVeHEqzq1KOR-nOw%zo2Z+&|#AH7+Ljmkz&fpJe&a9>O_X-fpw2jqx% zzrxT^9A6LM2%B3n;MaLqci}0jPEb`8_&>Z%cR?Mbuso8dqRw-za1+|_GP^=?4h(*r zqlo=H*DR4sj7%4DEFu3xW(S$?7P1CJ@^Zhw{EZIWVkvgHBR%iN@5wM%H=0&+Ed)#Q~CtY`O z=JKgXAeNlUI{A12JgzSrAXqZTpp<@H#AKvd8&LPrwJLg__;a6fR_vR@K`;}F>OJHw zKqot*>s@<2W{WA+24hv;gT)iDrGFBv+*hHz@vz$ApRaY#+~HruQW03Hv@SmO){$y# z-{$2awXfgEIU^5d3$Wdy4bV>ZyQvuZIr&TGteby!30o9K&goy~EKm8uiy(brz z&r$(}tPM2kgkgyJet*boCM%hZfeddXTJ~=toW>TnB z3z2=gb7$HXtpO6ivRg@l=7s7yuhLTM#9@q;W{f=?98WVAnV_}YU`#sS zpdWVfoEWH4Gg#Ijb*ah~PhNTK9jJyj6KH<5Yk+%P8F#V(M4>9o!kvCMAG`IV&A~PRV7g=(S22&Dn z{);sE#zdl)mGgMRC$r#nmmh%-X9-8mN(xk#8?;`tw>`s%k>g+}1W7Owxytg9gY>Ag zEa>IT^+4KJOOFhXJL)WQjW!akm~qTW!3c|#jm7aNu)S=}d6Yi-M9@H<3v`JTz&61B zk#S4h@I?o>df%MvnC7;1X0;1XMxCR&t1H_7fN9Zd%BXcuQPU0w z_W2PWTyoB3QjcAh?;Nzg?B?$tw;cSZm)CI#x;roZj(3K{jUZH{zJu1anIBZ7 z-yVuoq`c#!(++nHnI8}>gARAsnF&2ZWq;IVzSjQgvA=4@5o8@6*#00(PUxvtQexrF25<-g z%t9bd3aI%{LNv+v=(dyd_`iJvVv3-Oo@Dy#aCdy~ps4@}@MmrJbnao-s+IU(F)nB3 z{o8ZS;Xw^479gU!5rEqve?#_H?2BQ*h%Wg@9qw4QW&xYhJNy8HvN^zzSY-nL9j$Ky ze|_f1B;6UHGjO~}SU@vC^vbmVj;aUs-)lTPGD>U5H9o2<3Q<~}$DAZ&3TWCCDM;=P zkqQ_}gTKoJIG|4#cXfahMj!^TH94@ce@C$1^6en=HDEt{O68`Q8qRAtg@pNX%eaOy z-lJ=6;Fau~bGU8#`mFx-x$R3{0`*_}%TvIY@xDDn>L!31z$t%y){KMAI^4GXQL38l z`wFq2 z3*gNX|6$oLF%wYp?+?2$gX-I7evx{-XZqh3G}6`^`m>kbgSV~EK=J$onn_GFu>eSHr7Hhg`?hKK>gSc~5eXaPYy-m`5d4A^A#hX9PC zSOOhzfDNwi-yWpFM*$W6rGW4--KZF%{*7L>3lJK>R7HpyZCFVu$JdtwM(yd7qn+0o zuCk1XCD3@gMjJ(9^u34bohJjP(U7LM{<>v+*kJWJ11gq$CWJyR+57v^Wc$0Q@8Vrn zhRtU}wwu?rGymZIfpSd=*YWz9sQ|{?gafV^sAIJ@ly8i((XS0ZRYiumuLi zV?YS|^31$ykaqzIuQiTZM06qSyNeoUv>L6nqhg{OO-~i3wgOB|Y`AQp>@0P(5DV$QSRc5$iGU%<`~BMTmai*LK;(zvYcKKl4w zUu6Lk=?9T)cRY3^N3Ji!T)u+Y$A_z0N%_We>B(FXb2cOu1zlx^chce`qc~5yEs^o{ z7npN=3vf1c6OzI&yUTxizFcm1KW!nJo3!z`7zj{J`v|QQ<$U=mI_t|xsn9a@wUwj1 z^TU6V5cZ}wa2X_Vd=Z=cbtKU=svM0k(;@9nG1>+e|Dv;USU(fJN|Dt>ScB4+pDII3MxJJgwL1~4>{Mn++i#cMC-xHe{>kbep4|DNSXvw zF#?ZA^_m2iq@m(@6=r))!!NQraaRGA*sSDv6l-FaX==Kb@^l9=b4X54EjQdhUU+@N zAD;(nf{}E1U3R&<^!mIrRP^G1mSvNL!4UP!PBSu>DS|-5^J90o>RUPipD4};k04r&YeD-X>?P_iOe{-4%ZEY z2HHnuMF+wA4=T*=WOfdTEfhQyIJ+R|wOnGWN@GJyl%+MAR$qvxcu-zA?_rS1B%T{Z zJ0vPxAmtJeYgZLLQBSIK(i9e}nt}9}r({I zhC}g4+Hcc{kH!eD*}icNu7d0Gnf)z{b6%MC!}Ck!MJZX^k`jJlM%+m!ruo^D#aShL z>w?@s8{%=If9C5-A<~+16At$j;aJY%lr$X^kJo`n0wMP-8CsCj0EtEiE&BPM8IeV2foLw63Hy@_1jpeD5k^xiJuDA&xX(Cqv*Nt85T3danbKP1YV!$P zWDkds@1cwY3~-&lNTTwRQm)te9lUg#lTNJjo1{9ox$RbzO;8>PV9XB?t67KCXl6Ka;- zwvFF;>R;upu^<7Lf@KN&JJq82vzkI4r`}aCk>clT5@Vd+?>c^>r;$tD06C()k&kOj zh1~&GwG1F8B++DfAocF`UrLkT-TV?uSmVd!r9$cxS9{OcAd42bqk{+C;toBYBMIMK z41cF1B+@ttw))keEyee;E1&H~tL-5v60As8Ij?VHImS^9+L5$_F={M$v|?$``cb4} z(+E;(RdmD2_S-#}^Kq8FTFH895H#uJP4cz+rUb+DxpYADSQ%krUeZUGkFt=#WtVV{ z22Km~Es4#A*T;SJNg0MwSe!_6z}&_CeO;3(;iMNssqgb*ju5(;S268*sMbOg^2>+$ zd({Y)-I46h4r8RE*{3r8bcIuY!CIpebNzX|3q7{}`?BD-oyu?1#!th_2Mh z2L+9scvtYV95L3-v=De!Om#9S07^J50}VACgqvC?n2E^Jq^~z9Z{Yr9aRiuMRL7r) z=*-xm_aL{?@eEZx=%DS6;-b&vQ;H&0DbdHL>o;~Bmz!pAm0C@XI7C9s9KwqVA#TOw zG_(+*CVK)53Ho8NONGr~IQXq{<56N|CKMQQgaaYqB@NK9p%qeODoKoadIIt`l^VqG2zpxAO=WycXIs)~|YDd045gB^y+Q_`*^_JgQ3 zH&an12ZvAkKOMg4Q`3e`^8?*!O2S7bnF=)Y%-}FveSy_n+=SIubupsh3=tjk(O$mT z8)OWYM1e%Ek0Ee&jDViV0dWk{52fHX3+|1(*srRUVkTq?W;Pp&WLXn3zeD6+k}y-D zMT<}VQgH07ZJbnaR0~>bX6O%COdYtOrB52Hnkv?QVCNrj}8^@r%{x|0Qv-%7uU%hQxVZrys3@jB8>H z?DXg2da5S`2&ahL`2|pB@Z@$CXIp|Ro`-6iLfaSSWchR7e;iNsrcYmriZbot-iu&s~6O}POaK8}Tl|!ymqlsa{c8{d9gW)$lA!^zyXg z^2}=VroB?YWvhImv}K1? zS#4i$?oK+6!Ovo^?g+#!Pa@50w{<_!u=@R-XCD}I?8@XU7u?g-#E3PK#UU?_FOlWj zdA-5b4VT@1tu{aJRzUBZ%s<=odycWx{wG%+BPh}&eqOpcs?yqkP!NwO(U=7Fuel?}an8vIOX~Tm4u0-vry$#~t?AP&P{_zH{}N8^Zj9 zlz7MTBI!vkq5m-baWXzcY9>g>NJ~DHx_@>g*7TzfnHiOuC?{N$9C}dk&vs9SqSW^uZwpjJ`{+s`tY$I)cXidF3nP2I%VCA6wLcL3@SW2T0WJ^OC8v;RFGk$r1^FM zCVBV}L;|U=4w%UgLLOr{j(!x7vcFhG`m;T)T`zqMPafK<=aph($7sE(#1`2hmyjx3EXtozAOxVLqWpeD^gg)LtArR@u+sob{`+GZe(YZos zHYFV9!UQB`=cT*w78BwvQDe~J4yKf`#|h}i(|DkIItO$ohDy)9Fjs@6U_nC-#*pn{ zZ&EbB`A?+Zr|PU)7EjnN-}`qopH(`Ro3c3HF~UZExFceQ*6D38QFW-8XX&b4{|OCE zQSRSaYR*{x6uIZ4i3#&#h7EPxO&~psL(858P$#(SZUSNv4>t02cdJv8MA*;@rHfZI zVNa~GqU|xjK^wTBJQ`|3cm`Y1KS(kMFb`i)5a5L@Zf%MR_rkAh_QaixzxDo#o5c+F ziv$>v&c$aC-Lc_HB8&9iFcFkdVwV>9%sLp-1@$KnfbeH}MT!%J{rUYHR4Vc(7b0JT z5e*lC5HKS`JdsK^Zw~cYSC5kGIe=ij=B&XP*;J~5K)E~#GKCBrR*CKE=4)xXk}GBP zEB9@z_1;*+E#azv7T5W!@!h88kU}Uh2RnMxy4CxA4HFhfv1Iw91)lWM%U<~k%!%7! zW46}?NDW*<2Z=fJ5J}XX4{6+a9aAG`Q)L_c{-eCw)74H#=C~OkCwnEwZN!UgGX)$2 zW4pDJLz_`>CEfDe!5(FnJOhy={s#jw!ec7dKEVT?cSH%@_zk(N3hQ_|FK$L= z{%;D;!o$hVwl{yEJkdNqFG(7uIr8T%g7vM)XO!6~iZykSL0J^+;6@m|oZf`x8VI|{ zPFz2mj9%PdBfrxqm)Z>SIDy?PGRl&T2hv19fPf2Ki!;c{e@%~p7!$;+ldDk(ZHgc0 zp^AxqNPFKB;r)h$A00B!D@Po^ZV4_Y5m&#Q{cbI{^jy8Ja{ZCy-Molb*>L08$kE=A$~K9@y+It zd9x5Bz=YK%_zwRrdUHU#>{_2w*$GiCdcxuq${XX8#(IR;Ph#k6n!1*6FC_Cl%7CSF4+Ly+y7Y)k= z%aTWp{qyVS`R(bm@jt?36Zbc4|M{4DV9t}P<)cR6ubWLLbC_ki3Ly=K|IFVCNAwy|~3 zCKF!ZJx_LKynCkxA>Bb)W#=THVBaCF_Oyg{QSgdX?bB%NcPS>5b&9VY=cR6KT{0#h z7qccoHTmbb)ZImPb0%(&Ee&(Yd%zv4NhZjGESjq!@ph(#w-G%wyA_C5ufodbI#%Jk zod_SDq*bbo@KEZ2jcARnO2FEDX-1D9r%uxzqVc&eRIw+zsaiThR<;z-ame+c`eWLE z)_63p*kIS2ua&RpE)CFOEXP8e%EP_KVNYu9jM)W+q%Ih+49Ut%gDwX^$UEvS0is~##(EqjgtrA_t^)MUNS7yllW-!JB>+cvd0F+6ZvXKQqY$a%+d2g z{BUHeL(V@%=Gwn?P>mCS5y)^x3@+rvd}qXlg>z|am>Vub*OoeA8EPRRYoGQOreePQ zYNE#sxuU+jfzpfSL?GqvP0y|W?!y5kg5v);!GrnrG>sE2)4P8~i+yo2U>DmD^n zc6`mtReK|+)B@b`x6zhglel-Yxy}K$dF^E7B)E$SR1s&9e7F{69-K!T&xG?uWLZV3 zZZX07RN=JA8nykyKy|hqmEV;d%euo?9r1X0W~6+sEW#N8a2sz|qG7#5=U2!4u4B)N z;Acd2o~b~g`vF+rl1zEnYK|$Vb{*iK-owaZst<~Zk^K(i@*0_+kJnv_C@5>9k3f|51*~Oh|g8#DMlrsJB*al53`w*px3H4=T zwUE3<1%IeAk|FDvYl_f3&h$Jt17WU}D6+?}1Z>}z>r*}#l%Nx6n+lZ+g5a=xrb*=4A?+`fs`X?k6trB}R_ zVkNHno{uW)qyoNbVWw1xb|j3H$#*uEzS&-96mtG4aCdiE7~xz0)BJfS44H1>kz`rLHZUv(XJv#_ z1zHmAZGXJEQpG+bB%HkE`5F9If!^P1;mC4GlsmMxSwJah9J@?_&Lw z7cFl81Q14f8P*#$$!e!sYZ$1~Se0g~^T_Z`p5x-~sw5HlP(@IivP7l$S)k$s{nGT` z_oVL)fUhuXGd-a&YnNc{gbh+=-OV3*G8T-7(f{bPXEnVHirAy1zMm@H(Oci7dB0@A zRhHKx?=&~q4@ae?H1?jhw^xR3%t`mDy48Gkd_u%u!?^zNiUeKq3VoHY_e|AZ(er3+ zXcuI2N8J2jwYgv9m>nekV-N|5R~k3~ch{x4yDY`}G5YHESe8KU0KNnddY;JGwv1UNDy#qW0@O3L7+DKao{wc&w;}OQt*vx1`;W$h_wO~svc>jL}I?s(&Z>42yLr1D9PAEiS~If3FM&4k=Wu9 zq8>B|aIyRNqnkey(Nl(eiWo|+LHHHwCyu9nBI6WojM9`(XNAS;od{Gp5goC!?Ib&B z;-8>!hMIR!XvAy$7+SB z8ag;k5v#gKaxG9L=rNNxvNzx1RAjBMyY`Ab|CMkKOkg;E2K1pf17bWAWbm{z1EqAu z1-w`?y9A4sk|LgiX^a1BnezV9-d%VbPakRZC$==#jAfbu7o{7kDZ{NOes-aO(EF}9 z50|(xcQ|i7DO;nhIXS02xpqe4u6*)1wZx@l+KKO8yehJV{MVEr1Nn3bgx+sp@$$(B z_;jHGXoq3)rKx5WwEV|FQ{*@ZM&PJD!Ilnvx~xipX?`VM6fuzP=!hteLFG=8`Tb$d z`B)(;L$rqjP~fc<2e_W+Fq0sD`B~)m@2#s`E0}BSB1?eK z>w8ax%jud8$V`KlsU@R!tz~sO?8O=&4<6k?qATmNxV03?{sOF>QNJ=O*4Dr{A}@sl zUq*>?0;Z{l8-q|X&uOt15>64>F&${?{`aXzj9XJC--GC)J-)}25IM7mI)Q!EA3nhK z*q>Ht#1@_lpwKx`k0K0+>ZxZ}#6$EgC+EF0YTh8{MVG^u~& z6JA+bVW}eGwoSQ8WH1Qq&4SkL;Re(VM?s{!=7cchZ=>F0TLUUwgFOtq$GP#fn@9R1 z*OU(H9W?u4>n3kPx$TWfD?z*h3u8TB>0M||qbVHOEQi93-D^KvX-4i>o#m09d|Xv1 zYXeo`IBm#>@&bqyPusckDx2r6z@XWoYg>X1$9|WsKk74_~co~|MB8)uDHD4_**&4m$>`Y#&pjQ4h^ zw^j47d*Y#ZdwIY|rmF%4sZ3HOO)LfNv`gitu9?0Rz4y8kw27Y+L2by8t;+4P#N2?k z4pWC0r^9>A(xG@-%7LRM)6I0`C09FAYRXV4m1<3j06SVdZIBsdA?Yw*vES=>L1cNp z;lL!gRyF7*eT%-i=CL(9E1h#oXF+Qraz(d=q>cT;kTMk1o$;IVshXwXo*J*ET2EQY zk)z;+S~chUmhbI*$z9TYs!F)lR*QV%&#(nW5L-?!J zlR5H8e?&vxtWSwXCZ#a?=`+K!LZ|eeRtE-fqqB!*C$dm1| zeu;~-r-A}=L4)RjgUyXS7yaItD0xM5-!ms&+LZCt4DRQd25tO}=rikOJ8Y%Y|Em*dF2nTQ)yHrh$Ru zH@m%$hfc%0^u4wt@$1C{M%t7RJqB}$o=+>zO0;={>5=`0xi7!U%1WN`M-Qc$?Iptc zdy*J{_L(-Vg1tTHMlv|gyNO(eI54^g$#g+G3r)hC2F&BLoHaJs&pk))aGjw9%bY>4 z#2=w&8czUgVwSRDtH)ewF5HUEA0c%clQ!AD$H7>B5Q{_NJ*Oa|0Pqay^VgW#?2smQ zu4GtGUsX4?04ABk>b|rj1C7&-P||FT;P0VjT-poe*ewes5cmVsGGs;JS~kR0dFNt= zS|v{&dz+^o)9amy#$t%sE@lTc29H^RxgBiXGM)~UG1?*TW${z;mnuexPyN7`Gx62g zVzy)@fA?YGm+Ez*T_X$W{dmV5m2aQXW)D%v$9CJ%3+?+^j zLl>oo=4c6s7}Z=|43DRIv>VEwaUbzzY~0*NCO&VS4v_etm)>5OF=D6f zIx3Y(9}v8&Z#6n+F*2PvR$wE<{$$gpJosmIQJASC_nXA~2#{@4NN$_>4~Vzjob2x$ z5X}&6lB@=EJX*D2!8MvGL@H_17Jh&ne9(M9v}%RYat0bnhrBgQUC;yi0P0Zv7F~x- za_US}oCH01F(Cm}YPd*Ze1kC-MH1+rv@(-# zXi#~U#JV(J+Dz(`7c>(nB@xVh^ZgaWNa!v?%mM1;DXmbshs=-tGB5Yus8EWT9Q~d3 zvmHoQ0vs!c%e0}#$#9GZmZ%t<#(KIC({$=-8A2NPXasM{<>o~sdiFTgfbASdn}f>% z*>JmHv{LS#zW&0tU;P0|p+Z7%_@Ptp|SROEVkn^)gJN4L%}RP^<6o zi%cCuKPF>B!#*_(OoxZzj$L^Lb!8RVMBXGFUaQDyxVC#3e4WFDQ|FR#^n>gik=A%# zv4NWN35^-3erq@%2zFk=kwghq{)A!MJDRzh05>0_BgcnZC$p+kP~@wuOKZ&7J7gjHpc=>8h!KdlS;={ zq{@XI*}l4^W(H)(Tm=p|Otj>#-l6Big{V=hu)^qg2n}ji+Gl z;m*XtlLO-*9DSlS0#8y>dfqifR~S#l$_g`tH&|a@EJ`ihq{7S$v znakuFanW?znCFnSz_B$!4%R0UjkDcnOvKIE=T1{cgkkXU7?Auf2ZD!EVMVbHaNox9 z28KXBxE(0KSNX?aOc($!kcHcH;UfOH}_i&4!xFgUQsWNBtNXcc^ z)*kG#cV}tg8PR3$p<2J0b;K`70Y4q3WPe~yMMc8W5V+PDIaupk5-}pxu3K9(kHXtZ z%HGe(2QhAF6xv%ke1>s<#^YdsJ7!N z7>bFW<*oQh=BST;CLWbuD|#3c8Q!Go>dJ`Sg&m0yca5gG704Ow{RKep4+ug(fF+5m znDSjtdLYe_vS8b!UOT@sjbqg@hV_W*vm3_s*&wvSf}|@syW%1Zv!aOt1A4x1`Rl90 zcdtBb!(`!5)EpK%T&n4X(NxOaeSJQLhk3Frn&@(g7*cYVEQs{8)dby83wrtvFZ8`g z$Kv%(bdOx=EfFPe$%gKA32JnQv6w{k-BMGX{V~?e<1%uBiNWtw$8~!xWh=;)<@OHX zz$fMEy`nJ*8dT(v(FQVqGQVMI8fSx*v^n)vWv=m=X~YEIuqM~`KaKjOpJs3|mU&Z- zGtU3fY(eA&ty&dt1plYG$jiC^@5ql2J52K?nt5x%i$~x-=6UNb?F(fRu6awr3AX<> z7A=tW(7DFNE5T`2|3~AE6Nzr#m}89d-zFw__P-+^pN8~`S8CTh)5g&qfLpZIO4H$?xVb4dQxIUlwEH=Sc>r0-;6W^HWqEp^U2tQ_)&;}~AOh#&b~ zxn=q%!(0aq+H_2ujoFY0(&xlXfmSXYb|L&oE$fK=Amm&;vOK=tCdxs?3I0+9T6VJ{ zAJu~kcPbhg85tWHeY|dM9o5BG$so0MKCPwS&DF7!N3-7)RX$y>rPr){-OQzbeY+pO zE>u=v0WTZlGk_p`A@WRK7jF;d^pHgon9Yp$6Zg5T%|Kbb{qZeJUk@Mm&%^!APT2Jk z8=FrC>nL`hv;C#7=bNGUPWayR76@r$DPuq)xlGr;m( z2~LlKhx4xc6Bx)l*T>sP41Xn%P6oh-gO{J1_sh}!^E0_FrmNkn?L{3(w=R{r2T}I@ zExv_cYck`y|FJN1FwdrUKRyT-s4Nz>pGMaBb6=M6x(~@+EM*neRXBy~h1Ff1qf7Sv z<@*h7$fMaYJsHqODuB!G2rx?Ijpy@tCc6)Nd!gdd=;+;r5*%ko`fURJ2;(ETDwNsw zb}H=JTC1CWc_`W^cRO-r>jr${flIn3zULBbOb6-Ql_z;)zSGZ0bc%FJX zkx!CUeHr*P$eJ`h*_sOhI}Wi4-W~%ey137D+R^w~lZ!feT})&xGs2p3OW}X@LXDio=G-*)X*-7lUD;B{kK ze<3?^>Ew5?v`Ghmc^SL_f0RnGtJ!s!rbpem;PZRFzwBj_F%0$X^Bt37wL6l+m8Ao zno^tLW$5!ptVb_^>!Ul1ns_GqJoW3EiC7~!A-7>iD$#J6M?!=#k2S8(r#z0 zK_JS~9cVZItsc*6rFaRfqftmqdgS=KP?PI=uoKNz0WvOPOSfe_}_P){^Q z4JrZM@s5-{1MCu)>yiApbSh(cj-NN@adae{#S{hT&rF^J3WpDEP9ER{df5rt6FqbN z4cIq(E3;V}b7d3Eclx^fQO?Vu=nv16gG#EQ+@`i=^zbC%iW$g-x&@2~DL0ML`tAn| zfu1GLJI}mzFk16kQga@I%C;ifWNxq7eXV7M<;_I5B1#xBKh57a{<@iFOlTQoR4{Mc z8!olK4^RCso!5dXKrXUt`m$u8;z=?!b^NKIu)o`sdCJY#~crds`AIc1GAfD%O+#P6O!~ zgvkx8+&5V#^}anCsamGI=Jk~RlvuV0EoJgnX&*pI8h;3A23J#`;m`BwmjylMfr)xg zoX*$=6+jVAtz!Q@-u1BC}D=4{AfktIXNR2p^YeAHfj>Zp`5O7%H?TYt{06FC zJ#g+0$hj_UFjEGU94<(0ouv>GLRb$<=a}vADb&v)Nc5O_JyT{U%=b{A>^TR{zf~``O=S!}en6!|SCYqNW8a@%( z80(<139dKPGiB&Cs!&p7&&c_4!W#yf81#+%<*7hAq;-fL?jsDgaVFtBvM$}X26y(_ zPSZ}DEpoX9?z(Oe@ep^sAr=)=_Kw>}Sz^fBoKMz|+sn4_Mxc0O>nKJC+Gq_$S#S=2 zd55ZuRCL4o0-Oq~E(frl=e)p^Yq*C?zXZ)nf0o=hp)q#g00Jjcp{8hoXU5P!gAs8o z#~t&ivV^GZ{@3CVS#vs-+m3ig4)q2~*|y{M{dlUdFdaUcH%nTFo?WQS|JchZ)G-(r zRgxXdEWV>89c>7~R%Dk1uxHLpbT>P`z_Va4z`wC;d+0vd)UC}Tm^eSSw*GlJnS2+! zr&ro~xvaok-7#IbvL>ntcl)TD6*7|E9hE_6f8st&s+!pR3_b?_IwC3S)E;tN^CRXc zN+mzSvARjafzfv*S)$6K4J{aMW7*nJs9UMUY|#^0Duv352H&rsHRPmcKrCq2Zwm%y z))t>9`=Wqcdnb8EKqiHpYfX42Wzw$S*O>I zV9!S)PU2Ifb3}SN9=z|bQ{Bn?T~Jp6tWO3&(QN~=!^rKo>QS5|TAqs1h)jFQ!KTLC zf*bZ$I^D*weLJN}eT7QBwDi#4X+oJo?JTO1!|)FF7U5}`%pUH7s@#5ef>JT?Qn6;9 zeblNUS&PU<&Yu8*#8z_jzZUs;mGU1RXBxI$857Ow2j+=o3lPjB3+A!vS|`=m8((QG z)JvtBW%d=Tx@0ZN8zo9OCgt`|Sr&?wf6~WEmWsJq%$2UbijkE}Izzvofd}r1Xa7v_ zU>>WjMO`BT4s^NUvDNF(tyyP3G0JM;kh-7NAXZ04bzaa5)b%NZhWNt0_*=YYm%pOr z!adb};FBrEGI1>u)~kvyCq=tD4bQiktUp%nD^N-yJXY?EiMb?|T0@%X$GuaZ1lSb!jUn5)EB@OmI zlLD@=a$^ziPrHTty=LN&RXOWQ$7_ivt8&K}`OO>oC-8^BFaHv+R!7+rCd-|=_THX+ zyvM`m3wqpfi<3L`*Y#B&v&U~}uwR!nsHMdZj{!|}-PQ;dWA z<9Y@%jFYt({s$1t>J=RkN@YS^t+!+lQTZ&AQj*y6D}R;M?n>M|4^(@&6~_?Pni$KK zoaQ{+$Ne_$8wJ}Nnp*FZ&EamC9%`5@eY%7AYeMF;qh9CsfDqH=^X&%uM-P^V1f8j1 zB*GI+Z5y3niB{nrN>}efa{Of!^S?-Z!WN?)ctMON6VV8R& zBg`jAc?9^ThN7Kz)o-4weuUm(|I3K($8_9Z-q_=Jb-yHdr_P7@BZF8|YH1QyJBQcW zT%~WSqOEBSK|Rs#T-e#0SNoXs7HvEDnYpRHdb`n+{qolhF>y$68G!@xtq>Tf>1)<$WGORP9RtJUT>TO#A zkfU=fI_usCIAWf5Rm5FaYXD)m>q5iloK8=8Xz!}KKt7T4iZwE< zIPr?oGlS6mJAoKxUTzwddP-nvlLRWcv`V=l7)U@~MzrT8K`k&Z;q^zoTT^ODq%-*n z=@zrml-QNxEo2wvWPnxax%~T5nTYcd4U;go4bN4|Z}xCMA}UOwf(f=aH@NrZMhGbs zJpsQ{-{&|2{@vu8(W$>>)`P(itL>rpH3>5KjTA*lr_06E_R=^b5q~v)ChQFqi)Nt0 z1CVpmB7*x!8AC*RVwbHJ!B=^Kb)dl0Kef~aLyaNvZ19SBi1*)0DoWQLt;U4zym|86 zg3FuvxDE+^zX58jY*qJMVrDV~%kk60T9M2YBce7^eoX^HPl#`P?_Fe$H1CFp`^}g? zC@|LV1MvPfDHTO@|jQ*~g=Krcuzq7!!|NqH2syrB6O-X zvzyxQ6^A@R@g#3tH(iBW#UMS~STR6Tyh?Gc=6~3<{6DRmdQA3x|1F$CvJ);+a$THt zSkTy&X=(KB`S<~(gTfucnogolw?Aqg-M|8+TElUj4SO;nlwxSwC&myX z>Y!lGU=L>)8mRHppA$quQ}cl4jSF)b6s)Iio-~=JKTQgE(-qF3QD)JkzyfEqIz++o zH-wMJvw2am9`~n4^J2G9E!J|}jn0(1`(bbqjlJS}nm^hF)glKd(Z3x`r|h+eT2~0p zje%-hZuMr^Ewm?WqGMF<@GopE?7}W&2!Bz2kQE^%WwA0B93}*jFp}=npOjFt+9-ni z#Ofo-go*V^+{NFJ`54`~8@cVRbA$)>JD_s7MJ)!k2N=NU}4JwuAqnZBW!;&kQDBoQ zBS##d{uR9EEEMf{ppK^=%v4wo|0YU1JZDVB6hY&r@b**`+u9ZdqPgKHBm zoZ}$s!~UL;heq@r)IF;&PBf!^JlPjJhH-cRgquf0d=0S}<$>tE2D)rLWI{7E$_t@` z>VZrPDyn_u*XWh6jLvFdHr-ERb1KKlAVP*TF`8V7+23z|9!e@hQeJ`^`}=ynG%|ro zXUsfcg(zZ%RX%x_#v?@UbLW~&x?mb0*?F=(f^Bo_y0`19|Vak+EVzCy(=kjO7y9Gbu5jlOM8YX&QTW`C^7SViIDVcYpV-FYx$pg{GA_CrS zn;;urf<_f3^I{&ZdNw9vR&YX2-Xk)q!Y32e$UKbno|}D#b=2ICz<>C|w!GDy3-tsn zVSUTfSLh0+D5zHb=4MtG1gvqq?RTYZ>&Uz)?+a*Q`!4b0$-%vx688doE$u;I&q;B!qXZIAu1N0 z=Z@ON93uMTzAxjbe1G-e+!sz;)9y^cFuN2B($vm>(T(*q4*qv+3*p{i1WS`lz%|jt zch~gP@2(ny|Eg#3f7LTjv)El}u6?3rb%eV)%bsT`E3OrIY#5ljbPEi!jRSYCK+f@m z{oq3ZpGt9TdnFw}b}%#7^98rI$nas4rDtC%xo zvpUE2T>^E?HCpEfsSbS`7Ij3>`O5FGffTiW$LeqCoF{l9UuljDTA+ZVqg#0hW@4c6 zlhV&ge4oXO$hPjyF}&6bE{rV{|ENL z%Ap9QDoEWBi9IsCwn1)-Rb|nYcAoc))hKvL;GjXyjdp&|ZrCEn`gSSWJf|JqJc1-{ zNuXjvnGZ#y9i6HwX!Luk{D5TRn18!F#EERwi9?eohu3|dX+@rcMDtE|=DVyRe@63Me2mHWj^5K(W>(gR{paIHAp@Q~ z#KUY{NF3468bw3d!O^%uGk~!2@aHmGZc7 ztGO5=5lbWZQsmh`TmM5`FKXfH0iA;M7=l;{Z&*-)0gYA`WUCv_!(9PUK>j<6uezG& z@?|KEAi{b|(e#!=u|DZ#M+TVA7F}n*vhvSL7vMR=jUDA5B3RTu@x4ViGH`b{6sH0f9r8fZ;&BGy4SPgAc)dCnxg z(A}f*DwV4x)~F|snQVYX7;JB9S2>JOL-4+KFcBvuP$_i<{nI?*fiA^KtWDk61n#@N2!xx3 zHqn9I9ltE=snsn3=F=~oZ{SX%HcnS;0lBsYev_mAbDu_;DCW(T*R^D|Tf+SI-BniK zVsrl_1vwGEd=9!&mS5leJ|^d_%WYPXIEJxtkJvsdk@o4>a>2Djm<6$%1Leyf9OYO&og_+&cu~6ivn~e$bn3mM;3HQ3hC0R&BcWQG^ z-njAiJ}M4}gC3JzubfQMQss~9n3Vz#UE-Rhnia7ZqDpx5@GDFgR7aJr`*jM%f}E>| zO!Vr~_I2ssL%KI~4%go~;G*DjX)8Se1}DASqzgxk&vk+>vwz-#s?qt}K8ZYgHApP! zGge{^UNR51wp?OYj%VNt(F<*O3HN65P+I{%RIiCEZ1p|Rzxl&^j(=X+q8h7DWHqT} zcq#4XCfr~-TATWYC1h~aQV3RR2=gQd67fY)RvC$(XBZLwQ0##t--VPjI4PG?Yt9PY zEnr47I374zQJ5XDucU*?M)cVbnS)tW%FahzZLH)d2$-?Ggx8_bV}e<5(wFJ>+xwO7 zUAzErW`++om^lQdCP>j`pUvYXVB!t7GGE7Q&^_4hy41b_#Jw5wl_mX#`xy)%I?A4o zWImOr^G-qHCLnyV+(j7aJC5h8r?{dOJ&Ix4t`K+%B9NU&BHq(A1oATBqC=SHIkzl; z$rG7cioc#e@Y|JLRukRWb!aM#j*BnXc_07sCg=Fm@XB^yt#G8d#1ea8y@0HEvYEK# zQ80Wq;RN3r)~xefE!(f9>^Jm7He&`|Ls={$M}Bsv21qOg`JLfvf%Eww`0_qz)>|rE7<_8jrILP3HWoA3u-My!1+|E^4C&%SLPVPLDZl2>2ka$ z3wPH7AUZXwXdUNOXRP8*S=xUv{gih84};jz7HTOZIMZxDb4^4?$qLz99l{@ zC~=Jhwy~$SHM(GBbKee`Lm@fgI+UuKnip8gWfsC3Kks5&g`mN zs08e}p}Wbz!{O55)I3L#Oz*UL>?V||F3Q8*^W!;9l09t$KG^|_#%+lb)zW9lF}kq) zr zolb@R@IJBng!ZVg7_OBNuupVM1-f{R8*~EUF^=OZJ;fc7`X(XK1|0LW_Gtr67vOl% zWPGb?kK4@Qv1CYX{%W4&e{!Y@Q-~w!H~(1h4}`dkS&yT3I_YPx(XkZn`0R%&;%3D3VyYThI6!@~u-0qiK(qiyLw3rfX

    %mfA;VdBjXETAL*Y+h|-sBxes`r&DTJ1}&#m|}EJ4+s1y|6N7cL5H6x4Y|Y zFah7CJQstL!pPXKB|ulC3FX|qB_Vw;4(-@`%&@oI?%EBLsMadq)Mrsj!x86z0>5Nh zu_@j+hgb`zsGrFgpu@t2BB|>6E`oA9GSEzmxH-VpAAPR++u0xAUZzByO0%x1U~wHQ z7JnJ>JVa}FKA!-4{CJ)0f%t|D+QOQiEBCG@L%Et{h_^hY4_xmiwBcMkqp5zq zRoeK{+xR>8DLKRjF+>YfNOPZy-Fi*RNw1jbX@@b5R}Iyt1xU)x-fa=UXt@2D2zUAN z4I;i<`UgU6ZcDGdwB6~Y6!6yA7tm&==IB~8VNiG0x3Y7<8Z%LeXcB@k&%@Tnmw~G- zaJPKkYkQai5!2n;VC(O}pR#u;;{wsZQ>TTwDjj=qnUb_!3mYJQ+79iK!>=I{4WyY! z#r}LF*poyu@Rd6PUp8wpuIa@^jadR=E8M7p#rb-DBRF$%S^9GU(AQYm3)!A%Sk@Ad zz(s5_kU#ILTnU}mXctM7EV#I5D7|f_B~^Wz?N*7etr|aYn_w+wFi6>gp4%cNyJXS_ z-`GP##l8kssT}si*C86Y$w?F2ro<&bY~^%kd;i$(ac;G#GR6ffyIF4S!zQVfU}S)?r>w?QB5gexwY$xMCW+VIhr!IzcX6wsN$0 z)zW%&$}ihHjF7wad3XL+R99E`#beh_`N_dg+=cXL^JO;W%e4kF&b-aS#|E|a)-wn0 zccXyqzLPl((Rf!Un|?gmH1mf7G1{dD9s=pJeq{aY?yG(K8%E5;f+RA9HpwZaxw>q} z3hDCfxyHT4h8zsL#MJ~=;uG#8eavfxp5(dS@9Ld2==!IdpnKRaK0+R)pO=DOo?;6( z+@1~biNG^i;CTlr(04pd$nQIg@uR-H|L>A3AKJEf=lCyYda|T0+x9TTTQdNFOfeSl zRmYMmODWU~^9N=SJ&=Zal4V{0x7hE?ni?c;hrE$J^l@#N!eI!7XY+@|PGd^#`XYt? zAJ}F=#-P2ObiW5!8b1AffK-SeHRcjPwthX7{K{6IuB~pZWy7OH|0qowF@cr1)8-X% zOnW+I?455{iNUP$UI4sF`B`iZ4aMl*@$q?6q(9vt8?089I%IJ+f0VvHa{Rf3MrXf- zoYavVO6N)ZMiC1bK7XT_|NM6|yuAsPypF$2%KE5`+wS#o9l#5}16|f0*7R#vPPy`6 z@IIA9hdS5tA;>Rx^UzTvtOeS~<53Fw)4%1V=mrdhQw2zb63cxnC0Z`Hu>5Q<)=bqv zFzHdYZ@Y?W&Js)HQ&YS#q1XRX|NEdhc**o44jit=4>YZ%>qwF332jvq8<}gd@?U#@ zx;p%}>?}uBmp5%}Cbu$>qzU+>jq@drY;1(ArWJzyOHRVGI|+Aa{AF0Ec*8?4N^WnzILkyZhu9k6zEtj#I0} zNP|gY?U46roW*=XVMo-Ks+W4wmMO~uok?OMuqqG$NGOA+6^x)YkX3>DF=Is1tU;hg zx~F!&X!j&e`c^F-rak*i_IidINl=s0AJuPpXUpu4V-(6=fh=ewK%D?%r-7L7vvwRb4vB zG24K8MFKV$Lhge&K~maA&60rm3xcl$-AZDfr*T`P2k`0LY|QqNCiVs^W)F_!W9;#4 z5b;N-7lgc5>SB@`|BCh`v1Hz4;+bP`-^Hz7cCQ zhL*5`8H73H#R(!-hU$eh+VF||gDagEJ-Ux*$m29)&oo!iH;D>2w5fD(u?-B{_Npu>5EA2D)Q;Sf>A>}#>Gt$h2+AvuAyNPg?eMP1E zDJzTf7jg8+NM=x4;^cq?&r0B3pI=qThJ|pbnneBZ^r!@_#@mXo8Q?OBHgLSs2_%u_ zJ}DrfEeO(;B4P8p0s? z)r2%lR3&AIVm)c$^$p9VH6bp@J$h2zoPGq`OzKt+2(&nw}-0G zcH{-UYBqeG<9Os=8H8CL>f+GAufKW3K*F^tcY5WVRp8eozr@jcu3!bXaUhf+^uR@! z?{nNIQuS)o6NRnb1|)3l9#5Dk!f3NoMN*rvPgLb(V8*A~WzJ%BM?I0N0(TD3O$Cu? zoh*BGkr>(jjw6>RriW7RG;ue0L zPzEP`(0G$dD85kS?SCiGvmDQFL#v6ed0MO^=t<=LjyD4>jP}cmzF1VAQYMBz^siU% zx4jWk@b?5GLYRG+;%O0Uz`@}NO|FG-7wT%buc12f%bO5U`4y64W(Ws{d!%UzY|M3z z?>JjXNkFyX`;8cjjqXCQnhoKJ zuQJXV!9Xq>o<6(5oE6@6+1a1QtdP}d-^>Jq0HJ`%4qC-g!KiU<{k*+VeX5tJ;cY*w zvWWC=DDk4mO&TIi$}>HyMUQVR6ieP;zgS;vg2i^6-mGBRVgImzS+;ZP?+p50M0TsC zaSNhOi^T6^aKaVJW#BcobvE&d+;@z_D8DI!==%gy*PQ_Ic9Le;T zxVsy-p=J*Y(Lv8KQ8*8iiOYIkZ>(L2%@==KsN;Niuu4j={z3m2MhYC`Ae6E~uHf9n zh=I~LADfdf%U#85^ME;Tk2sjJ6VvWK_%dZvA1)h`RsGW6RqG^gn{acYUuM>l#{~B{ z*?H3pbhUZu?IB$@`5ti#Pf()81SUL;KcP!238 z{j~IoXe^z3y4o1sP)Qt7lIdR_JR#{8e}0PJ3?0w)T#Y)Iv4b(0U$=<(T&aM-lS~rqMic^_ndk&~JuHQch8{(u zVZA|3NGFjClqB+a#ZXG^^NZJ2xSVMLx%Q6K-spVcb|G`h{^u=mE^jQ>n`oa^GR} zfixyYWVMnz>2b||w)t3OujRZu%qQPr^hxieq<@d{7l0Pic`F>@Ukw?2twV#%_D0-| zt!NG)5@8J1K?NuMTmF`l`4C7?>!8r(u0kDQ^9f@&euIf)qXi5kfP$n`3M4T09pVMd z(fvoL(?KB6?bv#l^d4XL5AY4Zl{*}1(8=mtgH+?19KX}(jS1AV!myOHr}nN~QfVz{ z=9|CM=z-LvL8?ugOEJfT$(ew|sOvKr(SMD@fs9NmGRV)g#()ymX2&<%Pf}~bpNRTm zf*y=ws3O1}WEuVeYG~49s|t+sCprXaC$|VAEMw6Z^cyG?8q64U3A>07rJEt&9^DQZ zL@P#mwP;Xds9&rNl&07>gua|%6zx=r1CNeH8N!~H6s`i|w&Us7a+@c_u@cq-Ggjs& z1yA}06N@!ucUxYWWuwx{9b#UE8yKG*4pKyq5izb{wfY(3iVFi1^~JI^`|-iGSc7ya zHsC>b{UzK4ewV!JIa#hC@-#=PCN?5 ztDk@7S$A@cqAc!4(c^l4WkjR{suvo;@3d9Gs9LR`3#kHMg{IhixV<_%)W>mI_8xyu zlwI?i%_pv@OzAHKe-v)j^fIY@UE6P)^)1jq*AtKJVg{;ZA&lVQP&dBThe!K{nueF> zzE{TkfAi=$|IMS3+oZ zrz$K+@w^Xgem6$hv!+a158@QK@Nk-hVK9Uj_KofCO=03{g#IV+NRb1faWul}(mg^Sdv zj32-pvBh(O&+3TCsYs#!=jEQyeJSuy6nSWkl7dNic$(t4U$WkV9lPW{neX8r0u`{g zD!oZKauZ)|yR=EjwvFycP0zuJ7t?9E|F|aqBK+4i+2=rWW1igg97{bCcM$V_{CR5< zlEZKJo2-Zkfw=9Hx5oetO(`yme z=hxOSyIZ+rtuAJ*H58**hDMHkYm^euS(LvKW3}3jnU19k62J-g}A}%GivU^?Nm+QGpF@ z)xt+280jv#mEI!j`+DrOYeluE?%^rLQmZItl&s1Qxc39133p)8BP%_#^OirU1@V*l z3RwROCq83I95zim-PrZ{*G@_OA3NnzQmg1Q8m6BGUYOF*q(M6-d%EN|o!A~^&&>O1 zO5!9-HY!=hzO3RfHf-rGd2=2?lYG9toUzRS`!W=P#pL*J7xXp8LY0{fix!uEW(7Cj zE^0hwF7XA=s*V=_aY`xRF*5Uwz(Zl_QoY#iG~m|pyybFv?z+IOY$YICtqppe0*Bv} z+a~7I(!6yA;OD=PO98U-lad4h9Bx=8q&OA>zr1w?HYmzg`6%VA)Y?&SK0LI@OR!LQ#O> zuI(;JC8?_l(DZL$RqD~u!6@={jy?t04^Ky3h!jXy96~~X9`y`NSMU0Hz|@*HBjV}0 z>Q%jqNturw_f&Noa-+9Rhb2WIxB@F)raB*h!lk21l8E;8a3Mr4_#Pjkr{= znK+;@os5re^cE64p6kk1xIUGbzG2SaPJZmgxZw;_rx>}^6fJHj>`z;lijB1K2(aZO z6@g2Km43u_Wkp{vU+Wk;__NO zn_0GXtKYtxKv*k2 zi7I&s!;@Ul zGOH#?CrJ$8%^dHIS8E->mNhmbw&6)FkdBZ1?v}uuIX=rYNkvvyPiT{NR9o-jwfFBh zN&`n#I!W#%;P3k)A3gDEu1sU${NF!T4bhvhMrbZ!$^SOPixb%CF!=2U-6Gppbr{P? z4hP)ouR!^qQkGTxu$MkSt|y(gZCuXBE!=Nh`=&tAX{b zueda}0$svQg#E-`hIPJN?ZzybI7z5JRT+&^#P~L{9A0i2lKLet;f%`3SE89o(LFyK z(BK&FIVd&ftji0!k(NU)kNzGA*Lztc1Xj_Fs3@+`4g0Q^$QZDi$S$e6IeXF(Q5CES zbNM@DXVf4eV=uV(_iC1CRy^S?5_Pp*2-xEDT8RpR5v&TIvpNu{M~Nwaq-%df8^Mbk z&MLBCtoD)VP5)z|1FrKaQRDlfUfO@?7^GaRARwnVAB_rN!-gCXmL3B@t!dYt!W$u< zQZ1rM<9m)|#D``%nbOiy(4P8=GXc2fuGc899j^Fjeg^};oaAvkkucfZokkkdiLTHw zj)qUdzcF)rj`uk!^H%~xYw=Qh2yV4Knp|5PV*azc-4YtpeFk-J9te}0vQet~1(Ve~ zSKxs?705Hab~E&)@FR^%sW?e!Do|~`{2e|0*XKyspAN z4U$tBdvkgeWar$$=v~*P2!hD=~8T z>Qu;kDAZG){1%$nzKZBp)@_(qWdArHTnVys8WmnuG|N*_2`qd>HxYFA8ceAmXSoU% z)6m}(%(3L7xf}B1n!=l(?G+-30(Dh#;m&K*HyE&2#ie@~^+Bp#^ye z2(9ESeB8dT0xqpZ$DtCsk!c#$$lJC(yH9kSkpYN^jc zpSY=#o))7FHP`#Y^p5ID(|ZcOGHJH7ir&R~E3Qc)JlgYPJ9cylW|2ISf6l}JP&1dw z3I9LZ-YP22pxYM3o#4>8ySux)ySo#D1rP4pxCD21cXti$u0exCay$9=-she%?tMDr zywq6r)vQ`o)pXNctJa)j(+u#?-*b=}NJ)_hKHhpwn`q+X_!cqJgF*Q)K){mLp&gdQ zI$K9*eYq~sw>qL>2?r+1J8Nj{u>)s3?6tbgPF%M=RUP|T3DP(1qkA!&j-z*w`m`Bz zJL|Cc89Z>?SGt(Ou`2Dhb>a!OEw|?+n`VWY@H~<1q-u_v-fjBRbr?Z!RUS^u>O`tF z-0aR}B-Phii9vgKaun&hbr16OVStd6@5EjvF-8P);)W#k@ko~dR^!E=ogvJ*%}wV2 zIbL2CI)3m_r7mp2Eztnf%{Y=B-g(Aye5KHzFz(y@)u6rGtci6y_eu{}oi>%djwMlv zu4x^U{}U-!b9fe2aHG3gg|*arvB*<^7v|YTY=ho@Uk=po^1O=m*kUBcgJqVZK+Xi%On>{x^||Em5=wal0BaU`N~^bn zW`~)C@LXu1B~36?wW+Q6!}2+Og|EO5dV`6&+M_(L`w}97qRR)F!HU#Tvm=)(oz+l5 zO^m<4)M;urSisa#_$6`?o^hc6Z!#vzGN7fb^?0|a28Wcbh`EX ziVz0P88WiU4w-$Ve#WczZmWvNDkqc2WSVTz0KHQ9VdDbOmTpx51lEE2&!(9C3IH2~ ztrr(;ZQh5?v5v3(bQH^Zq0_E1I*FbPmuQin9rqMFthv{Ju1B!{$=b(NHW{0-%|M@r z?c9t$EXS{=<7;M^>(#753=YQcA6eMoU88K(b;u8I&8W=8j~@RXiF{ygJIgijz=*?@ zUCxrUZ>s?;t(N#PQhz9R|A(@p?0SI%VtKsDV?mkt`!!bEG<}&1fk*jCjZ77T)%S!j zRw-@u!H=C^tJCiWRxL=YR`8U^m$H*2wCC(MrBmn`7uy^TQ++U~&WR(Q3RUW2*@4Vu z47hA)6Lqi?YT(epnqrBp%$0g~I8BXG?4hC(4V2}KX69F82M-SW5x8h4XB{w|VP{?K z2qASYUktQz>~&J}L4>N~?X78zaMp{6l@WNlS*84UmHcRCsCT%g&`+r4zTQ|Z8 z=TXx8<7oIypZJm@Q-m&8@7g66nh#>>rDie()gIaIQuMl#_fZ$3kDcSfCy32E9&V>_ ztGA|a+%Ii#c}QJVWPomKEDY$M1$l+hitIO2fny~{<7@D1!ki4M_%WDRtvVd{a?9o} zjFus}NS~{PU&(D4CMxX8@0zRh1V!H+;0N=q5K4l4RH8&%vg@z{p+#bD;aVBNLo9Yr zZvLcxDgLGCDa{psv*yXt0A+4cE;f5k+eTP+wk*?`=>Duk6q9HJ67j9JT>)#(+s2DB z-CMRa#-3uWYj@&P3tN_feg&Jt73k6kYfxxOKr&@fv-IUbABUAf@&6S%d*}6jE%|<} zf5&_VJK;*uT!M4}!|uC{g9N&V>reqE`!}G1=%iYHm>;*EBqY(d`eg!jj+C$f z!FOL>7F=!jfL=6B!qH9sH%HaI^6G}g#&`rV_<1a8p|V1{+_KDEpvP%I4;?_elIvD` zL3jja?WR6pe|~jnUe;SQ42B#zLXXoZJ`W^Z?vC*roAdi8^%m&FAO>0dYtXv}=A1-6 zzZ_Z1Fp5~E%_0IUq1y=k$QPsxU$4u&N5aMX_VG}n~N(u=E|g?(ngBT2udS3TG5 za6q=ams%9oCr>AA@K==No(}P(wMdB8W~)Qat%x$U(obTVKaz)%z!TFR*_a+b!6W$% z`wLIhYJ#PoQf%{v4ZOe}MyYsKcb7z#MT_+phboYz;kPLQ$uS>CJ07JPNW@o$8Cle* z_D@|6lK6OEN*?>`!m|3B3RAd7(z~nt90hKeL2*#YpmCO3l4HTd1Q=&kc|>Q{Pwy<5 z6O;F1D2C)!@EKQ0oKccM9%D=P#mW?6)*Dtj-$lj=RtV5{^b@Zj!lrVo%rTSr{=$Cvik;BH-+|yI109ufv{%=tf={xC${~J*h^AW@< z3cORakL88Yifq_8E__39LbH-YR#dla*Lvvmv$}6{vRNeoWp0pCzwEMq3M8oYiG*`J znC103$GagbP?~e9m6g)`W_Ua-+!J{QHq5qIdYIE;yK&lC>&PqmF%7=4spT!i$nPRssJqro{<)XNx6 zirDIIl57N3#v}523n;pS%ue6FH&grp4AR7^wg$7D?k`!Tm3}!JkVyoI`7&9(*&M)s z@ug_z?&&hfO6OxB7sUFmAufeGhGw!Gx4wEC6W-3!F;Sq3)UM2yGWRc#!osP&;=Ps_ zYn(A)b1@vi#DZ%UgH}}{5nhs&G+L4F=WrfnbFBaHC3?v_C<(6W++t`Pu}CX>k%aid#w#COyX{* zDrHUYTd_W7rcoY$C}~>=j58bR5C^wDA$!lMp#^b2Y8qFZOkUul=O^x!0v_Og{CsJo zu$XZ6)D#)>o?hZ-3d6Skn9-cnrQ#KRHJ|FfDr$DZ@9`3MP9lcen*%1l-T*i<-|j+n z^l)BEmeUN_FW)83;^9U?w`U_-d3?-5|zN zmMg5PZ?tL?!O)R{tU>>%jS-I-=!`K zBo0E&PIM^wxh_GDLLDKbWWRjf{0nR^9KS>@ltI* zOa(f18s?w8yBKmQA`154a~D-GQ&|Y=TWX8>DEH9kt_A?nIV?-Dv;E=-#|tyNjQNDI-a3Ay^a3R3dq%@i0;RGV zqFdo6D#T4&WJQ|Z)j(@vf@Y1ufMHduZ1kH|%VbG@)XbDET04T$OMa!$-wvkWAtT)s z`c>e!f7)8Zh*&JY=NIUNB?_?Uu*xVHAGA)b(e_H_0We>cNakWr3$=T;}=35#^_+ZDB0(% z|KIpYL042pbryah4^#Azh4m>+raJ`|bOe(0`Yy~X%V7unyV{aB#k*LTC+rQqWs!<{ zR1I_`jty>vHpG$;_AL&#@xd?Is$9a|{uY|Wm<3tNFOG3EkYwo8@4pCzSl1&62 zpV$&>16hWKb33jV01P^;^TCy2D+qK3uFj5U2c`s1n!jZ|(B@W2vV_Msmgr=Hb4TK| zZ7$Kv*S7Mwudczxx_${dYXGnu3J}G`hXkd!ynWi&SJ!>tO+NtMa8H^wk=ak>BZadp zQpmF{I4G}p!45&iAd)VFKvJ~yA0<+B0g`x*BcswBcVJ8mSkBLCil_lSO20LR&`6;N zE8WHw0?HAd}=9H?GXWaZba^bky0ke06&e#7=?1%O+?M71l5)g~`&+z2Zsa$%7h z3h}rXfnI@cB0{t~J+c{+;QH%+<7#2*8C!H!zHsX!(Y|sLq}#X$OPECjoSATfA-&z) zs7iSuRI-XOe5)Z_4LX{3NbI|@FJ4eLf>sP2nl{b0Ge<2)Bu^?B=NBIDy5uBr;bAT5 zg9Ye)!k>2VkRb+$(S&A!-WU4g<~S#x?>k9LX(k)3%bnOlrDf&VHQiE+WEBJ%3=x%W z6AOhciZT`jQWJ$+$-gf6T5ZjFHwDvL$r0t0F4K$v)juz5Y4NzyY2W52Q8{nUqx&SS zNbsiU7FdjKK5MK6&l2-fTONc`OXl{ocQ*OU8l_VR{RP^ewH zzaf0Pa<@Qw?@aREi)Qvhn)g??J+boJECKl)9%VTX3OQpFwA4IYvOI@ZE}N#YKhx;!co`68kUK1m=E?f2;`zH0`j|W9DDp z%DzBeq>UJ`ZObqfo<63E0X#eFX65i~MIh*cuG8z>qYp_6Iuh zffFb|j9z2evf;)K^_7l9__|k9Z-@WQLa+gQ{BG#XW+XoRXcIa<`Qm@h{o8c(YhNid zv4*ZI#VI&0(``CPWwI|7x2j}30@%@+&Iq)#0yA)Wj$4m2QZc~1&*m%B1~V>rcOZP` zkrdUKKfAtl@*B@c|JoMbsxPH73b)1(Z`!oPU0M$6>R_53q$uxLmi%|bH;BH{W6~~S z{9lKBTF=KmqtR|-AkqXhM97j#@8b5{))`a3am#7k1HUR5+vt~XB)AX(o29QH4}$$ z9bV-rXaK_T4V zLnoltqlkVD0+nv)8)t=fQ zFT_Q`S{&Dr#%YUR@^w#u~HHB@qO?2e;}hl3YM?rzU4Vbu3 z75vmj4@$g(N<5spEX~32b0wJVTWp1a*G1U=folCN)xw?rwodgx2A4CZOO`)c(SZ^W z_EirIlV`D~Qz&QIrR4Y!EQVE$kJM!weYsPllbW55As;5o_Zx)*!IoD-wj^z+(GkSe zNk=ntLoh5g8Mwt(oZQx@Y@LE>LgI-ZVOLy!8e0h{tQJ~&_thi^7!w92lOEtPa)oqGoIIjp2us2b(Pl|pi`5R!0LMUJdm z&;CjMN|F;}R=beDoZWmAI)QJ&FvIv;L)cjA-tiZVvkXRDhnjW5q1jCcFV~0cYvz?#gVw@|-(Il>%DJg$7-dxSLMjXe2gjpsVkVGOAH4UH2-Lmtgu&FD+HTF58(BlE_czl*RCSb znly3??gfSnYraEuOh8i3l@|@~XGeL)BQD{s`PY0s@YeLY;mD$1=b+q-o60OKMseqF z4lBt&of!gG3mOo>9Jp+x%Kwr5J>f8qBAZJPvG`c9e3#jUWq}rrL&w&KZTqm+;k>4b z;>IP(qd9-mv;SmUM7}#P#gk|kwa=~0&i6!D56Xa(o}M?Mn=kyzXR#Px*TlLToxZXF zd7fjfYRlh-FW4ZkMI#WDlM430tAbQ5C5`o<)wfHB!XaL+?$@}_E%9(4z0VzW0M&d@ zs{%Ae9~zXuBS)13V;=uzLC{4Z%sk#38u&`7V`2b$=qmdgMqB8Z@&$hs zhIxD^^7XWf;u~W6KIKc+1S0eJto&UsP4K_Y#%gMwfBW?kwVXFKdCC6fH14AKb6+Kl2c;c;_9ZhxoN&7XzWW9`Y(U749a6q*)HwZfo||^KbD6S? zow-Z-axa+y0?RX;a<0DC9&NJx^9mYrdRg+H8!$I@h zh+YEW2x-4h$lnn&0B5IEPAZ;2ke^Ncp0dBO?NE(?AV;6slR#L0YhG6wg`6@IB4DaTG$|f5==XU zV>!gpzd`PvpFj)Y`N@+N{uu%B);hH@7UKOGS8`=mya{DWlwfCmkCEBlR+W_%pv??1`hNohNu zJ3Eg4BK(Z}zcp&>>1za~?abm>g20URc`GIty{YU2|Ga{x%&m_Dasa9YFWdR%i-Rzd zW}v}ZW9dO=Hvwo;j?4-m+}9!nI_BPQbuvQi;@>NOfL7DG2m_jjewnD}Uq`*u3LxxH zogbjNBl!rh#-#0>fM&08^KVtuK>=LlzilB zEPnEdvW=`@I0fCa2NuiYPKwY=`5RtkR#=hG1X9s{=u1?@PS3CU!Za1Iu#I;33P9=1 zZqw1(7;8`aPP17KHhJHvbU|3~I7uJMXxAL&Thc&Y=&6iKU-FZAgF+uDlFNG)amBTh z-wv0uX6eUN2`533goztZ_n&AWUW5Z=7%~?3BTqQYkN5)=E}iQJFOGIb?I%L5F!B|@ zZ(x|50U%A^xeIWm)TW!{UvLX=2j72wypZdb$LG;hjUb3Sx$QJSQKacb|5G@aJlXZ485 zoJfNtw}6N|$%^VozjArPS&x2h4u;;y-`fp%nbuq0(Rp+zax?O0QV5T~!Z(5b zmO-UT@PGNU0`5@GvDI`G@_Eteya_;v9ru5Quaeu;9*6EMtF{qhe8^QE%%OAs>{`C+ z`c33atz?;RI!2q*@&}?~7He}U9LIOjnq~cpWt3XGbMM=qh?>7%OUW^pDU2y1pFku> zpgf(wbb($*8Po3c>r~aYC}|=>b?pa|Io0Q)qrU*MF>_kq8#{N zM2jdxnl(s7xC!Jq1LQn*0mdruwM;h>Lj&p|?lJW{B4~l^5Cy!mpj742-Vrj-t0sy5 zOb2exi@v9d4S8pvFw&9I$u$GHMz_^;u|TqWzeZDj=&Nm|!?e-w|2@Py>0JV1k<@gg zv36ZEZU8Dr<cCkP($Mkg%q}?K*2&pRfoLHah z<0;$sm*e}FlKX`ce6cjd^b?!3iAPj1x=Tg+gazhq2?(H|5 zhhNEl#uGN4on5)Ve_(F#nweec1t`D!3JM$VSj97@Df{>2*v^h7{^i6lpQgnfzL^UM- zQ^b$hn)C*ymRvMT3KU99&ozIg8;5RQuJ!4NzcEq=?fcjQ(Blg7 z!|1H-lo`=cgO^u`K6o0K@j-l?m?oTZbQ997l$wXZ76i~qc(S^p7A3{|b6vC3^JM|O z`vHghwQSf}(xf=wQhtBM`DG#Z-P;~HDT9E4iGcA!VG{(eqL(zSn!%sFW*`BUjCDos z{EU+<+PF)QxDZ4CGO>ajr6Db6>c+@{M($+`*?z2=xv>*^HEu+0PO(RBGg}Tf8GMll zbbeI0DS@m@*&2W3us(nkr$T#c+G$9DCauAnCOo{cwPliJw7Xk$|ktwJmEMuKS1Hh9_pCe*R&tkTx%gvox6bhPtwz-_eA=>i z`p;2XT+hE&O!v7S_navCE8|rY4H0JUL^tQU%6)0RFH`TB7p2Z#kfAka_8PM#0hCnf zPpANUi|*Wi7uQUmxAkp&Yo^Ci#*fUT(6{4W>!=ve3T{N=_b>^fRy=+{P|iG*O%kwe zz~ILeuw|Q6HV=vru)TA4g1adiPfPCkd^aXILt)&SB+2R z$hd^~ej75bjTW~HpA?HCe-kqPIA%nBKrUbkeA|{L9+IL`sHw__p^z`J^;(k{=VU~d z0UBW3o52IKK5qBN6LHOqxL92j707{PD~DY=VuzU_IPzfzNjGMZ3HIojK&bYTvJ3bG z4v6|1T>nRfj0Anp&J^_a;q95tF^7u|7mC*MJcj$I8`zVQ?uMuvLl&7W_e6h4o}#rh&wqvBps1Ef*N z%39xkvpE`F#@^YWT9uQ1RSy$e9j zmV0jTVu1|dSr8<-C?wZfN4RgDw~XHHs`!N&%KMGjfjKIu^s%T- z>PPkW4CG(`yHCVMHgjvCFocGlzmpK%kDg14hB{meZ$Q^}9w~0aPC6I9B8uefYfn#4 zeJAff?NMW2pO9~xZdyyS>_kpfd&nMd^Z<0AY;WG8x06C9kWGHiLHG|5?l2^svS{-x z&`={eBO)rq?av^%~P6f5(&A?`at5pl?G)4kUh zo9*R5tCU{9CCAm1aDwKqQ0kpCp( zD*q0`L8I|N#Pa?$g7RRR3{{Ub67Oyrf~x|vQ>u642g;l^|DE??fEZLd_g(K>zi?h2 zdR&ynAKzJrji^GK;6$sj)G}m6FB`n7a{1ohqrDOWA~(!Fe9c<@1%En)HtO^7x#FDP zzfu3;)}78aIripd{EeuUh9zMy*(!OHIzVC&TqfV)09ZzT7x<%tqF|8*=If)1(zmU{ zt)PmJq9|vrsPb8nSIJJY?Ak7XPe>|0uGMGPQBW`d_q_tljB$G)xY0WR=;nPPr84zu zLY5CdmMN7Bca~Bj_n>M!cI>G^eKJmqSkz24qYX=B7Zz!;tY{W{<9%1mMv*l3BQ$?L z?Ex=50!$cYGbN~^o_dJh(qgQFVVSn5ex}m3PYa<|ij5K!%)^wZH&T;)XcQ0_i0Q@F zC}#R8ag0e*@=PHYyIGm}+-`L&nk=?LZxRu6fMx$d<7|lS<6=- zUXR_P8&yIbJT7lMJo7MYZYy{%1txyeX&_zo2~i18st2C@?^fsNsKZojrdKHI-DIkzi2m_ z6Ec|#OXyfHr|Rd;Pm|yGLnQP9E01P=^&le~fU;FJIFFyKupIAm*jX+WgH> zkP(wdzDV3;i3VkwYR6(F2O82^s8op4Nqw5=Q-Vc3zjA!!fr!Q~M^&QXWUrLMngFv# zVM_j)XjdPwP;t()ixS7flAfLdf#UFJQv56qkJ$_bKLPkM8$`oQqk5h212>C?9=se? zvb)<|r>Fo4G8~Fwf<=YXN!8z5JA$3XQ$aewNSh@XeAYvu@Hn4`qp2Qc^a@UzE^A8e z#QkTKvqiMxRbi_@PRwLC_osfS4ALG|qN`_}0D35;)c|%FrPYqZwz;(dwwPr0Fw1nl z@2m`iP+5#1WG3VO;3j!7xzOcex5H34d_(zefk|w*9ItweC>XA}kV8U7gV1WE5PJVZ za5eUQdB8!$ZibnVL!CNnZf0i=>G9wysRC=R9eab@dx+|U`fXB2-~lFINmDZN9LvPG z`-=$x|M2!!Bv_vfFKhJNW@L=KGon$ViVkk?OP@AUgrde=ev164~f#Sm5?wZ znHe`I>gSd|vzs3Ll}Ub_CIyk2bE6;g&5wtJ0jVzZwSuN*p}$Se=ou}K82h|3q*Sad-jSvv^mXDuNB?K47xb2gS2LlbO!yx* zSpIkbm_>gw{PksQFUx?@@cHA68FpWcs)$sk?miEC9vg z(sv|+gxswqorK)ErOYJMpOz4(ssM6A3I^A-aReq~D3O(K3?YkFNGJ5B<1LF;Y7C)G zFS!mqwUEpt>Z4A~IvT4%%sK*_?JJ^sSKT=SBO$9rs&`9vrCic^8&I9gX^ep#X-`mV zRiR#7Yqx4%TKJPGv6qT@IGi3gT<;D z!Pf9ewwZ?<5&Kc*z{-n?UNfhBhQ?f%VJ$&5)*06!DX5}CdszzXT5-fe`{ zv@sMtqs$74oXw5P94--@P5(IooJIdMp`KYT99fszV=aLc(qP%y)Hcx^39F&cl8{px3A@Z&|2-ZUcaCcpqiVr z2IPWI@0kjKgjYxfV0K}{uj%$Hush6CtXk>_A%D@4@?-C>4Z1T7HHMzAVriVQ=t0M~ zd#r|sp3s?;qh^}p2HBamHvm?}UGR_Q7t)}A;3m>XQeb$#vyh^Y-@~U{FJ4&nM-8h9Emx#D?ZCF!y*#oV5(WRrG$k^a=*A2(@2}BY@FU)xu-tkAuhClf`z)-%cx~h{ zqe+|7qn$~Qqr%LoT%mQhf!Hk;`Pi-2kBV;&Hi_wK9x#dLVh(2bp%lngU{G)2N9)B8 zaT&G8<)NIfclLTG^{l$PqTc178|vfB5H7twLC#l3^oM8_c66;GzXIFJ9P^;!;o%Wn z_y#Y)uZY+Ut50Zw-WXOziB_|G%Xk5$2LG4oEvE-XxFJei?HfuDnF$WW<1Suz(E`Hk z1yTtX*mRiO;|P1y9?MWOLI8`@XcUMeE$nB&5TIbaUpF>ywLrhq#w^AP>#K%d3Cii0 z78^}gf|k=CP9t_;gP4AFFoSrGba*}^rwgB06y}Qr4+-YD73K>FSt=yMAHk~-vDJTB z!;-WFr}2ZaN__RW9mbrdC0#5ANi`KkGRoWK8Z<~+dx+5E$F6bOUC@k^4vt_tu7QVh zb~Hd@n*UxPQZcpgtEwy1nN7fgFcV>_B`qoM?Wdcay~Cc;o!;c+wU5n

    kS5IDES?EcfBKm?xjs_! z3%rc5aKwe79DuZOTVlpDRhkhcT2ExO&M2oa8u=LW4Y{r&M`GRnr9K;x&fIMY;yU48 zXc$}hL&ctlLj$gD05@MuC!83b4!UC>K5xrx*mJiORj2q~aJWd5XGUEC@8ImaUsA_= zU}m@fNPgZYfv83to0KH(;VtdkJVhZ9kCSi53WJ%3e+&|;;=ZAw!h{RrKou?;OTbi4 z8pDOp?=c@nE^RSG4IN+NEDoNYLb|W)iyBs-pa7@Y=?@ZZ$639RL%I1l1Q!im7dn|Y;;P$o5)~&fAr(R4~{k* zu&4lE2aj{lpH}0Z8Z>+$tGkTzMk@;5`o$Jd$$Q2R9XTz@452wJ%1jZxB?u~}d3V^o z`2dJ-{rdzP=$+rDLH z@0EiEdjvE81a+B=z8ugeWa1DQ$W}zSY3&dg3_Q*pZFSo%JVKDL3Y);mqAK+(eE^}D zqL?c}6B3)!Q8!gZ+-tF3sw^Ce@Nvy?)S>V zFMzaP+@;he_Dqn=lfycq!n41X!BSnQMl^)5<;Kt;r$6LLoH>~YajW979k52-VK(Me z&}@uXg)>YHSPHnRVmr|3sOOf|e;+f+94JPyNe-RxH7d+bs3+Q?K~AA<7?iq1gR91| z7%n7sxQU0#{OK%e+vaeacjv4d7n^(y)uK)@#a{iM54=;G@*D|q^d^v}lgkyMWkz9u zm6a2>(s-@8d?n znVm@+T{=LT*^q}Ktga5NK1|M~a5w3>U&3%H2kR_{VYDZpWIKQxf5Jjna3}4c)4h>N zO6-{$jwPK^C|KOtvoD*gc2gNTKGTnk6;DJ%A6zU~Rz+bjVxo&%hBaVG8Ci|aLXX%X zHdf_etpT5z5;{%9MX%@{+NV1{Q-jJ!51%3EsfEts^Bj}U90#7p8={5oltQ9Mn^=h| zMUR-qn=m4FqZ(0-Z}Z@chY?l?Zv81IIdqChgB~%1wMwglJ{{7&sxh9nNlUL{E!bWG zLXZ_? zhLFbUJ=HuYBmfwVC^qA%xkS}K8B6I`;iRCJ`LKo?|2eA0NTH3u+rr8CIw~S02_~3kiHe6eBumL57_9r%1nHmdi4Y=|8X`zt>1KD!W z(B|nZqe-YOpxT;ATy{#ZJ}C(3+?-&*yP6{AawM54Xg5OQF$(6dA`>^BVG@^KuXi>o z>g-%ql@0!mWOI%%o-&}p30i@tA}OzxK{&|0ylYB=0qyCMxXV#QEgq0w+BYSEAUenl zDT~z$v=uev6r&-@l0s`Bv;dWzTa;jrQ2D`>o>yG2S*N5%lo@LTv_+(6|Ap5n0 z1L0JW>C&BJm=II)@s>9^CCJ3w;a(Y^iOD=8Lx%9&UJyvso_k=9#DDOpJ@vqNz_S!x zIub_3C}7r^Ne}I%06kyd@GQayh-^)0F%mf8P@pr0PIgvGzMM98@=hd1!51CbnUQysKcUv^qnj4sVhV($L@DCbX zKm76C?So*rl>>aTOmVU%90^-UI_aI-QR-|Or9 z^pw>5eiI&lGyeS^ERL6SH$46sO^v8S{bc4b@AhR!xo-=FD+dfKdGpkE@BL=)#8}8z zE(aAx$oKtd@a=gVq{RYyy2VTkb^}V#)xltX-5Y#izWxTI$9iePyF=Y*dFt=(@A-$; zi|d~H+wYs-=64vnuX`WihbOIlv3U<~hff3HMqx15Kv;}#JposU-)-N|^d|;Uu9^M4 z-U8A0J%zjc{!D^2*@(Au{;?wpR~r9$d-L_UTY?t@WbSNd=jL?te(8!7 zcJB_~*^Zh19iO}X{&fB4_vcuiutrq&<7! z^?v->cx%{}ajCbyiO*^+WAfT||olI$MPD!n~ z6aRS1s!T>qih*ORo~kN?S#L>x2Z*u-B@zc)@KEGx=Sc0rW~G$=X}q^>%6QHbZsvnv ztSZ8G`sy%?A{{T2UOFWNTsGPNyze6z$A zmE?@^h6siy1=h2y{H~GQ?!W=MZ4j|M^tCDs0%f}Vz78Q%%~n-wgsn3$?pZX zQ#p5@9}9atwZUf9Atk|^>>jFoT^B_Mu+t=eZn-=+o{k$&DX*@Gnm$p7hgvd>vw9rP zjW$k7{~kkbJA{@vwtQL(66-A!?>DFPHOY%>|=NFS$OC z8WiEI2^Sw_x~83T*M3R3SsfOF7O5gUQA-9tl)G5n?huAWAk-?q9WGe!|)m>gnawn8O(T+%85UlG)#1}|2^pc51T zf03Gy2iNHf-!D;P1`!ifmkuvJTw_b85-Fd>qH&2(T2UE+_+3Qj(z_HYwd0aDyr{-s zPNf-J^r)@9S)~#Ez~xGGu8VCwIYo8tnYBkmZ?HHIkEw6o)+$4N$vTVjRDACEGpa_8 z57$BIIA&9o>O;B>d;<|_HJH}j!onsjD>I=#VnMO!sfAu<)ThGY{!rM;bDnG88{etCShR;LK!dx8Aa`? z&m$S~S8za9%Gw?uo_zw~btIYFhj(!GOX{K!Bz=b&zrX#1D zFT2Q3yW?5$!JQ~++r-tmfretBk(}?wuKjue~9#DRFQiA zGIM_09VLVztEG7K5F<8%x`Ba_MfZ39`qfn%yG?j(5Er>=Js9>6OIKGDvtX+=jev6V z)Y8wv>&GkK4D_L1JcF&x52xOzae#QfL`&3Lcvfd1vGTizhxHhvRV7bn*PW5zPn38g zVH2 z@Y^XkU%bUCRqz;lDUATDEZbF<9^AlKi)>aka$ajFj!gFw(?L&b2Whf&YjlnnpAnIR zRcp`-`Av$19ALvh7ZWU%MG_h+r5&`JKfmfsg@=rMT!1zP$AuS-fB+)Thp;NTZDUcw z3yd}^1{p?pi?%dYnP5l}`PMAAp`qXbf>kO^Y(Fe)H_n3$QTIhfTLZkCP0!cr@X&UBrBE*oEef(D8b0?L4+w1TPEg606DxV5(f}zMC>5KL?g>Y)}-px1_GcD zp7}nk6+rDD$!Q{EtU+v#(Nnf=V)6O*3){LuE#7)x`!t-*ukTgpHa~rI(N<48btzZ* zxOoRm=39B?OwPVCPgoT1^wNkfwFjfYME8WNE*Up<0z}JB-vE|nhy1vtd8aO^Y z|Fym(KOjFaNrO?n3Q1?2!|`dymbo^Xvr_!rABPa&ON$;EF_P4csQ5}~3C@7g{y^md zULt<<1-vF$?f_zh5;zP^W+r36Y0 z8$ABN39ZlO92@i|a6%iC*~gBIIdEh}4k~s`3@X&srx40)lH5Pw)z^atOkgi|ug5T! zumMav`5O$f@}|WT;dN0lV*;?m=i#&g*fAzp=K1iNlt?imSc4?+ix9dAP~`w<#Rxi6 z6R5HxKeUii1ZXK|?7Uq#MQFH~KFnYeIC*%mn7m|U9RyO^L2=XsHUQ5ajW{?)j2S9a zJ`Fe+w9qg)vI0Pk)C4LY03iwY*Dw*)FMt!~g^AgvSAiBiJwls<%0Yz?T|Jsygy*Bg zj!3}BM}=z>K*Df(pxT5I|1MVtuaG8J20wxe6rmUCL$&gX2p}QX5e`MgD9nSe6Nt&a znGmQ|be6rL2}y;D6El(Bbq+~^f>TtHtxE_=hJu$Bp-pfONm3AH=r2M=Qlz21nS%mC zpeO_S!C0XZtN5GXNpX3ceIB*H3jTHo0h2R(!O9$-$m(-F6ij`JP7uTn@84ETCYXSqIy3~fH;#R5aJx0eq)<*twMYpdT9lTKQ{sw4JR&S0qT_aBVSlAA()nK6wX_P%7OZdN~#9>*VPy zCNFab|7_Ez{wOq+4I@`naBztTK^Y&tP?~4PDqg^F+|sZ6SA31=TbVc2=slSY<>Yu6Sp@TLPwqv&?eX^FBiT}lDSqCYW%`y#)aA+c1G z2ZfYxNHYo zKEFZ{h(vBLBnbB;Xw&_Y?IA^{0Cn4}>~b~PtW7v^HLig>zyK8@>Kvy{xFV^&1i+?( z3XzQ3PEFZj|ic#M);EOBL)*zdJ3~Ltk|+hEMFFv z7B3T+Sg;3=3r7$ZM|%b3$W{WtlNna%esSn-GRD5zM50s&lPR^@4G@Wvf{-b)LyZub zkP3<@buuv#L6C+BD|WGPF{LGh$Ie*~3i?Jz%oYAlG72; zM(JkP-IhRHKo+8P)Top;6h<3=ZmVqYv4g(OP15}%jfE;Yk_ZGwKzKxPyWFr z_UcV%UU@ahLeJ>IjSE29#D^87a!M%_f&4lnnsmz$;F>gv}*VjRpp&Z zfP&6hnW(LjMgNy`ULTe0+?B-8cZt{g=VIBc1W)cFddQQ|& z2s^ZJ{X-4}PzU{YYx$5wJ=LgzaGREJqC<{+5+o2$Btad}t(0hx@SFK?3;6#JVc#5` zS<~zr8xz~MF|lo16Jug)V%xUui9NAxO>8^4Pu}x>=dOG1A17;d@2cvmU)Ap3d+jIP z)q6J>DuRb@bwddZ0A_!BEzW+52=%jbmkUyP5~!BSC@*Fdt7yZ!n-$KQ#BxYcLz1~{ zkwSfIic$)~v`c@{i*Fo31_|GCEE7_-?oC8r+KPh?rm&d?D@b^-@}G%Ye{IoBkBFO1mdX?y}zGtn_2Z=vwz>>Sx3#k%|YQUvKYr8$Y<5kGX z!yD9gX`NO4o)z^3cpqx}LQa@E3)7@_zrRV)MpX2`x#^$?sE}g-N$)zK{kCLRhcF17 z3Q`j=amssAk;4d&F>}rvCe_1$kuz|~n+E%d0UBlI+G``U>vj zHveniz1Q11@ECk4c*kRko-gswy;L1rs~#bJ9o$j{7==S8rVfA@AhYkZ zw2;)P0fcyOWXGh#P&qfP!vKQbe_w7$rNe-W)_+f}IHSV=htz)$A{#Mz4?-j0*eRex zy9663>d+~r!ny=2EE1SUh$7(7sUY(ONox0B#04S95-h}^U8m*wj7|+|{P2A_;}@Zj z0@$ca@>>s_HtjTNmw5^B7JBuc{2n?r>p@5Moi}-syB-U%Zq@4HSazM{M&Mja6@g?9 zok}`TOWJS-p{GHr0*4-kPfpbs!SXvEhQlJ&7!Y#19){DT)ffn}J05;ELVF%N`6#V= zZs346J>aBM(WPI99)8}|p{L-B5PKdew^8bX(KAteYQqh5XWapCHB%tO)E%H*&dXds zvl?Hj^u{cmwM(i3lAWhPK>r|60&zoqXnuTZLALX2E%rX>pY}+-b-fli*S;1sdH2Ei zw#4UXrDN6 z$tDi^%dh`$17Kfw&eXShn?*i**SJA$xt`U1N3k}+JpckEL52`u$f^i;PnUD}A;d>e z0yrHwN!5d~UZ@e3@2rw~D%fH{B~p8-ScZz2pYhb;`!wJ|y_Lp&ss?Te#!R?D38}b~ zw)7p8%a4(<-`Nze`T<$r&3eOQdevvPu3@|UKLQcPwsen@5KaH&hV+Q0d6scJ#vct6 z9`Lo}W^W+Y_%;a(Q%3h$Gt5ti4-f+xvIYxD1nK)Uf@NVB+>{eSfft9`C}`aL1_LLH zrm{;%6GOsg4EqfnA{2_6pI>NBq>YZkL^_IG0Ko)8?jS*^kPj(nF9Q}wDHlQP05mLN zWR>}3Zls=wtZ1EuOIE&&J}HL2Jj#|I0S7_VH*8=~5LwD8jx8I?+ME>@&ED2FBc_gO zLwJz&lYX%$DQN(UGSe&6PlXsqoiHN82s#r4JT4Gom_543a5_JPjln070{AlK%*8k0= z2f$?s8UP?aG}{z4j#mE5)jvK%i9K*dSt$TO`Juual)DNn;hgiK#0c=BNFi;C19^I= z_N6$Yxapz9H-t}=yRrD9xRxSFW%a|9#PrfJ#9&YWLX1!hX=V3IA{R|O3-li~00I{P z;eYx=_#SR9hiWeoK^zS@9};_1zf_Qzdiw!CaCz^jQE4M+5j}G-au`Yl#ojo^QUPIe zav5AnA=pCF7ibjmyQ^IZk`9R^(O?XFO%FFB0fAr)CJ?5MVRUhT#NNRz4x%Rn;~zDe z4$fIx{<5hWf6dg zKo1x25!fNqz6c&TvJTE-0FAvPT29N{5jr;84+{(eAdo_LM4LGQL_|V=1S=5Vf2nB! zP~-pzSbC&m%KZ_f5NsV1M&J4(ejqTUqv+wz^+lj;TgA3qFA2!~^#g|yw}SsG zf+?RHZdK)%DM1Vk|LqNX7@9Ynb0(Bn$s|ruL?;l)I?J`B3Sqde73C<7g z`UxN-h+oFrK+^@GxxWDfHJ}|zU|LZmR4|;_KOf*l7ac>?dMv&G3JHWhe3=+< z$LiSYpw`jJMG-Rt9Z?e3^Gl&3VHAlX#ogwMA}Ja=0B&dbNMa>SfNhXp46*+%;C3Mi z59LC^6Ftffw}6%(6dC7!W?`~7krhGOEntk3G8aJ-HPhQgosJ?VJ=EQ0G*=A#W08{l zRURx-h{23e^ITU=syUg%r+Lq3XL-(N^K)D*EV5iIpyk>J(2K>9AmrK`;W7cdeEUFI zucC->KGZ6}`T|f6{zb~0qo>;dl+J&TC?&cu0#}M)?~T&Zd;gZ#MrkAvfEVs_W_3X4 z9%Tc(0LqMfdt)QOgA<^z0_ZPKSe$@JxX)*I=jd@zMYrYJapiN)&CJ@KlVOS9O(_7h9!KrpAlN+zp}r zp>r|u)Nq)Sa!cVVCi1eB_E-+otz=wJZ8nd0e}4Q*U>EJKQeg-J|c5dPrg9(SpCQ?IYsmkdly8oIYeZ{_p=o! zZ4e*c(iOOYdYT(@G%3U1QRpYZwR8^@wu!MTJw-x@@(9Gmo*=ohIBzSM}BGUXZghtR5$Ps6X43+Kt7@H0Wd$dHTnr~t)2sZ z*!bF2o+3s^y#(N54-#Ct=Q%$>J}2-=egOHZ=>sqcGR>a?Q0RQ^^3M_FoZfrG{yi(c z=bFh6LSIvY5rS>`jnS{u?*g1Y0I~~k`lkTyKZ^YoUy3j20L7`PfBH!o-q)^tA5pI9 zy|=8IpOftb(ZL+mx2NQKewhGjawhKyv`-SVwO+*c8@`b6E#wX`p^ERf#KjxPG*F4F z4?-Zu1H>D1FyEd-%lT;nT6I{I425{c;ZF18_~9z^u)Z`|%GCVfw^w6D{7W{x%NI|D zdW8Xd`H6`M5QQOr8$_vQ&NV^rbHbb`sb zIbl?kvMm96lL+%Ag2v%i%fM#%i)#osts%zTJ%1Dt>=GM5o$TScw|N>o)&%+HBCR0lLg1H6LKRuG^# z@(-i|SRzvha(4i%@Gq=!;(EMq7k6VR#cP84QwT3#7OYPO; z=)QK5eM3P}r(yK2K{DEe|9I#89g|L&aK`uCpB>#Hc>2lw04ZIqtdvvEoJD3A@VTys zFcp%G^57kN{`_m3D=^?F2cdDxi^#J4*-Lfkc7?X_)Hnb<_&Z*e*PB7Eyx8e;J@3s) z`qbIPcthU4C2JVLwLbSikT}Dn^?A~51`Q={d0mC-qKyU>mnBxRe09iQb+2|OUfbV3 z8&QSc(D$rb-_5e8uGWViw|CQRY%itr%?{^=BLpT}7Cdvjv1Qfde8xrQgg{b?83rXiT!h(Bx%E=pc%q|*ANiJaRBXUeM&#_dEg%&ef8-|1#!NA{y* zN@|{nz$y&e$alZfPPS9MzhnEKwY^Deo=0n;lUh{ybZ1S0Ixf?|{I)8Nr1*ojO%;*A zSCEk;CxciJbBth6_$*8fhtkVmz@~UuZK$(JWI~Jzs!k!z@O|6&lpE=fov+rAF?dh< zl_|Gwx#T+Of2kTS;0Wc!^)btFJW-C#FHU7egn!c&@kpi=d0?JbZv)m*K_p@CVJ&Mb zBZQKA+Dm@oXhbDj!C1ycF-2-i)iO=a9Sml3&2^|#`wUkqE5XjqpbrAsYb0B*z8Pxz z6RpQdr5AE2@kF?Y8FWlz2#N%~0FkLJq8P$#<0&%8@4;Bj+z5BlW@+mL&B9L%84A)I zySNafJF`5GavhbI{u~h4VC68(H44NTUQA|v9d|WnVW1^QZz{Dc1>YYvoltuK+LS?D zGg6^zfD_;rlDL;1{hH3qWa{4t3Dy^MNOGQ5jnikmJ0;ZTuQwZXF z#He^&SFWX>G;g>+CWa<%!#g6`Inkpz#K zL@7EU^SsfQVDd0e1h;CYb@Adl)LXDBqpEJar=7XaWmfUh2qR5>K5xZ7*7SZ5X zv`6JO+5yOonw!B88+uQq$J+o;qQ{p7Y73J$;C3Vg ztA%6pc5@F76mFk@+tSk*CjVRk>8ADD}TP)XwFVg-{ ze{N|o{4i@ngIzxuU*@=`WaBB3fTkc#p%0m_iwJ%$)mY7HDjpiTVyzKdmSDL^a*kD= z8!y)dBT|76t(9c1YcU@EO_5HMK8|=He28`CCO3NwR}M? zlspUc{4Wtwl?$;sTfSx?6St5^lW`jOxJt&og}(_Ax4VEy#638j7^~kucIHgi*ox)* za$$7pKpP{VBwAN0)BYlk5ww|0q+iQ zJ!eb0&S0Dtl7I|^EqrEcVk}`)Z2i+B8|G{&R5=$7_3c;=G2THmA+VaC_bBw+j{hL( zkzbFerqfDu?RP}exPb;Rz42deYLf|m1JaBchGRBU)x`Ja*wqQ(pn)6~?2HU3U1c}1 zS+s<5)zuQ!)yX;=9Xh&=I=pc@ymYM|?yVlIt(93i*hm`q%_Ja$Bd(N|Ey8pw2)E}h z5((1tKRwv(D1UNv;Nga?o=ZqK7kIVfyTx%52Km2-ch(ePCPD$fYe}+z)!y@{|Uwaguv;} z;=}l6kx%^G8(e%6ko{^}&iAA!TWlGaRcqAsNqR_;S}yaOW=*3#>>)iJ1mmoP1wyw2 zV_0Jjh#fh#QaX#lx|v-S+W`7cynJ)|n_)&x2`9~nfphFF{8nR|u2jK@hap9Fr+v_V zX*r+ZF+2^8xaj^4t*yHHcbJ3dR!YS7LcvsC!d#v+2h?Hf4tm&D(w)IIsj=$3!o0%e zrUz5iq>^l}&oLY|wc_x4ofnSEN?f#pK-b$?U>kK9>lWN)z3;Ul`#tzcpq@GiXOiD* z-yIC(rTv-^M*Zl9Mrj+=nzbe9z!!cugeAC3_0USI?PW8yrt2V7Yi^~EOHe^BRl3R? z1SSSpE%K8$FiZ8aofEZ4zZd1EBibpAotqHhAUG(MbhWx23E-suM+hdWx5e| zNlM*eB4Y`wrT)&6-s8LAlJ9Cjl_J<>BAs9srPvFr1tWqCT28bxrn%^eypcvO=$ zDnphMWOpZq7WC_}LZ!}q_2e*nE(Ll>tZX<~$hyrBW|rYBY(}INUZw}K!v5$rhiO^i zvSAfEtuz+N9BlO4R!&8t$PlW)HGh)OYcN$IeqR);FZ`^V0F!$ww}h&&`Uc3=Ih`h4 zj1#Q<5uiZp-jOBo0;US~X{-esIbcdzF zG59a@s$OuWlS^7}qBVJ@k4s)3;7A^KrW8W8A|oTUGKj2PpO*T!evGczn1#v06vJ9( z#MB6RlKwe0eqx|7TbGrYG$W3XsQt`1oE3?daDRFu4MW8XJ1UFy>4E6dxt~I(Z?CWg zaV=usYln8Jrd0{>qi}PbcbS8rYpgH|e@coFWy6!cVW0>V9wsGGbJb&wdC;F?dGB&f zcpNFdcr1d9Mk6z#bZo}@{LdD?1nGqG45?obqfzU=v=8C637nJCn?$_OGa9Gla~@5Y zRjo;pa`e7d2G!}-(GDE14BqmGrdtj#~jVUUKPx7-Z4SG;HF&zP1$Ih zbKwFCpve&!{(d1063$rd&tY&B)^ExQlCt_T*xXYX6UUgHg4~;#YtSKw=6AP4=$91& zZx6$eRNLmV$U|qV@;3GPc2kYAUU)mE|2b>fw8_gY{V0Fmt#dhb)c#a+)IKv1B^}iLn$vx1&2S6-x%sh@?#}-S_`2y(2+pg~ zmAxBZEq|siJcI8i6^KqZAm`>I$1L@H#Qb5lOP^t5WvpH9>bhA?F`)z#k&)BGKxCFC%mKLBxK)4kgWK7R%I;r-me?)r3N z1-wm>dhr{yETzrO+1qh+7Ggmex4WqJ*y(b{QRd>+j|#zuo89ruee-i2E{1~Z(@t(# zwy`-cK6^11L8}2`^?~mfLM;kaq%`-+r--f(XTAps${$FI{7rA%;~kQZ-M;nFt7?@u zpD)jci~VLLNrZdoj)?9H4qbEyG>5JCcdOVU$;6^-UjVZ}$w@GFGk~ zX_3j-EZS7+=Zo$L&a9pfux(?x=642GjZPg>7Z8szDTr0i6ra#Y>r?#VN@kw(&j;^( zII`q57(S5W#OL9jjlZS$y{XStp10}oH`1afDq1vr+X#GJib-n9YC7d-Pe#r(j%LeC z^LwO;<~=(;_?I}kbu*Tf46?DM(7$JQMk({&gD`<2CO9NtocNr(2WeD4O_fR>T-Q{& z`V_py@67U+ZtrP`yJEe>=m$3pnx0# zl|uE5hoy^^mf#*E)a;Xr8kuYTDz0ZoEQe$H+=Bu8w(b=E=+My@b^Dxs2*bw1It;t2NcShxH88|!>-$iaLFaBN=g1}-HTC8-U)hIavHaw1!)?dQ z2?}4%dWx*uj^moHcWR_s&RiBc!gj!&l^g}EZ0YG}+GI)%h98D|0d>t`L(Fa1*5D%n(xGxJRSo>1a%@0Ee0vaX$Xfyj%@xA5Q?FO z>nrV39nMF~$I<7SCWJhv9}yUDK5@q^dl@76+AWqvkPqG6;V)+?U;?njD1TyRMaxx&)0?5 zjIr0znDvpRnNwa=2oUjduofuX=i4Z4oXR z%5&$4`?lSh^cU-24!Z9~Z``N-_v=tn4Sbg% z5vkXeULQH>LAJ*|luKc=W*>s-FnF9&{j3qv?a0iYH2N$CoD%y84*hot$0-&MENY^| zJ8X*u+@+B)+j~zUr~x=LCXzJUvW5gX-z7h)-wH6fU}jh=Y#jpt9|>7b)0+gBw zBj6}48Xzk2Z3Za!<~+ro-{Rb;R9Lj}Z(bYcxbc)M8fQ2%WyVGt|E*|L!xAjMr!{YS z!BNZ^CGH!qj-CvBwYHlrjjuFj%0?{2HCUXsCiko~HZ4Q~NH!z~cAKb2FneYj$Hu?L zs~+uGa>Z5s-@eFTgG^a%VZ^>KLv1s%?-|XeT5Lnk0E72UC>gUkm<#C&HKi(n9?OG8 z7_ce{1S>yd+pJL-8PCLxAPN~z88Z=f%1fr4F&3>#b;Mf&>(<|`BW6vS=m(j!lOvWo za>+Z!G33R@_W5$Eyv!6Q+xo6sTO4d;yY3h6>SnC>>Sm9V>Nw<7#0&mxE5XOjmx0uC zRJ5{FrCicFJae4;=_fcFNtbBv>DVP2+bB4tn*CGck<3B9x6rJyrz+cv>23iNTQlu-{0!uuk*9i*rrjDtda54`aVMJIe(vP|%<^n% zPp((^vB+}H1+vIe*H(|Dk!M=;{adMC5C6+3Ic{*y70QYINh4=lh-8tqEXFcRFGSmu zNuZ_%m=swI{Ap?I6*2kl}vUfl0qxsuWF6tXNZYH*3f?a?z2`8KXSCzlppQ=nkeH!=uU;d!Y z-clqhGE$kuvK*^KY+i;%E=q#tPZ^S`O1v_O)LiJlrj{pwIdlL-^9w-VTbX3`v?__y zjD%)xQ<;qUMupTwXkIEwB5N2*Z3#6z5c{u6I2Z(5km*v9Bu!)>E`9q+HJWmviD z?Z4q|5VV;(y(4JZ$GIbO+W5)mG*_NUbXikic0Yc>o&enX>bbAL;Ehf3@()@UU zEL{vxIXDb9k8)9tw4ymX0J^KU7456L@|#=Hf?#XXHPK7N>S@D^)?B+p>x_MHjg)G? zO-1UwPC+T&nWH%fhl_f7=yK;#p_uTXhNX(IO-C-YJ{D;VZ(q*1$viR$Q7P9Jk*-^- z#+$7f-_c2RuH-j4f3T$s<#Dbdno z68JOI6zzq2lpJJ)>dJ;%1B;F!TQhM_$>S=~t8G@yFbJ$aTduvDAJRu2GC~@pI4cUP zi`CpSB>aD0Dk+LQXl57+7;%4HId6$3M#d7MV@> z1201|R?)d!>`$bIh|r%%kw591Zw(6)aTM{2EtFQquuGFEghg?fBSfv7+=sZxlSDgT zRoS2w(P6AX;y!MCIKmGA59rwdE^_IsAE3KC;j1VD>YMkOC%abI0 zC&kwZa*!aOLoq zzl#|YzXC0?~VbOYHP(hUJ#u(Y_3;mM^%o4GIFTQWoK!^p6_ z!Z26y+$$b2^Wq3YhT5yY+ij<|SM>U~QmbHb)KMbA&AW4>ZM3@Kwc^_MJ)#?|+o{VM zmtoxPr#s8_DoryC`iJ8&&|1=S=HqXlj{3_<{>_cg#K!K(p_sQp!%=k!-Jo&hVO&wa zoZ+^xOzg*i(}>|EG7-ta0;o-YqmIF$Lm zx;z)mJnK(#T)JL@yWM!~w0O>iz6`$1y+1Xu;JM45L$W@lM2%JqUn;SAr@-(;Ua4B* ztV(Yc`Loi`GMV?0ba=7uScNj@EXvcu-jo?_VUcUIZMvop4_B-Xk0XV(FC6$ZS7=u4>^GPZ*2sDE`Ey@d)M2)=Y8B}@NJ0|J?MtaS6BX#{WQuh2 z*u&cC#NEhgYyhQ^lo#r8rWz`)h9<6ty}_U#8l)PVLL?{+LM3NyJeZoAEC|hX5n#X4 zjVGrmfaY!2gFUM859*5flUKSd&fL5mc}w4V4$bT0W{7D+c;GaQ>+*M1 zK(Fh72WN;a=iVHeqNg}hp*SW(*4*l2P=Dr3Z3lszd&9nO`&=0y*4Ppd5ON>Wblkrk zT=yyyD;<42o;rF0W-{AzE_#L8#Xa4dq1}gS}5b-QR%} zM#}x99&F9}AzuyM*h*W3)cdVoCr`8NSXQqNu*;Q$rtFrTXSdHTZd_k?KdPa1@7?%o z=g8SQ_<%~C3^d3F%3w*eDj`nhZF1#0Ax@l@1+t>COXuuCow+P><=z33Re`KZF$iSY zNYYC3U}QCvAJ7$Hy@3k@=DQC_Lei;=quUibD}yWN!di4~LWbwB=VV!+kfK%)Nhejo z*?5@s`xj@wOKEG^^TG14>R++Ve_0S_n7*V>4n#J{Y>t8bMMuF%N_NQy&yBqV1?2r%9t6d z`EswwqHwjD@x&td^w~Ln@~lrR+Hx8BDG|uAu$UFYaY)setZaS_D-jCh@tJB1BhOi) z(?a11OqFPOtdOu50Z3P#FdnXXA4{buxbNT;=6elg73RCPqnzer`8zd95KI91us>nD zbE4Uq0{lL3?Yps|v&FN?!N#Fi>tuykJ+-O7$kUpF!E@TOJ(4)nwFgxk$+`J1xV!O3 zLF^*(Qp$=_f4~@odr6B+ePdyKxukQ(s5nx(b|cM#YTH_9b8U*lDzE(z+@GA&OBfc6 z`?f5iEgcrzSuvHuDSH}+Jug5FvbkYVy9!}g<9UM>GjY{H{=w<*?t-WdwA6zeCdM$C7WVSMH2gt_66UPwM&`_fHKYaXA8i4X66`n9us-ZXSMY zT9qY@AaxJ!T~F@mGH<@y*Fz$HwmIaSGi)Nh_LSyK?cN+6&M@3Qgk9G|(uC5tvseH@ zOW?oqJed68?<&8Z5YGDVMM@la_xj(~K-NI@(-cg44|{L@5$$m8pLmopzWATv(1dMX zKluZ;y8Q>Awqpd{uWq;15k9uD^IGuVZtHlRi$7|T%kpvp|N2dV>N8*U=YinfC-)lq zK9sy0KJEO$pIij~-hg-h(ytFTVcKI#$P_Ri>!&FkTV*wlyMD2p&5*g`*5#yD3@-#X zN#09N#1uB3>rPUzOh(4TEuVqmnUQmJ33^64)Y3V@BCjF28(>5ew;0EWV{c>4F3Xr9 z!UsD36HFM~RGb?Wd{Efl?8gs>F>k2N8w4yN6vXOObZ*(Ehp}mlsEgCeg4)D+-XVuvF4J ztlxH9)GpV)(6aJrbu+M6GBX-h-63nioCfPz+}A#R7;*i!g5{;n&9c=cDlEUw%a3s< z5B>*dY70K68@2?&_=Ch80c7HShSkJ88*(!0_Bi2uAu<%mpLi>OR2mwDGM}VB0ECQF zXdB9p1T6X+r;xc_AW&wVpP`5)Ix_}$A$h4~5Mpa9%i9|eJ};O*Iri&zRevZk8l_^O z2o?ebXXdt@e?K$=h0nMhF-sUIN%*<7F=zU)tO^<&O<;nBf2KrI3ol~l3zyb1RjQ?r>S3W!+YdM~;Q4+h0D=43BnFxLjdzTnU7wNs^0H4=3C4uSRMuy4Z3WM@=dc5Xo2l& z!`p!Igrr7(PVKN2ccKOk7H$^f$Jg{6oWCFv&G@%(J-5QD-tbe1fM`i>1J*N=nsvL@ zzZ1KsmYsWd=uJ`>>ZaYgB@Pfrb)SGD>Dujv;bq9H^SF{3p53arWSGNSN@n5=bk$ql4NJAR1MM4 zAmFxG&{@CBB0i^ir^3)3G?62qmHIHLm)Yq?HgCkBchASNJJ`ZEdRO+lEo~O$h4i<> z_~Yyho3m-h9Xh)&BEF}^!>nq(+rup23|KOy&kAJpC(SpdJ)>G~yc<8~Vnd<{8(P@w zT}>}_$fV6Kb-Dq7StOsv55zObJdV5gH9f)h{>)n7jMg%#-#_>zdW|bdMeFo43j`yGzMO)E0HJb`6j6^lXdeuOF2l^(_#GtMlcm zjW|;C_>8y@q}Q%en=tpHdsmhEH&cqeev#$+c)PK?vOhwka~w7!*KlnCt1f|iy&yBk4S3idh$Sh$@u-*Ue)NI{+Hmbq?L94v=ByhO}oddl67p}vuWYS%JIjh%kgQe6ORJPV{ zcG7+*?bk!=`Pc&2K@){wWUIsCgIB}FlRoLsa#u5#E8SU2WCBziQAKcQP^$89x+3W0 zczmEM4MuDV#tKP9B1~JHXlCzQNjP2k&2Mauv1}VoBHKZbstqfwW7b#dt+qoFlHx!( z%vjm>%T8{8n7H?hQ*Hv((cw{)#(C;bmLt=R#EPZ_0?{4^B=*umWlK-U@Af7{dXWf< zWO<;S*yD>aC6906?#>{x)s~Gc4Vn128{>IG3OkO;^kH#il_QJaEFj!_MAGIa=-YD} zEy%UOwWXA4i|&rWokQKyOp)ZJVnlaAUQ-JH{V^~lYH1qcBR@%*7-+fLRIS0OoDp={` z45TY5iUOlKO>21^k+ZdHFU?UR&-5?cq?y&zqqO zTjk9@iu_N0x{X7Op+#nf!Audfpoto)1ND~yGR{F=8^2t|;Rc)x^@WMkp^S~$kn|+C z@n5C*?3u-wa?gjb1~JMkhHN7NKqQ_>awr#PKsGGyXX7#?l|gjH(CEUPPTzjetfaw7 z@IQf$&#$yi0g|swaNvDbI4gwadM_8^)C!u3H{0jnXoLv#X)x(ba1synvgga1({`+{ zjJ1K8GbB)C3LEEauF>T#P4}vAawj z;#^wT{*?6n#Tx{fOI-B|z{B9sz}!;x7T9=JO6JHlhTzEIwOwyI|K3W?G3`!i ziWODhBz8OD@K*e%_+~QiwKeANs&ItLdb*U$)S0!VseI$LV}s_U6_9FIP#;la47wDa zz7AOl&snyo{p6@G%j>IJ&x6S#)614k=^Nu+8Lj@wC@0LcmWEP$p_7NfH0O$W&ZTUXs-0TrcEAQV zwOeKggl#%&>{QPrc$p_`7ql>&ju8PpsxHU+(TV0;X;*EFtyva(%x|p2hW4<}J|pvQZ_e`cK*XGyWmRviA}?Qb{V@Q`hMI_s5rn3c*~y1@@G?w`+B zcV4ZqBe&)y{kviH>K(E8M7?RkE5ox^FUR{C|FQ1tz~b0#ow?J%a80qK`Qcz^fQP56v2hy# zdfM}8hL@$2*}>1*#`$?wo#6Rap+UkdsgY|zO9o>IAnVUFTIQ~aMZ){@ZY-aJ()E3As^IU7~=NjiXZ-!~# zZEWvlK9Ob=$W8(KonZ&!ft1Sg!r=r#>BfFFV%6}`Kfc|0l*(|LV2H=}@l9?MMi+iNUe(^n+0vzYgL#olb5#mMk0TW{k=>|MtjWd7hB?Vr`M}a|4(WOt{Ct2z!jh-IInj;URIMp6xwGF#CjGF=$J1%Et#-n4Husf> z_z3Sho#jk?pwhIaErt=!qq7*5$;revObT1kO}f3l#hN{RgmenV(mBkT#35!~F{Sdy zd+=rL&JT7DF{NMM!+)KP1)FeKX7!Vt{%~hg-7L{0YhyCINFJbl^^z^2Y#t{bXDzTQeG zij1yCw_o~rwsQP)WgQscOG*f)W;J+iUAKCDi)+k-tvrvMAkF02MOGgp=mdFZk$CLr z>1gYw4r6Z49OC1_%ebt+O^tLclCzB7JzFpYc#P%!p^8Zh88jl(Wfh<*;Ocp-+IO#m z%^O)C^jV}TAQ0~D?rm*EWRM^GTXYu@Uaw4V@F9)B=XU%zZQWzi-l-j7;4tIuy0YwS z<(mZZi1IRC%lVUQ7?UI@b37-Me!2W^Xe3L^FZrIE6b&H`Ps4Vy?-ZriRE!dA7Apoy z6-N(+Znc*3TDPKL8>mL^uL6Sg$j&vk3Bzbkigw;{VbK z`oD$tjt>ai#U>D<=V4*w632-qiXyXMB$D3$$}d=bX!@~|AXjndWE+2fw#C(M8^_(* zG^We_-ZW@9jR03%PKDChfs5aeemVy+%>CQ^n}D&d%pZ;vmr3kr>vpCMKF|xJKN}F9 z4ACE|m-F~?5LsbjtR5@oXFgWUjZ>L3n2h%j=lc7Z@FjOS;iH|uCaW_?7KXEdYH<^Z zDSmPnrHl!}jA<@mX&)v`PB&8&)V#O@Efs~$wV$o>w)6jt$`bsHvxEJftK$|?)G{=8 zp!%85|Fp`$@S83uBjuoB-@7}toeK6x8rp~MWJl^KwBcs|i7!{)==6`_mI@ednEM@q zxYt<_1j*`=T|YimBFV+Td>@G3x%rj_`U3A1JeBB;S1hjy1y*e-<8 zxJzh*V=~T_=A%pkkYaH%m(+`O~}F!X2us1n+mH^w7>^$%M8Kc|-@bAZU3C$}hVPPeu6FKWknWUDefTS3 zj>9G@3ED+t&#s+qF@DY0B3h#yNZ*A0-NGcqy^_}2ca~k~6XDFmrt;+;Jy54wH!2eA z9SLPfIU-wenWstX{pq(Zf8%PChwS@8jJ$T#YDvr@uBD!CQ z6aPFZr2ctQz{36Wq%byiVAgkXFf=u__@QrR@{{5J6a3$2$Ny~le;*>c+KvUB=suU_ zABfiF1TF--%dJi&CF^N@zwpX!u7KpoUxlc|hEAe z%vH#HuIU%fJBR#%YGMJNu43-RkMyw-UBKD15}<%tP{w%I356st5G_pnJ3`0LjYJJZ zXW@ec7k?6lubQK45&M4CzH{;EMSJh5CE;cF2NH~9sAxG!m>H-(EmCE-+F&2>D5 zVX}!oNDuA~;UJ&W`_7iqb_h|al+!dAK9hnFOS;_E;55NfEG&m2-5rH6C0;vQre$5R z1gmFymHU80Z3p6T)b(Id>BvFRld=Uq8OJgUB;;RUZVZyY!N1)Tb?eQRg%zqDk-(XF zMyunAB_+10y%Tj!Y)Le;|8fL*u=b}%toI@shho%y1mP=8h;HgmL;|);Q4<#VQd5=O zKU{}7O)?a-W23mX;V~bJot&iS>)Bh=IQrMkLB}=}El9Dcrk~%ZB4ZyvkD2S4+aAX- zNO>lEqA`$>(-VQVfYd^{UgKfQ$ujGS_?*7di)~V8p53qS0{f_DiBb+ks2Q@#b$h>m z+cG{+YY0p;OXy_z4H0G(8xNh zylZ298|0AX(Qy!V;E6{?u$WF>D>}(cfjP5E9z9&HT%rJi<$KU%Vk|L<%s6;7L^#vK zB6%eSM3!1@6x59xZ&6}4JkVHsPPk#Z^LyAvg3Dbe%v^HP-bhAJU`dc~{B1)*zdply zNtclbk0J=QDI?#2!X<8C24G44!so>rpBXHaPEXaUR#mOlI}}NUZ=icxK9VDy?LRcP zp0>^mWLS613|m$HANJk^&W@tm1Fr7rne`@VGh7m`MI4FV0 z1GzwqQ5GZ1GpyDO0}Kc_EMh>xOGITiDn=BSO9(C)k@blPIv^r$7#9|KBH#aC)!n!I zZha>N{NC^T&P;b#opb7(Q>Ut{YwN!4FAP8bOmN{(*ZtSp2lqMbv>)v8)x&o@WX}h; zm^SloTiv+o$B%#Zn-9NdDPWR-}l{)1?N7p_pJZDXRoeD4_&`c z;ijj)am9BRG_ASz)<-YxJ;FWVt2f=#eE+_G+2>C)7O&`ievNy_owt2|WX%Ekj^mH{ zZRc^{xc|yC-+sxTFYdbMo;BBB{HAlic-3ATK791IFWBSF@89$8Lk|7w7vJ@b9e?@O zyZ5;J;u}xdVdUBO?y=;KPrQG|?|yh+?_0ld#OyyF{`{YR^1b)(yvJT!A9MUomoB^E z+lTDB>`RYsb@GnQ?drK{k3TT?yLa63g-buZX2COu&H2J*Emz#K{m9E?T`^VSnd*Ai+=-+lc;-=eA zIq+NGym+r?zPR~rFKqRxRbTx;i}#f)9{zlPlUE-{a2@e*TuW{@Wh9X4Ph5JK6NW8P5&0&cEnW z|Gn!QZaDev@4ElG&vqa2?(e;2>6dOirT2Xw_~n=W_Kw{iy7Ra-udKOhyDwaP>pl0~ zxb$0x9Qv8Fo;`T(Kd;&DswW=(UjMT*SKoNp&i_1U`RFAdU3kR__yc2eM#pXy+3+j{XqM%_*&x*Z(ZEJ+ok%0@1FU<5qEFs*l*VCGZ2e#S$bC2z}{;1FHJn`GVzI*%LlOBC~M|JBlSAYDb zFMs9iAFf-{{`Ga2_w2D<{+xxk{%N;G=YRg?Syvsr{rI*ge*MTd?9|b7`Nyt3?Xu31 z8~=IH9(Ql|u~nyUDd)fKfjgYuUADjI<$IRg`>QDpZ_sypRJDm!ueBA+xdMLeyI5`PcNMJt7q=I?BENRy=|W#pEv)8o9F*^ z|L46a&+okR2j{=>i^pDe;y(8r{jIm(aAw!cm$$ui!%s)A`pozLzH;FY{{9PRW$$Ru z!%I(lsqe!3{`T{W-*@wzx7~X0+mAWV|I2Uv+=+9ZIr`kIi=UeRlly)yZ~V*D>xSR4 zs{0EEzH|0hw(nkY?A?8xU%l|u`yQO>uQ=u>v;Xz%c2}L-e!ymL{pu@!`SbL9)@(TT z3t#)igt{=fAfef99V%J@&n0?s(yd zA9nxj(4~Jme&U{&HqVbwS-7z}W?ftN zN#~!pZPOl4oW1`&zx~%!pFHfgvp@0f9~RpVdgbdopS#ah?fFYSw$HQ2eCn)Mu2{2R zNA-cROLw{Rkac(NeD;!CzB1C=_2`LL?EBpFf1L5@Uq01aICfyw+G`IvX0zi?e#bN8 zYyQyp!mK~6dgrtIthnp+_x*OOYajZ}GxvUFr+b2T{Pnv2$Mx8KTYl@egBR@iv&+xB z>a1JOzxB&c&3S3NQ(FJ)plPo>{jZh!SKlvOcK(&O-Tc@;e|O#37GL`OW!HZEsfF)4 zeELiN@!P%JGV$o!uRV6)+6Rw*%e@O8-0t~9Klsky{bJ2!-94||b;kjh%wKoLGpD}g zP1|fe>)Q9upLoN^=YH{o?FLuBbNr`!_8d9=u-~rP;_P>B_V9C`-|f(=zuN!JgQpB0 zxu)~B^;=(f^zIk*p0e}DkG}G@$By^D{rETT|J>7iUG?G7nZJ7My={*^azfYjhu^XG z%q{-D+I|21GydFu@$#YLo;cv|z3>0^ooBu0vCaeDdFcn=wXo-assFh8Cwnix%6ssW zN1l2_@%{O}u_vG0^|4PMzUHZuzxVsSFZ}3{_kQk-=e}|3<#U(5Y3q&y58va9zuat> zfq73K^_BUH&tKU;f97Skod4k)zBIRYo9l;PT7S-kH(z)1@9%9s;#*C>+U2`Pf8=w2 zJYnxW@BQW-|32W9yJy@|-0|4u|8wJ~cAgz9{ps^Rzu`mQ`@%&ZdT#Y|%^&^JHNTnm zjSqeA@|7QYdGHq}t~>Jmr(AKxum5`U)uW#s-DaErz4PE_uKlt1mJPeiIQ<(o9GOSk?uN^h=Qes^xH3X8NaA?0;VhN-x0%xEau>zOKe0K%0 zgh;TJkO(Pc$10LSSjVR`oQNqgLwSMY8Lz;+8FY>pUA9&!!F3|Oaklmg^tp!X$3!DNH=GUOr)je+ZqiZ125ZDT?zIAK&u-w#H#+wG6Jt2BcW z1eX^`)I(}k&^$mzPM@+y^gGeLnx#@}3Zht}79~*vC<#yxx`;7(>lZ>sN_)>LriyYQOI2iL+8UUe8Lh$px}tSWZK@_^ z(-Y*T7g;G$zlvf^bWkbm*sQ9nbzEKcD43L;VMJJ}YE0Z@xFnc2GSeAqAoaBoTtly}fo~Ws!P!tF@M@}O zr8NpD%_ZMW50n|86tG+Obp+X%uKE?eF&UeVOHmY--8Y@YSW+OyU#kL+byNwkQOO3G z%~F+PW7Aa?mPAI?qKf%Jw_%J`t7N){!?hcwT*ES6M@Bw(Yu>;5H8aF^%4++HH9CaX zqUDNY|9|r;Q`u%A^rkScS0uU@V|_9Xy_SLh%z&UGM>>sp-Ku%{P4`+nlhRq+RIw^; zc7^DcEI+EcU7?CLT8A29FLgvJJ<;mCp`r>$)c86WhZ+p{x|JCXL=(gyKkerKa zcPFsLh!UG0A?jb5)2( z6hzt@N?psOVneAn6_cBl>%m0big)gOl9GKxS;5l~R-9sUo0FS`SvbjvW0E@d zl%#=8l9$=HPzoWgLuC0S%We(Vn&K)?*kD|eFS5jsL$y+G#FmpBWNlzAxY2hSOP=DI zaP0HM&9{h7u}|nIKPu21ANv~#S-y?M79t15nDT&1$YOCMqUEfaaTaM7bfp*+VlsuP z6rmkKzYdi#rcyN&;ZxG=(r3N#T;9_-oT@Hr$PMP!ubR9eds0mP+EjF7BQQx1WF`*m z_I?S*V#I7R6Ge0sh^|E;x=mPy^%$O;1muO1#!)Ct5wr`dUo}b3+%m-)qI3;>HFU)` zl*+`ZELM|I5Q=Et2UValc{w90SbPJ?DiYEtZC#Q^rehSCglGoGW#iFM9o2XkM`r4l z;Q`ZWUKK^q&Y8O0*gqPTgC0a+C7ui}|dl4dKhP9Qcan0I_9e6jH^x^f$t08!-Y+)t=4YqPaFhsl{WVcIx~?yq zQ&+8e)kHgXIpp9p+&_v=Y8;lghA#t{Bx_W!xL2ZK+)NyR_D#D*I647za|!etO5xZ` zCFiG^ORO6el92*kJ$b{*oS{fkbwLK3=Z#h$WSXqeV2YCS8!Ci^&Dyj*k<3n0)8kuZ z2izr)p9Uu8f$}!<8)@om6&qy6&`68ENJD!R;FS$eLy)h`P_=Z_CSyXAaZ4PkU|YHg~^3#7Nar`|B5Cp9CSgQVvX$COw%bDkk6pMHe_8>EUKB) zz|Bx#_e2^gEt(}#28wM&qAruH;Kjg<85o-sXjf>Pfc<_&)h$Yzcl;4aLBrK8P9;sK z%-EQk2;>vtnn5#^1Xb7M2>k}Stj&`zwHvjWtc&YdUachKCZwL+>NINNVeSZIQ5Bh6 zC5jf4(58S-gG@)O#v0+lO;;zwq1Z}6Dx_4o&>`g@iO}MV(Q-o7crL~kdp(`n$!#bV zV+LY-9LaTtgwtXvH3J&9>TbQrFa(ZRp%nUl!EDumhy7Fg8(9vBVF4L7Y0l9px;<2c zD7)qnhkq%Fe$hA>w^fy*DM0Zs$`GgxI;TiX%JO4b71GI;WR2CzJGT_Nmy)s|wy$G~ zFso5%CFNrVW?~OKt7LAjtC+R{Py&p3bpsH&SdZ@LXvqWygLMXs z85}ca@FKM!YI4909g=~FpcpYuhg!`DScJr3hMz->H3soIeNA@laBfi5q}M0tm|W}; zy)ur~2q=@QkjMqaB9>iJ(6XUy%rMsE+=elO5I2JzV}{9rx7bOl+y|-erG(8A^*LK& z4YhTOfrXv`7VPT;o*HA#sSCbLlbgjrMVj)=HQ}aVJ&{anM*EC63dJ(U*@!FR${a;h z3Ce9KQA(k@0#dn>saQ!uDP-=05&n4?F;@UL02y}~4 z+gcdbMo&_R(mH_CE&{n6N!=!CY|YmaQ?imA+OY*!#@$F!nIxHoAe1fA8jR^dK1G%> z<`R}oeL^QkDv}waBWH;ab95D_mB`Pjdr3gc_T+zl3 zrq~XK@|iw4_&}6mh0>z5c7hT+VFFDV^X6jAATgh<)LO(ww)Ux3Yn!Au<*&3oE;`x3Xr`jt90Re-7%^&-N=_9RGk~Xm4;i;* zWUL2HQs)i*?I(ShOFTgs3`_w|wDc3u}>g_v43*8SW@I-qg0~Pi}($Xx(cJgOK z_jHcjH3FHw$PzN{1l}y(yORR5Nxp6hEjk0#J)v}-!tl7j z?NGf8CehSD6y$53S-O$|Op-(oW)HWWDSg`;Ahi~ZA{D)-$pAAc%Y`hynTdXSh@o_Y zajaTDQfodAOIG`sr;vI8k2;FpqZ@AX2Gg$=S4*J2v`)_Lo4$drz{w!5;DQr zCT~SvG$K|lu3F&X;UQps&i#7{H?Sd>^5^s{4JTR$>Sa_`s zttG}vS(O+Fa&c4=ILI8UttO=Ousm(n6zd~r{VQbwnNWhwjX+4YUgp7zNL|o7M@qC% z4jLanBklODq6s;YzoWB1ntV?AxFSEEFlAMVUg0aGc(whK&N-!^=!6 zUze8Hni2Rz4OG;W$x5ITpTbm&SdQwIr5b+{hi_xbWPHR#hLR|R^~MR`xKw4jqbTpU zO#p`{AB(l+sT4^+n~K(oU<?f~ji*PGlnk(lcn>HXLnLQ_R$#D$R0K4RlB`PU7;Yui7Gt${zD3C~CeDEL-i8OZmS##v zGgT}L;iMALgVBY&`5XsxoaAc&=mb^^wY(|#$l5vDx@B5Xy4;R211B&s+ zfVSpw;HjsD`5;5H=*JIp0V1^{j2Mt5H=sSUk@yCP)RuUnWQ|?BVo5dlwUw+$j%PxK zoLDMxjW^zE%rYsonSrd&z1)&x1@*Zj<^luFsMWld*-KQT&>Jl|4~e3mL#n|>Q*Jz; zG)Xu1F0y*Fi-Gcucf=8xjkh72c2k`%_wM5s?vI#zb*Yezj2WU_Yd&LXRKZx&HWqlk z88f_8vd&bAVnstxVkiq$_#|?HCL=3}WPofGZAv<_hlE<7NFXDB9Z%zkWyzU{6p|Q* zBei1)>qxDC*G>Z@WXjJMp(g(fX?N6vwT;EbycJ`6B9|&)Rb&~$1|-i(4x^7k zG$|C)K*t4dVuDeUxk6TjbQQ8z5X7#39~o_66=2BJO${-zTFR0r7ol)y<1!!+wn{L> z#&!(PZe)3p=xk826Y$!nm1U|J#|%A}LHgmS2vKe!PG7#cU4xU99T5~Gk<&FJk*5p` z5w9PFez6K^VO5X{k3#x#qd+rN_0$un91|FAH9rDF(D82wN@gw(gA(ba88fUG!C~eV zpM)g6c_Q*32?lXf8@nM6q)LDljF3V`teSNwiWs&Ur$|Z-ausw}q)-}SY;G0S2*pZE zz^zqunOrh9B*bFV?t(nMhZur1=NczffiKw)ajz-JNP0;nF=b9R(U=w4nYCqD@uK9_ zu0?t%@@t79@>{tQp(9MMn1?CQ$!1-K-3M#C2XT2Q>6~6?l^7DB&tTVj6zEjUMpPACb(E}*E@6w^;^tE9)r3w_Dm(f4 z*(_mwjT!NI3yc|rujv1!F}l&f&!by(sq1zYr-sSamlY-+A#sHg`FZ8SSCp|Q=q={P zp%P6j86^X_ql`$!h&eG(3vd@G(s0$B=vv7LW%V+Ul1}4tIKUbc3S^y&L!7@;QECS2 z0d@tMclDTMMaHD8QWlbgiHpq^ZYc+veebC0CKXfG1`3ayu<#i-@TtTsQTS(gVj&}I z=cqUfjQ2j|D?>2$JS|*JZ0yo%pNb)a0m*ZuPcc%Ssk||Olv=P19FevL#tag2cu=iG zc1IQ6Ni7|Zijl-OJ;+lD1xIwTtTjoITFNTbo6urO4iEyYGf1_UgAvng-)U%_p#agW zJ|kRb7=05Ag+gNT!}m1{XUPI!3XY_72U!TPU5ZN*%{A>YPYo>qDHb9c0^JB#%tX`E zAk$IA=&>ZUAY#%5#o3kVlbkl}YP@)+nHyHwY|sMh4A*YB5IZ1WLiy!eIIENi+H&lU z%SsHFaWRFf#2dw(;#@o=3V-o(mM1*#E_5oMiqPCzP>&X;!Ka__4ZPKMZ&F2)#%e`HvW9AttV~vh=|+Z1;n!&_c+3%N@(4xYCjh4=) z{fMGITyKCKnMrlti%iy1EbZSIDvH&b!e`}Bs4P-?e-MYlQ595G?50PSzxtzK>WNoNg0-Z)Gn#4~arc6uoq+-dMWcT>RWF3X1^9xt(XE@nm0QA~R**Vh# zsw_d(Xx4)Pozv2r+LaPAGcF^JHgSd<=BH9jjLD-_5T|t5TU47)ZcM8Yz$fYFwPVa6 z^)$Eyo%hVAj|?Ss;sf-ngd8-Fbh|X!gJx1n?+#^>mA=q8hGVsN9%lCO1D65kw@b6W zt`=iHv$|SHhzUzjllZBao=47uy2d_9U$jp6mk9D*NKRP>Gi@D4fXIb2H7CTQuee>> z5=Uarsok$XUlf>8tj3SJ03&TSEb80+l zUbh2Y4~v}MoV15|GecTUy>+% zd(vf)8u>>7TG03L3IRcc@!3W~eLiWEW!^+*6oD5Ulqqb~`lPI4BUzN)gwGPfn(Un- z*V~;7;Wuzpf}?tn(sCs>ndC}EEFpg8>rOsn;nGS&lOjwQY;8hHQ@zL@*Rw*%YDtVR zlSZO8d)PzzBra%iYJ;~S(C*Oe(d+@|nAcZZOmzzDuGCwMs;>8pEfFi?OyV0%S^atG z^!WIeT0SXQ;?e2a+^kHGUz+1l1>R@y<$;53Vky2UpAG(f?!f^oIqJ%lVpj8d?^2tn z*rd6N5Qz1jrriPd7h8dWd!1!ToEuQZTE(K|RpT&aDj8tn*7taBaS{3pL&VerO!+F( zA&Zr*OjaevvWgk#`xXB+Mfgir2uA`*wf(%-1rL)7M;&M$phZPasP)m0^oJS2Y;>Pw z004prZueY6!21kx-jlciyBg`$X|aR&*tEb5O!`+gdG`D2bc=rmUUgpTDqtk1yoK3p zkL#R=#E8N*i8!<~M{+B_DH{@Mg6tA}<3&hv zRLDwU@{|scJg!8&ee8K(JSE&VLtv&%o#3?=Xe0(>UX!7!S}&nQ+zF>M_kH)`WIjm` z$At`(P#rXiiz5V0a=6X_%-?`1Mq>tyBKX=VinBwxM74nj4Kr?C;Be6>vNt&EJ z)2kkSZ(c-^0rb93X6YKnNuC0!kRtoF zL?zm|Dmy^&k--dS0BV9#*tLz?p<-4PX%-C2>Kv?P70?{jA{sWtkN+Vso~>l2Bbjxk zqTC>?woX^rnE(!s=aXSXXodmWv|v@uunZel;`)53?NyV@z3&%@*> zP+-{zRQ^7@&Aun>^U)AD<*CQ_l&dnw3{rG(Ms!uAI;v_iLe#l>*!9*gJk!THzYbrC zq=)UKO$mdLE|r~KR0!ka+QHZtk7iSJ<}I3SQVDBBG#;#-g1G|VQJ_r#TM+a^2$_~j zA}}Jx12bkY1(oxNL!x%@FGp|_fVNrloqVRSg#vxR5~wI>tc@ZSR~=&VEH%l9IcbLK zfpptyKi7)(X_!pUCxb6`0Rdw$*TFplL<7JkGh$OLRg*`FfWlz_A0Kh?XCP4#Ok7%Y z#@Q~q)p1m?0mS@^9$W7EkVj8KUn%P|Ri_3jA4q{Mz04+|jzN|ILwZxORT#CG01s0G z&Oo|pn!S9!(Bg7bV~iPwlqZIqWerTO>K2WCG}ag;aQ`%Kp!nmOT{kx~v~j)gnulgf z5k9eTL$?&bp$OI>5Onbk-UZ+R(iytoms;iGnkbFa@7IppYtY+ z`pJ=$m8UH8mL6-U!eR8|0!Ia=!#(H6flv!R6>tim!L8`9n$=n3C}lE!=lH3XScwFK zGdxvzgCE1pD8>vy_cm@2+>PHo$^@mvjHlW312}M!1CE~?e*jK5Z$$%(&r6Ey@81D0 zf}UZd6*=P+JN>ul%BC`%tc)eEvyY-o@|vd+w0aZhP1aMN|E za$cMzXCU&e4C9%Xaz@G9iLlUIl?n5-nkUa7hlJ{v$W=zpO#(B@i>wj>N~AZekU^AD z@A_Udxu}@{Rp0KZG?9k<7IN0TXra$G?(M3}M&c+t&%$fMsbWQp^a@bqZ|}fl>cL_$ z>Zmf^yaM?&Nm;-el7>!GWq`94SV#nU;3Gi7)zQ;U3OpFNK9C7gbJ%7X^8#-jHQ_0t zIX;L$vS2x^EgD4}S}0SgwB?X-4U1B2)O9iPF$hscU402*?Fc=fEA{JY>2nUM40#u# zBgi~e<*Jqv3Clo7sB#Y{2nq#~XSsNK*Rtf5(GCY=1}9E!8Bo@`81XJZV6~9_X4H(Z zO5Ok{>rDo>HdH#bTtR!$iFTKllNyU_=D|RfA`B^sOSmf~fr*1M4v8q}j?yJ2)`ICx z59>ryc8>vOPm^};>ux7y#nuUZ2*{n|qu^clL4!~34)YxxPf@)Xq z@c2_jSZBab+q>gJoG9?x+f-!*toOQi9@-P6?=byf=k;zk0~YiaQK;@!f~s*)BAvpp zU`8YZ$cQ@pFpL>iG-R^$6jN1|_ z`BfQ|0}f+PSQ&L(Em(N8MNQ|3-X?kd26O|wC!u<1zSCRAQzZ(6Fg0Rb z6|Xah+32$F1MbCW-^`d{soie~ly=11t(;1O@Z5ib1)`#UxKS5t-0k zH(^v&2B1i(I2CGC24GHtP*eDjrQ0*s88kuzCWbM?zz{~P-EPgqHWkjYz$vbnFH{;m zf)!_JJ*@p$(BU<_NEBA)N_sj;%^18Rl)#kRMuV!85;Wc-WPx8aE*9(xOBAbdwN6bE zJL4O!NV`jbd&bofQDSCkj7WuI(W+8VM6l<`9)rS3 zM}e~IU|GCM)agSh2*0weDdWY@5<;sqnvuiENo8CnN`WCTBjX(3u0yw0M%bG6#tdj! z;&3t$WvJW%b|t_#3I0Sg5-q(Y6ITf*<)Dw7@9IZs1ij#l%Lic<<(927i2@$jl&~I; z27Z>WkS!E;eIRLdB1L4SMWsx{15jQ2BjHRvcWzudLvgiE$fz6Ch6-7>s@_({9Lxpc zYz<$+b< z8Z->`PrbwMF~4kBR1;?lpC5D;cTVG&L5PXL{e`6Tm6-A#=9D+UKQkOm7<L@LQ_~aBouop{R2|4YSVlwIMHp zhaEja2IQ?%G61zhSZB~BwKq%^z5IDG5cTZ`x?=M^FinC;r5mc7OjgBHO(?E*w1^hxuQQSk)h|1qj8w08H@9VK%kgg1CTDkVxSY8 zw{i2+XRfyK$pu=s^C8`opwo16kgwPX8L8p-2#RwyX z6!5H+5u)BzhlWguFhP#o@QUWu0+x8xr24(euEUUkfheuacGdN+7MdL|7tON76{&Ji0iA67vER=XmjDf_P^La} zwKQSREJP#@V^7MZ36n`TkV*YAgwh zSt_A6+e1Cbnk=g=P+}`VyCzWyg3Vh~R7{Z)&1`0XrV{X2|7pwZO4NPokez2xRCWM6Erz!ZNnffC;D^+go<(XME+ha zl)1S3!VWWgq{Ae*9St~w+nld z+0d#cxh>`}>up`w+}C2I+1YBf1P!Q@bqwm^Erw}3X5m;=(4n~qbmJgFPwT;AXg^}v zAe&Z%O!`VC#IuyR2xY=8-1=cO)*B#49|{r{Ls_L)wMmgb7x9e%$PU{~1n2>YgO4Eb zx!r`jY|UoA%&z46Xm!ALwHiYDTjPkOGrVfH5STn4zW?$T|GLqWgqjB=XH56cxJQ|o z4@8@D#aJo}`(sr^ZkS>6^fl~73N8_@zI}^;Q>#KgHAUpMpDHzzWr4$~Ra#?y1F8RD zgzmozn3I56+=PJ|J~mx`(;Bbfoob5)K<9`Z#$O2F?i zjCD`C|(pAM>&4qP4|))%`@bp|mn>8nT1jcw1H8c8*RrpDx6xS1bHc* zm(FHgW@;6mmkiwKwa@zUEj1Xwd4Dm&a6D~%$pcc46w&eb3Z9mrG!IXg19)^Qgf-jb zbAZJ^su;@86d@yYL#4^|R5ncHI?U9Fl-a!1Icg?Uj!=rrBzd>kBxdnPmt$z4KfnrB z4l6{SC~uZNvJZxY zZ=Ypc+a^w($$39I{CX)BcG5`10D9P7U-g-f%!RPL5wO_`OSiEkJ(R0(NE{`wJ9<_iZRc|%m{;xP9g6WHlPwm^ z+~jUpWCmOFWM2-32$t-gNj8s|ZWQlGh%Mq;M5bVx3Q>(;>ZZ_;1CLy1inzYau~K!j zjZ=MgBUrNL@U1G}-%sfN%oGWRZWFFuS`h_4A)HN{j5dV=aIiu%;+ZIkwSiKkBt4W9 zJyw8;l51CNl`PQ~l(}FLH4f5vxeot&?7W?Lo4~%=I!rNX8(+oY%z*1`=tj>Q+c73? z!Zf{%8Wbed$!ui`N;24lJ&uZz>k%5?yHAK62h>z-;cipxV4c!G8gtYM^ofJQCj<7R z^o!lSRb(D#k3!%@(7@f`DxvngE-G|9wow3`8q~>vbuQ1WEt*LwQ8K{NzIiuCO`Llk ziCIu=#&!&Cc5Xznim|K+L+QS>qWb;@Z17?rH+<&`si#k{5&@_-6QuGCBfYN39c5vd z5i@28kw0k1S4lPqp;u#jo!P=Y01XGVi$Vj6v~xb@aE%gd;cnV(CWJDX`Bcorc?x3& z&maFbq?>P1fd>D~i&kA>bkx~m3I&@Dx zVLfT?c53S*H)N@lbUTU=LVm8fSv-MNBJO2SE2o%7)l`RCN)mV_f~WgjO-x+Y%mQb= z^Lydh3$;TCOC0uNE(c5V+Nb$c%#6R0o(U?L`C|CmC$@0w9=zl!I$qvV*fGO|Z?}P(^r$xJE_^a;cJIs1k`T9rA1&0b z(7MC;))B3yVtcUsXb3WQzC<8|HtdkmQDhTcS!I@?@0V>dcCSex#c;XexLl-+ND;~b z2Hi$v2RC;(W{7w)uSMZlmqSPbOf0Iv5gwb@ibbIXb0c#IXh*4tSpUj`Bjn9lX;DA` zpCk#yRk4})=6|X4JyVAN0aG#+M5%bbN6?c6d3b^t0V9cSqMcw zr(6?k{3OB3qaH{SWuE8y;40Pwf~}+o#8WyP^JrQZV-Pj~p8&KuM>mOyWSs_Jl?uzU zj5jfn5K&B3a`u32E|$t@sE$Z=uhu;4cqz#W%xIj14++4Gq~*_8++@xwgW1PA13Jgq z-wk)rAAwj+{a={2#Q*bX*%aQU8M zT<_*N4#o`l87s+8(re%|V7P#8Z8V#-4VZ8A@Pv5lU7~|OW2NUq3$oBOzBk4`7COyq zab(>HU^S&6&9oj4$pEu*^=<-#hADXb6H^a5JWWP(LsrVF#6Z^CIoR9%w7`n#*7%b0 zSqZheo+i>dF$?iybXr&o6%kPu#u$ml3^Cz$-yQGWLU71tiFMet1MISA_=a^SD?O7>`KNV&AaWO5KBPjq?( z$3ySO{rip`#nH@VU3kV7=DwHYLQk08R;?)8Bn8Gu?*kPzilF3i&amX?T@F7}-~d(OQccE` z$wbr#^R3u#j0y_O0JHp3$rIm2s)APB92;$y3O)N@TU{RHb}s1{Ed?tQS%el!bhfDO z6gf_Xp1cI55K;%Zg_~mrY~cp#N#QmP&8K@BfT0hW-k8syv9j#g{oUbk3-`f%E^LO+ zf-G{R8TOc}?D?(RaB^nTLcmI`8>E2imazu=HGyORkJP5jE4Y z+4VU}k!Qe1Z=aA?IQ6@DvnvCd%@90f(h26o=e%eGjj?1rh~j#l;D5D2+;zo;EaZHa zq!GVAi=~|INcIK^zVup(*7?1Njv8dW6Y9n=3$Hqq_nx4{ErN9bhnDo2V;hU=$s;T) zpd-!N5c7{H;B2fjOyk-eFzhsWxXHZHB7BrX+|wBTh%tmVEl3ux<73*kK4@rBX6pSWGl>f&`~<4Wf)CHJ@Ph`7Z02VpItGm~C|M7KK3|0QkU|j& zlV>)M{Q3G`@C~sbaFsYVCFnksal7lNETQft#fZh$>L|u5>q%A6a0c5lg~7k9%26GO zB#y}SRVP+p9bg>e8f|Dr_{oM$wARVzETbw>;bYzsx!w_6^Ja@S0+4C0ueP3*r`$JAN@iQ0kBOVSv4bQ|Gf%+Qe& zAIHo^@srveDuQ7{e?i>0H$IwfUi-u@8OPfq7=qt+PZ>~4MTobB%~GQaG_lkwc~H(` z)!O&OU_V|BL!>SYppB%2sRglpNaIJWp$9fr<>DSII@fe*u|H%dH%oBOpH zGbr3t7@cUb2*cYD^xLFpyOGZY!cu#ARcF>r6tBdouRGH(3rtNm6_d#tgaU>NxDPeY zJ)(sdLgsHlO}Kgtd=q4@4zVml&Ut(xQ&O9cw=$8nejWL$dU{~B%dUfqmW7#9Y+^== z5Uq9|OVMtYtLO3x(4J@>wLzTi+lO)V)dh-Ev~9}jiczAyvavl5NxGR# z@kF2_BFG`Y?BPv=5pk3WB4#{WF%cf6iLa`!Q_LJ0Nf$&R90@|74mph^6qxU8T7jDD z7Hn@Lvk0ZI9a1}XgJm#SQUm0m&NC|#N0HLm)-jCvJ{toR2_0=Uji*N znf&H=R^d@fp~kp|k}@XZB?9si|tsYcxvB;E3kuJPSA-YA)j_Oz?HOBZ}XOs8< zn^6lA7as%q!NrAYj#cD(1RL2bIV_c3NW2NO39MXWgrK;F;9%Aw0gGI1H&8<8+w3H|rJm`W z!$4eKi8LD%jC*6X6DwhQ6XpGzF>eTI!cIg*YQGC8)DkV!G}JY+N)*B{pV%3o;clU@ zcfM4{0esZYWqy7d~|EpP(XYjR^`JGu?0_=e9=0P>8H93JQjAE-hE7rd%C*u*xc z^aVwfuC$w-0`L$@#Smdk_NzS}ZGr~R3Z)rls!j@JX)U-&8^js0l9wW^iUMH7*vNI2 z7#eat#R1!heSs}CIQ2hpr2oNyFDw+UKZDQ;`cwaMI=>}qJLtKOr z%Selgf_1KPq;pn_*8LHS$>@+6jpC*@l{`f>HJM&HM~8V+WkT*uo3+Tx0AA5XNGX%J z57NLmJ}MuGE_hC%bBo7M9-nN+bVNl)(<$j>{A>Y`DnW1{$}C3(9*xZ5U|B>X1*+Q* z2l7=0ZdrV?Q6B<^k7^*5hhH5uEf9HC(jV3)+OJ6?fE?zK^IoP`(_&_akU8yDWWpvY zomZh`6+gy^7N;j2RZQ+-aA1d~aE-XwB|uYe#DR2^Sv4L%Iabu5wnRllCL?lp?<1t@fPR!JcqUd%xi4 zB}IXLJ0u!UmJ(NlG%+iXVs#s94B$yj=!g{x%R1eNaSIZ%&}+UtZ^sONQP_F_k_bRp zCiVeh0z!)In#el9lvJe2Lx)QSkdy^0)WJKheup5m8WaVO6%^#Pta4AF) zGt88bu6jceYv-Uhc%u;OK75WC9G#C!x&ggN^wO!dKDZ;EesEh)xBkw@EDykB;XY;o z7+^t;EaX%WPB2?oYXeAG875)v%GyV6U?42{;f-KwI(w_fN_%7 zsvMe>fm3+Sh6~qWy`YPHp{ydu49?fysaws<{-#Jl1=7G$cSg4cYrEeU_-es?kRd2^jv4&n1BBx*1l!MqlkcA; z$5<?6jS;&(J7*DZ46eD%PJ9rq~?kt#x$HKt*4+Ct2YWnfm@U2(QTKS{5eXor#kQ)pVp z9JK;tqHU+;w&Sc?Cmi9Z>3T5Qcf_}%+0Y>IqIV66oOyT%v4c$9$%nS$BQH(HC$pHh zS|Do?5_p9$+s6!WV35t4A}15TpkD6~1SAfWcp0=jJ+1+DEDkt3=lUm)=O6O#1@Gd@VwY0g5ft;U_kbuEMQ9kerXwYRyIWMf@56~Jo8 zm_Y%l1Q3_gIp@t^;DJ4F(#qS-UI- zdcaf~dO(}UKPDE4tOjF>9GDVgHk2{h6H;7KGeIOoq8o~*$YL@kn71MwzY%B6h?sG6 zp3N|xkGeFdS47nX>6AAh1yhntYi-fy5 zh8zSqn&%b_ue$q+V%f3#X>kLO1_)Oh7ReDTZ4SL$dqHs_K za))>cwH@mWfr$1XFqfX`4D4h4K~a$cSsr9b&B(wRIVmw_h^3dhX+XNm@tDN-+}C|>O5n}u7EN*k zK6STf+J*L;O%zZY{HCw0;Jm&F6ilRAF^Ek6Bx_(fj6020qCz?l zxwdJ7??CI;GlX2YV5(Tx1WxwJv+v?&6)d>4M-^#OSZ^?Hn%9j9f9%m(hlLnxuy58G z?mQ6Fek**T_HGTts?WQF;|p69lV*UXHF}s_W`yu02Kr{qu;dC^Qrm^GrhO_7n!QP} zJ0d@o)hzEGSe39SiYR%SHF|OoiG?<8zxVp$fOOLbG?(pA~PEq&B?IlaPEh!UbHve z#NdVp%$tFyIsL?egQ=9p9E}D(6C_6nhMjFmbJ+RPxV>%0C_rMag!g+M2ih-WB7|lX z6;(oy)Dd!Huf2l;OF1e#`2bl$@7qQQoe4>#=BW}K>xdDu zXv&)=nnI;O@XjN!7#k>Z!&~*V4p`-BD+%FxkdFoyMx!w%Z~3_*5CSbqf);@pSd4KH zhuj7IjoFJn06q?dCj-0iA!uGbG~`m4x8E40H0OFp!Rf|L(#}?IDRdr`EXYIrh%s4% zHAi+%SSqx4-u{Rv(26eJU&JF$C>*J>)r@&s0c#;ucoDLJD=o;uJ%goTS*=vk+>?gN zTERni1iB(}> zB+tNYI0_7K@SqsTfbn>L4zwuP=Np5m#iLJ^P0v|?r~qVqr{I~*e!3|<%eRqdjd^rf zyu0Ujo622ROweIw-a{T!3?lD)he_B%lZi>g?ubsH=OMlW=_{v*HoVSN#LSg0Zwb=L z!kB)-9d=de!C=M=Lw&o#LtFuf0P755IbJW+x5J7a8nPWK@FHAMtusJzPTUw{d7m6W zf)(D7KQ^_Ln_Y+I^QU7?k+@PK6vFJ~cmrSW(1*M^b={|I0v09pXhxYpG60L^j}|&T z1CO*YoCyPJV!u)|I6{68Uu5ArgOP4Ah)5iSL(p`te!_K4hkJBqPsrUJziW6i^CLT! zrS`d?o6sShQ$!b(Ow58AGpt88uG=?OGSB@iv_A~w*m-8mfF1n z*2h?9fS0fif}XDzt5xII+q;i!z5RBb!PwLBknfINhmLaHF@u$jv=WDLGzIlD!c3WR z+3>j`ck~t%O?EkGw_Ka23T@! zWDAo8N(2u&qnd0OGX(M}+!z=$C_ORVV65l(8n=B1+AQvvj*?^=6j&#<9EEkhxwNNh zRVSxV=3L{XiaAhLZqZ1`j*Jeb@oj+`fv!3d#rGjmB{}PGZlTkKW=#1nPV>cvBfGGY zi298_AvbkrK_Dht(Kp^F!8g36(=49BRA+wwe&*gbS5uMsgJ9IyRHra;A<#G4JZIM{ zAubg|bA!B5F@cA?dL#UfF~gSPjp!XrL=x~CceFQ2?AxsjyE(0}K~EjoSGUZiZGuV( zD?)y-3RZK{vjNZ*Jlro-3s7|>8YPf53QI^l{7^KBQmmEG;Qc1iy2Q>gB>2pY!MmZi_~+wfbO0kI8dn#H7-STpJw5ndtt{CS zkrLNb+5|odV&2^EgL4#ImIbUeewxoyCG&K-A*>%`@EB}R!f7d*{L!FwUN6oWAcZ6O z(5FvA!19_@EK>-f91B|bTL}(BY-dJD;mZ;=;;Mf2VYI2nG7qOqG)893panGLaU$S_ z6(R4mVbQKW3C)mv1Os1NXp+%!ptFe zExSdD1)eFW1a{xhpctV6mUZ00njBgyu|x;3hiValk4h{_Gm50*Sud93&`mha!7+h@ zn}ym}h=DxA_2EF#zF6QZ4k=m9a42O}N(Zj!N)lYC#jP?0m^sK@!J(a5ups;yE7*tk z&>)LySxbjdo|=MhO)2?hh?&IV>hk&S{VRO1j#D;a=Zb7i;|NPaflK73>pLY#u}=mO%?7;K$@35v{43k2pc4>pWWLBRXjvN{OBHassvf@Bxc zL5U?@N*3!ET2%)$<+&{y(iy4`Iz=|kO5UQVyAtv&6ivJ*hNUW52n-F(fEln|>9`_- z8xc;CyMaV6I7-bfzcJp|w)L8VwhUYA8_+-zThi+Q<6_)om{kwkyAXq+4z^=(WVV5P zcw)pbZga=C`Td|PMpp?mU<_F@N~?+NS&Ak@1r`KBYB_#%v7+9QkhP$p$1;Vad09ZQPDgvNBXk^Z25YtIxwD_Wxn+eV{Bm>if>$ zz3+CXVel6mZj=eYiNxGen>zB){5#@gIn_0dFDuXLV&!%vQT1gA`23az2H>0 zT4GQX+*TBJ@OteB!9*w|XA%=HOMG^(#yUPSQR2bIStW~e8m|s3`*3n5HgO2y&wjpD z_r8AJ)BWCH@2~sSpI`mzSHJpI-THs)KA2_p?Z1!XP72`Xnggd}$P z)gDy?RKta-c*wf6R~K14!fpSEkRP|@hG2y4ISZLiyyzuQvu}v&{roonUeP=Z_+Ce` z-ky70Eb2K~5nT6?Sf46hUCK?jfQ<&2$J_*wsnnbtQk}HrZ@ymMvB0zmkf72`;K$M` zgZE}>RhX*g?r_^D$;p*p&%!hLidFyVCTIQMe0aY6^7qm5UQ}$N-wCw!W?%Zw(43)J zTJ3*=;&3%JXLfByhpe>@$8ijnR#{ZM@rN%Z30QC(BQ34+lBbSibaWgew0{P*C;{bm z_FL^ti;L3LncvH{0}h{OT~Ab>yd&>%ggevYo>VGqqba!sPR5=T;$CnZW3Ub*%6(vQ z^3bizC!9hDyn4Ci4{@|c^XQ%FQ%=3t<++w0i)((16BIT@4Zequ5BzZ}DxJ3-ArW0e z5;Y~nULc|xZnsYMLT<+<8^r$hhP`hhX5MYnOxjx?d5>nWd%%&-G`TuOVDVdYs1!-; z<9d^JY;h0r-Kc!);NUohkAdM8%!*zrt>62t0rA}&$6(K94Q*vbT3YRxE6%^n)I*E| z=4;VLl!P+YBELllXfel`ulSaZ#Dh(Dy_W*tfUS>4ngof+A`Yq zM2Mc8D7(S!M(pj6WI^6U%Qx`Nzrc_#zya@X;oD4NC zME0?YshS1STCdalVtoKggo%8IL!O#a&P#!Y+-v!MJ|7pQZO=gBcl6}Ss%u){a4`qf ze6lfPE+{|OD}RyPT}-pVBnD%zSZ0ZwYw#bWPOz9l>oW9q+<9aiNvGx z3q)dl&Ndlm0gZ0tb}|MKimGvk#!;CcARF8c+wJfTJcCq<3mZId?;Qk;bSTz#I~_@T z(;Ju7N4SF!t|?9VGUf~=N9doL`+3xJOUs??y(NVBTIDV_in<%}@T0BgxRoI)Jq0pn za6Zi$0$p_j*{izowNGE>3mH@5>shfzqn7}^+Mg>&4=k9Er0LXn+f=6)^}^XbeP0~bbkmNA2@W7;~18E zBCaV$GiNa73`!(I%aga?E5cf}5MTR@a|ZW?pN~&OO|zd>+TjmPO~t)@f9A5XSU&-! zj$^2n=&Bftx#fIu0HHii-$MX)6JP;<{>h)4Px54h#JB?zIcjjS_oN64(J6~d0M@nA zVU!?Vv0Gc}A?8DBZiczHB|Bw)@{JVA&(Mg!@ZYm#|GSe}P76?S+@TDSV3ffiN2ja9 zSz4I7WOam_;~0FssQcK#X4-4>Ut@9}HFq3^P^s+PRo;&Li6V`I)?(QFw3jAhBHsO% zEDJ}zg6Nw(YfZ8nU$}0`a|&H>=Fm08Ab?4pEk6FXBX)d?jFIW?a8);_2-3@5ut#ff zhVRj7ZEPew9QwGb846pPQzkz$oL5o4aEEN0BI(0y~Z`#4u_qwY$l>X zk_hjbSOZ5y_=Kj_6)_9HZ_^H~O6)x#onv4_A2Bx+^@vJ9#M?>l5ltwc)81Pv>UO|AFi6VP zFdsupO+vq6#@3 zmxP%OF;Ir$?S?p8-Hp7+AIx8byBHC5r({DDhQwt|wbNjB+C9hE2w5@s6>HepEf_kN zJ{HjCyxWUP>^64@y7D0uN1(E9vd=6&6Q%2`eg+`yvJu`#OT- znmN?I*&Nt@F)z3(W{zI>vidnFklh711Br62U0tbeQijdq3~T!sRV^v5MJUr zIfxpcwaO+P4e=PDUG#9c3|?WtYvES6^R-W8PTW-|estP%B?;|xb-)&{alYezX^Fmp z;XIs1!)*CjtMv-qG@B)2lC{m(68m`^pWq8+u4a&5M^pY40xM3Fb5)FUou#~Ua34#ya#c*Kqob2xZ0j7C?vM=;>InD3AGTPmr${&Mdn8uZpwCzpb640r`wXgB z!Qag8Dh{U~A4&5cI>njQGp)3AFsPmlNz@CZqhZ4Sbctixb%h&A+g^Gi{}M;B0VS3o zta|1Qjc=D-_HKk6DT_aQHK(`UV85E(4hfCaM|V+P>~&-^wr20KYCE(Gub1G^d(>Xu zV3{+xxLq0d8IH4+zmkGnL$SYIp1F)f5^QDOxy$WfoHMK)QwL#QN9fk`ht{fk&al{T z&p84jbhCSBd2VRWSOfVBfvyhraQJL&OGdHsuFdBiV<|*36?cTy#xcP729w5j48eot zyb5hSR2m5MLj^gY-RX#0moCy+CoRT+Q+DR! zV^!Cz0aq8J2DLB{xn475l24E_8)Geni1~{c8V5XZNept{CE(T5UQL{&VSmasN~q-{ zT$tKN%ayT_;rYO!k53V0!mS{6o2XaUMkZ^}I+^p4~F;F#uOste$7o6LZ{tF@gZr^^fDy1jbRN@nM zi1z{%>CX>=9%oL``6kd9$W@zDjfs@C^jgn}Po&QN^r-pAaKihz?t%{Pim!BsISgBJ+x9j%!E$ltZk*5r5y zxC-O5Cn<-&?-F@LUu@)*RBAT#)C7K}VsZS(h%e_Mc+Ta>J`PGs92$>SQ#+st#ZeeN zu>*t0@$2x*uWQHaC7=5Ulah@$kmz}U98aTGj!kjD=1pS>&M@=-uod% z&5?oOt3G4pB=+oYE+@uOo`1*1f6pDT)+g@>!HHbSsJVIRo#e3^3vWccu1jyVKTB@(kSOvt@tgPAhJ? zrmQ~uodZnhMkPg<&vU!&@zS1r@alM%L+%t|?J=_OBP9E~c$5VymP+=)!+)jZo<|Do zFOlxY|Igfszf<@~Sa$x(-z(DAx+>@V3t2W1uZm%xpPfRu_$vSS+W(YM3!e8Mbe%D&~F*k}zVS1ZvOUm5=FUxG->m|Ld2D|fq z=tFzs>2Ryfh1)0F*@Y|G9|}8|?BThlV9j&d^R8|RObN5#KjW6k?jb@N;4@ZyXo|5B z9mm#mun8KLi{xyGciN%Ix;G59k6fE?d~yS#H-rJ@Ylik2XhH^f93N<9Gw$x`qI;I*{U1ld1%LO*xW_2L~Z_KS0%wW7r0l&gAh7jC*o+>nruTk zwSvYY;o#wsm&`K1j(F9&^)SaO^DL_?Q42m*#lgkdiEb}5EaeN@XArB`CW2xUdufXf zbACs|$!44*gr0PV=;eyA5n_HD&v$E+mOqIc-i?cSjb-U+tO+$PVCd9w%6q7h+g8+D zFD}m0h;vA#@Hz*tTrkL6iwfJO-osTexd>EE^U(U50E}QL%Ne1|8pH&CG12)ZczhaG zAV^xvCbnQ9j!k2p5(2X{x4e;jTvl?Ysm^0=~Z@swdhw5R=y-3WelM_4e|b;uB$hC>7J z$wj}I63(~MKEr@uUk9BQnaVe1Dei0JV9xFels0eAAOw#` zX(xq2um~O#rF<6z?mXiT2 zo@YoIKm2k-X5IcVxNE*K4xEPQj?HT=HF6T^NzNvPf(62C=BXS-zKh@UOe@?0o{;)e zx7AINYp?i5;aL9jW;cZ}eTFBonvTn>AwQd+Zrck&u0!B=pE%5Cs{Pgcy{4d z%N*GD+7%Eg?NPAcmZb5iU7-Bk#mQoZH3;OiuCE*UnSz& z70Tuqm_fMKZJB@WH|ZL;uB3~(hYv?;wfy*B4`6s4&Rt_0jaB$5!|6;xf;?vZd@TocGncq1~C8UDsbrN#q`b(Do`%mCHZqC2{Fq$J{ z{CfqDgn(u?KiV>E65-s{(HAp|bB6Oz9;KzxNvsQ5KiqhT8UOo5Prn(^&VvG<@ z8=tX~*6*!{kIZ@I2E10)!2zG8TAdB~K=~m`Hz3=?q1NKORtbh7oLsxR_{Q~~j-vG2 zSHNdw#lcMVn?J;-Z~Mm3Ps!$9Di{Syr1zFAM4BzA{i_|7=78}&L*_GK*k{m3vNr0g zVglQ_X78BD^S+KT(eTaG3+YZD)DSF&TWsB)%WN|FFVWnH85lcfu)NL7d4|R*kA`(Y z`Kze)^LSK1*Ep3*0w15pz{9?f>NIHPdINW&+RN0pP;Jfc3UcL#X!_AJUm8H)HS`yw zBlx%)(`^FECVXEz4LAn5pYzR3{y*RmT&;Y1HB#f2^;fXcj!C}tfKwWGGm zz>Z9J&=l6CITkqVR(PC(DCe|3b}(`;C&T4xs`Ajz3RX zj0P`~!1^;VpjF1wcWw#lBnC*3!mGwX06J>)mZF9ku@tlq1X1XLwDbIe9Alp# z*DYEt>Svw%NbfvSqYT!Q}0+hP<1PF*7lWP|$`HEkhGbm8nLh1pu@<5k}cZ~oQ zVHgCp(T7(Bk<6D4UZe;U-ZnN4v&AWrcsFAsl0nLtypJ-th>&D}j~xJFQv*@g zKhOzLA4FE>3>Y8ui9SAK$(g4`bUblTo{(m5Zn?&}uN_)c=Xb1yodjp*%1QG4;M8y; z-ipOdW&82fa!PZCIBz=zdS~Q|eL=_2|80ie`Opdb4DE@h3nHCzHlaB~c)9Ba4L{9`~cJg)RG7v6EjQFIR!$J>Fm$SR?B)q zjDkSBci(?$W!ZspCOwewY8OAfQeQ9m)q2qPN$1TaMt+id6qBv4$fGDR;KnOfMkSQO z);X#Fu|pY;jxBhh$U=VR-PFN~V_Ely&?I8{Y+>EIP-InDzC!hOeMYPrMs_l&YLCXl2=k9W1x}%jE4Vfn>S7 z6gB%fLbDrrC7mVswYx(rz8)MkrFhD|lP#fCY@T0y#Pqyx9y)M%U4vt&#z1yo{?-3( z^X`}EqTv-HuO90C*`v-{YdjIc^qxEz(|5St?O70M_uxa>EnWz8X`QE5RtHTD{=Fe{ zR{jUegF+>n$zb;F?1+v$3WBsSW0UsUiTCKiZF7$p{=f3k8~*~IKxRgftl}F==*FixTfeceImQiIA58eC;q9x!bcM zBUFn{;#w=R3%Gk+oyoK!*p~)Ei^Rzo32C*>!*?`PE4FN5HLh+AC=Zh5dy=oi3IM=D z{D2j+m*@_NH2{y)Tn4V&udDz}R$Rq%@EP7huU^Ua_sJs*_X& zAg=dH;6U|?ji85xC_KOTsLRGDJOiY7QJsYeJTadNRG~)jwS6g8I0OS{D>i=#)MTA8 za^8<+8zkNWA4qaB=B)X?91$Hch)atUf$>|?S|g$j95v}hR>xKj({nbtbCEzyp5@n9 z+PfhQP{4LL^%Y3qk=+z~_&G^~3N@^{n_sV0VM?}Xd${b88=UO?U{?GZSk!$`v}9cc zcryo$nv=3QOtXgPl0kVn&o63juZ->Fi+0KR#x9A-$=;&3>*DAF}EQg!Sr@41T2sv z@AsnEft#^&q`6GWVSty5Ux=t8p#wPpBo*{S=D>@T<6)WNbvwx@z<99`@k8DttPZEU zhysAoG%J@HE)iVQC;B=<9J)q#kf~gV8~y(dXy?}3MyOT3rSTFa5)ZeUP1wG6MZXgm z6D;jZ(FC~mtE<1&?%7APAe7_Rwg=a6p&pt<8K~#c=u4j4Q_lcR1oA8qe_CWUYF{f~ zK5y@EF+-_9Mai5Ygv+fOo-?pgWtuZMd%SCL&Jgz*M7=Nb?SQx2uhR%sm)Q^4we2JI zoFTKB>U(TCeAEt4TnJWr)-vhC-5}ck>@0hlc}s87Chech9keYzG!#RBavgo-*7sNK zkwc+G7DTEF>g148+TY}vKE;%Gk65DF2HueB{mx~jF|IBeUU~7;zMeCDOCL}ng&#g( znZp6N*Z~zN!S}ncx&>d&85Y<6GHUsmjdJx&%TKY4-rfA1aVb0dCoof$Sbk~Q{99ka z2EwZ=~!?}Ov44MB7@=D}XI5_Y)tN{}*PeTz-Op=*TSZ_gTjE2&l zeaLz}Yn5r^18VIva3ww3Xyy#nV>}GvPNv*PvUrj-e#T1U>Ynxn&VKdoaAbUHw6o9V z8v#yDy>a0S?1wy0G4v*99j!uqrs_EZ!?WYa^LpA8$|Y!lqEsP`1ZPvTzcxH)Sjmba zo=tMEEZD>0wAtI2_*NowIpz!jUhx6jcq-T}{W&{Gz+(Fk!&)jrI1Ruuh($LW{4sjCh{at=`sIaO0% zt1s8yZVQ?-Om4l}(eWOje18oEGH1A_KgEdR6y;q}ts3~!1g*|r_IKx}z_5q%EO`ss z_i*Qb0F34g#Qmy*fV`BMs&#`bJ$+ZUDK-=D)oO-kNBA6^h>Ulg+iBjnEV_|sv zQI&sB2rfNTLxFUrn=AOArBPnp#`|W@K+ga+Wk|t#CPxBGPm&KhEFU$XqML|w2EBj* z_{|Q|#xQw-bL9ktsDE{pGP+tDU>$-spgM*v zj*&v{(GV0|$;dTG%H$daZ4aTbIh03IFIsQMZOweJ>ve;8f~fK6?9>zJje>!E9V+FF zRS&ezoUYj2js`9;-B1Q|szZ}n!QM851t_2Lz(JL5KVJM4CET}QQ9%t+nHYY4qn z73zdevrXbax2pJBgWUn($UH*G5tcDtUW*!{WO>*j`Hr}Id%PymC&tDq%<;r~c<6^@ zBu}LL202!2DO(h^+*!~fPc*0={|Gw0hS8XO&)3p zvO(4DE6IzCDv@oQk;>LK8fM;{+YW79%4E@yG)V25s%V@Lf#0B+nlo_n=4C#q_R*o>U}Qn6xr5GN0B?5XW>UZawYTHml*uNr zuQ|gcbB6P=2WZf~h;s&-YEsia!&84_CFJ*Wn0QE%hIqH#wqMUezIhpAyKC)VOuVQE z{Zv`bY_Vj_oWUlZXB__^J@zofS0A3YK7$b^E5Ffp#nzhpkxDi06pZM~o!v7i7O*bG zmNJy_ATPBg;YrcjzZ0x?9R(QmrQm%bOvQ_7&fVU24X^gXt^P>=Bx|hYe`b6^)c$cq zc8N!sKgj7h+?zd)l?;;o!sRX`f-&Z&rs6%^lp-McnmGeH4oxCOKD&_S44-AmR{IQ{ zw=>!}dHE%50ZS<-FJqMdcZeC!CcO*gF$68z@0`n*&M<;HcTFhEXV+=-BLuX}1_Ud` z=dSVnc(+e=fNeXSpLsruSDG?3Z~MFY$SaYDtD6&xsB~;EPeTztaRZAP3~;5SF|cTt z_m$c!w|T8Tf7I-A2kD(Y^lBF$%j(HjWnSDF+`WFHWv?UIjf1DMd_~-uGqlT>ybtn> zhG6BN<`Ziol5CD&_*BN(lgCXH*OUdUdd@%}ipT%kP@hfu*FJ1YjL{G{`3>LRkFo@) zQT`X$+K=bH?xP7sEq(W^G(MmD309Nd8#x8cO_bhqD+lr-P9}bWxi8oQ@odr-+$a~@ zm`frX*^dJ^k?arQ2J*uI*SY;^nve~9^dA`e4C0)?`F~h)Hc4vwsTw5>H3W2f!j|?x zD@%{)1zwChe|5DOYU+{JijJZVLpXUS&)tc4uEp$x|!_L?(T|L(lQ8h)m4={BH!8QZ$t(*l7yOMeLz>1>j&YtpX8 z&aTgmspg!WpwZUC`uLioDQoe7lH8U^J^^QT7%V4nO)02!1YRj5aH_=lYC~FM_p&^x zgxvuy4HC(CXcA$A%`HOL_&xg!4nKP~JIio4FZm&kF8khnM?jzw)h{5S#>qttSkFaUFD_2OpNev7` zQ>5WEBZiW4JY&=HbeoA*hx)c@-F7qAN^$o$cS;{LOxqH59!y%V2 z2{TVb5Ip#_rmNU~g+%yI`qD@`FKw|aD!43@R_US2A<_@EKmy=y0J^AvAcXKvj*Q=8 z@QiTDkVr-YpRvjqJ5Rwt`3s^hs+!jTGZQ3df+^o5i(XQ)>)d zm|6Ko0rB;=Th($yye2ppbB$uDEsfkKa6}AE2Gu1d+eOaM3T8JWtfO{~huheMm%?R3gp`izyr#z9QPvk}Pe1Zwx<+sitc9Sj3ZPFt+c zSf$=jqy#8ee-3bp z7l&&pa*$P!4dM4gCK&`^hgE8(Ll z=3Xu_QnbL&B(@WNsyI5f=-h0j=o-g&6h~c^c;$(gmp5VN5{6LCpCM&>8lTu6vr}u? zY!R>e6@Fg`JqQbyMx02LH)SELF@sgtA}Y-gQ$CRg0!b5#!_JMs9-_7nEJj%O+c)5 z6T`E-UJ7CfBWs8lu{YdEym9JlBfP#VS)go(0rmcl_#yHg!DkVc6D&^c_fQS=AyTol z3Z9j`R`gz?!PRYf6jIgj78Ki}2M9|_ps4F9%ed}@Xpo%$sL_Jz7h_H)exXWERSLsnDW8BH>*OddxU}ls61%$mN5!dWAeiVH`9? z%8tnQ1t*=Li3GJHFhDB#KvIZjSL(2AJucB3b9qu9M2Ta}nq*&#N|my%>`YO^n&>He zEMlylK)umNP_52|{3hIW{jSJ-g1|0(zKB~vE^(-XMp|Y0K(=K4sa@0Vz2k(Z?em1B z<++-qN1~3`K6xFq*8cF)s!lNiD&cm)i*Vk)FCs2kz(yxAmebN|5iTd8sdjeFFdGScz$xeBeWq;6S!pr5L1qiP+XSdfSKtkb5JReU{ znF7m*;b|9a>jv_M+wNtQ$chISvNlBnx&fgiQxF1);mSyujI6Bwk(qy*@bMxu6j*wg zd$%tP^Ew*p;}{>ejWgmtw6xm$tH;ZZ`1bi-ecw^b<}a|Jm^phF%Yb9y5eS{~?nR1- z?b#oq^4vw<90TTsX)6|{*&r7Gf!-qBp2j*$t8t-DUvyBTIQ#7#+tr{D*$%WUH`yL)rP$BP&5+b-gLq?_!7d`ROw2@sWg; zI+3`v`tOU%AN&#HIL3{xJV(ZU;mdxf3dCnG=$u|Fw6yBcWbR%>T9#Hr`0UZ7TP~@$ zC%(XFZ)jAAz1ug~te6Zr9 z^gyFXo3M#TX(_8~G^45^oTg`Z&vL(?-)rxVxZY$lLgnFX`h}NLpE<*W)Su{ZMC@S0 zV1K)u@{_TK4M@uD2lKp~xzdjJxmv9*1wylMPK}=~?&h`6ID~gE&N+{B9C+#W5(}XK}5Qi8=*6RF15SKn7_Ry9?e2RW+fd7!MxtVv`ihl))*93d1nKJt=2l%W&@$ z0q&7TNTnsn&3QceGq^*lXb_WC4uglBpm89%1%byebpIc9BYTRDz_{?i&HR-hl<1_)7hZ;r(-BmbDF!INwjQdbrwQT@AAs37c+ z-|g2l_KR8NZI3uTXGO>wq8>vXv+e%x3Js`11_4Qj93}h3MU?Vcw!70wQf_~JoC0rTL)PLm-}c3 z-p7uQPu9ck<_Lv^**yqz2*l3hJ(!W;)v#Q`6I{|9$D{w*T*FT(sTg!0&W){Q8yTWM^rmL~gTx3vJk@XD7e*^pm|@$)>QAcYm|JiTn@(sT1=n zG0p=hR6PCLdGa{hx#X48h8@fILGA^9;M-Bi9v+|@m-FQEGHP(Kv>M+YcGb$B^_OKw zKDkHOE)-wAw#5zmN#58Vg!GthqSUU1Ia*V}%}dFaNw=xY2;$^hj%oWlWr%{yw?EJT z-50il2Qip4*wofs*e*$D6Ph#F^s3KpV5kw=E{+l6;CY+Fo~~P(KC$3pn7~yr#+CFu zX;0-WI)9XJ-oE%Kx&4=$UGmao#dz(L(~)c*_Ky0A@cqV|VZt$trp^Aql4VYWx@Kk@ zQdF5L&Y%EE?Bo!k&cyb9kaGv)p?siPLj~vFP%toVcpVM(oPj(m+ttn7Ug}7oW7gBB zZ?!-c_?=d=^ZXX)ZaO{lAsl01#cuuiG$cL_Wm#t-oYN6*MwZUi@i{7-<_r}n=s3p0 zAMki8Zw*CFxVP2f+?~3vG!d93XKTNLw0|XwbB6ZvwWXc;h7L_0unG=p7JZj#OAb{$ zGT^Re`{|B$hvOK0nf+C+t=B%&X<}%H73=UPrDJ<}>P&GL(E-c9di#<|Nu#02mjCAW zXU^SzATC+Novo!F_k;ed+Oy^exBp#Oi#yPJBgG(n9K1i9_t*+3|M^#a>}loSAI?Gf zAJpTqnuctBhl9I*m>Rw!i?3PcDUp7hGrWPx1i9xp24sKrjXw6xn^M_0D1X^Zb)v1= z*TP3{B-yW1)gGWE<9zJLC$e(w)&BUw_ga8VzxK1ArifRr$n`$x>A`EC@i@kxK*F5C z6|XIF2W`y0>@jdF<@K}N!9y(?4eS7B-<-^g&f}4PNYs?T#CtqF!)zV9YVL|9Kb@PC zB8s!EWd#Qr3;x%wSe0uGOjK97oh0$d^oiX4Zye|H+d*;8z{YA;^e;?6589Q z*xxm=#dkiaDPCtR%KqI*9S(5Z<5)Y-z*zq=>dw#DVI9YyHYB*`hJxc5h;T~FudTq* zIRc(HdzE_`wVyeIeeH%zEr7&Q4~^H0Nn^k(<9-bzkBf65(cc^`uue~hp4n>}4JmgK zCNe8J3RU|=%^PGV1@CQYO>Y-S)cB0Uui*`9%8Oy(M?!+$#%qu=t(Zo{zJ@*rM}zW{}(d?E8vVLHZXmwtSN zEI5erme0Ba;>nnM1m|P|(wp8d8Z5HFp(4ZwvBIgwQILi)l4mTi8bHIz`jI*5pMBQMTM25_3ypF$H8>YIUC_7S$({&< zhw7S=Ot0YAXpZytb~-3b;P{F35}`8lL9}DzXkW+tWXBEiN~|B_7j+WIz`FRnn@cKi zM`#vdW8WOfU=eS78k?HWH(`(dmse@Qc3*JjVl2iOc9kRdW2;!XhawQVU z*RgqF$S2N-ajNo}v(uD|BS2I|?!6xED#}1`yNAzOgb3{!Gzvu80E4&2xu5MMv)?at zfNy*FMq_z^lVe{02%YWHOC&n@4p}4+qPH;~*^9ObOm^8PTUl=>(kvoqNQN_+n6bg< zqy=h860nqKJd_$|rBW4NX){9Pg<{$pxwW+v?CSuO?}jUZ)BCUyg3P9i943hY3fvob z#9NuCd(l3@I5_r+@&q&t$|6Lo*QRCF2EumyrCNT7ZY_$``uqk}CPrePVg2vYMQqa~ zW*3=vLVwSPwz)=v-efo~KH1hpNc`~pHHswdGZ1hCxe1(97KCVyHbUGdt8TL6#<0}> z@N(A1?_W3Dn#xPm(zidR1NN^&Ik!7;QVn)H?s2MgQ7}MZ5e(T3%FC4b^e`AOTax^ekZ~Ynzxnko*8nf^f%hqrg#U0xZ`L|!e z=UML}5qEW2FlQ*;3qMdPZ`(bJ;_n48e}wBL(4`}?~Z=kv3tRiU6KpR7^JJdMf-V^#fndPtZ(q!*I z*4GTd{U>i9NR_wNF&nZ*y)NW8}5>SP`}x#Izn0nRRYXJll&8 z_hPFnuk+)JTot*n?#tSfi9u?wgWi2sWVIICa~xiYiTex{Bm4`~=)ZpLQ)(Ft6TR=r zUG{qDwz9w-EM3dO0qdn7K6Tphn3hx8}1(TwAQL=|7Ss`)h{W!Wb-&4Fi%^8O3jblt0;^$)>Bi)pb?JBWvT4Mto z6oVnwzSM!q1#0Ll*klk<%sSH-oboqnow5~qBY>--V?tB(A?R|nXAB%^uTmR}9nsAE z_A`Ji11MVj!AkN5tdW|G4P0|%tL9Zl2b3PL)TTU>~G*7|;4m<20N_a%hN1q<-e zq|L14i03bQIO6x7u-jg$$$cT@cL8yQVLbiOqQ}V7FIsRCE7UPktvs8n0f`Wr5cwFy z0dinRn`*iz7z3!m+*(Rw2aguIM&P9HcOLC0@WWQ=Se9HWW zCJ@1tLxJOeBf2n}szWE|)GGTXAlk~?t7;5;Qm%G3)<6dhqP9ch5B9FIoE&JVLGQ9> zH&1^&j-r37H3(Vc3zb3p4AlB2e*G$Pq`B3cQZMWdx1{qsDwNbwYq&cKdQ@qymwav~ z%}YmpS-qN;_iG2ULAHER?=!rfHJ%&jAF5+BnY~J9EyqAl;Vi8tco){w1yhAn6Je%m zaVOflvYZ7%^nHMHU%!DsK-CItB2es4QSb5d(IU( zQ3h<30;PT`adBGSYK6fl2LIl`T^(MNi*wg7dVu5%zlzsB%l=7RSN_R?To{|a&-}a` z0Q(GW<_s?M4%vB){WNSkn>p8w!J~|h|9tk$55^Zn%<~MQ5Sontic0?As`iK9_9o|C z9X+4jh)X$PlOY>tlk8*fm-wPUB@4aw>DoV2T71d%A6@0_ z%CD1bb$|jwU+*s+W986W{@Zi0VO{IIw&c}Br)$$Sd~N5fw9k2llmo4!fhb2bA&TjF z>|#f`eBy6V7_>Jdp)AV$@?PL<5=*@UUeDic`R5LL8|*VYXN>E`9pKZqhfSmh*;De^ zG159!3YDw1WgFSC+}djwLh2S(LTdF zwzU5PL7dC29I%gH(wxC1p5ziGEfi0iZxH+2SFEoddi1iDth$hEZ)3SzQMLSAnkY9^uUtOec=oj)cd_~p!c~~@HOtwr-`RFJ^{YX126S+`mA5uE zX8*KR8u*9M5bS4PPAT`UU9s$Tp$iSz1q9Fb_Z$)(~bKKObx^$mV~x*Jq=?2 zZ~3nF8Pq1t&{lg<#MgquZL9+lcPxZqicsO(>yYIzQGCNDP}}0LVv!&}9DG;V%o!wV zp&;}kkTm?-9eI}c(T%jru`M9)h0iNS%|*`Gsy2QNW)tYqj|uXKY3%6D+`>9SjNjSY zGGhrQL7X8l8jq~>wF`SP1*{YkG*Kt;s7V4mO|iy6bV%~@t-wXdo!mhFdB&Vhu4u?n zODE?Da0>G>M#6L}pSM6d{UNe~rAK+QSJbJxteSHfn1~J$>u2!w!AVPE;Z2Uw5Q>nw z$Yh%?kIvh6XDbbT1BnollVlP4N??2<78W#Tpab)s2rI;JipJ!)V67gUlANWIV?{T@ zJEz>CeF6@)SBO#+K6V=-(!31_rX;Yki>A^gM^#)lWl_`#A?`@)n_OH*M z2FNwIM%zlilHye2E}k)~N%paNNl#%Ym?Eqpwt7B!vSre!-Ko;4h7W4_AOxbpq%Db8nihK;Qq2@xl6r+`TOQBTAsNmy0gVud+5rZ0wh|HgW1^3gntv)ZG9{1I^j5? z^QuiX)1U4OaoDOW?d=R4o=?FeOm{Hz_RFra>M}+H@8UMS7o?PjVq+fK_AY$SYqENS z8=m7#`g=+K`6w6U^GgpNdbXJX>U)>Kg7z7TZ3(hiVHKvT68PDly=lST_gEHUwNYuU zz;GyAqS*uJjr{5d)5nqY(W>y^1pV1jTH%w_kD7Z>J5V^H!!Ua{-^{%2i8(exzR#YV z?iJ+?;`^HKD<2)3-aR&(uU+9(huU7Tl!eX~S2g@sPR4xgJ8R~I1=J>=?~u1GhDg(A zjVsviwDv!KW8PgGm#GD0{PN4~2nV#vOniJW}+pNx0X?zbxx+*5BHF763P7PXCI+syNPZc?H2In7bS!?Px zXXn4f#*|1$LC9BQ8^{>l8!x1!Ot$DMV_{A=!@?C4?cxo*_KGem|3YrvE4nm; zUm3-{4htxeyX$RDen$BpKiVZH}p=lu8_VeE3s;i`gC92|$psajcy&Q46;8 zz1bqkZXMS*1kl7>oHJBZ2dE3L_O5a7XNO5dn#l^XXj5U$ zR?Ef9I50kGAJ?2=2e{;O&X%mpLs(5#EpNTsY+bo%`y2W`QH(IBVo@?CU@1h~3lW0N zOEtiol0M`ND6V28&k6&cU(5QWIGG0T3LXXYa(UoW!;xkyGwc6O-qnZnjEitj3!8$v zS;*7-43U!0SYcm*0^f-^%3FM&fz-2}v~)Y6XELYZ*Z#nI(@IJ35KRb%45J}*+iMI& zoTy&QZdFZvJdO`)&yeM#TW_qob!5#w?H7MB*_5|Pu&)6*T8)tN+}BR?(ZR5ENdhNE zGw~fio)a+-6ntPNX|V!C>irG$-Li=wRz%R;BL`t~OC0Vf!BC(;R;Svi=p$*NIJZNL zHcFlelC87EJo;mbw`w$La_kS26%XK0aMiPww6igU+{>BW$1GLp#rI;Xp{epVwXdB{ zGSfOjzJ^*D#ZAUU9kRKGsHWY^>iZz{A`y=`xe{C-EoHEe%SB(kP8gI@&Ylg|DL#Rx z^h-7loOB#P3JHhVKPxsf7d#qLMgy(B&GC9qR^b3VzxD!Z&b0jx$*L9~0ViWq23t3! zSLEf)sq5Gu+8T1Y;qZDkIE>omb~eikeQmk}Mw`g4jUq3ad`F=!a?L~o9UPyEo`B@B z6fk40ZAkXBL(yH}cz-I3Z6a}uHFRYgXA7%{vyMDiN<-XmtbN>$>tbvA&6o(of?WXY%;aZHTYz^HlwIm z|27vX54x{0K+P(cSwb8u6QCf8R=KO5u%I*BLwT%|;z_`i{BInE*bR|8VH)Xc9M8Fjm z)>(iZ3!z1`e(jM&r#?3ShO~XkZRTfRQ78N2TkKZYQyZ2_GlxSATizC5_|v=y-+UP}_K#cHXPQy6j_=1Nm$%Q^ zm#2KrAhGhWqV&w&5{~5Ik93-T4xg|jC>mhf7IEs;x1nsto#Ejw6ZOLG^{>G2c`Fjk38k#2E@kXvC`(c zOFa0;Jfr5ElH$hp{Hio#ixFY$6hBK$ton7jp+CEBs6m0^0e<7a*~Y7o8#4bfw*J~b zK~Gz<>7Y;F-a0pxrQ8tQLuG>df0I|Z*>~}btGg#&c+}h8^d2?vTWM9Z^?Ni^!oZmy)>Z6Gj)k_Zh$fE%@By{d{?sdZ*54FS z@x9Bw%iGnhq0X=H&-2^THN4|M%2{pI(mX5s3hOM|1OvOzDJd#cIFx%nb=8ei1Jo3b zSy60dzNpms{&YbZG9K{%klyb!l*}2Z9l#m5`42}YhHD(VZ6Ev&REoRICr0 z7uNSA+KsFtqEOADYB0fMhH{@gM;cXl`G%nrJrAbsubwn2U^uy%C+7&$iK|pJ1R*O| zke9BHOK5@8#lS+HULp25!%xdu#MOpzh=F4aeGn{EjrtHct|7&~0+w;9K#aOB@j+cc z4oZ2Gbln2?0*D-?J0^L|<A9>wv_RumDgV2~8D_K7 zU0Rr0Xqd)Wp(gNiIA{}7bwIxnm^(-L9R;GNAx8Bn!4N5;0lHzjjci;C_Dz(6uaPpu zkd0`LHkR%8vzAB}%2)Oa<_r&7@A38tCshNI((^hnB3_ZwzkSBLmtHB6$;zWY)DhKG z&ey3nK z?y$G{rOx!Tk5Ke~<22Pe56W&CSNZxW&cJNyMgZe}@?S049FAP{$gt(HH8ULz?dOjC z_HRJN|7Q804{OfAWl~42eg~S!Yy9F%tnru*kL$TxLpaNpKqbx_uS{)7`B=#Aq@Mu}Z^F?G~@Y(`o@qLDk*A@MF<_sbG-n`VDA-!M0Tu$#o=-wpW zd$ACw71GOIk7cN?qhtHxlFdf~jQqr$y;YTMG=%v>@4T@lc?b65^%#?O*4`6pY_tZA zxziAQDtx&ej&vxKY8r&V-(Y<8EV)ORY$#CzJLe4lI1e*V&)ZD6F14uQ(s#yZtZ+Q_ z55ilxbq!cC`{wuga7hnC_bQ9yXjaDZ++Ut|<32;UgN|)W?3u3XwS~bx!|eo|V6aqa zG5rJi#%x92;@PAN*oYkW8Dd;W_6OaP z)Ui@ABsv!sIVmJcuPg}|XJus=o0_MswnB6<;&8nVVl#v&NkkA-sc8uj3ag5rUWk}| zjl(H~6oRpZk3O&d7^uVNQuXyJ$H1V(DLIcPLf`xnJ+y`gQHsK`mIsX~&sa(1*mFN4 z-`+q=hBEUf2BAIL-|=&)LgSwLaJo__1$y0!K>9_#pn#S$dCN-DO@g^PY(M z5Kj(0aHaZzqznbukV{`1=IHJWlfis*1@cw&H z&5(Z|C-0QLb6#PA!P1dqb`wR{n?BuLMBc?c;yAl7Z|$=)3|DR^_!~b&)e+oR@>XAR zjxc*??G#j%9t^*k&`k0uiff5;flQx2a4;}u;BD9o`=>FH({X z8oq9R3#NJpdZA{pOu8rTvOM%YSlVaU3)-T!ZGY=c)-=&I-JVu^V(mKmJ0kQed*yi}Z|8qsQwAISG`E`6P{d}4;^xtyRYT+)-)l-%C z23{+_lFQvRd>u;G$&J$W^jPnjmh*q|*9PShKCWkMPQha!E8bME;GBffV-L0CK10x) zp`+-}-N|Dn9?A_vfTJ34fA6b{4bCRn-M9U`oup=$%#Ok(Xei|6KRse=IfHrT3{(Ep+nF$4Nv)v3D7dlEe&Ojg=AP_E75&iF&8ide ze9_s9$h9Yc*mgcm}xub}t1mV>Tp zSi&2kI#ZLb>M#Z#W_jOh&ahpd0=c(a=3>^0i3@qD_6j7Qv07rza3xLT34FJ+)=4vR zaIbcf;9+nRPpPn8k1>}ockMA8J!S5uwr|G$x!sc&xw3##!%f&H)~tw=iHE>wKExYU zefvWZC@!x_>wSj89ur33R4aEwaheHwP%(ujXdz~;@$F+5X|XJZ&SQ#0N;o?4MvZw> zvJv#9q*8AOXn-9>@v>KDWsU)O&Gi})-4Jn*o0*mtbpc(^0)Ld3cz?5|%;*aQvioMJy6+jO)To?+OCq+t;3eeI;1NaD4eTEW~0nc8Py z3!wjS<^mZQ3w~WB(;*SYQk=C%@uBP7J2Eg3IR;js`-sEpz!ZyyzOEBQ7zrr6Am+iF z&HTxh%iylv1St}S<97`9DR$c~{4taVJI^|cjBGK5X7R;IHW;A7t_f(C*d zq!!s)mW!5T`N6@%;S%6kXhaxfoyI~Ol4?hxG=}swcY@)bsk9-m1xHF=vgV2z#DG-C zfSMaG$^x!+vb=BuW3Sj6CMBs!gro{6z0`qQE9seEN_oV)KXh}Xk=BxESr$}NJZvIE zM`}T_BX=i@B%E?VIvLwF1(f)ykf7+r%}cMssvZV~U^f6d3LS*dXuGe3C9z_8+o)R> zJo|&r%ad~AmDg#6S`0nZxTBm1mW#JdIbAP}I65ml<72Xu^ngW7RuucgLM%w08l83r zl^Z+#b9~%1ribAB0ta8U`)iaPQiJ{!%CLix)#Yk zT!eaL@|-Pkhu5@@A@B*sOqz%4KD0}wQK^$R?aW#3iDlbRNhx&v=|fX0&Kb&J3xsJ5 zb^?y$;fFBDcPLyH4o1LpFZ`}Yi>dqndEI5>O_y1 zVcfQztS1X0t@hD~2z*9PmAA9}f(lCkeSYJCM0!%qWr0CFa{3%zF6&Uay(72jeIc77 z_^k8|O!zrUEvJv{rPYlt$k3>?Z%*6})&)zLB-<05uuA;9gP6lzQ3^MN2;!MAL)^m< z#dqUmiD$3Md@(x|CkQgMdfStOgZ1o!j)n9YD-n7$Yx*`-Y)@xBbx5&==>)hdNA!cx z5g=0BDS#TBl7*y6HjyYUWcvXT&Fu>GyZqn1*9}GSuC6=6Ax(sU>EuG*O9K(^RTg#Z z;Y5UDbQ8flS?KDLV7;MIN{y^i_cmh$M;}aOgJ-3h+XRN}EhH za2)QEhaZg8LyZPETSdZ{h?lsL7mlEaUOxSLc~6~AOGqu_1=XZHo2HNG@vIZDVzXl_ zYUn|DnhNb*C3Z(rvSK>LJJ@Q7LmI2l752?5AZ7fI-g7lAI}e0fkfKjGtEhyu%@cl;rsdPVeWbwqo8*<}bn7C2I|o>wAkLulJmzVnQ0o9(4sMIBbSYNJN5G}1zfZeiIQrIL*3uHmynH5BFnE5)%5VX=X&xe1p z99dj<8=}*PPKQL?JG%ubzi_*Vz1L@~qH=0galiSiYQ1h#?c)+}MdLROTgyF$L}d_O z10{duu(Fh;2)8Adj~+6qzPOt@Bwp#n8Dhvj##28StBI83Qz>1=8#;TJ*A5m>g?nm^ zRR7Y=a&nNF9OF4Ht)>b%MD66hfSlojQU6(fTSN2yVk#e>8sfbZvP!Xdhcd!>`1NLk z*&nSXgiP%~Jy}>5j%9=hHoVyfrnuH3`bHXa`6&v!bLC2#J0tV_#d&U_e<&+>>iev~ z)cV;Z3wCR&MJ#(PbJn644`u2zRyM!HI@+sjsy`Ll_R~mM{XG=RKDoV^ifJH#yvj)s z=EFamCS3(8jgnSxZ|V5ZSXioFY8A2VI?OqQ@Z==Z{MWb>?j$h>+@)W~59SiuZwjYg3-`k`)f$^Uh_U2vAcBvy*=~K%pU)_%;{nr7d7{i70nUu z)4#v+-0B&IL+9S%SabEP_fBw4gXH;W=4_7Rh4Ey--Bid1AS^$3J74!!r&}fAJ@LRIwet&fJGsF5x%^>+%}XHQc?J)Me^E!j!bN$atI= zUMjm=(>ug|KD+YtxTFY=%cDVrDp|N#_zQ?<4I)R zw^&#%Kl`0*CJ;If%1(78w$6UzcAIg!Gm_UnyBj61AvVV`HrJ+Bg195Wh7Qz!@_ne` z0&{qlR`ot;{ESr>dEU;MrEbjICqB!{flhhzFVO+1dmBRdoMpv_2_C>Z*2vAkx36Qh z=-wDr@^<^F5V$kaUv9i$`N(_fp6#NDToq&C%XF&uFpl(Ucr~Z`;tiLST3^ef^g2y0 zaD`RZH9rTBSbe|%fq$--^o7RCdQH%m+!D0tIH&OKZH@i;Dq3E?+ zkN9-m3ol>fAAob@j+SnvlHH>=MCUXfvh!M6?Zg9NVxsl$2v5$LtEL$hZo|%E&;*2P z!`fF3>&nS|9lb&BVbeeRr5f*?96qy~<6Cnzwzhn-;i%yrPhf_blCAZ3dVFfqKJR%X zRy)jsWQU=Qg#}K}hg&|$XgqM5H|ej-Hf5l0nlO6 zi`{v8kSan3d;{xHMG?eqm4Qlvoc`6z$t^{Ah(o&ZtNo6uuO+F2h{`cF3&bX%v-UEPBrdWr-md(e11lf)ZiP(kY5=YWKQzC zrCn4PduOX^+DXpM*`s?)X5EKW18@a z8C0=)k>P_d?(>_AjS)63}#5YjSRsU-=|4JhU6ua zT}44E7_}1P*m*kfLQp#`W?Ie5Ffs3WLG)qdWrz=Fh-T(ffT;e_w0Xbuf6u#|JMF<_L-Hd^rFYZUZ!OnIV>SRZfLQ4I{sds#I4@hb(E02 zdf^BQivFA_uN;b^51-7hbG;aw+tVVPV|$~}gWAqToW5($=cAf4R1hkQD=jIvweQRr z)o{;5PPo1?DK_?+Rjl=9Go543Fx%N%BkWcNO23Bzsb+jjqyu@8m7lS?IGFvpr8l(q zdStKAbtHcQgQ^`NxRx7w56NwbM})xtwx zeXtlBn21>T6#7)Gi%&7(dU&7tI#)zKGV+#|?;gkCGgi9cTsa%c-{zfeuh*%R`QqVJ z0e<{9KR^F@_s#2;m@{y~gMrOq5Od8F9T2<44;5omh}Rk=%_8j?aQX2{32Cbuzdd zz51i96VTjHD#Fj&A*{}|hr-Fo8+{7;=H_tB`C3qzhv`QXhfho~N;-r%XMnlgxobaa z$?^1C(fgaJDiEhJLX}X;c1F{H~OT!0XY{qXVwyvT^nrUnCI6Y3a2|p z%9jLEB1;hy5l1xrHi0WBuTc3nZ68+Wc+_sGJhwDGO9VL|_-XfI1m#PI2%O&kWeg|* zO&z&J2{^kE@=i_fKIAX}9N~rC7oXP-drT49Yp)4tWJ!(g#ZjaVS9?t50(b@tOG6q@ zdw;Z(@uuShNUQ;1gtqWD!iwAILrQ?xBZAlSr=qprBM-Xzk+sbDj|})UI)AY=6dORJ@Z{`%pHqR+{U$=y42t_8D}J zTKTIW&Kag~o%jf4bV*4iAGi1!E4;Zzkz>6ry3LtaH)OCPvB6wSzmoa%_T4Pk{#v6G z)<8EnI?96gelqkNw{A7xXDsH082nheocdoT<)>MOg0E2(@1d?42OB@8hvQ zcXnbnM(I8XkM%gnC;P|L|BF}ePxY2;%%jJ2lRWyuh1QzAayu(4U=?4_i*Wpmk*Vxb z@5(vDOXh>(XZ)sR0XY9$E>pi@jFbOwNS!MtW|Qm2Y-zbf*!sEGTW#h?b2>hBQ=uu@ zIA@qkIlX%g9DgHLMCJ^ki2Dq}ig$w?OML6!pFZiCNc4xCa^oe>kB+>b+3%B-n-a0F zp1x@FKkdpn!{Rw}Me&^ws(pt1FEVR>9v0%+?qg{d+COFw&uO25(z~4bhE(&Q`9{|F z2>0zrnS{)qQ!W4plJ!WgDe3ivyVz14yU3c3I+snb?mO}`tb7$H%fB}gVnapwf*8Lt zHwcPTylz|Wwa>tu;TPEgK*yDOF|aYT*xTVebhy90&Cu8_b;M%M;527Q{M-%Qz32lv z!u_==@8d4Z1Lv9l2Zl-%|B4GDZUHSN@=_cqZ6TY0;cs#=jC|1h!)4Vz!xUHe#Oj*Z zGtY$`LFcpMRWWxx^dkg(kmKnOb4fwUB8K9w!17S64sGhWs`_RSR<6SP*|e=dI+zk% zW!c)~ef!&_EK5&&7rpXvWXch4ea5PUlTvKgtTA(4^86X>Gvwj&)OQf=Xl2>bEJj^w z!vsqK2SnR5XW+v5XtjVfLVyc>#;PDSHdK`gnqTcj?$fgy1<@kM*g#_Tpkpk)2NfQDGKv^5fl>k@{g1_-^dvFh!!j4LL6 z2PK*zX1O=g$#$P{JgxFp#h2ESdx?g5&8Cp<#haYbRS83k1b%Ec>Ddo<%}sOUE$gPY zCGIdv;uN8v3wcft?K7}!dI|tX$_br_7K+(jMl|N-v&b)pMs*iO>8&nAxp36q4q&TkD zmfw9QBkNoh!wFBi;Vt737-6qzZ8b15tTBon86+aqMRTR}KtUucL|Idd4}gI$D1(3k zklrmo-=K%Bc^6%(#;d-xDn%EBCh=#c>Ko|xdBy_7b2Rmnt{kTb>@)$r&8JGZ1*km9 znv205CUn8y00>kTI5{q~8qxHc#m(FKk4+OUAi#AwOh&3`CTkQf#p9z!A z%kcdT64gEf5F~tqwWAJ~=(DPjBq~A&Gw4dGYs;4(jkFJV!YHKlsBpSMLz^4`XM}cY z7yAlpB`qafjxP1e+f|kx^GC%`Eedo!+4Zg_&Tz;#;}{#xa%NwiDt5Ea5Rxl@j=HSZKA#h-d(w+}?UQgi z^V$j@7v<|wqkTI$u0y{BhJGxN6EznwZO1<|cl`fL z5_K%ftS~~%5caKMsb!CUzSh}iIFk5KUN4D0dWc9+N4Nl~SJl8tX@2Zg%k+Vw)*X+< ziAhoX*(GylL{y<~1@(j~$Y~FB!Dd59%0iqo+>vrN<-@5pmlLmm*+$6XoPk7=rT5Ug z97|mIhhNXpk_SWj`~}=~^@=lg2DHyWJ`U-^$-6C$`wWY3o4k^Jh7q#h+vHHM7y4hj zFHM!V@t3l&$l0XU(k0FgJhvyL3!{h>^)<##ck^C$WWlXHE1`eu3ut2>*&l8N!!Hot zp8H?5TR#9a0FGRRym0Ax9A5eFOjC5dWPDRr{0uFp&nM*mHcRKg zN}f1_9_1w~%Fj=3PCxp3IBhUd*0ijRmhat@ywn@@eqQ_3XRP$vrzK=TH^Mjs77FeG z)r4T;1OXGH&hl?i6Au4ySx;7;=DjB!U*c?1n0yYd_*Wl!GPGYi$(&(G#T45>Zrt~H zhC3N?z0Wq*)8^0Vl-4bt-uKNASPo8SlQ^H4tjg#C&r+Cuf>*ugrOb|pzt0km4FzGm zGd^Y83dY#Q4`fGYfyjxAc;QA0_`f9ZKy{$EAi%6;=_lD~p*A5;jLe=Mp}kOga`go+ zNZJmEF%d03-Ode2g`x(#sF>DXfwIsd3qjo$&b{IFsf~de_ZjS8(xJFC{iL1QNvCmz zvjmpylSwhVcD-aLwAbM1_tF9LzD||x0Hv|!s602AS0>Y(aY)tOt{@iGj3kAfIBmvL zrMe!FmUOz6fI6_C1VToZ1YuxmtE1jQdqw$O2lD9XEUptMtuNN8MK9EOWESA3=ov=k ze=@8_uaS8f!~EW@GK@r}X9j$zIL6^Jg|tc%!RR`nvMRVqMA5==Dj;UOlxf|lt)p!7 z9v>+Z?z6LGjjjesk?BRy1Vd$pvjy_T%6jU(e03mAuqq-@OPxwC@$%amd}7TN%6o{z zTi!1sOkaw3>nLD^lwf2~g2yP7gFx(fN&Q`A08bF{)%OEpqML)C3J$1Vi8n+5G!Rg<4KDARxGI>07CUtVNGTRJ2!5V6W0)+jH;zAru1uj*$m9TDC4>KZSTuZN1@N+Lgp>UI&Q-^S%^xP9u{+rw&hi^_ypaO# zocpsYZ%N1TyUPwTZPh-*bkmqUR?xY&EqQeIae3)%Ql6|Pj`Wyz-BZk$-J8O;09P<~ zYEWR^@S|y`l&^MN!Ek*k4MM}qb6H#+#?EJ{XVRv<7K^36ia@~T;G_WDGBc~w###7O zSi?%gD6^9Nyhr=3knMivOgF7nKEgQj`}^09`1}Jl8`b4b9)++uTu=Q_2(&cY4gq_?r*E6( zoZ*2qSyZs7#~b|+$`e1Kx%y%e>SyM|*&CRj60g{~-FcAu!xRUal7C}-62k770d@4| zU-4JQEPR&U;bR{N&1QZ-teAc03Jah3o8SWW;?e&`uY6*}7Fcb(Uh(>Z7CL_ZLYsK* z@O9w^<_yh95Xmk7=!Y10SLxhtK4^9}ixw<-$5PdSdR}x0hZf&D?RFhuq^oZ$4CD^@3FpkB5YIo`KFL|L|P+Lnc1xJnw#0B}X@yu5>rHCmc8 z=zRtPOV!sy#>L#weE#yhn5>Ui#XNd8S{C!2-~YOW>gxwvrWt063GUsSjk_#UUkrA> zP)Wq?{Q$GJ&(k^Y`sd0~dGY%KOJuag{LA0q?l7*3v0Hy9Nd~sKK=k6zf-Sab6^|UX zMTbY!;-7sHALb0+-8q!rpPa^W5%V!1=rP1(qW-;|@dcC>bpZ|ezWJ))nW_jS?3%mC z5@+SQ8^%`fucl0bclJF{k8VpQw?eG^LmDGkDdDa!bB6GaH9sE%sv>RW-bIf#)ygyH zNlxJOduF3&-^XY^obYl0`&gho8Rgx<*ZAwV7vt%};Y5_tak0bX16QE`?Fg`uf-uGj-1Rhixan$oc*KgUnBEf2Dw zoTjwHWD-Z4pM>s65#xbnCK4V?1Nev^x;86PXdlEl^OSF87z}Ii;;06SeGo22mEPagM#S8DTmY^K(0ri;q5Q}im zvWpYVTi`OxNlAXUV)A@gZ45PxcT~EbZKGj1Tr4UtVdDRr%@ByvrW5506&IB0M048B`O zpqw(lE?6zz+f&K4+n6&nrW@xw1+U9j_PJU40be2~NX50sLa?{2Ln;wAK11yem)>?> zNKwE`&}X9<{w!Q;iG79Ywt7`b=dnpjqY}@)A-aRt5<^RggCL|VbNv@)mTlG?{Z2kj za*U!#?|#^_n5^auPiLRJ;+gMr%o&`>m4e%!xuI_!NSy8A5Qnz?zn-K;TSNK1t{8ue z*2p{1O&!froGy@DtdTAG!1UY%X8!uu7Ws4UD<*Y5-zlUY1SIT@6f0T*>|*H^yi~`F z-$y{0Dby=s?u}^8N#qOaCi_ew0A!sW|Q8pji?obk)zg9@nbBmWI z?5RC8kQc`6X4jd8&$6uf@t3_V2JE;39^sc<|$X&tjye%G4e->^rbvo?4R0yhS!rUOl*z!5dM8=tad+J0wa*apyZDGgi%$cAZ%|E$hI-4{YLX!_9Q%g={A&t8Vt;Ao7 zD8jI8KEs1L|)bvgCK)nFIyQ9XlI&?&k$9l0CxykG3NAIx!%Y zb&k@@(F3k)Z|!hJICspU9IttHLpNGx!zM-L_pmPi4&OqN>4b)}mMDZ0+HYb?cQ5uA zU&CYE#)nT}s$&@lc^1rlADLjzpzE&I;xyhI%j3K4}w*EHNJ(n%)YbfZ12l*g5Wi{bSWYmrw-zWi4ADq4^D?E#t3v{qm_?IEk+kE<`{2!jA~ovf+ej(HV*u2P zxfZ$``wW&iaP{i|ipj8{;g`-r%3gVF3y)#%B4g(fBXscl8;M)a#==CI552&nQVdd) zn^+*`9h~Ddu71+KhsA)95TZSxHeosZL>}H-{PV%M@@`2^c@X-zzXo^^4yvV>*~d0bCumcmWY zfq>a2V~nqre#{v(^+^>{Z}Kn_Idz~+8*R|VQbEaT&QJnmDFQT(1>BWqTVbWpFJncv zrSIEWK1)1qY>9807S{;{aC-F5=&)S~4n3}gBnb6(#pw%tP%RcxYC*0J zG-0k$+Uu!U_i{K3mXUCOINq5wmspuZqMoOm7{sL0^#p>PZGM3|mD5x3VhE2@1rK5v zMhnDJB-4tf=p^Et92?<|jz=T5ozju=-6ljqJaHQzk<&7Clc!rfec94KoHTps9D635 z6>K=h+yr&atEv#nXbE}J{MdAPC4Dn;9h+AbI-VX&ecV|?W8y$fb)+?0ng9{ zo7Ww#<;^Gd75Vr-Wp+fHl*}1I{T(sd*_tyH-_DbOGmGa{zKkutXdMyyKT;4mn}m#4 z@1tnXhWJjY*YB}J`wWfR*-3#48de}fuJ`7zWCi;&xxJbB(5~+=HgE%fRNwtvwaEaGM7x!4z zbU+zr6gq+JnIA}3oV@x&;BGFPj{6J;Kg!Hx)CoT%q&x04LNMo=){>DF{&y=(prrrE z(mF=q*B>-8I@c&7iPgj6F}_OK9LAUTrxQP7qwQXWri{J*If-+LAN`Ca|D5$@vGsB1w6ER^uu6cZa z@V>z`ZB})J)FOpeP)by5T)yz6Jp=WQCN65R#hXLi9_DZb&8+vyJA#s~9OAzZcov)& zVt5i3tVwzfUiw3ph^C9Yb}=*arMP5KzEu9%*T8*YGkjKP%DR+HhAcy zsh-HSEosZb!lZ|IMt0fmnZ=s`Qg0Qus~t#Esn#7V4F)e1_$NA_MN&J`e}72!c3Nc* znlDMPeof*yN-_nA%h=&0`ej)#IG-**!Nmn1AsxshS=EWHCyZRCHVLg*T|;N?MCsHb zZR4nNyOWMlp@5q6GAh>%}(}WV7Y8q1FRah{36o(obUW^s#|FFFHlxmrRKp&;~%!eseyWemYI_ zmxvIqI;B$hwM~c~pGo7{q>Gy6I)*=OKUwLVO|oy5BAREogW2nNXfVBl6fp*Xe=41u zO!x9lDD%JKS{nY)V>m|1DyHTPR?Zom9h*Y}6|5$Si*trM8OkM;0no4?L6YtJLK-he zV$M*r+wQZxrBtN%e~XhK;R>z*`I3ex8qUwb{im>;pb`i5jhCZRx39CGS>^gnyO)>j zj?cO>ZvS_niTd7GlWgT5PfzT*_s?;K&$+sCVIa5}p^&$mRRsdSJo^VK#vyFQ12!)o z`|cq+&!=gh_&t1Z$qRLnIYTSE5Y!VpZTioC!7&^Sgo)&Kmx3H?w+)F8EA% zG+}~7+0=hElE`1a#_Buo@04s@l>RaShc5AhTbu}7{|7EEQu}YwPo`&+yT^Z?k?3tJ zdMANo5BgBN%+#P=UMVA`l{o{b=ccynCDgb2w%lc3^ciQ9G*yA+wz4~HR#=|FReKJdH? zOzF69&>h{>EEnV2-@xnU^YZZW^DM8a1`LA7o?o=~?c-DBOYFj4c@g=b_m9OQJwa9< z`nO09@sqnFJhUZ|y3f|~Y@!UveQVMVrF(1{mMOJ8Zfx$Nq7@IyN$Oj}v+&+x^`!Od zwA|Ii-?TMqH^j@<+uepq+E5za!JAZe_a^Cu8=N*FEnnS^61g9#onYAY2h$6eMXEng z0k_!&ab3SiR0sgHlDS<4`wUKVh6VY#OORTUEXVZy85n<*WkahEt%P1yg}EuLp5>?g zDnUmg0oKKf-@2RdRkf5n?nrZA!(UI zH%4Jzm%@6?&0J2N@gZF$g3kJG1eF?Z1CF>kPT;_ZF0t_KB`g~;H*@O{W<365Q&q6y zwfV($+Iq*gCP^3q0b2aDOwCx@qRHSI0L*1<#&xdXm=&RVNW2Br%ckPi$O7KY2eH%h zM?k{XNSYH;gl2=hGJaw%O`wOvIh+?@;@1N~cHuf%5)4Vt8;b&ySR5o2+83S#pUyT3 z!pd+s%#bD}EeJ-RjE=(DN~D&C07{;gsFsjskq7$DI5Q@w4`|-u#gtMaRVAC-u!mVy z+E}Wc=-1^83(R#1Aa6uj#`N%($fF-L(qPRwFj68rVg@djMET4zQ>Q1fM6T%`MG#=U zASb06iGig}y-epr%I;!<7#svVNSYDFdB5UAwSp9jyn;OB-_jn5j>%5r@X0c`6hk?V26!O8-2<; zT0<~F8S0#7(xpBO`*r+$!Uv*x2Xk+Ot|UPFwrII~W=*I0EL1Nq#eC4Ywgv!xto*WRf4~iFBhhI3n;`_Q}xbc>Qb=~$((A*>@W|<>n09U`v|d! zuv0eT$7jdoz!w@k5@#PPM-TI@G=Be6{t>!SE04-tY-2;U3-Rov`7IHv2xhC5H6~j+ zd@0o`WS!~FH9}c5#Up{BOeNq>O+IlUH%fAqNPvq^9-*>i?T!tf^!l!^ENbeV0*|&W zAL8gXe!k?hiQ)-Rt!AoGBU{EJ<`|TqP{D=p<486}o5f>S)xw$2D@2;yASnDl1La%A z!Mw38jZ1~+$K?CQ3%fXnfD+IPy!NRp=^M2stVcMe=WEqH#iOei$r&yp@JKR0!f^~1 znJny)Z+{3g_Q5nH?fXE}8mH(6_WW5|by`|Y4iD4a;rUqLBk?ikl=BhVTU=TdHopN7 zxb?CnD$e4&oE+gEvb#S++?46j+o_tz;;wMWtLr5rL6(o5oN|H{HS9Ohi9eNk8j^{(6>%-iuQGLg z_-sCQ_Yz~pqol)ejI6V?+Kk6B*dz-i7-AxStlcNRIC3hPN}q=YwS~YsJ*0ptn z`^FE|ylyyeeuiVYq7!%bG1X@fkYu0uzyzm2Cd=a(5^4Q6_+}(0$900lysrgrWXWP& z0wXv*6MiD%`Pt34ICtfD`Dpx2%z1v{DpwYcLi&K#6oUgH_ASr~zDWUdB|WPc=W;TE zApa@S!BckkYf0PVoDyRVj$;fHuz39IVtOEio%R38RW@xFmL=P%hwsbmqw_Yz(rT)u z)yD2O1`%mevc&~hV(Q<$mIC8fe7YRJgwY2aw~o*m+=}2IIU3ygx6zkL=xskl&(Dgp z``>n^;j45{GUzV->r^rb7*;&4ib-C_ag26Mk#0+)l;`K#@0<|!3TGKYo2g9+{tCYK z-k&=mrl}vE(1o(L{Yc^`#B8b+t2v6&??UFED9N>{$|3oY(h<&0n;gd&-o2XGa(A#O z!!~2N;e#uGo(M&1#^|LL{jZ1GT<-EzJSR~;Sva2zAdgzdz6H#M?dvn%|b zq_C%n8Me_mU2W5&aiNy=_(4#3PRc?lzSz|;@-`64-SP?z9;r8Z{EQWJoT~z25r`nK zeZ~etZ5h&&jJ9TG5tWbqC_SfpNSd>B0RshC>+;bgJbP8bcf6F4qz6CWl3+n89Bwnk=;;RRZCbWPR4Q za_r$`N!x>EiFf4jK^i##KLI1-jieZ(*eZVUr=267r8aaCQxdQ_u=F=ykw3|ea zzTu=WLdb%{FwZY{Dj9qNzw8J;10bQLj$Ho)Sk%#BO)|@*SdC7bHph*e4ocC_VYpZw zZpX%=tV3H(Ls@z8=?5s8+?QiIj-~=c0XQ46c+2O#${NDDC@ECOF``!)pc|CxPsSOG zGEbzOnS_~_gP$6c<5z;OEWIWf;08Z5NPeoSrvfq4D)*RBH%2-{6$PT4y1u2Qm33?V z!?C(VZ^Rn;+@`eQfgKi{xY`8#+a~Mwtwqw= zW>>6S#g0ZV-Ay9E+sdQTtJmW-Z;aPVHuSzuRSu!M@w1veB~qDPUCh~2BTkcvEWKl9 ztwc6WDSD>!b{lEccQN>QKh*d<-4YrT~l91y_0 zSdP!qq&^iM;ncbOkzD6>V8xe^0>4-+ho7Yr{LKFJjD0UJy1P)5sw9N41q2Q#(11is zat{339O1SD(pQS3TKWN$@)SQM_v8Ds1~Ht@GK^-gpDPY<;+Y(mkXgXj$hXO208z2*|ugx1#>g`Wie z`G4=!t#DxbJoH>Oz)KP8$9_Cn@T(*{xu2=Z3n55r4g{)p$4}pKq#<8HGdjYNfs9Pg z)!#bP+P!dY=5!q6#v|+na_YRSnw{J_;>KDmBA%c7!rMmdtFI!USD2Hclh^Sa1pCed zk!%9wFZMRNUUD>k#%f_ypHS(n>L4B2@A|K#4{8`WXsLg6l_SAaB~fH=Oq^Dl-mJN2QiA+ z9=UkN9O@Ov3`q7a>c3`4=U+#`a6CSK;Z^~n7bg=Z7*!9Qlgu(7YR)}OvK+_ou*`P~ zhQjb8Ez9EJa+q_9vngc%DmAy7%*-uZGpQ8@m$^;xdOR3IF!wEQlcV9p>O zoO@FUi%B{qa?U=D;`m2=Hv{E;$B4WJ0f@6P5xz9e7quHI1Xw=Ct5d6JNv%tq7G9XI z>n*qvm^0AnX+rShrBLRzi@b>?Y2lg9C$J~hd?!IR;Bg6> z@fjbHm5$R@!qPJJDL`jPoKYgKJFB5JNrO~iNGDL098C98E0_-%o<-#=woKH-tDSe{%<_{Ol zXgX@7)uRFJ%6X#K$Z~w5=X4IAh2x;khZjD1zd_;YIct^bIM~ zSQt{vf{t)gnaR0L*#mq}YGpX}jH#(+umiw_^!x9(^gFgx?lU}E<}Yx)B9?#nVCD!d9^R9M zT`y(29-I}QE8MR0@tywD9aE#zSo*@1RHonGJ{g6paUs9?2WR-66bFSKh4Vk5BdFgQ z2_!dP!Qw4UAqe(9ue1sGudztM99SJudBa8DZ~1d4CR($rwPf^(JT5zjH3%Wcq7M+pi!XrUFi7(--bCH?9SL2UgahmHVfM&Br;zGt`SOWrJ5eTg)trFP0_SLPu|%e-8psCZD1N+DAWP_J!B0 zaRqHHC3pFzl8m+YMaH%eXR1_-5y{>ig7 zcX{e3{=-6?3dATIP}e*6wx%^_SXW$pWRClJ-VxAAZ!57O+XaWxoat5XaTM-{v`&zn zAh4|?R0Cn60MVCdtKbKg4dN<+x+50e%>E$o04SQEF+2i^=-txSg>4;={zg{+?sm>~ zUCrU$Z}NV5`$3`?+o(^MQ)NkZTGSIYyE=mIfaVN42?+M%NTXi!yTZQAh93=1{p6=K z-r9G6-du&>$8_dVWvF1qN&qb>)r>w7+Ig5Sl?cV`0F62}0h}#YdG?r6_&cpq2b!a- zQu99Tc=n)fu+kl%@GO_o^>UQfPA$k18iJ4hHmgz%3=V>V>6A;o7Ujwa(YN0tore^f zz8C3n^wiEilEZhUjOaWLrUTIxq^Pw(^J;#9w68Dw`SR)E0VT?xO6qlelR*VfnYZSk z$Zr6oD-$Fe8lWk8L2xkdqE)*Ar7b%32!;>ZV4PXQwI#mJWMu)G;Ucc}40A%15$NGcQgx{(3~OFoUgAE#dUD0=3UsF9oSDb@zC2Pbc|)5x^Ygj zbQmF);)!RNYw`eyn-nDlDpnT?`((UBCJfmwKfyNEFxfm#5HBpvD$fX14ARd^Y4r2vQo=AlG_ zoUm?lL$U!e{nEPjRDA;qSPs$VaXeg<_UN(sgYK_J!xw8&$L(P4o~N=WpHWRz+7X=Y zp9;6j{%q;Pd>uA&rA=vO$Y4O=3GG_>{huMQ8{)YCAHkKURl&7_nWNT(~iM^$5X?-_c+ONQ@ zB=62mA7C)G9%KU{4!#@+8(jPLr!m0-E1nwdd)E(tIAA~ zinQf1hfR{PbbOHpPe?bX}c*Sb)UgzPUC*}_b?20Ey!gx>S6_KHpy__ZvZc>8Jm;>KNzqGv zEtr8ssgIR8bqz7#^bi%*DbLUT;ar=#@>|wgIr^p~)%L-_7F^8QB+BxN6S<1k%w^Z2 zRa_se_NQ0pRG+c0bO-POK+{IHoESnyN4Z@%9^^_vX}nDDKv9^=Zka ztsQB@u4Y(2lDMrgtB}+E^~q}DDraf>zo65(Q}$arx@hd*%D}=jiv{++$kv;c?<})~ zcF!q%Zta6Ck{$ky7&}|5)yzLK%^6zP2WQy?Hm5m5!U9f6v&jmS_E0#*Hc~IX&xM3JgZ3G?qc=AHkd{qvS0pA>0yys))C0u|P>^h>KAYQ2 z4(@RgweRB)svMQa(NWE-bvR4=;uCn~oK2OT+ZrY$atK6ljtVKrlGp%cv)PsCh44a# zSUS@w7s}HWW!R?A)l_wRR#}C$_T;-utRn7Lh}kL){3pw>@<}qZd(Y0J={2;zuo^ou zHBq(W;G5cKfWQNaRXn2%Aa5}uz*@o$7NR4t`s49R>I}zz7{v|Loy$s<(S*URMBn-; zgb5BfxueSGJU>5(WdpNU1E%CiEu$?)_BM--Um>jc`cjsLG-AGpr=ut94-Fe#1VfBw zjz{1ZWdu{h2U>Wd5ATK%Q)ZDs)MnBQ009JP;ZZo*+fJx=+2{ht=`Nx^}t6E z9*1bOp%>m&S^^c>TU%^(z%P-*CLXZd*?g{odd;BMOdZ8bGdCSRR4%oy;F`T?o7%l) zfq=46cEp04Pa3AKTuActlM;KO1;aK$Y8@GNr82Ml%xh~#Su7KlWh+SL)L_CwC){CD z)gT{PR@JPzEbWPnEPLcG$*kXu?LqBY>5lhOUZL`sSd%DT!=AIt>wK)yR2nJsy~{ud zu(1SJh?GhSGYP+CPd?93);ka?OV{Khh^`f*^I*Y$nULa}3YPf#L%!1_0h(W_D#;On z#&0#!kC4J!EUAgoEpfPU9zmAGmW(;(g1XlJ(28rg71eIXFgagF^GOp+h>j-J2^9*G zj?~sDm!T15i$_a2>K+6%^ZW_$?Sk*xI+j3azBG#~P#m8mvmyS=Bj#3aIVw(kboM^N zHS~j%wzAW|ZTT7dLrx4eex-2Xs(R=2OfT*Ry9$}JN$lm9#}gHt*+)+EH?_~IY7Bby z=*3Af(jE({Bfv`h_B$3QSK%(R+uCm#eq4^e;5>Ta7m}yA#l^~Q;kyUOJ#!^!2Ypm@ zIK&MNit9k0hkNqVjI#}+uZ+Yd$ef`PLZ4*Z1EEp73xsx=L=cw?>G#JdA@w?P^zveK zbss2v$|8GU} zjd{a^9A^1Dli}vK&YR-kk+HsZtAhJMAh390MkUK|hr{u++Pm0O&|I!b78du0 zfS)6cxB>ATpQvjd>%8$(eANyP92pe7_;$#YNJEL#-%81@ps0pdalUXP)XA;!AIpU+ z-@;QroTR_`hOmoCC;{gzWbos(&!Erx#_f&87vEyqk@1dbF|N#Y&|n(q7e-q4?0J^8 zE+On%%6e~_)8P9jfye$XjkUL6CwinxUt zN4Yau87e=UXVw~`Ze7hV*QQeQA{PW?>4hsfm*bOcxUF^WTPzblrhSGuRSD9^r(N8- z>5a9{9w3{M5OVXGdR)3%oBc9P&9B4T%+bLJ>S;)G4Gvi=IGoCpu4&B9$5Pey6~<;y zvH`y_Ynmg^f^`(4&xVK39W@F5V7CL#vF52PKs+#TbRpm+b!?^U)2P`t!)E}{q-yqa z%i}$XM9u;i3{%`Hxl#|zj7YA7OVid$n`xa%RG zm<4?o-3lv%#SE=3Nxf0A+#PnncR zn>>ACAWExE>oJ&$sqH+0r_KO1r)LSGOjc8enIq^}cx@a;!!uEE`~tfFQ%x-WAVk!6 z3T3a&M#VA=htMxd8P>97ELAh=fbYn_!Imm6l2SJ`4R6RIf-t;n8Lw=_KB|WBC?v_W zKZGS8dzS!OI*g=<1&~$A!tqO44z22&%$TT)!MKFpy<8sqrVv6?bA}iuvXGBdqBye2 zVK2WaJt`iPIsd+P zimZmqY@QsU>*Lkykb~z8v?>-AW;M-I zT+dIBC7FVqN60iEE-xWfb1uPm%rairvAKnKohlKqd47&x!IPR!x>HPa13q2Iuu0Z) zos_Hg7%U+u5Z~n(Ezn%TV^av>C+Eyw;e;gn46K@Ps`5KynG65Teh4D{!6gd~|C(&M z!cqhm9c|s876An#e`Oce*RCI*=}J=Nm;*-P>QhqZ109mRz;N8=JRS@FESb$hd0OaO%cSb5!BS^AiPu-d^AK~>1vJ9zr*nFl&Uk=bRz{(DdLgr$6y z3zYp&EuV0XWG zUApqKqxtgx{oyog{@fx>a{vVPwdPy3{LRp+L(46|Fbl)j-bHH^b=2p={ms=L6)%pS zu1a>n(F%n6h0*&^=YNd+4uXfNP|uGgL%ITf?#T8=JcC~k6KS|~Uy?1+r@GaNNF*lm z3PU9>cPC3J4hx5b5{v2n*@Rbo8N>ee!@kU$g8Aid zt1UKLbV^U`<0HNgr}3zOTl(!4-tQhtZQ}G@cKuIlpW(bUsS_|JEe5Hs1GGcdkertYi;^@Xn;HhVI#sx9@IqB(Hv zS|5}wXa4g!QNHjN{a20vel;p|hqqAmtUtg4_YN^fm8(3=7dmoI5_D`AgW3zuzQ;`e2xm}!+ z{HHAEx(N%>uir!Mh(r?R3}ul?hqJ49qsA%dE6ykF4e`T8y!m$UCVWq4r<2VU?K8CO zHKct4Q8ce3v>>Jm`63WT2f>+6{c#NQ~hqOyy z(>fur*qf#VR3?OlQ7y~p>gS_Sg}XImqB2WLp%+K0tL{n*5cOz`D(11ABG=N~Ld1lJ zaU8Q=J%6HCf6{qVzoihSi*p3Hg1V1Yys~BoWow7}bfI{U7Q4#RoGFFET zcpX^^Nim6(1^{Ic5(gdPmUTWxxRxg`P^Vsd?vctYw1eIz|1usJf?;d1fLq$5$JP%A zCz{MgC_0Tw4)2;glhkGqS{H`dBc(ENdsiS%4ilc=S{Y)Av4mo zIktBklawY!J9{%_;s^5V5h$Pv=wyiyW7WK;BmqjN=`cIA;!7k!$pJx+m0G)KNR2ub zKvV6snX2hffO$b8ZD+nL1L!b=%a$s74si%Opl>X7*r>ubH_23Jqu-1x7~v)vax77Y zy~C%fa`>^GGFJwvLY4BU!kvncCNY!G5Ri9BRM;EMmC^&9%2=MyZpG;_Cwr>F#wZ=P z=c|}lqXJZ+gwhf^x+pG)TF2LW+1JS;Q%>-_n2`Q(yqpvXsj8~c9`qE?ozlhbobud@ zrHWEyRRs`!Ux?MK!dT}(N|AUO7@{FH+WbX&@N@iPqc@nq(G(-=@+^e)5W=|=agt~4 zgGIx>HN$7DXm#NOsAU79B0Cu5W3atY7J2>KzVuA4rgT?Pw#$_;ZhOvMd2Pp+s8xV# zjZ#c(0dODYUZzK>Mfi`Y8LZQo;Ay?MY*2*H>$NRC;0>v?vh zWH0(}{kW5$Hv6bP8~22WKG;dOsuFIA<6kH6?p!ihRx9 zQsyn-!Ka6^>))HCyx=@Z-dMncpO4qK`C-c5 z#hgS^h%IbqY3cp+2v3sb&v5vucud893Q)Wls9)P`Ug-5hWMSoUmikO9lLNtB1>paOED-8s|0AdKud}rvo2L))<;#&VT|> z{f!HpWN#3(Y~@ViSNjZ3Gu*EC zz7$=%7CEQ5dSk7(2WkngdwNf$r5od;tK8CYzq7DBJ-Hrp6IW6!<4aWPNiR5adS5aI z+2W{;d(}|ftzp^^W;;)l!d3vp|0^YNsCJCaN=h~Yvpk%(xje|2=k3l93`dx5QK=L zp7So1Fh}W5*Pt1#<+JNc@$+6b%BWDO`}09TtS-@J$rl72kHfI+yQ1n^2p0!Wr1_~RMXQi~3~T>XsnN${I+kvySWg$5j>Ly10Z(kGa_+KT-E$?|W4_|wq zziWQ=TGFg=w=~1d^&L$(fmSmRCw4UMkKj|(<1w8bKgC6jdig&50geu%yz8!2d*CX!B+mgb zZP`Vcb0Q}xDwCd{{nbxm;B(~qpH6MnSgBynAVuh6=5(4f*!;If0&DRMh5tu3fB2

    R3!ufaAwNb3 zDJ?l;Mb&!+dvvs=wu~OYzXx(_#41w!0Ht|63DT`CxkDem@;zurKpXSw3q9P8_p!2=I4znxYl1f^JCQ^$ZnhAtMz*csLS=!+zO+d#x zq(cFtKQyQ(A;20RI8>}OWNhSkMM4|m4|E5*VbCJQOlb@s5; zAv5Yyx}fQb9ih&WEDb78Iw)HjQ)&R2O@~f9O?~KO0S;h(EfKI9xI;?79i-*hT-Dl1 zne3JcD1bzgI+Y={PM=mqa+mvXnW z5UDD-SJ$6nk<=?_ivq_aV3$=|H8Z`>-qhRHLr~ZEng+w0G#;|2sSJwNVSZt#t9aJMf z()dr1|1jh;Qg4yBk_G(xz?Dgfs6c1EdH$GN&F z&?k{Mwp>*PihC=+b|rP}>j6^bNCbxDwbz3!lwm#kLP*=B9#8bSUc{yxq7`JxoLN&6 zkPlGj7w9Z)%=HA&#SenfNI{70h}tbWXqgi&K=~w~9^?SZykSwmDS%3E1BhWW-^q@| zQu-)a!0VwB5QO;T;VMhn5GvP}X6Q!n;HcPQ6LOnP*A=({yxi+}r$KIvD^@xzir+?b z@{qriPvAEQybu=kK+Ii;v??Ls8x*34>tay=F_BYChNvpBZfrXE5;PcjStAdafnQI` zR5i4 zEG<`|S_5+d7xC2}M-yX92u*@AeqKgGT7}op8RSHFqRYV=ft0WZ-ay2u)$kziWx53= z)(=QzI~}_|JoPw(37LspcalXdMr>+dUPR{TiU6OtRW#ZHsgu%TQu3q5DGnmF07}YI zX;LwO4b~|zfwD(ngi4w*QHP&S z@O2@Vjahlm>wJU`m*wDmqZ(zM`cS=Z+nCmRBB{eW#*eW0|_ZLEw8O8j+Qlc!<15AMr-3iBju$thpZP5b(pU8@8pzrQ~_DAtb04 zh>}-aG=>mlA?3(QwDM&O7pHg8)#u@eCT81xaC(BKOZ##(8gwX8+?VuVa947aZLik` zJ70)FO79)XN1uALH@jvwv6pH#{>;BErX#rx=o_50G+ol(fu3@Es`C3%5uz%CTVhJD z9&s+PT*Z+Ep?EHsx4H1@nzZD*1^9)<57(Hoqt=5Fqhu>+NcJUu-&zQ^TAmHz%}peE zCOH3WqP%zV_#0iYgX$HwAl>7g*gZtoho>UkDLqINbU|ENeMe~zxVnn&Gg{bFuP$2i z3$^sD!J-Khx>F|Rjdh!{JTfdzPgkSpant^Dts-%+CIPp9;&Oeho!d7!+f5DOJ=x2X z@bTAhRj4O;0EDIghjnspad93}qhw*%UIg?^dN9jw`!F9b`U@5bEj)kivw`JF6je@O z)Z)F9KLh2@Zl4=bB_9Yof1Y!StlxTJ{*>xgbsXdH6q3{d4drd6t=h!(l6Pm%`x_Vm zShuv|SPxTT}fXahaiIDR^Vh&>FoOe+V}Bav;!YuyZ-;D?0w)XE3P}w zbML!S-&QN#SKVq51Vc#(5SXza2E1)UOi3tM5F9@+B)uO0c{nUX9M7ba*a=STxFm!T z#FNN`WZU^T_5>CA^r$Y+xs+2O;7VUtek)=BFe|V<}PrKV^~ut{b5FHobg3SuDP-N zp*K3Kefy>*TX<(;Pb9x(;af*y?oR1N)J`!O4D&aC!UxsKKp6YW*+wjVXPt71rB!$0 zdRX?-o-|uJ6xsaKV?&ypnK;R0PKj!1^}x4SfI5R}Sk?M+D0ZQ!6VnxAF#Jl*?n5^I zzu(MQ%VKLv>N-qS2P62mhA=&4vs%Qz^p4yfxFFNI=^m{$sG8x_RdAzsz3?~2{<4x7@*^weYwktgowiMMG=f0|jQxeX3;louI%=6+=c6iPnZj5om z)%q3&FtE_`fh4;r&#!f3Tf$@5R>L)h5Ou2K7~(SpywWi?U}3+7epW@8*&izLnM^|T z`lPp^=2@|BDwIB^4u^RAy$;44NARva05b|+9C|8HF}vfAb|-vB8eTRp=RUrAKFoGw zy%v`Id-)XmI?{+co72r#BKUA(qi4|WL?^w>^bT zouAfsODiCr!*-y2pzSf>F}s#n=-^a$=!cXSlDmGLu#IPMp3kP2WGhIfWzJGwPtPH) zDBlUHlJE`pDt!mn3TOB(cpI&Gw^O_g|0ZMTbQ~b%7mH1WC-ru=NofxMl5JQXZFZ@h zHu=S%g4}{7j*cj={xGr(cBYcv!Osa~Jbk|PwcS=m06KOx#uzjXH%csyX9K;Fyu)y|LX+d#sa zq$3Ak^KmuM31=y@kq|3%n;2cMkh%nimxo9Y1R1{L;?$E!(q4EovKf0E7y>n30Xkh; z*s$+Y53O?4WS)P5}u_&R=q)o9`Y#$;L+35|fWTFofxKIx2^MHQx3Ej-Vm(+Vix z4rrkGI(>P+`VARpEmYHamN3Kq)8cjFbe`E0C04J@HbZK`R1;3#EwmOau~2eHP@TBS zIvg(3y6~lytBSXcjE<6KcAbjWn4ucf5$E3$=TdQXl2N8%yeCT|a zC*~1+6O~I4R0KV#DksWf(u79Z^n61Mr}XsLA=3$+9&lUeyMCy&mv=9ZtgSegya-FV zzhLs@>*3s{V)Uf2$$I`4{wmOwZ>(@gA-F8P#4an}F^88%pkgMRofLMw*2KfvsUdK_ zvAbO+ymo|?)Y#k{FZZMgr$DM0=nNLP0$Z2WXUF+gk)l7IZd<2$#h72C}~(>@8`UI`A;MkA;Nm6D@j-a-j&@5YEi%aUSzV|FViCi!Hj)@ zk#giCER-Crv9SlfbcXO>-_D)?-<>q~YSk|vI){Wm$ytE)eM6b(sow=HU)WO?gF6ES z_`)6^-x-`2J?WS;`!y2DXTAB>oXtpQ=x9iqa#n61N`0|sH2W<=iJevb!p=ZcS@|I@H+RZeakoNoYBKTV1zECq?Z*-Lcg=XaI>|;k!cCnIfZV2y`cCP3 zaVn&+K~akA(@Za_c!|$ezJB+z{c*+gI%^~x$H?_Qc}aL`Um7mm+HU*8148cyMVjqj z(`SW@I$LX(g(KXVy`L|pAtJ*afp4}RN9S z4gqe4BixWm+qEoPjqosbKUGtwSUCN%jd_stlBd2N<~8d@$uWpsUXJb~+Fd@)fIuF3Z8myPxT-?huI(SK=uHNdB%p?p zDH`(228kV;i^v6od+8&N+^s4fzT1c+n6c1fK4{F|5yS~sq`{^b5_66$n2sa+CZ3GB z17O0qK%*DZ$8$% zQ;@P&u`;@eBkA|UMbPH5ljNJGArH>>yB6^z5$|4eiSXM8}+mKuRrirF;#VICcRXF>Z6F8Xu~4`!NTI)nWp zq@${&HM3iCTXb{Oj^1t-2Re{!N#-yCL7d3EW`D;wSA0Ugvz-V#KCAi0E4;AJ^HlOf41l7O)TAqC9@gxtQdy5MvUJc&gS#v`| z=?fzvVLdz3f`5R!%uIj^dY2{a-~B$`<-R2OwPtX~Kc6U|NUuY#e%`Wh)vjRKOP2i9 zUk@$wg(;*yg8KpsSGC$9YT{)Y7y`H#ebO09Maw?GP3!F4(j;v7+f@C_88YYaQabdO zl$K!TzA)k$8U}z~=x0MB@9s0a#Que@iplf2Mf17tL*Zhm}Q^gdfVOMdWQ-pi<;AkSCi>m`8@3Kw=bBhpYtNp z@~3yD{`p4+5==Lp&s*AOxR_*8rA3@+&og`CUBSoHRhnc8rENguL-q!Cx0HU;-wjnxj zTt7@Le<+XJEw8=f`#3rN5$<9SHM*4ce?SRt7}*m}IZ}*#(Q^}=x;_sjm-Q@OOVW?+ zMPqxfE!ph}C+r46MvA?Xu&TO(4_x1F+@)?!3D8odmY3SfyN7o!P#zA@OVJiHyX@rD z;JyHAD_xr<%PT2KCtGv|8$UMZQ;u+({Wr^aZPjvK7H$Aw)>Hy(rled!_V2He!fdG z9-F{L7XuK@CV~DL+!v~+kzq)u8CLp(w{hw5LJPu_;i^b2tPz4u!yccR3v#pSjw!Za zrsnnZCoz(miu0^~lVPxdxs*KtZW)nLvyES&l=mSQPgoK=G%v_h@2Nip~Vx9p= zPN`_b($0tGtb)kc3NCugW>%Dld~PcDkVyON4?v_1-D?UVLOp z-$#KMa|ggkyi6!_ptWg*Oo40vNIgjEO~-V06`;|ofJGC#lu=!(G-r-aEvW(a2Tl+! ziLcC?{*Df+>dv=W7Fb~NxQ(|vG(0YN%=@4rLLe^18vsNDF?TtHM@R!pVAu%oJQg;D zzZl#$9PL{t#8#UU=Gq9&;OJn4f?l&LfEfMBU!`7Y z+kkA4Vl9zi?XPA$^f2fhq`svn-L~6lm5*Dn=eTQk&*ZqhXHyZYX;JZ2yWuzAYWZ{5 zWnuc6SqqPx?~3!jyI>2i?4e|Xz|%(7)bFVIn3f_an)~$i?bIJTSd@33N?CHRDpm5^ z*r_pl=)@)L4Vta~*6r745C5&?r9n_I;9m%DxL%TMFC^RR5<3?Lg=;@yQ@?ec?LK1Y zKfuqN?d`xnC(K;jB>8vFY|cL!(*V+@M@P#wCRs z4v*H)c9c5orfU2VvEhQu7vIaYIzDI9SNc`AK4xkBq7wTI9Zp5A!y+YKnb0(oe+Z0M zXkWM9?jP1wEUU*}XXRNxU?Jl5Ga9VT@p)LU1@V zf8S^669<%1tB&yoP#<1-x$v`)(b#kKeDS96OFr)J3uhhqJc16JhFDd>}^r7 z?x0YDBD^EIXib?m?bE zdAnqtCoIjlKG@gVYL4F;z3onpz!uGH`fyJqpuIR)6=jE5c;7Qc2Br6EG+jt7m=~Xz z)krfiGeiN;O*bl>WB-C@o`Auu<~_)D+FeVtd|z0r`NNPG&H}654y)?$NzkM`Z_vxX zV3hRD&s6Q%N3SB9gN(JvfGT+hGOk37|` zksxUL?vfn6wi+d`M&>E6P+txDawU@|u;x4(!5P3LOsD8wWWO}zEt#~mH8epP%9sRZ zMR}J^OmYiVoH1SFk*Ev8a)NT$FcAx3-7q)>+Fw?wI4 z6Ow4}Yrq6mU<6Mpv(>~x`p!pYHamr>a7c3A+2x%xFv$CCgAz~IIC%$-<3P+%(u7O> zsv?XuZIt|i>NHL8&SqR%`=T$q%|c()a8KuzMWD zV3^mO*B8=^OMQnQcoL-X)GJmGG&|YiM=`R`qeCFH1mCnwS;Ur76azC^(qMui7!EpS zs96X=>aHw_O+^nCLl9)ZhM?eJPH+4=56)$p42$y=3}{k9my2{nj~?UfAPElP*8fyY z%Iahq=+nw}5Cn8%kut-L_DVm3Ge1_@+Eljvt4E82=M2TT=A zSC8PMt8Mtb=F1HaQWX}7x|kzOGBS#T%LdkVwjvqs>@yHNo%jk#-7i#W++d|S`NCE# zL64ZYlZ6QjZWd%)RzWcs?*)F2Uo5qr8}OZ)`A0tMQhRxl<@;j4pZ!ew(0Kh|mhl;@ zR=R1#--y+@%GyL*k|y%XrTwbwrF&(m@O$IU0^;#r;d&l*RUG@lxIi7N^{==Rue0rCa&%MgE4!@k(BmczbuikZwv%fo5N#8L-AHyRF&t^}& zDQmz3zbMS*D=j!##FfDBNfGb(VHWz-6Q@p^;pC7lM)~cVvtjxX2AhGf?6Um#Zi1&D zkxEu8oQj}KmjCg7IOH;A5^y({Ch`X-emBj+I9cEDTISA|xy~nHFyz-CvU#(gf*Agr zv*@rR>0y`XY*M=L(MpSGev3R~*~+)ZwD{tciwXf(lDOjWG+TZKaT=W=yXdK8jy)9A z3J|$ol2k5WI*+kJG#%!#>k+S>oz3kVzp-Sy??2zWqyLcE6W`mlobCOAL>T>{Mex&n zt-yVn(*1w0U(xP)@C-4j7^GsyIl}XGf}5fbTM`mu*#fBa9bSDXm)^WuLRhJS_l02o z*439L;$4lSPhQ?S;)JeDPQ0Bau(oH$-g(^|&Qv>-dd&A7vUz7O! zoB5^wFhbI;Z>~A6{S2HLi#-WlE~$288cM$dFAiHi<3ko6VRU|mzV@9xOy&QPR}GKx zZb%1fr)M}T3-&a}&&qSMdidy&7i}=bKNO!`qdXWQ*_shb<{a&`CMB-8f%%7&1nX)S zeJ6|V)wUt#Aq@q$C1C^a|2_n#-|LUtw|J^%_Sx=o!Aoe(ZA~9ueq|~aXBof#5Jih0 zs&G=`ypu^XUKJC{(V;R6iCxwjl?2d*EDly{l%0UY-eqCzt2G-92jSo25EC@4pjH5` zTG)v84I^i0Sf)6HMo3SvB(=cZ>;7pAT9z6BWelGTbT!%hqzp1!fTSK^?{8+qC;Q|K zVGrQpgrimgn`kQoYn@zb?G6pMgCccc(P8V!L{1mAm1%5t77}DG8i_XmVo4@LeDI3@ zRUPz`!`PxPjn#L2^^DY4T_Z=%a40VTM~f1o=r(O9q?`f^HCFTH7JhCVDpy-2i`+w# zHarWe7S0?`$Zry{Kis%{6ZuV5Ldh;KM+ytEe zacz&`Bv8L(wCtNPWv#OlZ4>@>jzEzrZLkW>(HWQsXg9v9U3&xXNme!66JPCK(QJ|O zITa-qCn;@Q-hrPW>I{{2!wgteJ8bfVRv6X{#KG-3BlocDO#%8Y_Q)e{HjcHp|6 ztjcGSnqqv?t1=)-$6p&-9ScvD$x)(!HR^s+t`^DF#lj+L~MN*7-l1&(_z6g8Rk?An0e>y+Ltnq)uz7d>YDMwI!h$!YuzL(il2}rRT*nV`tD% zlQ3HR(?u(kKIql!%!$5O1P+##t#l>%8D6LcT#Er@>_WSEA(`j0IP|9bUjye(DQplx z`Rc3Y1B;seNwz6m7|QCaZ!^@u3mNa@ycx_Nq|58#aULL_RO#BzMHpk zRkm-wCmAK%vh#LC)fg3*a+{COw(-^dsV`qoy3gEewqCPk`!4HGd~5ISUaW2HbKLvz z^*#QUQ?2}p_i8Ol~D)}|p8m@@jE^^y%0m|(X*8Z-w za?_D0d-@RlaXD+*ze-8Xny9^2F2JSHiNGrmL_4vDXz!W!h4+7oBcOv4DqhUK?<>q1Lf5o6^qugC-N{Vf=%DTRbml$xQ0A$hn$n$- zrQ-8*{BZWd#c?pTS+e8}ac3m1(-F>)tD__kHsklk-LOAuA)w-A^3l9oHB}xY>*LC{ z{N#d;AZxW?953R)?&UEE9*qfyIcxHP(xIAnF@jg7(HeuJ--h9%)dv+nm4o~7j9CN# zy}f&egKc5?ZGoZ)%r-Md#e?A$8f1{=Y){*g*ESG*F`Dt#nWNlH?n}gqiK!;Gf;a;> zenFIEft4_x4u?jMb~rx$Kq;5}&>3PBO&b{RCTTA*JS|SK@!7k;X7=E$rV(?O~)0V!!$_= zHlmZXL1mpd?7=~%5u=|WG@wb-bF!rT9GjuC_XkICh$!Sj!Rjl^(beDRtPEBWd+2NM z>DFFwq3vpDJwSvlMUNnc4u%4*1Qn*@p>M}XEP*}f46U|De8ft^=9G3TdSNV>XGF2H zx*lFFND!jgYka5X{cL7`YWE2;i0P5CEr*yX9xIeGj4~`N1!783} zapo-S72Lf#gIn7dgt8)I08nkW?OL`LE&k+t(TZW8_8AygYH)diD(&hF-IPKaK1HdY zvi;7^a?Iy$8m-7|ZLgs-XuG|F>CZ%p;dT0LGl?1;FiI%H+vo!|sd9&$tAk6A8{5R9!U-=<6We+>E@1q7D;00QL7p)bYA$F3`PDqVTa<`*w`Pf=kRI;fQ zCG;V$FYZPZ&}to$+fge?ix#H0@ER{%| zEckmT?1bM_7qMSaz^Q-tXY#Vlls^-6~++~+T}V)ojySos#KSS>WhThGm$F1TNg z`wSJQT9M=!vtt~znw|Os=JfXrr+miByXG)b$geC~c5GMn{>aM?>UPhJ#=fS*E&C9A zcw?u7vxTAIAS3EiW|vmsMO-I%;WDy4A2t$Tewv}I6MLs;kup6Z48(ngUVct|F=0r) z#dqI+ns}Q%@WcY?AbgCkVW2alwss~u1Gskbw=wGc{+}UaMZF<+h$=2eS@HT{mXEZw zaUm%6t_CcSDt9#T*1E}C`g6hC%)K^D>F%+|hx4R4Od*8=r?%Z^U>=$d>|9?e{xLGG z9E0&7J1WQQ%U!PG*cN%rsEwm3Fm&urmY&Rco8-8g1|ton$w3 z23Qr8TLju7h0LbKz(B$JLzF$m6J}P;X<5w^Qvjhej%Rnam8XE5QZEveI8%w6lQJvn z4pK&ZgHT|KbIEpFnIUNr9LoR}@n%VI|C7h1|8AWYs*P>Rr<+%duJ8APi8G$1_)9q+YA2#bw=6q#-Q?l&Z9mh{�@HH7ed$8@otHRT16&HJyH|6$3tio z=rKK8EPnQfu(`TANh^|*L9%eX^45zVA*2K_6jTZS3kw`S7?g<;iV&1uh6YvjV47Q) zBBi^-$kvOZl%y8W@8CJ3xRBsQOiPZ~v1HkCXsNeeXWl-v+wx<-L?indc=LZu&W<;J zXyx+qS6qnPQ35*yqYDe{b}c7Kp`fQ*FMAOQAW_P$H$fZ zHvfdP69ih^^{H-WZTa(-Hn9A3U;&OBJe|f7;JcK*(ivtgnMRF$K^lvMw=Pp6-VVm` zNmw{a1)et@8M;YlXl~INI18o~uJ=&J^(mWaUQGGk01HlxH5^x`c)QEe6q3)j_mJIs zCrejZAjuAWXDB`S$iCd~{b;bSyt>;z{1YR5SL)|k7y8Qe8r25E%*|^w@i&|oW_7oz zII|~vi-vAMB@VJIzsd7Or}#!@5f|D|5g?u6zBk!~``{ugdc1Q&F$MvvC424S{AYr{ zJouaU^Pb788g2)|gg(wRG5u7H7aC^rth3M1 z6oX=X6}usa*bg|e)donlC4s(UbAM%N@%V616%K;D_#M9#6{F>AV_H=vPyGf}ad6mW zKSN6d_|Q}cdUJ0f*&pKBr0W|i>CwlTDkEV7vZ+5`vr7BA!p0oxRyfH`!mBeRc;`gI zK7-pR8%gPXh7s5hwNrgN%;k4e$`-A7N!uIGgHkeVGia#zRa`wg;J-%LsRwMi{FTI( zZswY=wJWI03sUwOlKiGK=nbp-)b_dMIiX?c5Q{=kx-B#}IwNDxJ=B6wS&MHbUu8x+ z$w3}9>jB`baS%LefP0Ga;V$+UldR>F!f|6lY&xx>A}QIH7$0TKTpM*+Ag`fg=^1qe zt2M9JFl)4*eM_`o-*D&*oJ}fblMgO4T3zlvfg5lo4GlI_3c>BW77>$iNIHEEqbXrf zsci<=b@B@1!=7I1H6osq6JwtLHA6sYjcWdVGgOjVnmZHvZj3^Z_aIswyooCk9UKe zDzfGDYa2(Nu`1eb9&NIsM`630STg#YYRikpSVuVfLKZ;QPCca!ZQ^lw-p7gYI+>_T zf)%wCQ=fr_X^1FiWYnipj!7tHk=%i{pwe~*Jr(R^xs+eAOj`0u@#{V9AcSqFpwgWovPX=p^2TFl*bO3~BTg&xA_Frcx>JI(;gz05g+g&S{^)Ekf5?4fYMgLB2(h^k-!n>7_C+apbg&{EOE%Gn6n}_^}MJ@ zTmo$aN~K(VPl`6H!K?b_lA|iTs8D8ufMXDcZQjb%>DyGCn;NDW zf$!l%l@>mwk*yBiR6gAzC;~u$1zENdBfoyYS4@dmc6u7A2U=SEG-T537qJrtTf`9k zoT$i3r4`#ft`0=Wp-rOvoVeNMSJ$HGgCSM4t>y1VbDd0jF}hXP3GAY8WAVLyzDuKg zl@0?9*>_UJu9C-qfF({54oeplELh^$T*GsN7XT42GkIINueLTCz+uI;(s zmXkbW%Tl>l9BBip#o*+s1{3H}?wJ+ArC2$GT6jlbUx=B+$xYmV%|ypgt$O94Nm@@Y zzfASv;a91lM!WfSG7Xds+1Qa32^>IuW&>4Cy@L|tT9rBvLC~uZD#IaKlDHe|Q%2y|ig?Vg+kUYORrt47W2zp>mWgrAFZn3yJ zZV9EX#A-b$c^ATVgj*VfpmS9-vJC7DLIQ+W^7H&QfU`h!>Mg(rH99_GAfR@9rZ5d+ zh{!XrC*=etv2XMEG^1~?A?^X{9QgtZdj2uFAkxWuFa!jH`_RHY?`hB1)4LGk8~aA` z^8XFNwbSmGNM5o^rQSBwL}uCn-nH9p+Vl&$DDq49YQvB^SNt=BBbnXfLc*2wnLV5E zwrN!r!B59Titf_tzaqWE7rLT^56Lu{g>&;pxY}j|_Q7y;l8UYoR}IlmAvfNLh1G@e zmO9Fo;~3(SW8E~f=cwwEwivFNZP|%M%hvWfZk9=P!M#i3(ki&U&>#jq8I^qXy}Xim zbrz01Q}e|aF5}(l4{;*-=?h`)V3;mn*_RIQ8k@D^?-C1&P*H_NsRw?RU7d}&bx^P? zQJvyFx;AX3Ui-XwK0)ZlH$M{&#pS?{Fx7=x!R?8R8N@s}zn_WXZdPR;!UgEk`2Xu_ zI<<5m9pOImEJscL$1^L^uq`rLaOT5~d>LxvaSX1PG#%k?+{OK6og>^UF)vV&?K#4o zBy;bXA1(fHf5NhH$d>=eIkz%ouf1ch*9xZ7(rQ)wc04^C6|ll zS-8vF!deaN^K5eURBEjqvgq|rir-1?c*&*a+5dp%&h_@HK(D1$-{8f^AG^yxE%B zGs)`i*6VC3T(IOuCVtsoOT0nw`HVC8ai(FJbS+g!wy*n5u>v>gaB=y19L_Z~jj#dY z$B*qVJjXFu1C^fmKj*`4Q>+~vjUUWD9@i<4a9@92xe30=;y`#D%Uxbhh9-u(1yu9V z!f_lUxA1bB46X3dgh*<{>0^vbM$uyE(*cG%v)5kB9j^Y|WIp*I-wSP?p2&=rR(JWm z)cfsJ&`QnKIkuMAa~}Tdqgc?y4^?hkaEWXC@7_bBWfeV{Lcu}CFWnAN+W76qD9mlq z7Uc9~nKsEVrxaU2$AmjDBTHh79)fwgl+ILJJ(Ffi1Z{9R;`wEa3Su(Iq8)ep={7<= zyL56DLFQoaB-T?12Kx%8d9>R0?H@C zGe?%dBwdZ^ES7fLcpILnX97#Kh)8Eua}PN!GzbCSwn2h>JDuB`yTFpB> zL@eU2ET{x}Y=S04@Mck7XE>gpN4>~W5Rq#?8IlSy*JFgAlcg6Nbo=iMHlxsUi0AmH zL`)i3mR&mmdF%jr21QP#Pms}-lXq}{qqGL6NmsBm^NS3GGK&65fr_G&)avh1QcRwtT4 zi1v<=VezW#gM^@#GFXO+i<68kVr{d;h~Pl2Y{Y8YNIKwB7vPG1D!Wu(3`ND=G}HXT z3crt;7fT9_+?Pes*%$X-j!jVXxI)`5@})DR%nTfio@smU{D{lSnFGOBOxc2(_PAGM zrzlAqGvy>AbM#^l%C=SrEm(=XyEl;6d@7&~1a%)dG{zjWceEsRkXNQ*58!yj4;`}UVN%yLlNnC)Ffg+SHyemnb`%2C1 zm);ZX*?+KPnQK22UdOd07D;$JfL}KEZ>|Z9o*;i?x5cxfv!VB@7}ccz>d1=ZKfxPh zknP06M_jIJZtl<#Zsckv=`(=hnK2ewcERv(`|dlG<4>JSCNJ$`7(Noy;L>7i#jj;{2eC3{yoUwBo}c$%U!cn!ePK#pVjcmpLC z$FB#-r4lYQ_ccj|1z9!Q+`KI*dSCEyMDPK6GDnOyxRq#0I&P8^N(9n;*fGp{#EB!y zR91*~ou;)B>2#Z;(I+fbM5;rh8doD)5=P=uz7=jGT10C*-Q;DUpEhT0Aa`s_N_Eq! z@*GscLAGr9RD(s4rh}}fhn}3`L@eAzI^DrRyP0sL*X(SK6U?H@R$vv(O3NMolZc#g z+;Ay_9v%g?J_tyCmLq|*LR+3NMtA{^B359B^Y~2GJ4vNpA2k*6zG!G=9>@-0oXKKR zwoJTfH4#U}rIK?6o+PsBM$H@GV5eOv2oNpG=J!C{W@Z)2CRklbS{~h%(TrbF^G@^z z7@am9HuxAru?jSan8d1#tj8`zXV`rh54e|jt%Wl);MMqg+JM?N+s9xo+F(#TUs7ykUFXpY1?0BR`VRN&|6+hL4+uxt5# z0C>XbKF|k1td4(df?zf0l~$dZG*m(P?k2gv4+o3SMYHnkV>-COeL2Ko$zONXqBE#Q zQW-o#2t2mtY-Lr~Pz8V+^mNpKN2TQ@FgZb?sdoW6rE5oX3h8nX0<`o=f5w;+}pF{Ud|M}3L!v80~e zCel~g&J;){sQj6WF{&mU!ocX{XmDP+3x;rHm9@&e?Juv;_UF;s%ba$dt>wq=v%44G zoz(3`-myBxY{X6T>C^_|4z_e=U%Y62Jys3SK9^{_PVnhPETSx2k6{cgRER^A+ zY?g*S3p=E~)tiNTd-tw1Ew3l!Su$C#hS{v76OSIVeD~U$Rp?wFnkwFPp|=uO+-D$H z?x;VLb_G+?57AIoNXaXGPi4e?hLtOef}DajUVA~Uy{=7!^oz7}ugC)}{pZV9# zH`p36j&KvAp>2JnH!5*(9)59=Rmaz_xa^NvrhcKuXROW-?!>D@e)hJSy>(#YK@gC@ z8Td9;QGA9PCC!Wm6z7^){qjM$NZYN7$%m!p;?(YV&q{6iO~JVjLN5QSBC;;<`hwOGPtfc z`NHd6*!@0chH2>x&pHkk(j8V#*^znfaZl6p7NnZT(kdC+!}P-1y?*40cUstgDi2}x z?7*RFw2f!!4v6MD!mT$$QKu`CJ8>gh9{Bv;%>LokV9%XoiUcDZ`qLZjFIwEH@o%oF z3bYfo(f{sbObhIxE|S@sAgvC2-*k>@WW6qy^#%GGr7h1cW z75^EXrbM(|KE@u-ODLVn3bt_iuXK`p{H3c%jQb!DNNbkQ+z1?c6J9HG`mK z&7xSNJY<5-5c1<|h}sWbi3HNz6)d}|Xt|ZeD%*CuKB_cfL*cZ#dBtnzNy6pTUOdx2}1adH12T$Y1{9xbfFP-{Bd`Y)vVd z&D-sHv~Jgf*z}0&7edqrs_&trlr=vWU;BKH@t2d?n&Ack7colxK&#xNX&_t>su)kb zDYG@LE>ucH+yX^su!CIKEuDczLbuTbaI#=4ma4P86g0wKZD&o03T_V>JTy z(_k$L4L61N?VSJk>T9u3XnR(y-({DHWbsLt_iqQ;ElJQB>O51`HV}7&rFRs4h!MAo z)bR_R#_pqpj6^_<@|)oo__aGkv=IR1Sv8LbhEY;aLRDY4QY)$1pCFP~xP8o)!q_%MknOAJS4JHJDx*@`S0S$0!Ck0h$URSe3YU%N<30)cC(Nri~A)? zf=nbMEqj=fsh6pSDQaLlYXA@}BreMB)-*m!pL$nR(nY{Yf+|5hS}ViL?TrWHkFHyJ za+drYzC6O$@#Rw_atRc9E4ZX(dbQmN>p<#@aZ0lwl9%}D<=FmEPF0ey18vCasdBq} zvRxg%8&|ZEM+B9pLWMNmo7 zCRurTSH}%x8vs>ro5?_NB1i z^9RhBlO>sClF_(*8cY-5qOYjZ;N;~>`KdYts>KMd{cQ7#mdUn%ZXa6Y+JXbw`^s^Y z*cYeDv30vI&e#L%fo+06Ls)Lvy7FiiiT#nXa8=GCsDg)O-KSUbZTKll!<046XRKD^ z5Syt4eIg*zVC(k?u^&l-&eAgWB69$0)-5EJTP+z+LPb9@9g!aW|V;!HFBlMa~_)Vkwb`2QibBL3pZ~Aj@Nik_0i$*RenGF6Ved)P*PC&UIIM zL-d1-VTLQ|Mb7~6&VK5LeG=cHG`o{d_)@qiQs6&QdI`?M4bKum&651TyCt?al1a7`Hp)hJd{zrK)a=}-5Ij%* z^NhWf=A(GoHsu+dvZYMrAYCrf&E%U!{{_fdBFVa+B zzRv9LuX7Q(ud&Kd{l38w4hrg0uq{s$hMW=j_3#N z3TW+roFzUnupf97=+*z^_(Ck}cgW~f5y-?(G%$`)kHaTp5#8gQxq8hom+V|f_*u}qUt&6qKP#}3e>`<`K8Tj%RYJaC}#6GGNc#oJ@ zd@0W4>)HA`V)^`uT6P2HuJX8tQtqlcyL*a=io2=N(fRUI-yhQQy^Msv$lw&;|_#i4RtRwh^p&G*nrUbrblxq6s@ z7Sb zDSSZDL}zd@o3cGRM2We+E%LK=m8yCQq-pCt>52KRyheN5+WNeY2qxXvt zhJ14?%#J;vWb}*S(N<*ha#kKLdjril4D1YRHq%`_3~+~E2g1F~31Ur%KBT)~)>OH4 z!*_&wR5j!w>kLs; zLW_#L#d{Ep2Gt|cYu>4TH%f%Se0oiIjwMlm1i9NWCxeoPVu}jPTjbcOg41*cCFJ<$ zY+k?$BymUxEk=2MeIcOV524*R zDlCcFUGQDoh6O%1H(Yc8>b}=K$j+s%pupdRXz{x=t{ufgY$#fC!HdMAEoSEBN5*GG z;%4OP3t}=5WMnb~M9V!-M**C)$6NUIg0CFTmf9=`^6;cZ<=eEAOVCZRa< zgI@5mjg2sO6t#QWh~ZPA{r#Oy5jHyzH8cB+JCv?_n_0i#yH4;(>rZ>z=GxF?!hS!H z#k~QhtYP~q!_<=u^<+D6Z9Asxism_J19{P`x$)*%=z4!%ok2k5fzOoLMLdowf6Z5d zKfaY0l$!r&t+_oLDx7G#%I=MqCazzb1^;DqS)Y#G5#MmIaXxId71;Oi;nRf;y_A+o zmKm43B^M`ai&j<1&lxXPXee$2b)(v;$OR=F`c4r}UOHT~K5z{+{Zx`Hz2h}SoPpvs z<(+stK4+G~bGN;fbIXXg$6rM@0CQZ~9e-ynOVtiq{IvROu1rK!wKu@6GQaWUTWKY4 zUe+BlX$oaO9;p-hPC%PV@7U|+^AE$)4NN$9_RSJ?_cj5v&Eef@A%(_C7~d?|D|z0BtP+9#+zz;gKWQp)~IsCY};{!x^NsF z=Ftlh*C-#9&cLMkmUv35wAst^dESm-uim^W=0?S}EMPzwzi`dI%+C7|i@+yqA^(wI z%Ma-w&C=~d=~|a;zIi! zdl7|yHrM+M)y%N}@pMv1eIYm|py$)@AbH#--qu@ajbvgow;|(ymDMjX2xw{7M*(em zs>)yep1cM?ARIP1J#F+V+pirYILyrtXc5^LUv*!0Fs_?AgH-SbZSM`&t?>DQQ4 z;&jAExTfTVk)Sp={?H5NK1JN=$SEaz8W~^9ENSSY7=M@)_SA4uZ)#3bzdD*YZ2ckJ zuyTyAj`z5IX@3AwOPyU)71K`~JvYJ0j(nx!y zr1kd5_AvayfiVj`NrYxo<98R*;dSiKTr%19+0jD0Hld*SAGGFLqos-6mXZ65V`hLwejn(a<|hUO(dLvW}xHm*k8fJoDZGh*3PH9&3h-rf=99m_uq;h~}}_jo#f zn?dw^owxPuvHH&kxI1;SiCQm|!j=i8wp}WnVaiLsPYqQA2>i{<(iiF>1Q3)=RaiASK|u)q*#t; zou3$a1A}4Wv??Q1b;ontDG6a)2viyYL4i?hB`s2lz;_Iz;@Nh#AEBc&B&lbuL%stu zsiOK)&*2aW9+Eu*uQFvfVvC#!m0x9w>D^@m%4XEdN(>UN=cfgNopNUqVT-i{t63ox zCm}uZG-_G|^nq!8A7}&ohIOlL83M|g9^Wd9wg;5dlxanmsWz->BI%qzSD zwf*+J*A?FXKr-v^NvFq89Y9Ptr>gPI_*+~p%bx-<7JvUet35He(626J_zN&IHR#N- zX+A~!;`~l?@ePX*%Sj3Ds(&!OJsmt=gh}@G?kNJ|{1RuUSUZVR8tJ>=8FM3{$_r=J z?H@iT|`(WfG1IHEjN>BBAD$ z3Nw!A@#-NikMw+LPvDS{M%@80#o37jCbD_JqWLgs9VQb+Bip=u(7wlYT#t1M8Rz7|sbw}-8cxv`Q6jhqq`jPvPt`oIK$$RR{+gxp6R&<>-G37T zKYl(-0neKS{(nE}44@2vAPps^b^MwOTmhvS88W6G$*dM>#}R#4au;01FM*fgfuGKK zC56h0dn8XLUZDe^mGKUAv4{Y!UE#d``Csvoule;9vIXx+E09L3WJ%?F*UiSh=#}X3 z5y>h&9FXS@FoPx%ld<_pXVdGuiYXYkaDoF1=_k-p>m$iYr7d_?JM zq{*Wk3v{=ERJX6$Ur1OUjWMdjuf37W=x~@m?k&z}<@tOYA6l1J78@|OK5!J?G!8CE z0FQ&jSZk!>R-E-iJ~xky?qULWH&gpqZ&JZpat0ZFAr13tJrx|x{AAd-T(w@mm=&X^ z5@+jguew_YEBtR%ia*C(>%nYf)*T3Etxb{Dd4X?&fa5L++6+ad*hpC3{5nvu92#`J zq_2E*5~o5A_E-n0-*dGSIL>Us$X9rIIGudy1rHIHRz5t6G@z59?g?C8oW4IBL$(;X zjND!VxHn0OGZjt^IU$SIdgZ~04n_~~22_u0kkxe%+)z7RJ(zVpeVMS{v^Z5& zWexKlQ;oqmdCX(@faQ^Z_D!2R=S^7dz_<5VIP)2Ml z`#OUFRUs-!aT7pZBt7+%hLDB@`RMao45j$t902tqYY9bcaKv;dd~#dKFCPw*zAm~2 zPSwmiR?!iS8@TJvDdT?@1oj!+SQTX`=C(ljypvC;G7oS&gC1L)mG6j+Og5;mmMBo! zg2Dt|CEgx<0%fU~?}y-$WR)gQA7{0mLTn$K)mJF6{mPA^XT+?SUDTRYWD;&e+vael zvS$GUA|GW$RL!kMXI}$$fEm@;h^OqlY@9Pp?+_)?9v{44!Q!OG$4HbpVW#(P&{JXc zHF<9Vc$lh}>9VF@5H z+lACoz*QyYiQR<$&~5}9xTo1N`<4pY;HTk5N~;f8l$}_sqHh8x7b6f=2F4_gRVo2n2O$C*w@Ph_m?oG%X-EU-|&Co2{>OP$;kxku3X%xK$3 z>P>>TAhk)b&JZ1b+iirDf45<8+q9TxD|{iQ)cKuZo5C5TfPJ)sdnWy0r9rK}nI=h- zqV_^qOqXmlijw0 zhW{IlswuhSwftCv@DXu_;UZ8)ydo0an+%8yzyA*-$wUA8Z12wcfy}OY;gU?t)U1?J zG0SuAJ%*v1C`^&?%r2{IY0!rxl>pS5k^mzFUH|9_n zYr6yJ{Q)XE&BteJEjAA>v8;IVK{f~LEX@!5)%u?sJN`@$DjN`WhUMR}`DxhTlWL#g z$(xVYeEf9U*ptc0-&oycqg!-_DzePv<5i1#nD-fq^yWz$3%i1a%UL2|`@p#k%$;mr z!^&_u%FOUJa7x%3;nS0C3lA5q^`wkXY9Q(iFQm6sZyl+TDcdsu>ha&hq(_q~^|BM? z@pJ8oaC=WnX9N!t)4TMMH%Hiv8(rQm=f?F}$m{l-*!q=*LqOCnc28Waskw#9$NQWQO-(IUC5cl@wVu2=!WNgWzP=+Vwa%y=7OBgal`|J2hq@6u z4A9%)Iuhj}YEGeVFhwV&P37;#+idxQIH05=ot=^PD%^Ou4P58q%O|C>sQ^h(B4xX{ z9p6FE1>O8HNmS>fdUiJvXs|A3--(>rymOo(5>fdc_89~vg^Cmf%pwazS2X3l9jFJ) zQ~@)FB*2oqWNTAd}FKp_PcJ-pe&l-T7>4B6Tq6smSeI#CmJ9wwE_ zro*f%+ID7U4#aj30>49Ci{v`1&l~YlWH?bOiUocNW542Dh=a!<$ReR&%?s1t!7<)d z&djnx&3K~83OX*qu-GMJaCX@En)Ta14RUGlRkJ$AM zd&8Uae0Uv(p1j1fcE#${+L%wubCOtK5mUjj=1SX^*P7}*2l-^D%pe^T*O?bq|0c!5@A|B|{cHM-In!)2IN*>a>2#+PGD)LzvHg|Pwh z zBMsjLWvFzHm^e-^^#nX`am|T4(s@wj_yc2!HY)<5)sZ7C10m`R!uqp)&PF;i`V-RAR2o`pY%%ueb*To* zcs3~(^P4tv^U6r>U|D|P@idvJ=mLL7Xgyf%gY5F@@^x-(68$4)a`?w#nU}z z4k{^-BS%$yd7b- zi@LMLm0y9pItb5MT6Y9!yfJUx?2J429QCW%-GrtOh-exV`b!1JvU=wC8ouyrzsl@U}%~aFEqa zBqO*+3MnOfC{MwlWy4d7P?ki zoEyiBh(NpwGWV1lt z@nsaAB|kqywegJ2ikYF}$12Z)Ul-CYxyWxRr~AY*+axoV{qQ*rlyFQsR)8fq6f;a?)X2c;)u}=737GB0b*m*BfuSX1XK(;t$+9!ZvNJY`A1mR7D@D!{ zezUv=qCDf6ytExHLKK`eA>hcifcN{?Ip_B6uY22Xci%cyyLQ#ywX05jSDiV2MdH$6 z`p%jYTLgAUALxnW#KBKM5c)aPCQA1>4#y9-oWvF|MB=c>9>eMh2)`JU!sfDZoNdOk zHssJzAgBnc@&(~;pwC!QILo+Z;XzzkP?4nGmk8KPuI-Yeje4E`m?A0i2qN`J;b3cn zU>ZUq6(MYS6^IEpg@Eah3~alKVbqte98AikaL3;0n6`zAV)uub;43B_nekW~+dY`V z7v^GZbBcwaOdDiR*=*`{Z|SJ_@bh>$(&jbb;B0>ai7H3Wv>rrd*6@hXk?&|wR#2SM zwrQ%yT1k^jy(u`i;us8X(lPX#8MC{xLv&jFRD7;f18{%{PVfsu7%C$`CvEk7#;RoN zP@j|M061Dsp_>*VZ;evVC|+S{GKR>IY2HAfqwNUtIEN#0zmD{;E5!o=ChH>7a={`| z#I&^(bjZhYO9_Beoi^}zO!BIyctBCAv_K6`YB-E=i8PBlsG-HTLLH3ll_zO3le4K;%*((Y3pwKoJ~fYiI14Su~7%7Ug`m{%~Tu!X=NifqDz>)K(i(yJ|FD_PgfEYJI35g%V=OW zBJA2?qH(R&!KY=Z1@sYHyP~5sq?#Z@;hkb*h@z}ZoKgXz%K^U~!Kq-XqGSk=1m(LX zFC9??XTk6+=ad{aVvm_24F)kCcd!-uAQ)O~ko_UFh#~i;coojY2z?fEJCrlZ1raLM zD-~V^Dg_xiD#J3SE5Y>zm{c0kLs3MjWq5w7{9=UBkqU!{w*A)Ms0ykRxQYtKElJtn zsQLt9E0S(RgsXx()um(n{(+58-bwwXI6CjUIf!j?MN0?s8ymqxqFz-EiBmrk=)u)~ zJ3Y}PFdWZP&X+y7W4h544AHD@3zDWjlkQy5A_z^bBW*r%gFf|mZ3SsKLXcF9NTLIC zICQ-tY7ZhKP#(`csQoP?!tw~ep=OMuHhOfd61E`vwuN@PCMdPkb?t0v(D_tw&ykeC zBLv>simm6h?13JJC3c2yNAHPAPb9E2*L62!E!1_{s+pWChZaRAPi0}?xf%tVA%auY zD+O{VSigpZe92bq`4KYt{KWCiB`3mR_72hw!ov|87Pl|oR_C7lG@415XT%9f3-Ao8>E&_GP&Cka1{Y#0H5;W8N%rw2x zmyG$Pqf3?@8_6=eI`W9}#HrOPbaH}s*1n4brT^wgcXfI4HH28o`N+)-D`}q7ZcMF4 z2z9s)>ld9%T$-FSryQD1{x;Ro*Qr@LsvX{)+;3|i-6*4Vu=pe?>DeDRTYG-rRQAmE zMc#U};gj1A6q@|VX4lyT=Qjn|(UINtm3{2bx-1zho=cd@yqd76X!_HQcH|orO8Rxz zCV|0|u0BsSMfT+f_e~zkUTLXj`5e=aVJ`~GZaOb8WtOC~c7O5E{cPjW)M{t`{51T# zE6v3jLztzkhELpt3bBj(?ag;sc5ma~^e>Yl7BnRZN{Cm*AniP|xen#W$EnqX?HF+; zI6xv`=8(J4_A_$0EMHx0kIr(vhEB+_`E)%JBYUYJm zeoY*9Hla3-ecFO%VV~T`+9ICCqpA9#gCJP(w6h1?f#viLZbE9eXUkUR)Vs-wTN|kc zzks`f4LaeErx`2Z^8E4(ZVc93Z6xEdngG)eL=!}ysnyntXJgB-_|#ZVU2&hAZ2mq4 z6$UD<5_)ZM$hquYW|Lnd#j_{53SFN&n;*`!)g&vvKI=nkUsV_tt@P3hDYMSw=cuB? zLoN>=98QN?!^o}r_9V1rqIv^tvjx$CE1igb zC!ML#nEoSwv68~r^elVP*%&+s9=5ap5(P~`cQ+btzgdz3Gan@h$LrA{7wa?U8nC#lgEb$msD&#{?fxM<*1SBWFm=J(k))G45nxX!XH9tL$Uf<6LAuC z>9^-NT{`)b%WGH)cEsrApc5`EF!{;y+1GUR7MG&pw~(o`r5zz%h{E6sT?`gq-Y3(G z+JpVZtmYV`lEANyy$+pHiqy2FCy~Li7NKP6jbVQUX>PMAYckZtJ3M3KBdu!y>#|T5 z$dLq0Dq|23G>dBsIxLlhiu}+N`M((oa}kkxudDu?mzb>n2X=vEffrWBAQ*}?nI&nf z?1Yr!5=@q?jS(4B7UO`tL-FuJq8w@PZwRfLUh&PqcJekX%vZSDBR+{abd-Hl%50gA)5mAIM7_?ajRmOn@qh;k{1iKP%7Ux-o~ph1Tucur!gx7 zltfcOqeVfe9r1~XQUp#4&?#LivQ8NtKl}ZTt{ApCa{fWc60Ns z<|(P0fZ{|pRUDJpLg7fqseqa7qhwP}tZxcI%BArV+6T-9zG!5pXwfyY z-hQ0jr8U`>2zs0oMfgehJWZD%{Ah2={YGj;?wn1}d_>APlRcr8#A*BCB(wKiLQ%Pz z?#J7G63cT>)H_>G-0-Kv_R-33z6>+dK2mV|KgqDG>!c;+c8od_#tby*vstJSdwOcj zpbbKfhqD=Or1x}pwrt@hYxk$-FC+C|8)D30Uz=O9coeWF%+A)l@ay9g!?{cHQ3e7&h)j8R z!cWHP*lJAiNT8M@G38d_!iCoBizil&m`yQeNFJg(B|`?o0~D8$6G`etnjW_?<#zZa z-$#G!6w!vxRl43j?Ej?vx1 zy;?<--)+C!@@%|Xz9gi@_vpuV_VHQYtELv?7$!L|ZTVfME9n`m5~jC+I^5w;4lK65 z^}ghmx$j@#Cw}%)x-!p!eSV*hV}{9!O~1z@Y%*YDOA^ALd_2k?ywdu_3waxmn0wdY zuLzcpvTKkXnJB&7C-Q-jY&JA}h+7uEH#t`)O2j+E3Mp8p&b;FyP1u-kuae?}mX#F4h$-qUb94<@8JUL>lyCZTo3;lzV{hs(BBl+(L0T zt$)xxe%yzmIR|G~*h`ms$al0lVTWxtJgS87F08+)9nyEvlb=~D=4^%D>bbI{5v5LA zj_V=F7&GMhI7bN4y;nn@vGT8k+AG(!%6I=SEc|Hm1}q!k;N()aybHAmW$V!TX!?kM zA@m5+gspnzHl|@%4MlC?*`)J}J5UbC>@L(kQq>H;hp4~xalBpi>y@okR2jC+cyH4w z`XD)aD|6<+18i62N$t_w?Bd!H;)HX$MDQ{Z!!f#cP61t30A99s6)+E#r4%l~1tVW0 z`#tITZ}nEkVv6`B@lKJH%gzcGCm6{uC4UTr181|X)NWN@GW056J{F=GONZALj0?^o zXdpCjk84%=5uJSu2&aA4a2a!g$#j>{^(Ms$jQ}adHfaP~eUZ5qb%g54wAr*d38OR& z0QwKh#89Ah=GP*Wmy~v}=kzRHv&72eD@aDygsC6_=+Sz^+Zs|o2K`#1dqToQ&2O+k?dNQ9su52k;0?YH)6}FI@p=t@AiMQrI5aS&FQMPid*#;;71T)V@n5?aSUB}K3DBZ}qD=aFF$ zbV4NBZ_P#JM1OEayCy*3vIJHLnr1p{K`ty(LyETIAX+$psc^C;2>UkxsX;g9+v#ZQ zsP2xaXl^#VoJvn*ZM+9<5R@`0G#?_`B&$cB*m3$iinR%y&|`j#)e9 zddYD|lzk!089iaAfdEH8U)IfC&lYZ}q6d=pJEQmTnJoIG;kAqtI|)_kKWBw;akhtc zH|tb39dCg{E+-mxT9VAa#hB>0R?_CYc#CeDbLd7pSU(yFJhrOlnumpKLhJ)rIT}fBukG zAHx$0=045N*;oh^0!;2cxAcT$*O-A8B0N4fC{PR(`7Yw1 zv+UzV>#uuFDZ2-0Py8>8IyDh9_0^a%DLt3ck-b;3rt8;u==_#&e(f9*!ZK~bKoyCkr^4u=C(c7!OJvw-TyG=_{tJod>#bE9{ zDq8jXbwiCm{0qUR#UjQoi!GjfnqKhAZzpSf7cEPMKFxPyelAI4IgaDhv&H?|>VNOH zE!;6UMtVIudCR^q=P&YYI^3b$=6rNnFS7SF*{)A-owy*;Veix2@z7J${%w@AH{ijf zjPHDEpu4G}S(0%6mmoXGf?T(8=?$^f&J3RRJUD$RNLp}h+!1Cl!`pnW@kfLsakekS z3IB+A&^oziicjJ84EY(F_w|@5lv37p{m7Ntva%IsF1PeV;$8SVHic3xS))qE{&%}4I&CDA2?A>GYDW3!OJ|B zAsUkLk!$8}kD0f&(7mj3`XrRcIX`TpYoyKjBqX0BZN(d){1_s@TAM_BAnUPL)=)Ht zNgeaWsuY);bm#f2Qn)=p7c(61t;|Ns2J@cK4bi0U8QH?lcgZs<3iZ8;(ocyA=0F53 z0eLKaflqn|YNR}~B;7B{Mkwh!#1KdV^ZKq`qSUo9d(u{e6i+|WvdF3~6(Dzp-h667 zQmBPg$7ce^%w17jWl9f_#%xk<-iJjh`drEr9AXV64@w>>_nxqIUvN{F1gSfLDpesP z+>7AVCJQ+sR1Q-VSsx%AslN+~*g;U_i;BnM_H&+;jjFwx9S@~%rd-XI%SvR`wZxe?iNPe4!VG{#`Ag}7q-l%J zUQGNFFQpESK*GBq`pZE~uus_sS{9Xn<`G5=O4|}WxXdGRz2*UKJD>qJ$(c_SG3AVm zP3&H`op2}2fs{6(eB0=HmPMQb&TR?3)G;!~%1Vdt^=RW@?m;?~z{JoOZslGyYb%Pf z`f_m@1=Wc2!c|J=gZzFrL2c{<= zm|!9(#Jr;afGTllemwAt;Nt69yW%seTOLWAKX z+`_Apw?OI2xhsYFi#Kv*_2DgAE$nBqKvu*ilvXIU(~P&*>+E{lev4~zHQlxu-p@( zv@#unCFNIqhnMV@%ckt-UL7*`u7+v9%8{r3N0M?}F5k4UUIyK2rd*?be)bmP;yXdE zMYfoI=e3r&U%s$RaS%qC?*3nLmD>8~84;qHB{6d;;pX zSL4y&xX_w$%HRhwHHUZL*CBN`e=lo#`pUOIap6>2e0wCWGuV??y23$W5A9FA zPKM6PLD--dzkF*=`DgAm=W{Ro+bA`R8QkF)+pTntL%?TFdy@uMqi2_>QmS>x8h-C7z1y3&xk&T)w6K&d9L|vCaT4%W19JY|hJ0pKO5xV3UqU~HX9i*AAipg9G z;_ItH53yZ}{{4){LZU14i)vG*C2gCF^x3I7MxUQ1;u2!ZApR;90;-K7OxlOTRt=Qi zz2*U1mQztVdwz(Sivwy@5HY#V^3flEseCs8F0ta{n5=KnOeXewsTHS*Bi3gSrato% z*0i8No4Qv*0Bgj}Biz=(^vqo-(@WLUm;@dE?GImw{go7=++j#`LEMgCX`ohyFU7Ew z#5L(WRQkv?cPz-dDl6SPnClxlOn<1?->JvM2CJIC(F|+@hnPN-tbJj9vv1~O(-M=2 z&y=*vlVog$G3t;Osi^aPDSG$F(Tu`%CEOgEfKPyF4|nEy%w@4s6p5EH7*?{oRA?G* zqu?o!bG4k}i>GFg;>4{-{v+hHhhJHRAnKY3x|eK3$48SJ>yH zBYIGUmrt1qzZp9aPRkNx>=jznBTSl?LmZccUF&FPq|+(tMS7iK&baR^4KDIo#;3sB z59Ew$0Fu~5XdYzFuy;MF5^4v?XY{g0*w`J3Gjc4bVwDM-K!qg)38cQ;jIFw~;nbP0 zdf1zYw>k73vRSaXc>>70n>3P8$&wf&xDwWqia>0s7=Z3_Xi2e?8H7A5gA(HP)Y4J3 zfZ5PWiIGn;&r*-F(c3A=^;Y^83B_Il(nSc>bEiiUQks)i>E!|}^J%_ysEGPQ;FGmb zg#Fv!QPA76K#@spwM;0|Q#qB8WWKWP`Us_ur#~^rmmYDoyu;iSr)Y$z!O0R0SvGVi z*G{llQv2EuVMo*&lx0V$>ZYrTEONt8U&ch?L53-WyY?9$RmAQ;|3Bx5Zq)o zZ)KAZ%J=P2(lPyE@0VoZVgyCudg93v7p7}Bw@ycb+P(ABNsYinhqARkEBUC3!iPY0 zR89O5qk+v3(r>1t7A_3!^tWMOyo5p95lGgTD!RLg1Yqf=LX?jrmIlAIj-*F|LOiKF42(w3hx zX5DM__Pc%5hzsgfYg1%7}ZInGrvw{juI z+=Y{2?VHX{o@6r+z4-Q|{FmMwb2ekjyS#&1Ve8ONR`KI|!?XVTFW~ATCh7U~E%~y~ zO=`>#6CkqPz@b%b$~o~(-bdn^Ryp#bmZZ9@C6=t4D~v~a=Hf#ZhZpZJZ#i;5dl>ZD zdO#!6T_Bjf@Z_}#-xOH%!}D}Zrke1)c7HLE&-#Q<-yVvCDneg*j^;sWQ}YwYFmo5K zE*?D_i#e!15D+=;CKAmvlU5x5*9|Lg(E0wavYc?8B@UmcxhlG_IAr6)M>=+xfn&DB zO$7PdspQuiTw(=fIcC7Ja>`~M&lpzEO>oY##m=uXe|QevuvJIHo#{3p>VB_=pMsc_$X2^W+>lq-uUbt!LgMrS?eL&*~(C0^k^fqP6(Dv zjlfNn#PJc!`8?)hAWgL!pn-{GS(6sd#3jc^xs&$<-)9&In%D}wr+`L3&f24ENX<&m z(h+oYnyP;*gsV`*cM{XL39a#grH9jXj)@RB_6X=A`!YnKg0Tw(;Zs||bSYi4)N#UL zA@IVD*QpZ4j?hu45ZAiZkK7ArwZ_cz3$ZsIqG$~|v-!XtOtPSG>gjmt^F91BA;{Nd zZ1Gi$?($`fOXmG32l1ROJfPH98JScyfi znAnnNyZLZB99Z^`n&G-M6x5#Eh3EFbba6W*Q_GNDkPw<|?14H3R4J)Ev_cYt{4kEt zL%6$@QW9z|Es;@XFw^8I72x3@R0;L!d8bSTR~^|rS5G&mYl6N{v{=gCf~-mx3@kW% zr%kMF3!5?Macl&1rU$Zk*mmgY3PP9OMRy-#mf>sRNs>~PDOUq;w;cV!x%lcs6yn_) zUFsG#jTuTr*2SyZ%I(h*0;tj!Of{T__pTVoF68e*JA^f#XTz4Xo4f|7EFT^VOV&aM zbynk1j?u&f4-JI!&V;=b>i`J&tCV!$;;5R+t*9V`nc~r#7@yxe_jGr1pG%4U_bROFzq7u()q>JbcQ@ zw?8;rYTJ)zd2(GE3qD~hD7>eA?21#P(fAhv`LZvWv1^x__I)Z8Mmye^q8nu0X zGEl@jt@FsaDa*L$lZ?>e|JC>wcVl6zFxh&YTQ4yO7@z*ROKOF5$+lVod6wSoLfUy5 zrQ=c*cdjH^oN%eNvAepoxY7e*7+!?q6v=16EM5VRFJf6AN=EIflomo0oq~T-hm5Y$ zz+Y=~`PyfA^S;Bf$P|682mbo}!mj+T&Aj2HW>E$}phu`q+3a6jmc{LkK>?)umQ7L= zQCSz`zzXJG5Iz=fTxa{aed~I5c0Py@lUMub+t*0#qVNE*uOhm?Dhb9%$D0T5NwZaM z7PRbNq?s$jhd5?nu70_*FL+u#jt9SvbEfphcTmJ;o+(uUg9{yOelqrRwPhs+Tm8rO zat&2-*gJGXv?cIeTl2S)70Yj*2*u}Z@mD&O0LBdFzMZ5;X2)E79sD<0b|mbEUP+5n z6cXy~w^+`nZ=tx%CXPPq7s4$lh)5PiF#wWWgNt{4GYJp<+JTI_{^M9UL~i%|@ieIc z$^Cv z@-R^-ktD6&b(WoB;M)@FKE62DJR6)UsR6+0h36PGcUT!v#dPP;P>0J5Y#}_3TIQ29 zoB7tg@jOEq!J8g*9EASHYkdye_KePVuYNycNQ*q|P0Hgq70Re7hb3xVvU)ix$eXXB z>+&jU(Q|ZHIdooGPCB80DF@PVk?t|rh35j*rW9pqlwSiKfs^OQG4cbZ_+lpt>NTG9v|@q-HK?Dk<~Wk z$4=mvd$ECcq8p^wW3!!FNT9KW=jT!~VTL!H3>-p`EbcVGL(n10ZmOB<^pa$<(uW9k z0axK^S_A5oYfeUd(GnkRbxmJ7VinVd!2?4e$maqowahE<^PwVQm(xZi*2QgDR4Rj# zrM*ds;!G?hADv>{8@$z8D}?E$ny`Ypyhlfm!O%DLU3U{(&~GDn*Kdm@DaQw5e6#!1Pk!-&vu`C`faXi*FY$up(o?9^kZg7iEB+MXd-LyPw+lSgC`rIZWr2$jIN zayTg0(7s@ipU0}eYdX3Up^T)oZ!@X=3g1#B4Z_MB`RC_BM~4u7Ak==-wGx?b4a!T8 zUc22ictE(qPtOF}Afb4ZIJV*9B3+7o5VV=_Uy4Mr(!R#h^kWp939c0gMA>E6gl0(s z>DS^%+7`x0S5SE!2zBupLJ6($`ei7zHJWj!f(ID_C8rC8xyUiT*sU5JTant)=NVU9 z>|G^AOG_IKhy0#w*(~LRHkGn53qJD%xh{Ogxr=1~=+M zD7w@=wkkmP;ob|~#mRyW>^2*8%_g3^H>4~d&=nmFZ8q}TeIKL;vHsGEewMCd(F(B! zsLRzxL>)wFQOfMPG9yMAGc0mim&R#>Xm=ZZDvcS8F@t4}Sxm+ZNw^1%9UG~2!MVd= z8kl(6)FMv!b4uEl5ppk}yo=f`1$uOjJO_$XY3^IHZG}!FT@Ih}!5&>UM{}Hpqm-9* zg;I)W>d2d(selYI?LZjowB`HY~xyVq&4LQHFe&43+M7w zApKqc!IgKP)tU=sP~Es*aIAx=Y|7t3_Fc8-IaZ#%775QSvEA#3%Gl79w#bzojHr8J zb&7+hUGnob@?TCh691#e(`4-jg>}BSkF@{>9*>BmFJwWRa>n^qS7v|x<}6Nbo|zgR zv*9&zAbv=S@Lq9gP7{5~5+4PNDV=*t(Qbe%I1+b525@a?yGI|v)ca)+rRqSnit*^nh) ze1YA^n8CBokT=e~pgnGHPL5>jiJ#mc+SSGi6QA1dFz~ZW<5WgS*MEsp#0os?3_Aro zP_)y#5u($uhJ7|~+dIe`RZ=rMQE$ejc9gVr&}}ls5Y_v+xL9wil*F|Q=?X<~WmWLi zM%-!W<2nODqF-r7>+S~Cii;zJb<)ONMv*eF#tfD2H$o4EqsdS_))8x<<7m3su=6x# z7<$a8fUh8F8gCHWt`O+XP?X)2qj!|j>0sA+(-^?zFW*BplpEH`Xr)*+jLa+N50Z?X zfe#z1WYtit)Hc6|+9J{hjTr*JL-eZA$(s7Pq=25@Mlwg$WtxU4aXA@~Vq>Vg_jQIU zEwN?_#NCC^we?s6%tG|>^jl*Gw+a(_6WbGFo3$WZGHLWty(?hA*lb@_ z6~>bzQWCJHjdfe$3m)a`!!$HmX}V&3=^T>dMHYArfY4oMh+_u(gG1CCr-|<tcC zxt@&}o$qcP<~_WQH(;G0?eo3a+lE%s&i*BaVU)J5AVG!h{DT)v+8_Ro-E-pj4YvFv zS9W~<-XVPsbq@^#9b$UI-hPh$@zG}=h>2{h{B37W+~S9%WXbqBS%Bpi2hOrOdV_T5 z&vVW-Zv%VNc^HZO`$8J@on?&~=KdcDe~gY{ai87Nncp|OcH{GwIFMq+;pqEcgeKYJ zp0tO94~!W?>?4;Hk!*K^&NLm5C8{|z^l+10)EBVw_oseVuh*YkQto37RSfy@+X=J9u3r-{{~g(kyjUX`Uga&b%tMlRnel& z9R!8(?JzgZx)Z_5k-u1b&eDRB5R3Zj}3TM#V>htirnF=&^T(4Z`a-nP>bcfXj>p%A zsYY}if(}O)dFPc2dt(w~xvq|DXo1=3zlBSe&v8jf>a`Zr3)fQgp43&T;&z-iYW)z7 zOBvt&m1_?vZE?(SYf|f9f!*dJk%R}D^sE*sHXdKq(*@nV4sYb(Y@l#?_TjS!U-zx5 zu~u>O82Z`C1i28&AhSzaF(Ovuc@j zXJ7H^2WZ}w9T7jM$gyZ{Jx|)8f%%$sbjjgR-f5a+haF{Cv%I+EnJBplKhLiVJ&6Yw zix5X>A&nwYlWSOf2 zW8W2mh{$Z$5=q0)=s}4IxgYjQYzgd5;woR}NlIr96Bm^EA*Qy(_BX}kuBaR*T^1k9 zIJqOu1w24(f+U?01I9xdGkA|yQ^KgUtp!5RZYvbM^lcD?QFKn?E5kGd^BQraWLw1I z(HA}mApz46AbW(LQ>yZ!z$;cJQln@=o$1yJ7>bXQL+tRZ*g{>c?vKoY>jcMi&Bc^Yu>C=VxDH$Yl&lpWobvGb7_E?F(1ThmyvPs4j}r&w6a#yPSawGK5(#rmj(7D8~qsR zD8i^KBoDimn3Ng!mN=n@bT7a_xUwXifzT)P`A2<5OoYtY)9E!)FzS0W3YoIWYY#-) z^}vw@)X=y{rNcpzATr*i$kv5Uf>kXIvsNr61$}dAn5=;P5ZGH4W+1#tIvJI?RA?bB zKU$@@$ip&kPw))V-Ib+|eB#Ud!cNO0b&5bG0J#SOBLgpejQHwv6iQQBfUpcLR&0&l zz2kC&Fveq-9cSMzIj zF|yEmh;Hbemm9L~Jlt%LxRGi%BPOh7;Gin%G^_FlLNsf`W0|iVfAeRZwpc-EZ`hXT zOZ6*WFVb#ugxS!4bZs|+@-`}7ev-1(3zk)WK9HSvAN_AZQUo29e_zQf!NXc!cp{JBvjv3=TP+9$qGl#G5d@+ACNbKbs`1dPiPf zbNot?d4K@l1;#vW0;}!QotX{QwXM!Dm4sLi0@2)o5$FfS33f&AqejD~4@vbz6vVz% zI$N?EPEG5<%|i81_gd#CNEa}b>ilIBTS&Y_Q1?35_%%Z5fmMBiRzzW_(%VatB7H|D zN;oub_m#IAcC6{?KNfF^{ue;P9zzdMsOl-rJ+UZ*&eSoCleP%)k&-+qyca_0SP7$~`y)7LD4hh2dUd5naFi&a zw?kleWVULj_Alg}BA?=8(n)&mLGa$47F=xbu=uA$yRz&s~%9GAVgYy@AXOEIa#S5%e9{U_3jNq+hZEf6P zwjC5+oI?8rdG_(2Pl^+7OqTgreK!0b*>S7w1>AQ(5OkO^%|HCw)L#Aju{|hXd#8Z) zb__4=L=4>c;uTY?zMM3Bh2z-9gLo$A*?AtS0g%Tn+zTXcUQ?@V;kJqI@$Sy@0%z0C zxiY-tsu)fU=FH!|1bJSYMeYwRT|F8IIuNcA5xdS*&E?6T{e5rE_vI^mZ7NIkDZ1E9 zN6kSNc+r?Qbqi145RTt|VY0aPO>VZn>vdvVCc1IkZ{_7=XF(^l)_N^OeCfpRsUIY) z3SD67KYLZHdGtH%&Ao-JXL~{Gj+(aL6K=sBr@Z~;Czx-6yB}XxkIvaW_0%ebux!70 zxNnc7r^QxtzMb#W0LEjV-(bKms{RnCR$ZWf-kv_>#&26BqF>+dhHn1G!SwMrT)x4C z?HIbc#^(KF*QWukN8(Nq~6lv%?EZ%fQAKm=xHGBlcDs6E)#_}cJ4k>MrJd!aSaSEWYTOoh0F>yn95!rf!yeeV z;%FnCf83I}WQw%3uO{o>#^~)7to<+f4Q`rRy_;VeO9qyo

    h4WfS;vJ4R}q67AIG3~kK~_iA-hm>$O}9HKH>AhC;Ck#*2E zOw-f2A~e9VPs2yz;UJ5#n>g%+1>z_f+K%xP{AP)ZN1-ze%1wZYTNf=|qX;NKv&ni$ zF3)7T*HjjA$)%kN4sC4ez;%OT3H)5Di8b*9Iw(J-Po7P>7)OcS#XJ}j#9&@7cZzC40J`D4U=(AUaZx`l|;9fNr{da zafvo-VL`e%HbuuzO4az?pBh|r143<5fGYy-aulg|a>7!vLRE0%Di^Quwr+C;UZBY3hGu2hX%{k;&iq+31X7&Ibh z2n?@X3421i$><=TH!xE#|GlP^eMhKI@IFRw`R|}$CD;SJ3N{~HyFJA zIF|;Up?}=IYSu@2t3aE_4BeR8T%<@F)1Vm~4E55iYtzPh4RS)%X_JMMR;|?D zXgd4t*TcnmJKoICvCkRak5;n2L>~MUTSDII(iahyHCQTu4Ty1?OqHI_EmvoD=c_Gt z%fhc+YRRX6qOfOI_oc_&Mwne~3+r?Vew2RP(Pwo#0-(};SeAOs5HU^|AbllAWuKeP z&syQ5$2AXvojgB_Whj#DW;jaX1H`_H=w4%nU%Ni{C;y7M!V_1x?AKjftc-zK_E*|+ z_IxA_ws2ePcBuZnirF3@UHbc)!A|IK?n_eLdyk#u{A@DodGBKTAkBp;uKfM3?N9I5 zCsu=7J45LRZz63PGrWf)_NPaXbrfb^WpLdB{ary_d=3f0~rmvvve50Y70x3E6$;~xY@d1Md^DDlRWV(`` zCJT@ChBqDiJopXT3Z<+sByu{b4jzhVOnW>ouLk zTEfXgLxEU}3(*I{t4;+w3xOkT{&!!AlA_YXu(RwEHUbf;@gGymLpwLxI{oUt0yYj+ z-foi`Go*8u`f%vClCUIp+qPo}2`DN*@f?>TbpByx$r<|b=PvNhUP)EDo`jbU)Qcin zPyBf2M|_rCU)9X5ONC~Bfi2u9ehWBOi0bVa+9_dd;m+e0?k$8nIJ2BB+&*-|K?cu5 zbUbeNmh4-g@Th=3S%>hm?4>>nlf`UYOKvp;24j1I6W6;C3D;Q+Z2w4U58<_wGozo= z4K*r38{jn{h+DYbA7ar^W&My>+BoEa0v4~B14SKqYs?JUcZQa_X5;GpleHr8A2(n3 z&E(!4+NIYt#f>k}mGH6DSGF>zyN+ln!pnIM!>K8EtSwcWMN6dE&7fgc!4XJOx^tdX zmW?%T*AoMNyaD(&PRkZSFNA)307b7KFvL8?(nheTBjVizkueQPj6ZhwwPwT+&YW)7 zm=tjgD9di(R^hm!u@@ov9}6y-M~d0q0&K=>ZVq<6$RKTbb^5jnjC5gKM>PWsfZQoh zBGekL-P@m;JzNp_mVDLdO~mGitRP>zP?;#aNp;Thx}4$^^b{L8kf=oHAy5f=w`;A-WHJ*!4~ki^(j7L zE*R68UBml;${bdUhAe_6KTq^zcA}mQ`ZV`3m5RMU5MhU(zL~18s3%bo0Ss&%!YlGE zz?gw5dCV_?_mKEG$wUW~af)|B8nN)-1=QTNM@!&dv{Wi=6Evr|meDV1xH?n zpdvEiYLb{>bdmTP)BEfyyz=FKFG#oKRd0Y^dlWsv6gMC95%1WM=}n`8G-xkeR$+U$ z@yT;H*e-^P1Cm(GU{?xXnw#P%SFUF&oL?&~bMc`_oov!p1);W7&-Z#-lnZUYU7al0 zrRgp9+(=$<=!&ZrwovZi?5K?$`abXecYe0=rfHYWZ(3)l{Ng%87Cwycby-=@$1%eq zpQ?=t?$YgGp?swDsdVhKV9bzn^@F90L)oG5@`Fk8cVE{NBzwfB&&$SJBz$b0S91Ml zrpkTTJv6&o!#+gm>`%R2nq|ve08v6mwZ(WAN>`7GM-p@^#47%F5ox=Y_h+5b-oBp~ z^W6tmq{y7s+V_Mit%Qm%1=mZa_Sg~X=yQpm`D^wnfAf6@{rcH`Hw{z^uh_I-zsK9R zzhp05`(L*5DMihB$Ko*0L}P|_#9%n8Ayrd!!7r|7kkx>e$3D68eNL2pVb%G2HD>r& z8a!9UnESQsIfnjQRK*wW3&Sff3vE}0m%b*JQ>HxV!D!5ISDOyc(kCRv08lZ19|n`u z9gT-(FF!;Loq!$HjWFLK>RNN5j4H6V+s&TdU*vPgTv4n~WlQWPUO)})>9>*{lUG}y z0`@-au+HEV2eG%l_H&jz`Tds>`(@@?XUJBc|FF}okrJps%-BSL(7pTPjiGSEC(0Lg z;oSYDq>LF{jKnJz$qu;1p`dz^%6$DCy(4e&Wkwqr|(WQIhL-bRg>q@aZ8<-R)|7zLdrfbFwkF?ej zHoFoa-0|w3v4B5sIHsUUZB<}yNwy7&=UzzT^7^73S0&pApl3V3`oKF;Zw=nzo3EC47QsJT`uU)-C%{!PC1$gE zKfAW>2G!AAyxx#^@Issz@-&76fR{D0)B(^XCrX7XOfM*lfsj!5Bg!I*^1imC@;C2I8Jo-r(WN zsd%CH0%WffT`66~O%I>6H}J9vytOd{?^?=pX&M8bdFFUF88ZX~2&r}CyDND4Hnm_KBbgrI!|?+_D*TgxPCUm(6U zXjUNdEm1c7L8ri-a#c7YLEsU`F_MU7(qSKU;fQ|_2ZEyaz}k@;3pgUUat!X7MNC_L z=HV$4KIx1S-kY~9!-6uiP{v~BV&t`J>yyJolCIo~$bti50U|L*AA`V0f{gmd!CLVE zMH(MV>Qin7zKj-iS>uCL1`cBf>YA#0W?6Z?B<%?`Skj~)63AADSqfjSbBMHQ2vfmR zEi6^k$=RJmswulqvU~k0xnSZiimJc?CJv>Bx^z93xzv0d&jnJS%VSOk3UORsPz3Cb zUzB4z&oQ=Mo$x;?C{*mE~*1&U0AfxweMnyVag;$3WgmmtJrZ} z8U;qMc|NHuN{6rP~%OmbCBMrCkf^zSCIHxpwySL&Xt6!>06%yyxV@vF2Psdh3P$j03<0b!1O4#{^f zBrgTC#lspia8-=6i@*DkYO_ z8Lk2`!!Kn?B3>0E3Z<64NuG5E_fV`Eh20&B?)8%Mj2Ucsk*%rB28PAAb8Xoa9qvg#dOdVYmoeA!zL5E+?%BLI zNpXxYW^FzDQJP6K$vQ(|%GYiY~c$2wr`CV-v1eE z-&>nZCBrk=DXKa+S@jzLjkN>y2>mM=o1S4y}`5*K%^DhfGw>u;_4+%4&3xeOb=7m((0}HI&+W?YIZO@p`@?$LQcz$OZosfzlyQ+ioylO+LPV@A zmW|J#b{Ge_cu75f_)DC=;1ElRnc#9&M|Vt7rX^Q=aFvPK8c*BIdq{yIgEeK5!XcG5 zS7HYDd8o-;SwYv% z9iQzW-t6apCxiWU$?t2-AQjB$T^C!;e0v2-1FnAHEktiG^L0uI5&2e2&OQ(z zLYzPg7BwpQ;8;OKMMDVX7e6Pv$_?+l@^FYGAB#2MsVxaB2{)OC+>G&G12+E#HOd-) z=-j~y{lnUmGzeC~@K?v(wRFjLusCpz5R01Y62x?cXtgWLsHERskm9@WLTtWvG15zA z{~dmtsJ1yRTdeBk#ygXhV)3%Ls@tw*uOr;{T(zVfr_7#SVz`0qn<>sl_M36amcpxH zIEwAPBM0rNpUBMrP`he&70viQU$2RGy5}s?|B_crg5}SvTvc?9eM;~F(V|m z@DY?}&X+fEw~vp$hC};Hy2%DH6aSBcWefxoE~&Q*JC{@9Ug4=;4{S{-ML^J&!3G7^ z84^38=J`l1&Cc%l5c?|rZIYb&%8RU0RY|s^sWShk zFD+)s@Yn-rUGRT-JNrkCBxr^5H^|nRN0S&5CRy=ZVx6Vo+`s9F+9l(2@ASs^0*-qq zHFxB1d#I5(`tYu(yVrku?!}bt3!G8j%PIzjDu8o}_~Bngva_U(bq2q3RX)ow%(yCs zGh}bi6aNK<-!b`7h!9ODQ$j0Iou_`qj!E{@KUmI|Kt3W}T3PU9+Zh~ajTufnP1AHk z_37J1(TdyVt6~maw(LOaXOADCC40ZkJ^tuh%QMD@{_jw3tB~(*5OQzLK)eu~mVE8E zCBa=WF*}n#zG5vFVpv;=;4o*F&JR&RK7iGDNELqc?q`T%;(1FuJc9;KQIlGt==?Vp zQr`3-x`Y4WB^K_b_K^BTCPVVXHI#(zF5@Bp#&5pJJlnl|@$DdzVDrCOC0|4yhS%!ZN~)S<%V(_CGuo^Q-i>0`*x43Tw7{Krnk_j4 zd5(G{JR$lL-r0FWxMlWu+8XCQXtQij%g_UNn^zS*ZeJe_!{^juQr>#}cebihn?5gz zqNeMyS^>kD#_V<~n&M?<>z8`=B7xWfqkQHku@=hfH1K)>mNPsZ7QiRRh6cXyc_hV{ zbrc#?-qvQc_BGh=O?}}W-bA#KkwoTOJu{!LfG$vud6Yv2NR#Q<%!1k-F5os4d^hqT z+FN`yff|Tk5KG5T;E2&;NGCiazafVMC0B2NT)V)U$3xfVWKfe( z9oVgMe?TGV=um{fQw!>OnqNp9W?vXiBMf3ZxSDmW*~dC_L5sIaILfaz^mOe)&WbP9ceUt&8Yy%vcratBHHas%U)&Uf-l10Fk~q~Ia7Z(aCfW&Prw7q=X8iYqpSFw3S~W8j zo-)VLYrin~IcZ@hP{57PSVanwruZC=ptWcC_}k^v@Y%5!8wVpIXJK}M!2J6JyB(CF zVodpG$R=VoZ>24YgOdZmV~s}$<@xUM_!5oO`4UNXGXfqAoI;1^$sND>tdaX2gi#uud28?i$BLT^Tv(VYy! zo#OX50awlqGg}yC>&~?-DiYOHC{^G#$&5#duar{K%tV1LL((dxC4L7pn}2DEo%?Jf zihVWBMmM)%WoQOmjcUQ{WM%RM~X5N1l zCf5ZUTm02^0}owYB;UFr*c*x)^xksa zBmJXtN`9UG(i11x9#8Dydz|M6l&*Px#lx>mbgg@w9gn?u^>k+*+7HRwjsARt8?Hph zF9Mo!vM3fs(s}mRe57D+l7)Zpdb=Eoz^^|9A`p=?^WC_-!id|(XRHEMQ2HX_O18Hh z2r*Gl*l6DR&7Wqi<4>z~TaoMzZ9DzfsSgm$4Zk`Rw|@=!|L0|s`Pcs_q@6QI{K3eg zVxkRD=gno#|M`PqvKlkQiGkhYuY5E@(1s(U9cVU*uBl?&S$YXYjFpGh)a7Qk{PUuo z)(UvGQA6tf0FUG|4Aj_nrQ1(+tEE1h>%;niFd9k30;vJD7h52Fy2_&V$89$Js#YTz z+HN7*<_tX;1?c9o@a>+@SWPf})E2S=RYjeKrwqAqySCXLdgg^3nyh_yd)~_J0bhH4 zOhjQ%CEol2#>gK^7n38T$RGEyNK`ptjk}5G!2`2849jGMxlIF#-FQTND9=DENkIl( zKw1A{sr>fE3@kc7FVtp8+O$_%>C8G5JMB$ks1g`&1T)@YK~EZ8L~JtXXGBgS3wr+q zE}DBWl=4kkur<*9w#a<>8U-Io6T4w+kR9BNkT(YPF=RHc_S&=HG+i&z*Wsi-JVuVx z9?Oz)FR;iK$H03anfWiP3q4&C%tt-tR8;kwC!Z2vfN#J7UES{pDN?I{=Sre}CM7F^ zqrVZTZawMU%dt-q3Zds`+Y{MskJ1q6#vHPq;;3K(N8c|?+Xl;*`Q#AXaw?pTc?%D*!TA2|(IitvrNEU_&93trqvze_q z%au(qYmV`q+`EU{gQZNfAG6~zk~l$^+7vy~>}bfAK9;!rlaqD7MT|l-d^kLo{I`*0 z?E61da<;prnms_gCFzVNbAml2ffLhirH=M(E44sQ8*ZMKe-nJ|#@xaS5bldCLY*9O zd`&nc_O?0J84kJfO3YsRfJ2&5F3TRCx!%lSY5&QA!e9Jdr@j`>J%C@o!R+TRb@m_s zd@aT`rnEYKzPmT+$t&4h(oW2SO*I$)?KE4meVpZgS!SnSNiY3)rKQxiL|q$k#m`tpOvf{L zVai?as|GVe3F77_EZltNKC{2amW;PN*GSbY4uTgJzel_z(mwV|%kF$EN!#zpgXMo| z>z&i|qQn+({Nf7Dl|Hl1`u;lUI;2{eaCneiDlDo02eS%W)_etA1-kq?|Ciaph zZH}C<4qaUo4p4#n77k5PcKAWz}R=;o>2DVdkZIT?igsSTnyROpWg%q@znUnlCIEWZNsW0{v()QdxpR`3k`Afu6HWZ`Iw$cTs>jh45BdCqQtDEUO!i7EguL)#~aJwF!J6x6Sl+sSVr9Ck@z7wg=7 z42?<8vdB8dcj9nt=p@F(=$b7v=(8v&i*%kbqQ?LO!i-!kyy##xJqBh)_WYeBqS`WH zsRz{yt;Da)%C}RcmDw#{6G*;LJ2pRE?R02gZ%%~AZOxUosJzm;vsn~gNhjzsuMl}& zK}_vEi7TCY2-D;zdj#V4I_}NOyDS8@!Qd3l6QUcAY08<}jROZ; zHSW4XsG6h5T%;v#zX<=*6;W0zCGf6#9K%>#jVwuaF#}~Lo0ccT=Rnt@OvkY#9GtBp z@Vv?nynbES9J$ilmS2V5>?@CLklV5INCuETiww^-9*&Cw?TG4cJcRe5`@bV=$b~^4*5{nXI&tDq!m!lAvG`?!32sOnn&k)`{#Ztk7EiC?1#~?>! zcV`Rsg-gxxMPRe@U25n47E7ViJuSnyWkcrJ?EBe1bKiQkv)_HCCHY@pKdJU^5ah|9UYzI>BEBKU67TSJT(e?nYalYO9)D65u9d=Tr*Ats&%P)TG z9AkzX%>Lrtbnt$_FD^`%^?`r(9{S34-?X{U(S7B3@EH;n_ci^edBQe`4gS)44NexN zT(7u4O&?Meui|A`I({K@y7Yk~hDSf}>`e--|C%`aldGz@Aa5zIjlD^CrcAi~=hUMG zv8ntPivJSS0S_jH9zHf(9vffykfr1ccMz9! zjz>`1#(Y-zPflgooj;y%2kvGKl(ajT#beoe(7M@uM^@D`glzGDPo|yQh7$Yal`*fq z-9~kB=2v3iAXDYlsaQ5_+ zPdy$3V8U9jbyOE+YIx^DxohY-ICC*VZ<`Tgy70kI*tkCk`BUk^G_ZYc0E5!|$$e?j zX%On~(b59cCs)AWr;^9*J?GK;6x_-0u`Qz%#xUhQa0HIuCfpb^TtzSBR#dtHuFSd( zglyd!A)X0oQJ;bwoDHE8>P9jz5TXybmD*Ed249JkF2ZSy?qTPF+Plw_B9{evyR~44 z9NK|CSQGyEZUX07fUr>;cL%}OVK$NM;%GdHcqwyg=^ao^6ZT%7`6`@Zh2o4n+6u7$ z|7d$3_}H%MzIUB7N4j%m**?0KZDIUpOO}l=2FAuDH^zl6A%k!n7#rJlz|gTV)S;xk zwEVf`1+pc}M*MlHa7?%)O*(c>asz~SlbX~ee9G80?_*LopIe^unzp=F;C!Bow)exPgWzln8oxS7P^q-<&gmt|Ul9?71?}agUDbLqnQPH1CVj+aS1; z^~s>47zL=lD%%}f*JrGvy9zU<-Z8s59VKs>IaFwyBl0d;9|tWf(b=T@W<--vULy~_ zFH~u33LuwD<$+q3UZ5$Q$^7O9~Oznhu2AM zEu4elG4pYaIt1uSUd2cnUiyqxYmdEjfd-)oD44`88Viq45v_(;TBopZXSVw$LBqgR zctk8VQ3`nimgFHonJ%ziJ~i7MN5CCPWCPu(@+hj4gF%MPLF>y>7j6LThmgdi!zL00 zk#>1?KVvls-YEzul3m^;Z40YpgB$~4maMfUpL$FWFIOkoGz%$3oQ1F@5dv7=fMPOu zBNl6kjyS_0ybx)a4bFvHv7R>8Nx?WrvWy<3+A&ua@3`I>FH2af9-$gj%sEMb>^D~L@|_j(vcY>&UFv|A8;J<>og zP{Dd&SG6cWq@f~qmE+l|v`QdIUwQ`mE~AR!4qIKZR~?IYYs61GZlT$dh1kiWFZes6 z^p;DEv_1b@ICVW+vb$N7p(@uLR{;%&QbeK0YlOX}0BKK^*;XO_b{>`5ytO0(9a%Lr zsHdZx8*ac3mF65}4I=4*Aa_q8`KlaID`+n<7?EsdvL|k@v-=q<)SU#eOo@y~?a{Ni zjFprg?OT#$|B?|}gMX_@- zX83iVz_WN9KNz5us8Srd@?R2oegXwD8444QSz#Dq|=+NAkR2AACs!1_=-zMtEdBQ zUPkeM4u#`a4LHY=4qbZ`kz`c_Xo*TtfkK$!6`-y}nT~T=s%GZR7KdlgaX_V{YTK*e zp_F>qMqhtJy){bKK;E}{NkXrO(=74zs9v1(6XBwcn#-Pm%zKr0r=mvc=W22i+7+h9 zMy@ryVM51SOp4nhwCM@-%(Yv+VrV2!!?O8Jt*d5tyE@UtdbT+Mj)<5+grM6FLJR@N z6g$ql>RiZ{B+WBjOmAjLGzE9;&1mAW{Z?zA>oi;HLeEt(omZh}&S;e-&AJBsIrg3% z*`Kns>e^vnma88a0`4m-dhfYI)dnW*6hEyGWxt)FrP;~yPM;V;yI7Y|Px#oFkYrc1B^|@5W$7dF_uEKE) zTEL+R8|4T$TYc#PYw^hiV)`gYuc1sUB=Ac<`lY&aP=#0i9jl-H{nUG~K9&WJLBN8c zvsb@Aa3%frIYak2Ms_KAxM4c7iOGJ0WFOw=f?ihqhc8uaqr0y*vj(J=C4cZwXnVgL zp9eO&(zF|!|07@Q{NMW)v=je;E8{AW)1KPzI|mkBet*l{Wu!5;rz70NY~ORLwZHO8 z#|J}hPxG1w_0Lao_D_Cvv*$8Rn!zupw*Dk*i)A+xtNXF@vt;4T%#pd&T-*L(^+lFk zp!MVrF}tk4XVr^O>~eb5>OiWP)uGtcpI(3j$Im<&?AL#|Z+4DCEUOTX0Z^AFFN7fc zqnnDugN$?W~lX{>$r2A&sJy?`vR#0)qYTI0NU-a4K3i_+SiziE6UYU{H@M1A4UkJs&C zLc$rBh1fgiZ~S3CiEjODJazG=eOYqN*)#UhPe$bhNNd~!WA3w*(bq3>EWuNUSz7hg z((3B*(|Pe5I>*lv8U3JhUMSG*bKzWm$H1w8hQg7G>7Bi#$s)OUiHoSoV=pVpxJ$rm zpOa6FSrVQH>K8QA{aw3`P5z;fwf=q&jb{MU;fPambS!Oig!@3THB(X?#yW6BYP z`%!I>8dA8`@Kx9t)N8b&CoR+B zjEM)KSkaO>5Mu2rC$=$8q*mHld>12n(-5t$!P9G^Np|wgQ*lTx=$P?zH+)ye$OTF9 z_=(B-n%5HAh!i9Bmr~;?7$o)xL2V1_#T;*SmG~lbcQYi;)=oCxxs6e~^43^M8VMs` zEn`rmHOGQA9?!1?=ahWnZ4Eh+P>A4Te+GqTMA(d!BhlQ=m@U+9&6JXkI*gR~h5%hN zfF`|ciQJJR0=i8kI$HUtwIZr8=8V%3nrklLkK<}iy%&|dhia#DK__a8BU&+#hTx)u zRA$~$%Fr9l>myzaq9TrS*y+7VZ}4tzCDB0_o>69@Nh!U9Z>gYgG1bq7DUCvhc?H2-$hXKYlo4V*BpC!kdkoWb^L zz>}(e%rT+x*u#Lc>aNgfMOml+Xi`Bvh@JT~Iy!X)P(|1wUy0vtHM7wI<$X_-kj%@+ zOgamF((SRS5nbz*`3Jo`~%w?Kc~zC`{=a4={A!Aku=*$$7N zl3m&)T3`TRkBms+7GwxWq?NpH|E)>$T z^;77ObR6SH?3o9~1v}tTh8kQIb6dg@ZhM&e)fo@G_L(lE@tokfneT~G#Fwdz2Zbz7 zC+4u*uOD|@FUi*A26-w76}~H^jG~<_aE23Jj8FmT-to&f=L`o63`p_Rj(oVYd4V%d zj{62N!hd?vVs#8&M7w~?GfqLPEM`3!4n+8U9jq7*!T#k*K7Ge~E%leBTnPCJ_R5%UOr86I6^>NgZg%Y}3hn`3jvw-v z=cn`0E?yPGoS{B@d79`rM)Kgv=~(?1NGg>|>s9~YN~eoVepJiq$XNfGC(YJ>aAthg zJ1XcfppI~E!$G!wC1doiK>zP~E`G+Uz04B2KSSF-4 za$1XX1-9IoFA^(~q0t?tectu2(8t-+?Jbjul45u3Fwd$7(Qxv$ebd%{Q(1QIMb5Gf zlHWM1ZT`JP|3a-Q);v3wP~sGP@-_lGwNPvbJ!+%c#PIs`ZS36&qln_W2QDfW&Ss-i-6LZFL<;iX$j_^q4qwJd9JF!RCmw0S z5L-ekbAeyBwwX-{M8^sOwuP=5PrN# zeaa||K|9jW1nAs#x0$zxM-jP!pdU-}wLQ$Av;9Y5F~#tY!MnLvD_>k5n0A!c(U7*P z1Z6Ar4vCy$w%lsiiVumiuDAs53V{s-4LC!Kn;FuYuiFPn7Tu=4g_YG@D$NISgKF(n z{6K3Q6eLIpK^Rq!2F8jA1=EGqW9}>!SA-YDrS? zM6g0E_8A&WOeT!B&ptyr?~L@j7-DcB)~u<>myW2;q^!YyR@i@_Rr2kP%$&hY0nkry z)z03atpa?|%+r;G1(qCxigdhF#lFO5a2|`pY~i9XsW}ya3)n`o-bpRWo4_k-x2N>IS(vf|F%Gc;2+!f=ovETE5@@ zgqur`|80C+FFAH7A>>qkdfRkkfua0Oky6x~Ad!?(5bDwCPHiv)4NR-B%yyN>XNSBy1aJ1%c!IABSw~zt9!ZjWFGn+$BwG;nH z&5~=+PNwps7FHOWPOiQvpXP>|P}8WHt77K;BPXS?B1r2MI5{Mgm@IwzqAXGzd&c|Y zKcIf0%RpuZ!yFox(hof|on^oG_JWsJ97XMZ#;UXNIxf0@Q&2!WP=5)x=<7Ot|7e=} zdw*%Q{lI@7iB&=ryFxp0WBDJYMRAdA=B^uA37;85{P!{-ac31-AifiiV$P8H*Dz;T zeCTJK{mj#&!?V;z?l(M5onX!oucV(n!I|^;+UMeExKDG2L-xG7-NVp)>Q|IbSjK3t z&Uw4wFVH6y%CXPDr;)iqBknUCf!pzyn!E4*{e@&u^#$KyW+TWq-f7G3byDr#AVjjx z>rvw2`K?j7DyBkce;K+IkGN9Xz#uM@77=-KuA{!SW!?<|JX_ zU6O{2l4SDWgfkz9D?O19GcmdAx6S#R+EXi^rE50GUjcb za&zihjPaqgIa^MHYLMROK*T`oouFZFNNYYQp5y1?R&-WH+VbrNsdozU5`}@#l004L z`HYpfBx->$36C^NO*`vKk}+X|PrrdM1rHX@H)(2}pq!=0EuV)=l|&>MX9C*kFkFFP z+38qiNL)-c2JdP>jx_ND8`gp7WZC0Xc50QSyb(av7%^IM_h} zEACjCn)@6M(6xP892bIYb!F(uB=k= z2uqTwj%m8Kbw*&b73l0j8&o!8*KG~i)rWA7-T}UXSsRNNQQ>?Qm?k57N25^7WxUn{ zW!_RnbHe{K8G;7Cw}N(h^Jgnm3h82}mP)0+Ed+b2mhB+uQuaE?e$dK$@&Wq76Mwfm z`-?O^XOnKy8rO@$Iz?e8R@RGp^hZsrZ}0+GTVwq%Q5eIZ)d?Z}Q(ussm``s>7P+VG zYp*uG8sBDJK66zilrAiMf)UJrW6h(%O;ksJacxejh#>`s{-zW9tMf_vC^~chi57M5 zt2k4`xL+HClWe~@`x{#M_x8ocuvt^jC0?7Hg^7jSUb4(IL22n-*QpeP^7Efu0@H=m zJZ`B(r4#ruXRyR!+chsVw{-oq&C9a~Ncf~_V()$VgkNVAy`mT@LC0_Ns+h%hXSw^% zL=1`Jx8wWmlZ>l9pNBQ~sR;}J{-t|YyF$-etcEjhlxLG{)4 zigP7{n760QJ$MTZ;~s% zzk6O|&HslxO34X7`?#hWrRBC2y>SXT{Kb`IC?wS~UM*-ma{)UZ|)vRbFDU zIR2|nqm1JZJmYMoxi8Kc+C!TJrO3rJ=4W6Lu6(iA2UE=ROVW3N`O0kUS6a}z{z2*pm$-a&=7&=H3^~A04w1 zWl{k$2ne5fde;1}(R*CWw3dN?rzBALu5kRUr`a}P&M?DMNZ)0SQT=AJYv+gbJEs;a zDsTzn6y<52&Ya;KMrG`w_+zy^i~9`!JWaS>GPA|^mDj;b>wO^5eWs3pb+_^J6wBu$ zXE!}eFM-ck=}LM})J&hgtxB~X+A7rUXRIa(c@!c6T1&5=@cYAaGnN|iWaI>DJhsZ% zJ_Zjk&JLEfMv?CdyDyS;SiepWQx(>A7Rb-cZHY{P9i`#>pU-UhEW*M*8(s=*)^H{L zLg+BxQx}&=;3Dc3v6shZ;eh{@i6B=6Dg_3s{5YFbC-QaSY`Zii(~agGU^QpBdl&T+ zR6)HWnJE|wKUC&}Wo&ipwQY-|t7TYejiQdiIa38VYIl)_Pg%-j6MQgiSi1>DZ`~MG zVGHI%F_wuQs)WdNYCS9+Q-#*6U=M-}@w7uh)ZcVbr1`7VNxag6;rFUt*nk*2esc&B z)be|wrKk*yAPO4rbi-4GoZ=UXH=KLp$Q`g&hS-u#kgSkY1vKZW z%AKNSflS1V%arrv2RSp|S#tKF#1TCqco5{X>z%~;tRBjA=%qTw{d68*7Y$r>-V&mN+eiiI(V$5N4q+oWM=Qor`%=-*iqLZ^=}tkwMu-oiyLk`=~b zJi{b*>R}I0BrJ}#^c6=p!V&5b?wO~`vGdMxQmFC&LrUY9E@B^wij#|8iSDzHej zpXr1=%xu4NQusq=2vaNHF33{5=(X#f8Pb$}dc3p$(0QpI<-3BnLppJ(CpOh4n%j(G z>?|!Q<3XXyfRiKPxAsoz(%bk>q8DZ`bUfklDJfoS-&tK-7=O7Fuh54(d>NZ&`CmeM zH?_2ztcIKc1_NOd@(1~(>C)S%ij2{-d(X0L{eygDO3{AjqL>9QH+dW9Xv^_%#iFIz z2W)EQ#Qvb+t5fJeP}^Dl$F@-aW#^02MAt8-Yf{Tc-@|}#<9yEYobKHE=O8N?scgO6 zy(-ODU$kJ;H(ij$86zZqz9i9^HXuaP$rShPO!BouEcxhS&NxLB*>2znVCB8&Tb}=O zHhSdeS&m#gseYRT;$@X>+`-M>Fv1Cza=^`CWK;HGZ9!{oDMUUzz>!XAtMTn*M7%P_ z=#4A&m1WAfNt#Z4-&eN_n18wXjT&QG_TjfKJcy(Z1aM3){Bd{TQhv&1)6R)VLyZo< zN2`-q?b@8L`mR90W`+6?Z6wYa?mfv`D=%~9^+dfS()u893e1u>V*Xp39Y(E1mkWyN zIuZN}Q(g1Q^zSC`@Pl!&FrvFMT>BzI4;S99;v4uwMY5|sHWPzVzb|pP{K(M;CoOdZ ziLPgLBe8#29zl}e88d{CJ*uj7uFZ~s8JZnvieW!d7up}N*4WoLa2K&%gH$3UbsueY zhg;#Dqai^a^JkOd1GYW_w;u=e#m~sSnvd?v#H-u!m99ge&(L;(KCn)Hwq>B;GHOwm zTLwunq+28fT|bj%B!I75Ey7QUxwNwPllyuHvFp3ITdxV@abfl$b;FT}6 zLqU~*i@tU=dkhyZR0c7o3vj53JeGV67n5fL=uzZ*h=yd_wWmsw9F58G)<-3C^hbl< zH7W+~P!}znRJOR_;8)!o|vkY^+J&9f;knx&P93SkYoXsU3!OE z9oC+ef^s$(+C{J`Oa-1i`^wWZI+UgZnc$2^hLjHZy@oyUd`vfYn-TlC0xu+&NonB2 zlYw8Q2G^?UX(76vBj$>>P*29JHSHCb#nHhK2!_yo89R@UAJHpnr(jlay(h_4ZTQ~? zfkcBwxsGljOPsRUk1nEz7#uBt&&@-qkh4gnqFb7>DaT2?^sW+1iS3wv}I}i=z-?KM#59~(?#dG8l!%Vha#YI z0h~LMbGT-kTB`%)~Q$m;RcW>E|TW<#+{kuVMM+JD_j+uLh-;q;s&sV&#@=a7EK ziwPnMT)XCtHp3Ybp02_u;Iwb>FdPnTZIW78%;s(NXt1|kkfh2&t}%M+o^-iYB?6VM zq+eFc*!bFME_uU$vE(#fW~m+|yQc+;rhR!B+zPfM8=pXLZ z&O?%%ok_P2MnTL}k1v!%X_iX&w!%CGkzG)TNSqvq4Cnzq2s+1-=WF|5C|Nbi~|xk#7DDNKwE~jhIW4t)iWzrdVdWC`SX2-07p*!mQ zuq=Z}gQ1Q^;J;41H6OHDFdSGeoO364icWvx=fl_u>5r%*_RX3rl=3;}$~=di45!Rh z$lM+%x)c?_c5vGfJN$XSXgjEOAOe`!J+FF-q(2X~vY^US(MFK&D9YHVO4M%FM}q26 zi1iB^D)#2QWG=O=d?>`|B%g=Hf>Rb$LkjmW(?x?C#u6~^!p&8Fgit4 z{qsj1j2(L!>_yoRpc?{OVh1uegl=c>BeVl=o%|RlQvi>clQgw|n&BvW9k} zL!JSUIs$Ws9!U!ay)Gv37>+PnxMfP?Q_qQ(nozMZ^%x}+gi}fW7!bwm#_Y-1ZoFI^gI9!{xA2IXSwt-L zi=>6nWdcx6Y&Qi>Ot&BT793Plw$Fi^NJoo;EFx-1*fR4an_?FN1x^z%D`ee#T?7B7 zv^or8J?%i{$i@MwewAM?j6eu|taOol`XEd%1m2yF$5LWS7?U)@=8$l{iVu|v$*6QhKRQkLHycwt4KZ#UvViA>+ zZj$Zhsw!3y?ywZ!OU7;+WQNpV$C4!`r<8Zm0whC#Gac`hX*_o*@d$!dhT~DsAn^;_7$!yuaFj^*Zh1JLOQQA#7Vn?R& zeK8_^($=QHVGb&7A=)JGb$L9gb#9M^7e-E<34!g6z$_wav=KID+b1=z%v*W|e>>#O z3p~kE+#7coS4PimC)nl}OO<61Ucxy!P>yjpl~MrBDbSE zV%2tXr&CBzr$^baEaW3j$+krrlcmcT0oskz_e(H5*ov*;1|DD{0W56BKZ@vh@-UGcb_x?x9g+R^_8H=y&7Gg;Y$XH#y%fXPji0ro z^Y!y)kCTYMfI0Apb{-xK7jk3^-L{@dLU8{-w&vMqNaNWg zk_`&!lAx)f5X{h}u3UF+7_nnBqCA@vN%IqI2ZuM!w+2K!l_FZ4=B$IxmkX}v*Ba$< zGF-Za^nsstbDhuBw9Oet+YBRF0SOEeeC9u{(-2+AR`&5Yre?;)2>V=?>N8fM8$QU< z(d#0=P*jp)>w>6b?Z1KjMq;gv|HDz0V0`qfK3s0FN7D|i(Vv}o9mx7I_8DBk*7-JU zb{qC@ChemleHn|Dd9q1!s;`J7o?YIg?YfE<3F&lKY2+#0gNBNjklKRSC=QK( z=9^@E3ur`~@GR{J>nNs#G29*!DVQKyeT{_RVSXK)H%>c)VBnOQ{#x;A?S$C|5Coy( zNLMm<^C|3}p&k%>FO9e4=(?kmjDgDx?+_^MP=@xg_%`_LQiX5e2#MAGe1+M~&Lvjx zbTv$;Dx&9Fnso4_^dA+({+hC}howG7{%FnkbRTo7P|*yqL()hYQ`gY6dh`;M;)?i@ zxw*;x@lo$vRcO~{T;w`XwN>aS#!(2Q{$oKJW4UB_WX&0TN#;my(zt^R>3ux2)<1rb zItBFT2uU9=Bk&sxiAMwF@UKvMSPw+a^m(yXDF=#+xo#8CA_4DU>T6(CF8U1yIyQ(r zPq;`IF2Q1ZBiKXaNpd1Y7GjZ7B^Dx4j}hdq3NI7=@FW$b4+K_|ObhD6V74Gop^^2J zO_)6VI#N(J2L{Hi8JHiF*w4c*zhQqT@G)5rl|IDKx@b z<=D;k#ZpXy-kIlJ9d8JE0|fy-#HNH=f?W&4E5%|nBl1P~Na&`9PEs1gj{{BCB4WJu z%<$;p-ioHNL?7yZgUtVvleGyMJCG`{$3B7Ro~dVYMMMVf7Ait}WbYy0;$#KmLaA7a ze&gB$jD_l2x;q*!G6yp7tH7}im$2C9EZsNDs`c6U&&bACZ289yfnQEjRj z%wv0Dhk=J+RJ;y7HI{{$=Vk4?f2JNvHrku`1S1N^?c?8%$LtgLd@Wh zWVE)G9KF$O@tYUrdhj>H^`EZW+1I^>vH)KH24_=Y##SCE)@mh>S3pempOfhh0gU1k zw@44S{7;KEvq>V_5}a&hT&>FUi%i&6^5M`Kmcpdal0pVRU=*D>2;9g)mKGL*_rF(5 zM^E`JZf8qQj%)Fo+(p(LvAODOk_Qo!oj_$#7$J5C=Z^iCg)BU_FSEy|=_fR2cwarl zRrZ#mp$9#jo!m?&ruZaSOLK7EFc6_MS(ldE-yxA3zjb~~n2g-!jlY`) z9f`7w-{-|D$WVoHa!e4QN!}vv>>)5@q60w2v?44OO3gL~O$5QA)^V45!T z!d)^)s_x4u;}wZTob%6}4It+o#p3-*e-F6YXlKz_dKtuEc33db`LyU1BO#*kzLE*yLJLnj;YHzARY$e`OoRkT~FxlPN?Iep-FudtP^SS8stqo zasnM0^OQ&`$5v?250xqTLMMHQwnpjetA|n}aqQifq!;Nb&-s-@HYG6?k16&daU&vA z8h!e?2Lc%?PCxV@`#7a<&lopzRUixg#UiR7<#AnMWl!WMN$|fUY=o~XQdZWnmP(n z$!0tXJmbn4JSib0qSOOFo)LaCk>0tD0(XUVLi`GR7l>Zw?HJAxk_F3FN|A($)+uj* zjFPlashI4S~D?5F-yx zeMePvo?ln%atk^MhF0GX@e5QlQ!l>3kN0)?m{yD+-ynFc#O>jAf|y-icD7Hpa)%U@ zswtN2DRXIRUQv3VLsJOj#tL(JP5=&>n9 z)GV=!>7LqB;ZQhe5He0W*^hEzT+ZB^L<$U23)88QfpcN1+zRwKCt9J>v0%s(r~ytj zzz~`GwuMAm-xG9H2-#55KxNw#;U*`v*a(z6LqPSl1*j4fIjS&y?f8|ZNa&Sp3NQW# zfwJH#f<2@FDO4i#ibWzt3R^G=*%d++;^a`B`>`Pq(^HIC!y&lcX|^dTbSV|ET>4y5 z++iDWmv)H5ISN%YMF){b_of0oV|xOz(5lqB7nnwUQ#=bom-u|59&zy5F6B;^EL#QF zQ7s-N*#;~E>~@2m0(P;;gDA`D!&H6`-2|Vp%3_V_;icmwmPB4&9dl|u+)03bvdEoM zQl0|8n5^tU5L$$m@@3(y%-MA_mUua3q4~HzHp21E2-v7=%q! z$OcbpmrcXDiy6yPJBn@zRNi3k&50fSBl{o^=wdZ4;W@5zZBE#V#LF`b9^(m^Mj}Pq zJH(tWN&%7(qu?_!nQ)`&ZZw<9(sWX>PKZ71pLKbIX^1>8ghYF)xOs90kGbNr__ zd5R+NOWNq__@{6^Pzc)<8Prgiz5Q2Q<!IJ2OwhHTB6TYDcZ%P1;oL0xO!iO>}N& z*7De?Q56nhsN#EpzxD_6M{^Hp(B%@qzbA61wt$Oo&)rq@e|!-^h;0x)7!h^5aTy0o zOZ}lyq9w9a5adU^)X5>et-amM%d-~^6|Y7@+;}3*!lO7=f7hGCwyEQx%ROSi`t&Sz z!WJ&e9!ZpoF=$y=TG zLA`D#oOtc?r=0?p6PbH}aQo%9$`OK@cNi-nm%iRvIRAw+#sLlY4x%rd{~Bj+Sa~HM z5Z8-vOte9I@g>>r>xJp+XHdfl7D<)2tt6r?!O8AR5pF!An5&#zk`A=u;SW(<@T>Lu z6OGfX^YvfjI(_6h|CK#Ut32o;xEp9WA3N1A?LX8j`!}p8u!3lJ^Vr{b3qQOvsb}0M zIsGDLmtE!$RMJSyBT`y@1yo=gf)eFg__2J;p%4RhM z9kOv>JDZj)l{cR{8|B-Q7_X;;Ow#6aNBSMN2#_5&=f zc3uI+p@cVNAB-w!PIYSG-s3;iG+N+gj0Me>=EkSmQNSnJ9$g$)5$z4Gez0b9TrcU^ zC^C||*e-6*#c8T@hg24pEvKFMgxbdN2FoJaw zlzrD%IA-FtBt8knUUHRI7Piq1@E$ZZEt-2VO{geYcisYFk;Vc>rzd=v(Um5OHw-Au z5Fn}~i+x5yQ(FfaxH=?)i>8m}7Q>}4f+`gKkek*+>&Jreuvo;K86LecZk_>k0huf^ z;k+E=crznHM4)0p;xZ3eL?}{7FbZ$P?PjR9&-3&7A&pe3y7(X?rSVakq2e`U0MD@u zwk+Y&D>xc zMzJSt#)1}O`qlG5dW)hW-v1H=BuLw8oAikUW zD+4lZk_`l+6sJ_h9}et6v%yPD%iwxBiDuZYSO0=ToOEzml$`KRS(jptA*Zk##G|T()s#A$1YWOI>NWBE8T! z+wCIqaM4v11cS|WyKSFMvw&J8kp8vZnyruW1PoznlsN-Aq3q<=F)ol+8rK<6m_a{*>0tRWa^NxRiq_?h`=PUa zcU<68YxccPTPt}qoU7ZQ9#(M1+&CdBi;%`<2%^9A%GS69o=f(J9 z>g7_h`RCHGEDw3}?gUQdZ7Yd_os81zOVRn}dBua}tp(*^vzjx^-Hw8uV6n^Jk2Dg< zzRvVP@R|L~1r&#OCL2e!lFui9NPZ?`PlF);ZG)4({3X#6IQd(N7*v$r0{ zNF6EJhfeW+KXV3((Ctqz&q8liKM+Zz_2JNYg&R&(Qgpgk#dJ3Ng9O<&NUIDk@dxQ3PjABW+77?-pxsizy7AHlaB$B=e|y^{b)J^h)b zPxpI<4@#^ST@(4V9JcvMQUfF5$+Y3;{R-d_={)92{Xu=bLfB2+mSJ0u+=NHlsMqjUi zV7V&-3Vrkj_IHQ==(JeSU@d$SuytgQdUN!-b{8?hFSV8yR?+rp( zvNQv)^(U56!Nm8UPZx*WTx7&3($7X$kI^mzyQl%>;JQS89ihO+!tG123({*XgQ~wq zc$PMHTaJ=)wt^b``cCv#A_^d8dNrYv2{>hux#;ROV&?$@c3vt-sSX*Fy!HTn^u&i$ zu$~m}zD}!fo5GXxsgTrW}ji~ z`u%DB$Ss}DdJQPs>&wd3C2XnK=+v5)3FrY4k#MSM{|3famw=W;N>Jzy& z`1Gyz8MJJjFKW)P{xrw*KlTYH4XXNhl;@Rf{<#$k*l}FTr*G5vkSR&ZrtW#7XOOQg1DIuf#Z6wJ(q-@-?kli+GnpZ5u2F=8i;mOZ zV7^I}C0V_r+DQ*(A$_{aLND9HrAO;KNxL^>FK#EIvY7aU9iB;}G7N(@1S;+>1XYZk z5q+0{0x(zjvI;2$>cyC5s&Un1BjSQjybzh-k>{ zrr&i0kzo|0`6zFXGQsZ(3>ibxRw7rju@eFcDrm*qjmF)WZk^z4ckmqovecWyw$&tB zat;6$7#G7Z0CxUXrB!QLJP3(1U2du8EanU|fn>8joFGI{{cEWEV?$uh5cS*D-0rMg zPf)-eHWB;#HCWYPx2_69Kf)}h07)BS8CICS_Oh)}62~8kft@gSX-Sog<7n{{L&r}b zC6&N<2N!Q3P97hg2$WN{;yQ{;-46{pfH-H6BXg82b2*j3$x^xF*9C{`%2*IWZ7#se z_begU!^&7!OS?u-LobmA1bHFMH~s=%8uF|@&z^IEsuA2>5Q8pv z+zY==vi~(r0&|8K0O=l+(i`i^%ae>_LvW|5{vn%bY5+$%Y#+tpt9St^X>wJ}%3u7& zzWV;`C7jp+dEn;pg(0;wMz-+f%iW!i{OjC)5w(w{I{dMGYH(b;Kf!>Sbh@;N0k!d`4uE`cqh}M*_R?5JKaV!XBbhP9t0}I zu8N<}QKl!3S-SAEoRg41_CL#ghNj3OhGg3a$3BDA-xmdAzQe3Yqx79?0^-pFKAEo{ z`|pc@IyhDNpAdl zhe}P!hC|JfwL4gd3U{*4pzRIcwpa5p_E%)pj6aAYYVb~um^W-MPkL6mOI26sKp3&V zYb$$t?K9MDw#$ku=`(wRxs3lvfgADf*QR{|u5#E#Dc5tN^z~X%FzE?`XvibJOR|gO z9p)N`IlbmjuQecXHS6$tGDCAgw(WXJolUCOkG>A_Yt|=q;Y)Jyzvz8x7twZ@RFLYm zPt&JwZR6z`CeW!-?KcvVWtgWqLmttaMv))#Cb&47PmA5N23=-A>8X+J1r8z`be>p& z|FDXq!Ad*0__PeMCPZJaLC3&Y(u&N*s0!tYF&H*tHnSC?J4b?iwj^88J~xP19aaiG zR9w{M1$}qSsU_Auc%4!j436Mxa3eKP-Yykit<`wt70K(#98;=YH0&G{6}ZartnI*@ z!Sk--Fo8E2FW{7<5nO2pFr}hpRVACa0_o9SoU2_(V9+SNqAug_wDPfx-i0Az5#+Fv zg|p}?e+(OxTx6_fqw92lZ{^<_PmXt6_s-c_U4(XV5mxk}nVq%lv&wOJW_6jQNQ9r6OqDCPS-R1_dq@mP~{t zRuf@D+Ea%%33^@Aj@-u)DOXofRT^Zo9Tj9eCg7CSe5fKs&EfGPIE!|JHKV8c5bz4; z!?Qqp6ys941X5TBOj{m6d?R!?ySG?*y7rDTa@`;teb$_NgDW>oysJFB*}=zpSuNF{qM_MS+xh3ybkl$?B7M4={C1em(9A z$`$TNJxb%w-R^a-iW6;TjtV8-UViLD%QAa`6~+ibqA)ht79NVw9T&| z@7!)}zY68Hgm+LbWn9zp9J@4o8s#^ywEfH(4(-~oPv%aQ^V4ZKb;kY->)4RKQaxTF?#)`Q+-;0Lr`BJxo3GmZ^GIe zeAB*K_FkLloi0byOm5)W{=lf`Xx>u88WCwnx0(EjI1N(nKC0Hcf3o{6~7uz2>DVwZ)p1$(;ZSmue5^419 zE;u{sq2!X_=EKoZ*M9sFF)Yp(y)w~I|^B{K2UbXXBj@;3R>-=DYeDaK4gVU%3|*YUONg}>lt z^nG3XZX>DN&z>@wvRavTG&t=dDUk?Jz6rxxf> zH!$EXa5Bndt@-C{5in<{A9;p^RTTz7Ah5B**`#FF!XGt!+WwE%Sf(3ccz@u~%}=}_ zt=EdoUI=A){_AY%P4CP6ZS9r7gWUp89pq%80co{H9u!eBIysbu zNB)7A<~x7((`JwV_xfhQ4y6Z0*%DWXp-{K~jmkEREJ(F17c@I#=3d!Mefs3otTh zLUx2~#14>R37f70@fuPBT)l0bxdQbQHOX1FJhXgW)E%OD7j!g8LM`w^6<$)gd9u&s z%H!?_nU4**168uxk6)Pimf6iCYtkHovVvGBzHn^WIy-w)uu?b1Qw2H`7Z4 zN@EbTHB3P14I6jZb&N&%iP#c?AETClOY_`KNJ?kR&=cK>tl=AWQ{LePe`B3x(q+ zXnO1Xtaq-lhlLDRUvBGTuo>T`n$ztA%o)sn{v5`T8ex4F6+L*qOYA=vW5}+MtqXnO z{%W?Y_k=&19^ONB3R313^faxr93vNeCtwp!p)|f|vt#Q#sl$zhV%CTU%SI^6o> zf$}7ogQ811CkJUHjQ!jbOXubhA>?ilh{QY4NnX2trO^y7UHMZ;S6{%`?O}EW^N-J` z;r*;~Kl-^I6}AHLM(dQZ5ve5&w6AVu8UUy<5#-dneY4Z1SMx#11p;`YA| zBl*i-=Iry|pN>5KHjCzQ$hmw*=0-nlNrToIUU+Jz{0#==_}IVZJFermQFP}r8o2Z{ zXW@>QXW=Wa%&bM^*bnDVflZ3{Zt9)D9yJkT-k=EltXHM6&-KDqBoPCN6H-E{WqW>I zig-umDU8j-xWM~;Qm|7^`}`IFOZf9=e1OaJ`!mUY}1BbtJf zlQn;_E0R4(Ppf@~2fnrc<|2H6>EO{g%KkpXd7J!VdgFaBL4M{8cJ+Hhy3sl5W0N2L zL^?+CTmYA_{HrW!y|)$z430$h(^$MRJP_!OJj086Oyt%JEkD$xF9Q?%RV_W569X~& z=-ZQ6k01N#Kn8mPcB)iSwvb5OUfA7$3tI_F_f5>~Mp5AdtT^+}7S4+i=X$O-c`^^F z|416jokMmUzWDl-`v+B3HlbE=4*zm}N?QPSqFS5TB-+JAwTAhe-Jhh6<4u|749Uv5 z!Tigtkv-5&C-`rz@G8jIQo)Br9Im0IQ0Q23NF;q5=kGV(JT31|Xxer25gX?WJHv-y z+>mg!k2YnuJd}7PSN} zT@7whZgDvlJQDNZ82MoGxXA)3`P72G5nu|7-?EY%4MqlV%u*GwM~W3DCNUoV-NC7r z0(A8&4)O$HBO=HY;|QwmK=jMqmhUGEx?0>b%xvwIt*^Nyk8+qtmw-+roJ%_Fd?A10 z@KwNKNz53Xo`(icKxLtP*i}?JZM5Pjzxg80q`%J0uh3igKZo`%K7D&S`@T{=Tq8Ur zmWX0)R!QR0(n?YMMQ~r!`S97qt!LxGTuT%+ZbS}=>Jp7kh%WddlU5xm?*{xN9DWrp ztQYUC6bgM3<1)!a4efB4BnuwP zFscm6`xAnarOR4-V5rI!_y~vu)d9bJLYQ^rB@6huTc{`i)yZuTBPlhn035d+{!mI0 zUY95Ri$!#~jLq{j9>t@uHFXLu;1+oP5JMLl)~j*y8MF!p;K@@iald@yxKX)s>iCE>dLdlcN^ zaCAxF_8)HYT)2hF{{82p`WLITQ~T3}+#Wk+#f4iY-1zr?ZYE(_k+_8U!;7n}$^B2Q z{*ixG$zz*U4|a!R4zyqo+nehL?19Yg5J|f7>sCAdQVpU2s$SMP%ckY_MQ`fmel`#w zmLgQja-(xo`4^3gIm5#b*=y^*+tDkeKX~1n-9rFOvm&-Lw5J`e9K*je8D@&t~Glip$XW&w@tc(&wawu&d2v<$>>F9t^Ybd^N|aF z(Vsqbbu&4C@hunCFT41*k!|dw*U=QdbqeQu-WF`0yfv?S*^D+=UAX$MgWa;k$d6<> z#^YS)vlBsa>RwM$@G$^K;I~bsAiS^@iQJa-DjmNUvZBy%S$D4&ScU8g^)MRe4BtQ9 zmjB)5v&Q=jX{$}?jUGyy{zC_OJLB!S@2rh|Xj$9=C*zx!#~z!PG`I~%^RF-bkA*b& z&ast)!HZxQ2>bJI*DUUQoV1Mu*eb1_W{d*sY zpJpGOihWTqMsE+_n-+Paf@v+WP@XcqqpC|XV7CSz164$tux}(j5tD(CQSKX56uXGo z_Voz)WIbv7zcbxRsNI|)qGXT0fXedo+qm4Rr!o*;IG>K3z@a%qF#nzV{Q09-K9nh} zpOmS!Kb(3#m9b)XpPY=H_@#I@$>$6EIQ;TXYbu_g{lZwO+~^Z8tFQRG!K~FX)%|+C z@yE%vtJhAhxi1_=hJK0AbVluZRMkqDGY|=2Gi3y|%qq7fBxAN%UjFnkO5^nv{wHOt z>uZyK!wu!A6QsV_j4zrcva2=n5YwkoS%RiG=86ijg;`XzFzy<35mL6QSA(tZ%m4mw zPEh*&wG*+bX-+QBk6H+Pl=%i#ZYikVy+*>*nC0f@TxP2t`ecHV$-~0S7dBRo&HT5O zgZnRpqAyT9#8N(pqHPKaFnzovjY8mdP6(v}IEh2FpCIH6b~10y4?Vybkl0k4%7mf z(aieMTCim{<|iH^rF%#9ga=~5xTq57rq(fRVuZ=W3Sz8tSw#Jn2fqB>`@%=BBcLy= zCCr-%x$hSEvMdrJm1F0DI;MaK-h5QQN=s?ZT$=qo&1Be=pF23MP{arW%%)PlAT{O=5p;W?Y+ z52Q~+*?g-7_8B@E(r12ADnpyz@@2a&NUtWPL};F;P!N|g8l==Z>0vMb`gEGFF57}R z`F4Ug&L_mE-k*&}aQGZv^1Y3{%I1Fd77iCk%sjkW+UMg0-y31|=|)?&uQEJdzti9S zQy;o|>560P=XPngI)YtSJaLTq&;eVVr@#+WDITS>wl|lh@vaN(oX%OxF=n1{-@|LS zG8ya(-C*fN%83|d&G9oOQvX5j{04^^gcuCrmUx+E?eyvJ8(+0-{ZSh7@BApAcFlx! z;dPg+CTFt%{nC;<%*1s4$uiklwo)1(5`B)yl3U-EBqMHhG|V6dS>6Gu{hL!$SNF7r zhn`ow$$sO*nLYR(CJvYVv}=Fy`^zyWByu_X49(-DvoiYWeDd8-@2}tYsnM#;&4g5#n1yHRS?8ugLmHrTZhk?r zRlJKz;o-$wF+@^myR@Rx!FdH#6difK{mk0Rtg;tn_&RkBY^(p|mr4c?AFQ){By6Uow&O)L}yBHRH7zDtE( zIN99&f6UbIx{08(N%eTQ>0KYO8e%Aqj8)?@le?IQaI3vy{;Hd@`i)P|P%6od_hZB_KW zHcybGZEv9BpZK7@PRUT?mXDp4dM!)!hupCOl1w`0Arsl?@i(Lg;yy#CX5-E0q-%;K zfdJ1hWDmTe?)-Ut>gXHkTX)MYgkYB`|hRbr>J5gW1&y@+pt1sr^J zK*r`R7zBRN7Xd32!+YL1o4@{vn?|oWJSW+%giHf2Em2BZBImhE~ z7nv*i{&pIKm%vUA9dZ&MFHh>gBFj*?8wx~8!a9!o3USPyPgHpE87nm4#3aePnho-2 zq~m0zSjvkh3R?OgJ87s1cRx@fUgfLKWR67{pHQL39EF&g7TF!GC=iy$#m@UOuXE+} zVW6&Cx1{}HN@e<%*7U7^IbU<1>t6~=q)i&cV`WcUJ(e{RUkDo&4+bHj;FSRkASDHd z9r(onC&yNmf>1^Szepk*P%2ySPFP7ihv~J*JR{6N*l%7($u4ct2_#98#;wF~woq|L zTUijM043YR7v!Bpptc&r2uaQfGY~E=+CVwbSj z;pLg;mpeV!B2Z;wt*NR`fkg&%R0>}TRE6xx4x;K7uoy*s3Y+nB>QcE(!V577_>5H{ zhqleVgf4i&fDt7aKr1}CSjqzw$p!_u;!Q(g=e%dQdHI#eh_f!K0(zmv<2wTpkB}xL z1+T0L23PBiT-ljE^xOYn^qphn_o+RX$I}yG8AtFoukB9+1IlGAc zSp`idR?4u{u9&k+Mvun|)1Mw}m@I;_F=i;XLgwSUyN+j{iX?zk24zPjIUI|8v=iSk zb9Tj_efh3^5C78NduVo~e`An}9bEzc${YNnJb^u>0)&CH6Zb;*q2{Z=lIAC5h0crD zv#OYMX!uwGORLu5)oMYWWVXJ2d$%GS zVwYuFh(dN~x4{3$+q=NmRaJ@q>zsS;?Ma%pCuv#&6uJ{ay*wN*Qv6j0WhbN%O4SQi z{}o@iOx5DYM}43;1GsNUAs~(c!C!Tp@q(zGidr3MJllDp5|Yp=c5-fO=fXCL^6Y@WzZ{!O>&Td+`Xt44V0NN~hw zIIsI}l*FME#G2DO4IwEiq&O@Y8bF)x!CoHare^8lE)(E<^ki5Tf&Cu*sim_ zu;>k|Z>wB&JuOZ%Xm>wf9Bn*tZ`4;|+EfTvqLAuKq&vRE)Kg2S@dIO$-jdCz{nG08 zC%Cj)mu&Q@snx<_`AsS)RmWE^@NTuv4ZQYgdPjO){-Px@*Gr1Aw+_k?A!h(`%MK6Z z{&$D$Q8OV`^#ewYPd_IUo6?Nck8lek9y|WNl>1!L@Ny+;3Z-kwrK66D+{^#ml?^0L zlfV`5iG2eSZaP9!6II@oGavj66J&L5e(-~mT*=PHp8c-eR$K9w>)TKJ{+FV^opq|{ zlD*8dVeN=rr1xPuR?D3~)U7#Z__<@2l;xTpUr^mX?hE?l8|!Ins#3VYil0%7lFSdd zx3=q*gY%)~qykstSni~vk$7-TJW!_UMni?Gg_WMD`?QdcTTJIuwwG#*6vl0!N4Sgo z7V42nd+~Kqv673VKl5#3>L4JMs6XmH$Emk6V#S|ZV=4vd%I{R11a2L(kUK+v{f3k4 z>76$&sg*dF5eMnl3&%cx!=m5IigW4(!v~pa9DA>B)S)tE9&mz9bn;p8C)=@m$lZ%B zhw2<2rvcvS>ON27!=>ni;ZjQ|YU!XWGeHq`80bK6FIlAoQRK zF?xhTD}&s}p{Ur@(^jXL{$Q-=5R^FdG?2#+(K5o*Ds~9V-9ETRCEJarq3epyofJdA&vA>d@qLieSEHBgyQ_GP<4XV4|#^;f`o-Rn05lwm5Dik>%nK$cD_#d)TZ}sX6S|d z=)m-%LVt$N1u`@!#A7pg&Rq@Chd*av+WTnB9d=zlcRH=EV&RPO*wT3Mc+dYVv)|l^ zZ&M($HI2dsUg#z65kKG#HKyd8et}5yUM#biFtiz!BIXOWC1JZ}L~Dp@5W0%gcvqMN zN0d#g3s<~cFpf&z=h#aN#&kt;3Y6hpX}C9O!@{_~D(upn8eOZDqaM}F$TLbu6ICsG z4nEAKC1-V-;`nLV&%nr45MW;u4`QOzA=i(kTh_m4XjA3>|9t)UIo}CE%m8D=fZWWF z&Z;9`ASSV@?HBVa@@qio>tE*TjZniA1T(jWE$rgdl(LoFR=i<`O70#V4)<^&dF3S3 zG<0~PFM#7izfe2g+Mu(4YAF)={*^wdC`pU9D(UYmaT2BP5 zk5?8uO|jF!5kI3AVi4%2+eE1lW*EiJ4uMs_Z*st-XHPEh*GsM@=@WM@;|QKJfz!`( zM#fI^Ql*SPnhTwV8+{Mgy;E=80nYZaKyk4-)~zzjht;nsgjK$ryYoFF`nKs%_%UuC z`D1L|4dlRwUO3)uP7b0o2zbS7Y2bb-lDg-aYkbW@BPVN?(SX%AW)T~@Ka3Nt4;*)(yD+2gTX%hryu~-aRtaZ7=}**?q31;@r>nWy zkWC(Lk#wJV7ZZ+&oV4eTGN{4!Q@C7}75|P6ysL66$vEp&>+i7alCJjQ8x0XmxBXNygtHleK1xJtQ!rXS$e-8{Wq*32Po;G=5?}@##tA2_FCo+3xf^r!Vi(gHEyW;5xyoraY*eC${9n) zRWTlljLGK%1=&N2YhR{`em(SS$iERnawAM!qmk-%4{&o0UrJuStj95K=oNWn z8#%MUx%o(up{#vj1u&rdSt3^F3_hs8lHT*U81rs#Gj4wnw)X|(|I-UPIG`Aipm{-e zUeiu8b-9fmWytZI1YhBO6i9BS8%=5*jt_{*BgH zlOpxF0+^By=)4(-fi7nB>V|8ov&;F-r+&BB0_oEL6BVLa&?d8rP}Y=0Hc6C4ed_V4 z2oHm#Cq7gn;H=<;v4*m42?ps3CE`Fq^ZCfLtT-3?B7#lP0qK~8Njq}}P9=edMAO|G zRE?G&TL1x3Oj1?Q6|6$8f{7cTyk}AiZOW^VrNYA7*y{AbrusJrhY*4@4kBlx5@9vM zH6^acmDJT!sR*lQe!|AoLdoU@6q9+;&4(yeBEz^kiDTGOa8=N3{?GP89_VImI8V);Yloal#|n zp@b^TDi6cYGj53YZZE~lXdQn7OaV8=-o$FN1$Ad4=E1EXj`h4^z9tj~lE|Dvr*t59O2HYyAN;Q&m+kO z9y^{RxymBz@lVvy4A#tb8c=i6s0D3QSy1;A++Ib@3HoZ(_JyV3rKj@^+J|fYO8P`U zmt#KBCgDD30!R3WIfFBGMk;pvkBvFQn-gC99MG}fXzNB>6N~RilkD<)uo5>jM0ym=x3#vW$Mrk7Rm&={D?@ddM{e~3ROkr14fN=dyE%5 z@wLTbzy8wB_VfQ#_fg;3phg#@ch|cg9UYmMAIHpc<5H)Ccf;V)RDPE0vgf>((WRJG zu!wb3|El*$_{Ik>X9J>pBPr@4^Z;3kNs>*y z%HdwT(u`H@vpKGb&Qg?No+i_Y*uEW+X5ZY9+(IYWMFAobMmp4F&Y(@5;ipdl$KvpG zU=_9wwBRPbDx{Is3CDb3mC2(i>R9iLfbYP4%S9nVe-!}43jcjZH1 z<^$VY_R^)DB-UkPP6JN5IU!|$G(BrqM~Mlx-uPw!SqPJ zmsmN~0r|3AKiZarDF{kXX&Q$~z6Bc?R+A{Gsx-~7xd6>L=H8A0fup|-2Wh_%OGO~V z^9xi-Z$KRnE*qh>rrr%E%UeE?4QNYAXw%!JJy*huImH*w-ye z^E5$K`9z3BcuRmz!SHh$N6QQ+O3K)Tyy?^D5Wmr>ytL+c_b_5u8TT-mz|1*|$)U<& zZ5L{k`ZjKn^m(^a>sm$UiSUB4CDZHrc4CxRf$7PVCdH$g8$)#M3d0=*On7M-ktoIR zvF7O+sU)9383&UdY_62x=>bjH)I%W6xrY9br=fdL|3D*Rm$PK>1uQ8)UD%JKol~N( zxH3Ph7L3HdTv4RwZQX49bh;3oR6`AJ`~+QXfaTBg!XC3w#IYI(KRVDQqI#jh zHX9&>e;sA#4K%h+XBr=zuDgiR28e8doXP9{5n{hY&K-D}AzdxNsDDIS zzt$yz{TtUaGS(U8FJ`HM&qkGGcmb*U09&@e1G?Y2KnA54~B?ZEV~{U#G%FHZMt;VKaq3ysD|>zd<-Kso}osN&;G z{CaWKlPPj8(1m4rIqw~I{iCyfpCNh<4fbilu#_L})8Xv3$BN6Fd55 zsrBz0aOP7C@}0_V1;<_`tP^nRG6|1Gt@|z?PG3w0BrWC1$@bxQ`;>nvDENknWL5{8E0`u-A@zBpo%dBNx z-@N#=nw2w7GxC4l9fX5_NBsCVFwvh}SReP5uQ-R>J+y1aJp9Qz}WLF~FOABQ9 zC%v>cL)Rt=`wa5zcRKlTjoXx%GbsOlHJCH_S@fG~AK_xom3mK5rV{m>3u1ECUAK3Y zq@OH>v01`b)j6AFtLXw?T&*Fn_L}bgv+M}=>-B8XFJ4eztiofa(j7=&)Qwt{q$Qv) z4>r&op9`E`TR*{JQ7cx0GKtMrn*1%o!>wFyXaN3HC9s zfm93S+_0FzGqa5|&8lQL`0j$x-+rl5{0%x9R`ui4lU%~#E5$sXv%wE_EcNt4rv9*z zAG0!FqduAi(qAize-YB}GiY0pGo?+spR$D#L-FQp#ry#Av1+z0q~Pj)o&Y7*pEP`ZT!?6K zmSxL~G&ZQFJY9YA)W3x|vYMOp>ZgM4(59LtZ?}4yrl^ngpnXUjAD_Z!POA$f)Y*?i zh@MT#ig~=)H3_9jiJVW6CioeFuq9WzkTkx4n8d3oG8z%fkIAYrYVp4i3tmPabs(XQ z*{97x-$OR}zNkUa^x2m2*n@`R0=zpt|ExuhD>9Z8;Z73e*ODOHi5`Kcg=&4$B0Us1B-~)$p;sA{FeEW?fRz z=%xCgXV5C+ZF3nm^VEk?>jh%1&pnvv_V#-5kyS5+jCE#z+RS=R)9}sx*BkvYJH!&T+%PaatCX}iBmVgm??a=S8nE>%`^>< zG-nIxDJB}Z`544x)AV8o-LrP4D4JE23{+v<`JfAZOM=KgCIFSui{#HxZO#BXnG$a_w{Fsf~KmChiM z(D|@NO`j;9RvnW}HlkEtjubl=7(5|#rH3f--)RqJZ)rr*@30H1yktR#$X&e8kiI2k z7SXNL9A^Pux`>07WnC2o3cHMK9}b)kqKMhRQDM;Suz#`OK0aDyphU0?kVsSEcX*vg z-@YIj`{iPNJ)3g!`H;3`rIa`FH3&`coZ#?fauL^*>iR#(>e@pKnB_mhsnz8Lv;K<9 ztZc0XW5J(JH__c6Q6h0_sJ@I^nyXZOrjaOOZm?XA2Zd`LWysJp@J3s*&qkAb>B&q! zD^4=ry=E|s$zSw;*k%UnL~W+e3ARdKx) z5LJr-FoNNQJ}y0I1krjI4>|Rl2UtG75naF?OIC>yH?B{HuW}tvfBZ71XoL_sdlR-E z)_Zx(6NrsdQqE-$xqdO$s;ge@#SP>t>g*Eh^IYvu8QcsGl*zch%rL|65QM=^>UE6W zOQRd%?zNf&TKwT-S=n%X{W$RPNz2~D5EEtum%w&ZN?-> z!D<3qLx+5nHr_f5sixC>FndZ3t?HX53K=WxR(Sb}&P25_rBbWQ6Sg*X$rTw<2TMwo z0EX+ldrD-j+UY&?tC>Avz2S>eCDW=qFNiXJLmJ~CC~6omw7EUTH*odroj1RE37t-q zUEXTER;T+M;xdK;d37&u+YxIcgR!2vs?sQAcX0X2Tu zc!V16lu7IC7KfMprRtU}+jpy#ZJ%AmX#Ux8eB7psGUT9bXjL^>D~~ z>v%F=!c#xh!@)z9HClOynzvKLyjGqJUhWn_=k`u$t&z0}J_kU+; zE3FI6NrC@5+uSmwk1;X*l-{u^yT6}H>`m+jx@A{CoX!||9icSz-TAydDXGnSJ|LwFXp>9G6J?|># zy0Rlt=>pc5PLSkzhzQoMkm&AX1BYFIgql;O4U$!AQLU@Gt$)sHykX#^EIqSQG(4>T za9tR8SiO?o$zDDVQd&fN9bfMPxu{@eZ&=oDRphhQ2ihoxWtl>mcu&fx$=Im(oZBFv z!j0PM2cM=!2sb*|cdyIPQ_R@Ew=8^pW5*fwG*e6G5B6BwH%VEr&yB}*;{q( zN89K?kyBtKtBg}HGpt5}QUf_Cjumc3Wk~U_6spG%8Lm*%Sw$Vf5siZ-0!Ww#P#F*s zY9PM~!n0mpdh}d@q<*y!_6El@3X$Vd6+piq)B>ETh_#)JS7@$djhfRCe1+9Ip}Rmy zJ#7&iGMgf{>(wiRa1f9B00xXxuSw0-X_`Hh#&GN=s${X%}4-auK%S<&JU+WEy9(kBDM1k$+A2SOqB zVTl!eDuSVrUTXBD5Rwn3cq z?hVLN77cEV2WwJD%&gJZMvq}jUAI|qs}H9_DDn!{$CU$)uw4^glOg@~wOCFx?;E~c zD(_o(LnV`%c^@==n!K~5#b3*09p$>Ws$DyY<7rygd!lE~*cbW9zsO%!lT*L)0r~Dr zKeD`@!b61)Py~4${<-m;QdtmK_8E8~nts948Q#+CCBiJ$Cx^L;PmO!r-BFCnsET?& z2|8zRY?2*Tu$u!jneIvdy2YwK%7lyD1giEO(bgM`GBVL?&Zw6LxgNhLzVpl02E{~Q z^D#%d9v9^NB-)HaWr^XCUUDBtvMC>T#dR$mXBZOem{_f+PA!f4#J(5YQino*1LjsM+8k$oaKFn$3*V9cct{HkX;WA zFs@|~-s8f3!zat`zi40THPA$i&KdMYa4Y`Yl_q=)%-A)5#fHjnayE}xZf`$Em^1iV zt7XIT^9*NE>7gWE_i!~Dj;Al8RvpS6CVaYuTE~&2O7D*scYW)-huyjMzg9m!%i^#L zKlS@aD)+^G6wa}tg4pxe_|8*dYR?|wFbR&<}i6iyenbtA`yF8}L5c;UmGJ(1Hx6w(VtW6m&k z#LK&-eB)9v=N!RGBWdj!>T^f@WSw+x$<^LAn~*h+4Mcb0x#zwU+-6cFf$lSKNqwLv zvHXlA`S>C$cORQG_>S?LEKp5V+N7vwde@M%KqMbvH+Uj&1MX+R9p`;UdS3jmcfSig zYc|b<4W!#WJ1M(mxsh=19ufP4!iEu~@Wx@)=~wfH=#xT&wa}eH~z0v#ZBpAeQ zu_#GRO{D*Qf4Gc zm821mbE4CQdU90hF2GfcnQCRws7u?YzR8oAZ$xP?BHZU-Cwsj zvSR8b(o%5+P?+ot3_C(+%U1b)pA0SxYP0EZEHGot4ualxa<7tOlHzEL+#*Siy8w*m zjs)$%4vL(V{iH0e5y~_yT1{0;l&FgrbiQTV(tr8f`KxC+=gzk$XvK#@RLjp0Cg?>$ z=)t<-%Y$*{(pFRx7|9-LZlwUbhSShgh`yU+t7nZpx65W%&NqCgKA}WXDvV7L8*1K- zqRWH2AmbhGlF|W0#Dh7GE@TJR>)q%w_sVU{WyL_JwPG{xX{M1|3Fh<9NGk3mX4CNN z9l2kIQH>q6b?z3TMn+C&G~G3g`)rQMAd_h!2A;bM`W}nIwQn2S{>?kz@cCIPLxeh$ zkT;kpIGuZ#GmH(RC{`=NUYHCbmBOtf`mhm!N=&$MpEq@f-x_!qZ;vCJpObOJWQj}3dVyB-M$W+Z3Dkha)ec$U+&Z8_&N@AWQM!%Udnv=Wt5rsuMm67c@l0pJ4YvTdMKqk z+k;f65`Z$XY6jh+r6b^V1U!-^7vBt4s4fj$7Oj*t2xQNI2qC4MvZ(Cu|xH^7nn?NHc7p9 z=i+!&1|!{*YYKrT@(zB{Cr^vVcWn&%d61;`C`#COj++!Kp&xA1~O`K-cMzJZ#XLBdeNFv_Rl z7i(0n!~9fHe$m3$HZYN;gc`64Mh${!C=b?UWjNk59+~Ae8pBznd1{wMKJ^ZCQ?kly zk{Lm3thkK_^fyojG$@I+L{8f|J^efS;n6sW51+Q+?s?S>%hM9)1U}Y8d7Ma17p^v0{rlGBm1-c48_T zcQAT7uL#Bspd6<-1v0DG^2mn71hcgO!-+g!a>(Mh{O`?5LlTQtD}-Lf{+Ad057kFM1G* zLynEe?Orejhbk-Or=XG(evY3&gghYNH$$aPeUzjQvj^ofR-p|d9W}Osg&YLvXG_Fr zG#L9RedI_zcN>BksAIqyehPK5fzud9AWW`5mbP)`9_l?OoJcJ*y;5|lC@9+v86YZ_ zAzinvkw;-(Ikcw+58*>obU)emtq_&3focgV1frsI2yyV5@IeDg3(cGti(a8?0NYq0iRbL%#Z{_E-#nF+_1V3V-GF4x#;Lr56zNqmTtNOR8w0ApAgC7l_ zY25K}GsK>V7Ax7nwS~Cb0tl0kSLD}l*fI^$fCMb3<=Dj%w444Js{&pHvSKr7bBB|* zyIHuttAzmdz6~X4&!iu%+CoXPIXan|-u<(XN|9llYOYM$oL7=2cnv?|Gn-0hkU-f2 ztonQYhxd+)a8mcYAArvsiHIW;_8u4*l-#`BWTs4QO*>BB?9LM z9$i@bi*n1a%#Sz!;FWt3+yr{8MoAfHA4ZxpUPg66JF1TiBWm@!(yNBOZ$u2Hh!tSX zT6w3UJfR|{Lj|51Kci?yR{C;bV1>@q3yHiCA4K!1rb+B3mBp&CUI^xROP6cbyzDj? zgcltPt7ec1ncC-TY}Ucc@N}yvBCBb$tR_NNA_1dDz>g^j9)OPzPp3GgG5qQz8s!W$ z$gE%w9^u{{J-qJ9C@_of)&kWO3SiNzMzz<=QK~@uXCUeqvBzt1M51n-)FB~iH02H8 z+(fS-!tR(+#=S|jt>Mx1nJN~sj6jF689jX?>1J%2ES;~E_#v1aKn@Wx=R!pvK13rQ zU-#!R!(A7bPV!Vu494BvyCKmeIE@zL?t&i^vG8SMT$-+o+E*c^s52kaOIv!qM~JQXS7^*VC^Iw?X2qr*N!Hi%a*z^H+@S>sZP z2F0p-Rw$}Z7C&yD4`pEJP|~_2hEC!{BVMB!GscrySu<3kfW9F4vUfK%62HsswCIr) zUf;2b9j?dK2Qr#V&mfez?Li^fx_m_y^Z!Ku@YZ-Xd3ITmkIoH-IhIy=;V-nodq!>K zkGEGOF#LM;0D81HGLtMED{;nY3hTgejBBu7e&LPMK3;2JXM6rz!~)c7*xA_8$EUeJ z?#W`A=(Gm|kP&5epWF$#0Yyf8k!bG?M!5d=+D$h~xb|A(NaU0d2g8c|QlsX}kyPYY z(OAM&F>R8*PvSin1%bY=B|AdtNqq}Zo*MEA+f@fD$!SPpEk-S>|M-6z@w>yl?V?Yd z{aE_9m8;ZqCb*z-Ybe3UMov6@wte(Ejo|3BOvy|v4W#tFAaKE`la5NCF|}v;;9l&$ zShnY>C=Ib3;g){pylZ6L_+aG7x|yOfjC$O?o*kx%oNRvJ2xG<{l#VLMLA@-+WJJP7 zncV*?(zYq@v?G<$#>3)~-?UQ_Om5B411=4gg$?3*n1c_Ocpn6Z3gzY60$e*`yBk?dZz~IHCrh7!g z8%1l z7d&tV1Bbobb-Y5IEzLV`Wi$@UJg_ zoBU+e+b3oTT2ywHj>Ls8!xolgXwP*-ERr#I2igfjT~tfLEUrqtxz-`ChW{6G>H;8P zX;rl*kBl$st1OMgD1I*38^8KU(>t~@I)CK8g_|0b{h7rsWbrdAHaifKE=#3wGb^@o zRLFWpan1I{H&%iVq>WIAJ`;an+nr?4d|;&(TM72Og|q0*{2R zTciIBVFO5gCzvjXqN*c{__vv7Q!_E^;XOpd0ipQ)Phy_kPfoZcrC?iAJ9Gmc|lbSj;q`8$O7vt7$R?)51M^_+0;ZMhrWd*|kk_{qlVJ!MA?! zH;qG6he}KfE)UL;1If4}mLYl4SE;8+aPw?k*J3A$vr2z%@Vx#cytN8ylu}{uG-Oa*T?Uf zWz$X5?Qfcuk8t7wS5`={jPz(ugjy17SQ36yfEJ7;e|#03&lo7|nvQFgW4}kwW$@*@ z*wDa4&kudY633v+Yri3=gnL-$=!R@shQslbE{4sgF8>&#tF~yd7M~el$Rl=r6>n+t z9C;U#i|l*rsvcW|BXzHOQq}dOz+`P@v#`hnb}bqdc@4=M9_aku;_A?m@BP^qXFI4T zcG+0J{B#tRl*Tq|>m(c28i{+O0WsG~K0R!Jx*+-XxZfym505NB^V)!U(6K#7xY5&1 zmviPD{gGm4GtXvbnyW_gMdr}x2oESd~<&^C#h zk0ndKni^H7L6nnGizXy~hZs9?42xpVsttVc*8hF|xi0-5^=Jl_bqgh0w?fRG&&F>2 z+yw=>`xLj!NPet0xqU?3;|x@<`MgL)sy?`i-Sz9XA7gXlV+|oj4WB5URvnW}_FdzzG^LKetLNxD~#DD^}h?kfz*cEmb z@$(BR1)KipgYBj}NcG%;v!G`{blV-F8)2J4&!os|?H=@i#tn)ooKW!GZ~9WdkSGsM z^*6m7YdY0$Ql;vcMxuzhAuZ+m$sebA)Cv;${f)%pEzDqh1`|KS=Sq4BcIwf*p=yQ1 zyPD{XYs}}l(JkF{0Y%BTwaWgp$E6X6^y2x5Q+l#cD(!qMD+_RDp9?wDZP{G%3s+dP z&(%W4h4>?3a)9=)9*#$Ah|4eGyB_e=1KXPK=wbm&ONx2*LJZ~%)l6* zcnZ&+5zWPVqUPpfQMn`6>703BNthe+XBaS{5E7xUc#E4iIh+{9&Rfpy0Sl~>J!=}oy zK6PGYye_R!;y0qi^MO7k(!qNLK6SM-C4p^s!RyI0u{oo%Sf}Qb7d(nsSmi>eQ)r$Vg1dZt{}ff7eCtN>BY#CD#lSNhpuP9`=}*zBRF`4nuVrE=Ugs&BY@hVa!1`?@Q zNMQwNao0#Rz=5X7W-=y6M7H5Q>oXIQ7;y&`pfB$7n!Tglm5r_*G_Yz3>aJ(v5nXi$ zY_kZp;%}D?%eI?oKT$`IJfCQ-&>&`PsCdg^s-fU2S=JlIk9^B$oEc?+)QS`JW~}WO zg(KXVeV%5*dhHjD$j#4keOnn0D5$=3-iEQSZgHoERrMN@C1m==!E6VT8sYS5+vJ{n zC4R6oNY#ZN_&uD2vh%Dc+H(;{W8tnN3eYpa&A_xksD>!7WICW4H)=sqvf{2lrTdL^ z9&-RZxL#5UBd7Kz$?jt!cima2w>B)bgdK))#<6bcDC?S^7h_J(PK+%tQ>oqi7$|n= z1}I`5<5rwk9HUWb0jg-?XMNA`)jlblv(jBx+GeXG$T9F5GIb8&>8$5s-@c4ig^ZWp zeSyhUKQNCZA!OIrpJOEcg#;Cqm6~T&Kbt*(llOH?WjY$ zkb^2+rC3$zR1zOE^-wTsG^MEV+NUG>%5`oiA~5Qcq;_5}P4N!epO=WalYy$7`IOoWXr#NgS-dl!MrK+L2Qv{>X3bXv-w25H6tibB5yb)01%P zLkq(2+AGDS@Clc{(R$5Y{-u6$3Jl=X@M%*^QT9I-QCl&* zP3y?y?tS7MuYKNH-C-&2ms-BFmvaWcij-of0sO_o#J_u_=$*v-3N|G)it_-YH0V2J z&fu2rcx@EPyV@&?9AM6%FwRe3%zVDwl9z6Gex~>8XNzW0bh@W#Z8&Y_PNQSlwK%T0 z?P%j-h6Lc^_U|9*RL|MMi&~yZ(70Ph3#}ofHP9hR6p|x^2x&1o@-dyX)jVRLqOl&; zLR<%}W*O8=W<@N?@^lTKS)UrDhpVJ?rS~L8xt_3|pF>`IB~TnF#w;OR;%#KPjXXlj z5aJm9jb<_Gh@xDD=^B_p?T{E)gdtDOkVC=t9*UWC z+ezE}EoDf(sKR`dQ*b)Y989kYj2Cf7g}dSVXr)DefL$eGc@0x|f?1x80*Eet(k`#!rbJ79kjN4Zm#|#Dl(x}F2?p7;kU6g+csC@pB`G`V zU<>dsT~!T?LMq?rW}7GV&#&U zy=H}FN*>8E-b{0n?0HC>pOG+U0QnSv;$aDnni};si<|)=6fTKh6312@^MJTgTgR!G zOMk5%DZ77vdsHQ`EI&blFYM1UGh{%Nz}xBVFxp$cb59VtLhIoh2ct+5 zr{Z>7Evb6K@9T2QFKS;tjkI9B&L7#Wc zWtd4%wb`%+>u%VoE0LQ>4mMklIk;yIBA4wF%u7OrX+oq6MfwdShDttAP@*ISJz_|< z1LL%(>C?Dd{?JTJ-qhy|CBjxUr{)7LaJt|x$!1A3^DQ+2T`RE9fLQI*Ou#vQ9g8{D z+})vL7lM}8fa&Mg61))Y^c51=`8RJZV)L6|x-3^A0eHs6|3pErk)Y2-Qx^s{@Xww6oArhe+6i4&Kyo4oD^RpRo$->TGy4eTHE7 z@GBNHmKh|f5+K&egDYo_$kk8S`98Vg{TUjx-or9Vx>WAOj1aQxBz4zEjDbe6R>GhJ zJi}nf=6>Q3k@GEJeawNd*irB(`O;ZN`{$~n)@a!bxm$>bB;8~X-d%y_QkE+RxAdZr zf<-v3CoKR4qXsuLmDXq@6i_J`w))a(>r5!jS;lL8>RL3z#eur_+z*!pra&3npiJmr zCLImrGxW^q%Gkbg!X9y8u+9x*!Kkw<`?z9zWL*05=(FPt>G&f@Ae^ao6z^3HbfTs< z^3<(US0YP8_7#)JD?Z~M_v@9Zt-;hu2x=X6Wnl~#S4NKQjShW7eJqw%P7etOrwnPJg7025ma}P?rIb zDTO$zr%#bue$>`4kL(k+vzAQVs#LEgkRJ&yunb9ZFLRRI+Z9#6lXv0h?d2h}h$JMR zK`%D1Gvu(({3O_+1^(3Y2H7VK`<${1`9SmVOP?L#}=n^Z+D zpdx{b`U5dT%cML1BGxP}4Mw&+_;FdlM8L`qU@^8{?zI2KQIf%WHOOoHQO!A`zGKScizdS~{`$|F-u!?HSsHNdy)j>I#%&_Ss z>N^|I`klusU4Fz}oQ>!p-i^53QLTd*9BahB9f4^s7?OL5pLIm8!>+=P zSdQ1AKgKf&hYxbW#`Th#j21xmYo?G`4RWp`g5pbMxxG|YUs1~slX6Q7q$=Wyqnr!b zfJi{q6w|t`K!}((OP+m(qAa60PF!X%F9Lnxi1ljShy9ra7EFjo!oX*qP-A^M3K)rY zNX2ne74JIHTMkO4Z=>d8S!*@ZThw5A<-~&)mu8SH;574@hI6V4!;h_bb{w!*a0D#2 zTS_XTFu3*`9JNjc?qrvP&dEA_#qpaDSSni_@cxe0EkDqT$jTlD6HZtNvvxjAo;;0X zJQhXHlw#>0pG{o{+4m&<6{2c$RLY@IMwz#G-Z{{?ZLZ4P=PiGiV2L)+ZKsCDVos_042}8{ zAJv`ZS5!kC^8=!*H`x!T1R_*dCPhcPV59@FV4jPq8TGM!6(6eZlvlSOfn*W~ZeRU^Zju#L6-L4I^}J6f4=g zhgh{iRB+iRK(QIsVk_nNal zh$Tz?`-Tb6@pPFsrO}OCh@;BzcM{nsd|=z5IdU-k6SX16N6KkHji=$~zPltDy=#3C z*okLJOIgnHI=&r=hgZF(*Nu-BYGZCi`vAO3tM}7OjF(_I{w(=WH&+gQA_W5smK>X3 zHgM`tOhtNShwd}9gg$58aUZZYzQpJdG_ZV6YINhBGO~UAur_neKa|d^rQ|s&A#Gvz zgm@vf4;6jdIIghHY-=ebw6g2c;=s0!4QJcI&#y`-kfeu?;gZ9S$kJRbV0ue-RvZom z%^|CnrYiMnoIygz=96zUoGN-6#hTZh;nLr86X_?7^g=i{*g(QFPp{E9Uu!zw+|j$J z_#GEi9(-Rpx#{A3kb8bEh}$-}G!4RbJ93mRcTf@Ni2wVobb&Z5KcjKi&sf#h8gR`5 zMzVu;I-E}^=h3e3b{aQuTDhG$Ls0&9;kbZL5^LZI&SO%bir44|z$zeucD`T0s7Lzw z%?XATmXrT5OsCH(H$oEKv(V32Rdy3D1k=5BKb+89eeYJBGE`j|waied#>lD9G!o4- ztJtQnJ+P}L-Zj96Wi)bZQ;Mm`P#C!_vO(=74B&;UL0_Z8P^yPDx|Q(WP=Qx^e2e+(O@d6h&jLr+lM}m@raTUQnILV%v|j> zZDPmO_RK*oFo_ME3Oli0faVm|hPpd~AZPd*1F6>wQ)w;q+=v;|faz`w7BLveWcsJi z(bQ#SR4F_-P3%D3F~{SRUYAq^ovK7Z&N(tUlDj0SrUZLC`wTjlgIiQSoVu}FhE=S= zk4+*GS5!fBf>GbHr60(qghJXB#hMtbDw>(L$LDu3|4yvpr6gY zQrUD!r_oCQ0am(wj$hY@Ltk*~S9B!S@z6|Z1zg5T9|adQUr71dAr{h;yEf&ZPf~Ew zz<_@W;04Sc-iV_V*+AAiGdoq?CklvU$0${zQq}UJy3wX(dp#YdPUm{bt%$5u4UKqY zmUnf3k_qg;ec)qn|NUz|u%PZS?O~LG5I+$Vuft>~mM37H6>A)A5sJ1Tv_k7U z(2(-eaA^^PlOvbMd#ZB5*g4fr9Cbw{Pm+hc=*5HtH_z4x=~1?Ugk8cTNk8b&vR}RcPg4L=VjrsgT^_B=Vb_4hQFF zf~4OCR>O{3tuG6uT3L8b1Zk7XK<$1H!y$x}D*t*ytesd%&RHx29UG|h4^mCTl`;Ea zbw1O+rPDQ;^z-u|JW-y z|I!o!P2@fN>_48y#C=J?r<-_fXZs_}6TY7-s>&25e}GX}rg>YP+e z&zbGf@-6xqtEQPyliT)-O$YNy?#|QN951AB0qbvr5KK_uY*I;Q5tEujfaB*2lu=8- zF)f{{Sal$FW>NQH)pvIIXea&LX@q_(Z>feYA(C20|G9Fk;o}7ZNwVX7cN1Y^*=d;k zoZKrtJC>T8{3S*^v~&Oyq@DG@%uzSlXCO6?{PE#God2GW{rfu}zlAQQsfv_-j9)2E zDV6)kRoTY~VJsIEWp%VmBg~*o+!%wdp-ck-t(Sql1?ewE;kjbIC|yBZ}`KfUi-;^I`F~$ zzkUwXvw?$+IgWU8El@kfpZdWtzmvYmX;smHfv?8~n*R=~4T(I$o> zcMWfLM*6ZAeYFHke1~9V>p|D2P$pr+33eT)PBzV1wTl@PQoFa^)pto^@2ygwf~R*e&d%Oy#2j0g?2Jf-IDSQ zXov_hmOADPxQ!@BMhKXi_hE?Uj5~<|PJ2LZ$(FlQk;gtobJ+&4%hL=)8-XltVWGFPn<`;d?FXp3f5{r>fs zz%A#Zt%#utoC*OAiDchvHHHaEq^Vo5-- z##REeD!kb+?gMA|1=oa(o-%OtY+yPWiRo&SwUw=7Fa6JJr|Ggzn?1JjaEz5203{BW z^p&_0em2^k z>{&7x%bx{7d{+=vwk#e}Ax;XMn_OX(Ls7n;qjUh=wOWzt+n>FTniS;O8n*ynKR(`0&l zNo?)JmGjAR*K6Cm`0ChE5^ujpth@R-NmMvKS4E?G#D83N`>*#uen7`MO@ZibV=QRjcxx_{E0%>NoikkZqs5`= zew31a7rh#AW)hV){)ybh9xr|{kX2aRh0h-3-0=20r_Y)%YiFJNAJ`-}>suAKl9-_U3TUhlQ0op|q2N(nEYD{kRWM@?XBz z+Ryiy_?(qgJC2&}A8&k$Ef7BKpHA+w-bXV%IocfhGJUFq|iGguAS6t=%jBJ+}})r0${Da9ek zsMaym>+}l}?=Dnj#}So?eTLTsL)7T>WX3R#j}gDkslf(8}ogdt8SwUtBn;Y zdwZujF2YD8adig#V7~M2T87nW=_ze)&j!W{cA+k z<>^8VG()q~P5J~C>E~#lHDm0Uo0K_29~Ifc#)D0>oC>uQ$_k(98s=mkX_^8~Gb{Q2 z+Q0wz2M>Jh@h^Pt(+k`b-|1Gr1t1lqNzMc{a^>3HF!ZZ=&!s5AaEkDtGN{rfCz|Ht;zLPwrIarJ`yp|vC>{1eoW0JeFR!K@__)U7)B8WE}_||)V z_v_#N;MWJ5FRhLfpcWDMWdz;06jX>+WEfjbTi|rg0EQY5R#%06YLS{H0WMx&xSgi^_(8@%+TR6W zRD$IG@g)A1d9;ZB28d z9#m5G)g{cW{G3*^75plS%}ad;3;Wza_$i;UvbxF^x&>BwZOgdtBeHdjXNB0XnOIF$ zE4abx$HAm6UrnFO+m8nTe%!*3w+w}qVBYU+XC%`d6CN& zc(ri_FDtQ<>OW(Zec0-SS?}A>oU+rAz#4v}O%Pj;PeY{>Ag7bews5S3lR#CJR157q z;v7QTDBxtKMngy9MzCo#JY*QG>J60CsN2=rfc*#lwBxd0ul(wlZ$FT84dj%k)7N;O zIGAZc3R3NI+f$q8f0{?#fe;T?&8+5PnO5G_=l=%ylIfnBB(0JjrWA9h2&+ajnE2Cg zzV#269QgVBzqq$~&tMy&w0ZUp+9f?V%y5ifU82KE4FpX6ccNFPVjx+5;`a5|O847` z&rrl{;PmJb)S*#cNbng#W>62OgL+H{f;|D4mch#0X{IX=o5?j;TL2&Q^aWIT0a*lm zL{&FdaUt5Mb62M?S56;3Vbls78Zws4{`1j)KkJ3RzWsCie?3`|)Nc|h*oOES<=P9^ zm^fPy883$O4J8%fYgK?2?V=OtfPRQai(zsbuJSgM#a2D0LWKlH(3gp{(@SEz4c_e? zd>ALRp$nM>vNmu~ES^d*sRpZ#5Z(lBq^%i=QYmROV2Br47Uon|*)*d#1m{@eB-XKI zy*Sl$?W?ds{Q5=*uQRfs!o*W^C9CbBt4Av1giEr|SWUe5SD#w`hhP5ut-pKmYcjv4 zrb$8Dti3$mZ!PPZzWX`u^FWl&GXOH0CCU+Otz@DemA7Ok=b<1k9rXOF3a= z;#41nWI1J`n=YeXTa;5gf)D=(!KgKoul?}ApKtr#KmGCRpIh6Q{2rt^XmeQ8|DU$E zfwQZs4!+kp_uM;YCPQ*&n1nHgu8xi#;BBY3IRK(O; z-7`$!5~&Up+xXU}y(n`EldPc0U=&f-hW zrIhx{Wu{+l*%r$dhFSJ4y_FnGtCGG_bx@{qH6UVHqgzIr&g{w3s*~#d|NFULJa_*; zKK|TuOrY(2jLbzjBpbh`O@`Q5?q;3SafEejeUJ~n)lp9P;=BRF)u;`CNxR3mTP+v6;Rs8svHM0|zJ9@Jwe44N4xn@h zrMdUMhTdjBGi<0UT(nc<>@gkZ12b%2m!s);{F&!Yd+TdXdit7Qf4^;I(A52GvyHFh zxopqMFgpIJq&o2ymaIQfN~(hlEEQv93+H`d?#Qq^Kl7|n?r!RLj|;~bH-_a&#+54v ziXgUxl3pK(ZKeB7A zfvp=yGnV5RMyWTOY^Oxfm9D5Y*uJ+RKWt|+tXbx~WQy7r;>|4IyOXWR*C(iai-Kd{ zxVwB>?QPZ7BYZamUC_2{3G4DSr@Pa$LyO8GvWGIdKT4IHMITN1)s5UZ`HWS+JpJwG ze)02veD?RRdw=`N0R9eL^$e%(G?&zL+Qe)ur=)b{m604Dg{G@r)HFB_^h(kI2C+m%71GDsWxKA1}2MEcPzk}EjV1} zv7kA5C!+P|r674JrG_q$b>o_01mL?*8G?!?1+dmXL=~1uHFJm%qDJx{))Q0Fzt1YjCoS#G(d^WX7e4 zw7ww5&?`FZ{=L1RPtr*gpGh28i+`l0Y6e0_I{W26pZwKVcl@spJzM*KpKRMRXdFGo zSB3UO&N}98&LU?WKfQdWj2sSS>s=37`yio}evP91i}YSDDVQsRcZch7w*(iIj3HTR zRVKKS-iW<{uf~+YtyNVqx-QZL*c}ZirEd|i?ZQ35)rhYARI5wL=po8SCeu)+2^k@F zR0-^auCHV&o2zv_U>u8?O@|^eChr-Q>3_cellTAXxqtZee>}Tufy!VG65|1irBIst zY8=PN^L|?=Lnl+D__D}t2%FJVV)0O)0g}X2Z)EfeI~d9M3ZZ|&y9K&9^-JY2* z+rQOJgUZ%gJ^~y+g)ClXZ*7{Rknm}MeK9lj!w{+7=;V~9?*h-dTom@nBM4e~$-$() z_Y#}?esH14H7qhrMzWu7;z4<;(OfGXS|gG-qSj2SNj2g)2F~ecpZ@(VzxvuYFZ{~(4J!tm2Fjm*l{KOSa8)JK6lsuzW?*jJ@?#awZ&-xiFO1`{5W0xceyD3 zA1C&i*B`XwW7QMJ)33eCh#u?JbcNsJ>>5v}zZ-{KY_Q~gUhJ*8K0iFTAezk^LT91N zz4N@VpfiMRL0HOh9D_sRjFq~@IYz!F;PT4T8=CX6R83ZGO_io9qNdHLud+D`MFSL! z8b@L5y-D)vHF;at;j*@+3xefUpz^k~`iU)=cv-EhJnO4uxdG1Fw1M7HmRTS7a#kZKl|0E-t@~K{$V3sM{svC`S0j8 zrTboWCP!T!?{x0`b1Eb8&fz#6T_-gd8vd`8+_)-T*Ru4kdbce^Z9D6{s*_H07{hcI zoqa*MH4|Ci^OTH!g(KXu{3qc)ogujUo*sNq*&bTHK9F}+XycligMs5GO{G&#q+eH) ztok+?O}+Z%5kvVMh+9n!pFz~mi5Mk`NNqa>G?i3A~isZ6>|CJY0x%( z7kYXn949@p9ojy|SYT|hoD^--P1Ky}8(b*9q-?v-3a}t*>p+-PV600^@yA-D%<-*b zXI!m?q;E2yH(oB~q!oU zErA<}A0n=WJY{Xa!R~=RF-cCKgMdbJ?Biv3S-9(&3^he6-J$>!{*{UG*qYrzD5W7I zch-9&fWne|d%EA3ljT?=#_G za5z^B>TgIYh~i9vV`~bZGl@LeVNDz}nRqy7yyp@OSFrx@plA$cDsSkKVErj%v@Q_V z^1gDlC1Z>oX&ZP%fKpOzIQ3VB8@2r4RBc8inEv{*zLlAFdb|1fPD}ab1fStXNVPP!B$O z{kB6@YHgIWG(QJP&1V88jMC z6V=4f$*H^4t&7rgHj6xdj`0|Z)fR?is89D9s*mKxP4L^n7;}bX`$e?RAA3{1IP{%J zy5e7oq!O-AM%FszR<$&)9qdvz*?QUaGgfW6Gz03YUL1bT@R?Uiu=7xm22844=T0kS zp~v2{(ST4rMMqC?8&D?IJE&+%UE?mwrLKri30FO!8759UbO*`%9Kfefr&0^O_GyPS zk&D0fX~9|m9AW01Vd;7%q(ChOgD9rn9T|6=e3R=XN%AHcx8LfD3w3dl{`Q#U>%B#~ zXtC0~Dn`dFM`@$BIIkI5biqHBzC9xKHlL(USW3od*qV1&T$v)24Glm|G!QA*O!sJ`udH@@$ynooZNqOU{Q1BRGvT*v~%KAg_JS#_Ymd z)x=I{qTIpIeyKcE!J^Vae>3E5(mUVVN462CU(_rq&yfo&h4&HB5kqR>R1)1>WP>tG*OgR zu~L>b-d~L+f5u8lr2GO}jR{)m+oo`Ice_}b*gOhir5$UgMqjSit4o0lv);0pK@PeU z^aoVb4g7A^7({1%Ty1P|`^W!jWB33(Ww^2!pXF$kGN*WlR6z=wDagAjsb@YWJd=x* zyxU=1EP~a#tS1)t(QGA|y-D4__Stl_eVS%SniESU z`jfvN3qdZ!&qrc}6hLwU{F$5m}p|1=sN-A&o50Q6HZ=TxD>~`;ChO+d}n=!gW7B z*V*I{E6mAp0gKmSOl^$%v8_|wZ8u3FsusmOdb}^I%XQ8$nN*z;2Q@Z4`HWTUC&ucz z0Mv6TRQ%q^YxI&k`AT*_H&~8Mo^YY8T5l)KVFqSkgddfl7sTC4i>qR+frlA;jXDo3&Qz(6qZe^zXGU`Z8-7-QT54wkNShdb;zj1k6kt~C zjj;I`b_V0Cyseya1VjhNi$dxo+*g<2&PregdX9@HFMPKtjuI^>Y-Nr0mn&>-Xs4C5 zoMVCVRaK=|kD2+-JDY^;k$%QXw*nS}^*)ivH&Nhh(o3Ga(CS7ESJH1vb`UqXiYXId z^w+^6912P})n%otH?)@?viL6!`m&s);~!%2{#;3>p5C-i=M19XVBZ?-Ljs9MBuRSxIkZR5u z@S?ChK;d}T@zS$@on3AZ2E47Vf&$YlKQ-jfJTUb$Rm5Z_H!Vt^YDrBtk9#2uP{5A9hMi7#A zVbo&r_ZWi1FM3mwx{z*1(ntm&cjIBR#0&<|`exq*INBVN!#wo|CI;7Sm{( zSUM^ANl!q3YG@7SCSQ}{HD;+O$jW0Wpn}-rTrj*tGcIQ|j+36_G0xJllTzQU7fe|SMdcFByV3;P2YSYsGnVaBB`uK89DkZ#({Lb;;8_6jV zHJR;y^5#@N8{l*{5*^hke2ZYJ(VjZc0vt*@Vol^qWC zvTlI0&FnQ~8Ky|>!DT^>8Gdwo7tzIt@kNLl6}4NQ)k4;Y+@AV^A*unZd?GidC1|O6 z8b>{KxqW2U*xnn%pgich>*pnfuDW5wQ>M^xCSbRFmT}Qkhvl@AQ^In&H-$s4QD&>> zSvjzoeL>SSLn-{F%4cc2_d2s-%NjA}8;$>`|7$aygihAz8j?VP2FVv zVgQp3I=Se4Mh;bf!W#hpvJ#%fWqncn-b8+4nH%yMtFE4>IxDV0>%sTaIWb=3h8ji% zH*rEu15|rPEh@4v=jv!^9h*~UD~yw(ux$+bl zt^P0&_gAlpZqd@9w@6wPWa%UCDi8ba-uF3pu3-zt@MEfl1;G)@r*BzK>W~L? z; zb?9d@8ep21c25^_<^IFedUv6ea%Gz6G$+g48s24iIYdbeEA2F)iPNoLCIO&-})E-`M71QuTM(Vbk zraj?+(c;i07wMI^dH_mvSHVob$5$|vcn-gNa}0V{5VUKyl-6-_T$)wTi?V{dXkHVc zJs6cacF+?gG?N`|V_1EeP~5%D8O9s6J*h-e)AVaWEQuAD2-m%Nnv~f(v{HWaK~;6p ze6RK{rz4Tu`Edj(kpVQ|=Qa^jWs@xyS}l*70tMZMFhvGwdpNPQE%RI0tO#P0b)

    zHXtLnPn^0{0&h_V5OamU9RqhT9Kt#1J&)0N32c295WmLHEKVn$463L?0ae^)QY^PI zj*K>lvy#jDdR3Qi9|ImoL&TK62T*!Hqv2S~rKJNc?Zl#lD_#wDra);T>?mn76cv7pfCGzuKo0O*1rz&H zjet+>VJ&a?P{m0TR2615o^oed5 z^8)809%)yRv`jgE+O|fhEeeKtUreQzU&9%)fGV!ohkB}@;aD^yoX*Iwr*S<>a*cCj3r4uK)f9HvORdRm@1KyFR#^W zrzM&AX@`^xXG(DLVW^Y!dUz&ziub%=6mEG=I!m=4>vn&z?nO|PwlH9|y)lx#@w|zm z#8SE+n{NI!Usl$UXn0cCt)u#}1`*Yl-E$GQY`#i`31n}QH$H7fF*I!+MIBA8t6jmU zF&~5Cn(V8MIt_WWm?=r^?IE(#eTvxnJ%LSF@OSa=@eb z>p#7LCK}Xh-#VeEx{S`YZ*TBZ_yn zGq|v&YnzS`*V8uaLPyi#Sm?OXQ=X1ol!n7`aN9Z}KVg_NkOCx6d~wYP`i8r3HP?b= z?!`=-A=rIcgsQt=_NU*bpiOl(g6{O4YwW(_(=2^;-J8&ThQq3slf7CpB5h4Aw{-YA zXXu^|e2lK4y=cz;YFtBjeQE{1yVRb6F=+N-8bGJEEhzZDTq9_K2dM3UarFYBN5^vB zi;bM9uJ>#LTr$kkv(X}=fEh;1un=f?cnF)8=l3*?5ef?-&~gS{M4>8;TU>`NIb3@^ zQ|N&12VMCQERGwDB3BUOn{+G#v|tN^k)Q-J-x4xb<*Y+b0bU&3Ygn}&SZ~jaxL$80 zFHB_8`yFE%HHi!hm<@X>RaL0BEoAT#4Kt>sD9#cus;1141|*fbKjB0_h4y0;kp#+p z(xjwnoCOl?dAd_){o2@E=1=D=Rd01VGSlyqIC;?5wTzU8p~rE1P(ujt4ND#0TShf( zAu#eF%OpDAQzm77kmdLkj$@JzM53pP=cl35D3%5qZ3*V2RXv|KSdD=$W3~A5q#o@d z7$K1{85o9n9jJ0eIkI!@X->)p*~K!lObs#ySb4U9obmZH3HH(;C6(+m$Tk}4ctGP2 zHQhlwr6f*m(4n71V;MxcLsO$N%#4#80WBV(^`2U5m=8&`QJs2aeySFHSTv6U*WjXl zGeA1xDRt2}%y|9=mW+p40)+u>iehoT2#iMPdWG0RpAzsykj$ppoFS`aVv=@rD}Nu@ zkHo+G>#nl8sa?_50i$;4+=3`c@@vYa^xZt9(FGLbd=@lPc0a@bQk#h!N&X7UnpQGwzC~sVG zPxCvPNAmXqx$p}5ujD=S0`+dAC@`rhNi-f`G#0#cZBhNv$ zCPv>7QTdXsEACm{C>Dw{57x^c?WQ#A+c0Z`#w|%d8xDkh27HjA#%DO^#en;Chmr7c zys2;5#iH{u+PO4IFcPN-T8xZZl>CX)q=Kh!n0PJ#v_Kc#z)1cjBXRG25{=tPO!>Uf zocZKRiWKLYCcdSEzB9wo?G?YY5q_FlJ&$eaHs+r`Rpo7bf`{qAsr%X~D3k9&n&ll2 zEz5^LKG(#@Bb|HW?ulg8=cHIdXxw?y7WY1t_;Zdf8WJ!8f>JjemxZHGR;B3=lc5x#QxKX>@(CP zaIO%{SE)x)W=*YUN|>Yc84N11Y;+VDMUSA)Z*jQblP0MCG)Q{C_{aK17H5X9TNYNC zGn8fBU7?(Q30cZML$K!!4kbx_JBBKKhV9>e1(CS&ecTP4lw2?{-v9DPs8ub&N$Hk> z==NG*#y)dSV07?)>pNFOLs$IKfK=fV{P^6!5K|fHY%79}seU$>M9VGJX$)2PLlIj;b9wSqV<&v+LX9oj*1I|k`!^*){c?C*7C7b% zkcon7{8&d(_ZjxdqZ!?#(`J?#^J;94OZQ#r>nq|@W%}A6_i6ucv+F_5-B`BY~;|2xZiAe2-*|rpG}>+oSvbf zNbu$Y()c+;IIRlP(;xVRe&^xvg^BvOxpRi;^2dP)7#+y{;i&(Q zO2t-PL9Az>^+;RS)s#$yw18f?10@;PPP?;w0KbXRsRNr^Ga+=hj>5W~IQUShj6}%C zRnsn}Ffqu3dN-HMR%He{VP!HGi%r%Zl}|I6i&{(wiVif=ChB0*((Y+V9QP*GsX>tw z^q~sUl5jyz@^+a_B^IT40aZ?d6r$|WG;_9L`W6L~ zI^m>F4alA#tb!Wj0DMz=huSqsRUQlugtaZXl16e(G9jb?a=}}AErCaa(T$>lu8o?T zHB?K<6lzIQzUQY?m3m3~f}1s5gFSaWnx6r z&Ps;-rlMKB%6{b`c3Yh;8*Sv*%w*eO#+<=rg5VLyL+`ynCTvtZ>(d*C6uT9u;x`Ab z(`b3ADuz)*0U9R2X(8#nPi81B`SV%${VTP(Op!!9LP+$FmTb%5j^r)kMwC8B8gU3IQ7GJ>%N~8jf$VKwL;R=bxxl zeMmdwQz&D4n9zE3t5jCY?y>zr7)|3l3r^fkUNI6rtQ!t1f-Yf&dc3sz}9Sd&Be4)YwVlPW(|m-o~I>IxZWjaH)^d@le~&*`)g})*?is{v0Ef z``F&nv>6Rok$inuM^o!+S1@YK!7v#{J(gR~&Bp-;t~?>dS_p!DpOWAd$i;trx~<1F z6BS+~379h^@|_i-8~vla^=Fgj&ly}4??jhMSq{Q0WfqjQE#MesCrMx_pSbKkvBcY1!+HcMGwO# z_qpmRt^&PBPMy>SLd>{ zg@j~f4$HiJ(KxL@u`Me>p-Yda`D10>v_d<=&vb3cdVsCryM%V-qBu@IEEfjM86x}p z!9>70zC_O^&CD4tbQuXprH`+7qV7me z1tw&Llx+!KMeKMHO9Jh|MJ)7~EBWn!lMa`vid-+qxWLk?BWGAYRTnS-=j2T3t)rP< zy3jKtgKy!@tPQmR5b2TV1B2${4PxAKdKZY&AVh@-rZ;i(fE;=^)g?C@6xR2M9U zpGVb^zQsQMf^k7v%QF>@ z)06AIXk}@`Vfi73kBdlv#%;h+{&j6g^TzsWZkLvy`WdT1f6c6F!Y}Gew+p$n*eX{> z3}IqC*SZ&^g*Zm#$x{MI^&)V3Bio!~6=PKAE1Chhz=fO?>w`gE3Vyk~%la2?2|$EC z^iZd!NPcoo^4qe4N#3wZ?F1%7=(3>Z4f&2wV;@*3#upPwl|3 zgIF6?#vLoxM^V$K<>}sodY=`iz`i@q^7lQi&nbz=Sj)4_vwZWm|_-s^FreNXn zv`>BV&x(+ShIip-0=R$ebYk+SqAddV(Yytg74EbHB_!ErtWb+~`l^<*G_{(xz-taa zioY(LeS}OOie!x~!nm5fx4wqZX+=@S8~LS8t*d8S$Pq$~rE-x8OUQ@Ng6t7Xj?7dZ zl%GuD>cBH=CyZK@`dm=dIdJVma#k&zevvV|=lITAT^C883v)|V=087tE^gBf6GJ!g zqPMhX(!S^}NheKC$Nbbp6!~cvA%o9{%+Wd4RETSmF+r9oP4~>xG$Rk6Fj)@dgO`5e z&ZG4^gWa^y(l?Z18eW>Iwx_`ahxeap3>1k`s|3e8P(EnC{dcXISVh$8mfc@!pc6FH zf~Vy-4^((9xYqkByXOZp0xBUy($;8RS3ldfS8Y?E%P8(%U3rY@qCfOhspJ45z z0oZDlZ=mvYN`fONe2H^RCgYL&{%v~%xfW2xFM_Jk{!-a)8S+dbe>&Z|qOVTrSU& z(sn#D1zFp)f12Q?m`Be+WPf=toTMVY6Bji-O;d+y$2)|eaoJnU9+gR3x} zG4pw7Ks%^gy(i7E#baJ1TrU}<3|ZNPI-^gHXH8Swxzx$2Tp(MI^La9R97D^LMm2tX ziOv3ipA@fqY0n$2hO~e&YfWFo(khoNK(3zWU06OikK9RgVTaES9Fa@$aUC*dt_cJA zFsC+0FNgNeq$|{SaEP!ICc~h%0#1JVOR%^pQwMtWo5)zA#(e)nQkG}sFa*qrG(oe_ zedu*YAO0# z4>tOx)rb?pF8KC|VbK2z{|bl+3+IKC>QIk+_cIlIZJ!x=^t`NLRICM{Kl;Rm z$%NOATyJrOi*NZ~lG^>-=^0j1sdcq{=z57c!Yw0vUa6UG>bF9z!PZ!%tV^r<%Bs{S z)>Tg)maETxLL;|?q;v~4t{j#_U0VJ4_I0u38Om=xKN!05i5tiQUj8NGAU~%}How(% zTAm}SxxJJMm<*W21>1^G1gfW&pocF~4S`AI4`0k2$7meT5O>-cCa<~$(jD|n<-6mR zh8ifR4OJxGOulH43Nx>$8}(Jm+QVV``-fjm%q}?;Kf5sQ43%I@-ig^X(kJg2v%wDS zQ^uM5_~RH?fi<~K>hgB;;ov?AA%zek8@40 z;?3%-Z7hAYNry!jQ0t7X1~NQ^sz-;#_G*LA!hs{@*dj5QM}P*H%&#a?edoIVAGq-A zho1PIE5+H&f1c?W#r2M>SPwV-PowJ$DT5~~i{{9Tz&gu{<^q&k~&}LrEtj=7wXL+xpC6USej?H9VsYEn#sO()} zur3TVYzB{yH@j6Ce;BF{w)Z2`*xTO#( z5DPp5a%4C-qCzD(<_sf_L|cf|G#ttF0E=)y#^65(Sc#&LE13cVoyfg=(0+PT8{Uq1 zaf+e%$|S`Mn_Q{_G15j;L}U}?EJD3|u>7~1aJK~HbPq7@ z_Dpyrs`QyGHTX2jO%}Vt=D86IcTgSaP|H%lwnI9VfGR)7PmCw5w)Ws?1}y0_F{z$b zyo4V!zsRW?Sn!pou5$)lOf!9}p^BOhhQL5Z|DUnRXz0qJZ5RI*3T_L8S*;L;Tj+yB zXx3xih|HT{Fp5i?rpys%)q{Lr7>qAQN8(tK4-xsQ&=F#B2`jCO!j8Io0ZY2i7Wl%#%i>jb z&{Ft03tT@#R}ZwBp2pd#saHz{9|O7BIYWcCzV<2Z2gB&=-4{u5Fna&sM>lP6D6JKL zS7;o^IEx$IOz<%G+iCHaH>{WtbCJJ-W31-0fy3mQ3)6Xu#@}#cOvokkGF{g#UVqEp zi{IRey7$wQmOECMapnw84qKxCVp1AMxIs8f+wD4LV}BGjGHUzesqQFxiUHY@0AT<% zHHRHPL0#-`lY!foTfd@bo4)#70F2#BtRJ2fjP^Vc$s^@nIoNlPR7;ifX?*Hz0epIu ziJ3F>Pd(YAb8MMCj)6nj>YTylv_=0yF1Lndns4QA{kR6S1SOFj$LN*lsuPB7|D9oU z07|*SX-u2G6R>9}cD&!N=jusKn`6kC_>%!NQkJ4M7HBOv=m| zLdLo4-3|2adR2^_UdQwgMKdF*K;6UFm|OGOCzbGpyi@d?QML`0szpUsmX;qfWm;Fe zf>C2GhPD{lEh$?#1&@eMN1h8q)Lr-l9qhpVvGQ3D{IST_P7YFA8=((d$%y*Rzr(A9 zZ>5z^a#9_6qf=UD&YZyu7V2xCB{#uw$=-`;Sc_j=cIM@67}r5G@xB@Z)kllOf}Z=> ziqNjMk<(iww_J4sjiF@21Lj}44XD>kGMDq31K(bHk#W_N4=g+T;xv0VCh^N%m zf9iayPOhf=>t7`!K728w|D`q>9?ZoNt;JhFcHyUJ(l|j>ru`_%eiq;|=<=_jdiz>F z+I^TwBapSef4-JpbBTwEp7@2ha9+?ZrT zl2)V_^)n3&?SAwNc7P5=7KXsx$?yT}vTl=S*~X`DiB#2ENNHu%UQuFO`5AsK!7*iP zjO|;7&-K(J?F!aE&{C;RX6T$jf=H~E&^|3v37!p@@KKBklf`WYw0=UN#}YKcZf zngoti7&uvIgk}K$`CyHv7;xS^Rzow}55jazY1FYKsB-ad7x+XE%iQA;*#d&7{^>F5 zLIPrPC)pS?lFI0-j^+TUfb-^33_Gf$mnuIkC5CaNrQC#dT=bj0D!u4=D2{OZBq^F@ z#W!^@)+mdCMd5Ync4D?`o{|h1(>)lm!+$#2L(`SVE9k zv5K6;gG<3j2ZmF5EIpuV7W2`(zV^9YD*+R6q^=|aHO*5S2F4(s!kyWJn(i(7E-TB_ z#is9K&w2_u)$O`W%R48PReUY%tNfbLo!VHLJ5@afI2r<%km|AoASXvtcz7sbI)+%1 zs-<9cvsocyt~M(U(*D0}u+D~P=rIEH8fmF6N}5=LR^`uujHk=y5qd;sF=!AJ zKJPJus)@^h(2SyXMl-CO4^+1!G~C`mUie|#1+U=H>6KFH+aGo*%Hs9PhMk{epP^5_ zzG~w5=zL@Bpc~>w^s=7h0ajZ74cutzChj?VlOtb5-l|3hP9J`s!EI|ME(=-6tjZO% zaq_gQf@Q<%%6PTAlA)->XB=rIb4*EAFuzi*K38*66t*}!V?sArw;eP{SW z9qSQpV)38SIwfb);v9A+Di>x+F=w#p`^kho$(^$Qa>ZRTD6TSc8bg)lhh@QPzWn|k z5leuN-@zxwFb=tW7T?n^&DTAUnTX^cb49Ra_Nw@ZJIo z7dT4a4aEKR3NxYc7X)R(^^!Z*Y!TLuI6WBNuVPaNVs^o<{CS5HE{}=awFvtr)1fZH&<3sBpC!Thv$-LZc|W_UR8*XM=yd9MCMx$vckF%&7Tl4j z8^R56+4Jd(%@D7Bjy1g(eC|AtydFZnX&M`yGla|;tjZnWlkGEnb7Y2uJ%wX5)1o23 zWsL(Ind?~1a9m@OgZ^%!MX1EGPaGS;5~Yb4VW{EYRU( zk%)0PB@oluM-wbWBT_MfM>5>>o%7U1h|(-CaK zHv`3GV64rhwo}GGeT|j{hGSR)4lS#Pf>VxwF7mPJ>}yA1 zIP+V?g4Mr>LK{J9XQPLav?aNarcUkyQHyXEYlJGTyppxPyJOX}= z&qdg_x@}@Mo?1LIU8t;%9~2tJXLu+(?4db6%R*6FK^&TDopN!(T2`^2iYg!Z_diR< z8PK^66m_45{UV7jUTrJT zvg41P5RSmMxBAnLkfc;q-RwXQJEhmXA=o*!@AwDD{%S?{{-yA6e|?@C($8S)+PGYN zQctIzRtkRam-)c^Xr0*j>(D86<)nJDUphY4Im1BdU>IsZ_l!!FrAOiE@iqAkb&FB! zd<^Cc6<(b^ba0jN`JxyLf|H?IVS|;A-yN{A-W3dS!-+dMc08*$EAvKzFNl2PeL@b= zJB)9gP+I0AtbHk4T@$nX0^MhLhYJk$#lZM7?#h??E9qtGpF4w+(%L)12vt?ab^@tS z-cn|l1I<}Y{V06Q#U};&u{~O+#dr{|I1?#FpPY@DA=GuBA#PqClT6iD2DPTk?+oqD zP{`Xg4@n0fx4%8|XOrHQNdB>9QRMd-9`|v&_MR$6Z7Qik$ee*oiU-S?b0Kqiqii@; z(^a})^&ZA9K!2abvX%>>NkSyHtH%3i>;zOvfE`ebXy5shJe=AWhULxRbLbDIRoS|$ zKy##5(hEMWFwKN6Y@#)v+?d1BFc?;r!o@bDwR%Q)Dnh?=nDgEd#C#MDXRR#v#! zOEX;I?E-qrL^r!Kusp~H?dL3-$>69Yq#-dIf$blrQMENXId6IB&lh)^JoH3}jQX91JK<=L|cy zV_C`1XDzRiILb^yQ>B@qevZprvO)Yh{A`Jp(7GVq5+JD)zufI~J``nJN9u!GA`Zhb zHp@+Gv*nz6Ho;)A>cOg$Q#~FtFa?Er59^do&=Rl^SQ?{(H55&WPG(BU>QW9FB4JR{ zlf;2hjdhBVY<%e&v~X*FBs3QjNng%r39_=d>?SK~Dd-an;p${yM9RYfWn0Bpa(*ny z8wXjQ`bl2EreLfJ0?gt}vf^wNyALKC)G`bBP{g{pyO7Uf`SvgiYtW|+p2a;@TR1r+ z#yE5~(jdDCCDtxf>T9Tr-8#nv%HvF=eYA*%W?-dnEuGF_Js3=nu*BYT+lJ=7;_*kFbIvnu@wwnvgMTx>`LGCFL}CGnk2yWh|ms zVW5s^X1AN{&5&*OSgLtclBelj+<6k%Z}GNjb(!v40yDC-p$nNl`#l@WPr~H5D2Z;Y z-yihImeaYX|6*R$sVpy#PIru0UT<%vK?%{09XI9-%%pE+92l;oKd>z~5zi#J4L6hd z*STJj*lWeQr&h#CnS0pXIU|GGW3zri%faLEbqyNysqwppnNg_kE-uDs__uu+LV|;g z;nEzf>Dtf>70COfA>F+;c8?e1Q@5OMc~N#oWR2D*Ph&n0P^G9q`gHslmeKh<)gzO| z6-MHBj`>%|j>;>=oC}U@vIjRw@f~Ywa_N{8_smVwwfQ9!4#SS-)@g3YIcE*j2O=?z zh_H>f-klr2EsDD0^Is*gUN0$pFn7R@Vds)R(yqFMW29Hok1}TnH?abhd^QXw4xA+Q zD?cFt4G3d3TV1x2t^#bA-b8JCr{vDkp_m?ISrlMW|JDl2Nu=10PUqwj1h(>TA7TVa zYgGO0I_b%+{qt_*DdH}h@`>YK^t`l79z z(qLRIclGA7V(doZi47W{% zyrR}TBG8)jtgn;09RHt|3`E7{Ybj3}23zBJ>YHx0smiiYi;Ux=T`8U#Am~esT7E;Y zkiqni8fB()o#L2J+tjU zORQby*k|Ae%wl+F3qu!o6vp;uPdzqNMjaA;Zj}q&bt58=pI|~p&C_ec6#rP?Js&*u zlEg3lEv=w-kqrm0M4EF@@3(FqIu$x4ezOoxzcJH(NVC;|v}yS-dG0~fNq&B$w4|KS z+PIHiOIsaRMu?puiz?RNRU)RFfm2|z3P%_fjP=4Ho|fMxzBiNc7=z`K!8Lkmxi^$? z9}6atQ=4WIuw6pswMZzG2=uXnQNjJ1q)sgESdu2Bh+wEV?)bR404lvaeeA)0Af>OGl!cg zX{38;V0Dmqtq#8^ixVnniYm$lJ2Jje{0%}Zn@EiS^B{%F(TwPg_?faC=!-x&B&8nl zX<;I0!Pa~GoR3x2g+dxV+hFT`GgRNw>hRz<2VHIpD~%DPa#|P+!3F1w#RMnjbRjsB zqFBm_^|EQw1J$@uXC`8lr3kHq6OT&HfTEVgzrJb**+sViGwW@c1wA`Sa50VwsdouAb9 zX|^sZ?krDZw=rk9lanf2pbo%%$2t!`%35Nzh2d=+_7Q5f}Q7tYCDyyqE(Gsciep1ubd};MffGh@)1+l;g(Yw)%owIW|-mZnGH%w1-vYuC73T072^Bt0b< z(tB5eq}GgI7c&@VOJsUq+t>N&oMC*M%*m)G@)1u>Hb0IF2f`Po{5K2_`e^=D!0&w^ zF^{b+6Uov~v%0cM_L^t?oYC~u<6@i>cLc>2PN!G&?h@7wS+L?WR->Uzjq+?Yt?GYq?j=&lz$v z`LqB}?PhaZoBIs3{`~tri;48rVpnaDXhS8?_-5H^Bgu3jIy6}@K5hHH8yFWD$@Hf< z?SO19j;#n+QQU*_bS@}fykXckW!wduxT;1APl`k@gqzIf4EDlzXwCx#=10#5(7I84 z6t)~i>08H~!InP4l_FcM zggY)gUh=usi3&bd>2dDu9~$@fm0tTqjy+HIQ1pP&qF|iph6J?@?OebGpPw!V<0bN6 z1ANaA>r^E~gx!sv!3}m;pV#$6XDZH;!5)F>-4pvE9j`II^~5UX2&|)>|{dT-D`f|Mo%s)CfeV>d= z24#J8l_IW?60dmKfFYAjpX6NC<0m-vXU-7W-8t)zIJ)Z?B^}1sF&SG5mRC~i z!~ZC%j0`jxI#to<4AjqJkr%P}fXa;DZ)9v|Vk`5Y`ok3r?oxNI@hU^%F)})NKBAhBUpqf>u zU7IsPr%YP|F+;Mo{XW$rd_jXhG zuMuNk&75HrN+sk`x%$X3TSW*Q#R`T~$rb~KNZFhL#1UvkT>*UsX#q4#H?Ul=JE+T? zeTKk?8Yz=|zaelnA-Z?T4k%fc8(2|dEvzWpq&<}4^uO`VZZ<-m|lv&vcTj90H8es^ti+*~+Pl(}Far436O@m9Yg`L;Nb zy{=13Vkm`CEdXLk2}NHG1~iUG&KX9O?eOIj$KO~#?{z2N zL#1jBfjb)=FlRWuaxx|JFj%|LOYt$zTYm2ZEA~CQ%+n{22dXpRb7aUBH%PqyV(!P^ zWn6IJ>My=^@?7`Khwi`pf(+#d6S;$3kqc6~Nc$Xl@%YMH-N?VsD!M<=uT?$#TUs$P zE_WRt>EM+m#Rk_SNVao20#w0TCe_X|btGp0I_UO?b~%rOcEAQEF8}KjA2z#ped)z*zAz>69(g6hVtogC$2Bjc?4QR6ly2&D58l@?;FvR9 zGWGL71-yLOD*~fwyFGo8O#bNxD@6u#dQ8T}zmxpujuj&xyXKYezqa+L$%4qxRzF%* z?aAFLIk>svhZ3Vj<4Wy4m#wbJiHB?QAXxax=#`8|)N){hcdK<@Hrs;^yI_5&+rwpf+j`M@GsV#WxvsXcH7TbQNKzB z-e)LM5zgt9sWRUQV$R^F1{bcSn`X{1NtN4KAI>^~?p@MlAIb&E>(_=u+%#`p{jK}g zUT{(Lg~$HsNAGT(BEOnc8BaT8WI20wr+^h~{e?v1ims_G{rrURmfe(9zMx>yol}3f zO0`mKR1X}-0*t)H{aa4XX5VtJ3y&|6#nd@F5o`>tgh^=#s#=bZgox8RmL}K*fyptV z7iU zdsZ5~X+E?s{rs-C7ArgNyY{ocVvgUM2~qU|;8^axVU%R3uKBF6dTMH4H@cef%g-j9 z?lVy36r-X2JS=}Bh?5HO9cLeleKM&-Wg8j2TH1i@BEN2o*CP?VQ=`Ks zV-UN_PJ)LT4r9n$1xJ}idQpa&LeDnlY+CQ<)+K{){KE0c$AipY!;S*2H*$eEQ`Zv* zrAER>@w8emhJ1u@P#MDEX8$JQrP;VAv122jxZ#xp!Qf+$-+$8kS~8(+rofHs0GRop z_0%ZoKK;(S2sUuhB!p@x=o6hSKD_tX3m8!78*!9utzM*#r#$YJ4-27yk?B)}& z0zQC@QNMH%C6>?7vZ{w+KpNNwxWPbm4RxcKlJnSM!XmDG40p57;dn-pUT?nkXTLqQ zr~Ag(;~s5P)Qg%@+acEIdNv$7{RGhYCfu7es(CFv`-7^FZgdure$g2aM`KnkRtwU=0V3D)3^kkJMd$8$Gvuq zLM2tQO*2Qu&ndbDC(HKuqnpNdUhu{BR6jmW*$!%W18^!BZyu|WW)Y2+CP+DyqdB5`i*cCjOIK&>8X49c)B1D&c-Y{U zP?Bn=^pAfKwb(!ksuIoQI39s!k$U1dEN1aDT3w*;mqoF}R{i?oE6P9r^2m6{SyNZl z@ofQKvqHXSbtNR0Q#2Zb9hRr#r%xc{E=6th`eh1N;{7msgVM|XAoSp5G3B2ShHMEcU-k zu@H?5DK|soBeePL;9-Qhb07``hc>~e0O?V}&N zN^@a_u8Z~+9;Zuo9l>qI?MXRz#c85539e9$>;04e`Lj2C_{K{4oX`9PL((?DK11k( z-WXNg-@GVtO+3TS!%%dXzNyk}^8Pe&Gf1CL`>dMlv#4G9Xcjod^n3Ck;yX z1yPtfHcVvvL&0dA?6a$qs$#W(xOxX$9AUqkT$SeHgSU1_-{8vz!1^@rd3(bG@TLe~<$L$S~~L;0j$ zsc-5t@+UWG12GXzUik?-b;{wQXu6htn3~3yQ`5&f5?OVcjRq-KIu+=X@4fVg4;@_n zle1p*m;a`XOe-j{&rqPf2{%vk6|_xMH4`AXipUI!zLNZVJ!g{~+ZzP>3SpJdjc)+& z`GHY%jiuBt+Di@o*yqE_>!u5mPIBh%GaDUm+?h}p%_tg7BU(c`_#X_>wm|5!{h?iR zePTAdGa{OV&~VZU>fhyTlFP9|mc=)&V?y$r!>zqFF!sQZbm?8I6bCC4=GI)?|GhQ# zZ5v&!={U+r;^Ho9MMSjx4uLk>_lO{va(;{A8-sXJs;pL&TXhrY2q>ejEWv58KA z@8}X!+oaYdgFO<{&l#rCWn~2)GMY}D+|Gzv!kamx5k;KNtsfa<#=RvlQSEmr8XBSg zQrB%?r4|GX{wN4ImxPM@eO?O%S>od&dhjuZ0HaY*(b3)2zA!in_@STn4DI{)n_nMm z6Ve*iP=bdk+6obKhKfqA+X=PF4ZYmECUu|PE9e7uiJ^i@N^2DdY!`d%(nK@^ZG|0$wpHs_{LG>{o zv`Wx#hLl_may#BM4JCMBf9NI+S#Mv_uKwOG&LZz1x~2GX~!%X)L&{QtC_4V+z7b?DbV_uQE?lSy)BGJ)YU zdnOaOgb0_fia=%0WWWJYFBml{>P-w9kXM5RMG@RHnZSss0|tzi>IKn`_|b%djcDs3 zMt`Z#_7^Qac`df{tZjLe`l1gsTD9-L_PKX5A@h~cw`T4+`(y33*Is+=?~j96*nrbx zoV0evg{%C7W_s$;2He5sU!w2dO|U{l4s^gRMc{VJm_d)HKywm?5n<6f8jIjmZg`ZE zV@lR9+4J^OR~hnX21t!%O4P0=)vqr|pWYm5GK6HC+;C(35u*i37d+u19Zg=|N@=Vu z4-|Laf9>(7wN@8?>5p%ju`noNn-7!1UJ98CT&z{sD!)>J+laU~sXfDDg7h(H7DbxR zhY4QsHI!8YFn;bF3~@O< zW28s-D`5Fy^t5wCjANVe-d{}|Gcvg26+6!UGVRthFeY$A(-T&4D~?Ep=)>1kR7Fxy zvM8#qwbr8z6oR}gsBmzY5P}lMYq;21jR`GHyGbB*w+gODU1o&^b(TQV!ID>^F~6Gh zs|ZekI&+bo&LKDTBZqBWHX4FXCLVysrgEJfR)MIJkcC7$iX@!UDv$vhNv6@}RcGr& z@PMDRS3OMdD56nw1CbQLD!~{+Ad;%bg?vuD)6|mh&Jr#4UKDEKpi@rRiV;~mH~oV# zGEF)2gF5aNPa%em(e}qkvomro4s*jF=sf>r>y$jip z6)ek2cx>VqqAhuvJ+SA7or;JUS_rpRMkG^8`PZsOjV}_Zeys|~BHJX#`o%hI4 z9526Nm(>2b>-+VL7m8|5^OWC4X@EoludN0ET-c>+Z1wzVWDE7Vj4 zj~uK9)qGKK`Uo=XZ{Z@5j(GEmk7AWK8_rxwT0s!MR?4fycX2e~$>57kl3%e~koU;6u-AN#}3 zn|}3A1L{3JM4ov=devFC&FffEDF-(75vxUAY+YS3W{!tb$HN2V+-Z=U9>x}-O*Pwa z&D6C?c16q#FS3Q+bOO9-pv%RyA9`G&Y@7}yBTFYJsZy6Ro8+xG(240oR#iG7i%Jw_ zP<8t6GLjKI@`NRouU=$db@^96yIzwy4OHn1CuCv8z%r{wLHJGsN04MCAoAE$IO9BP zkyj7$91o{)w^}EkT6x|lA058qlfV2wL(LwyL!b(qm)cxYy!HfWjEMqiS$yr2(SM%(jY4oa^r0mnfYxc%+*p4ic&kSB(ZtoE+tdw2 z^g^LVCweIBG0FJtwIubP%2BsDZW>J{R)=yqT?CPQd>|glbQsocrFLOd*-0{@=Or%SowZuyNZfJg82IIaJPR0l6X5MJh&g^f0)9g0LiY0&ipEu7C zNh{yGk|;Zs=sl$ODI2#&<|tlt0wwleZZ_!}EeT)e2h^^x;Vt&oI5*7y8G)*@@ft)! zSAV@(hQG;;*q2-*RVOFoWDAVULA)~A*T`e(1C(+MxE=0~G#XG_KYv+3y=`Y1F% z`6streCMr?fAu|Icv$O~X`pq?oX)ua{j8gyh+Ly?%Gzlh8i``vDyFAQP^H#7`fbF;3>_q{{QV3}UhYRTO( zQ#L!Z#$0jio<*8X=CWhAZ>Dc_l9l4<5+m;ML59ePw5pAG?Y5l10bk|{#`Sbct=ZIt zdcED*QgU`YBJ%3<#^Q7T?2qKy_8F35rAoKi^|DcK0{Tp?icYPzXF7v=w(BG=3%6Rv zPjP$cpy5%-Zb++s95H#lSN?yDzx1?@JL3%>dh%7|*|eawjY8R6Z@B(xF)a;26~g5) zj$@xb`WE{bp2u%NFo~@p4h1)y*Qew+o+YHh%Z_PM>Kz=bO=yem!Z0k8Ek{SCSA#JVI6OjvG4zK>0w(M-sYE-ZeFNIQ)CcFuM@KekJWPWMst$CCOx0nDnIA)( zsFbG^bSH0%RnMjaTSfWQkK%KCSWg&42gx+@fNV2tI>XoJU#`GW!E?0~VM4CvU%bN6 zIkC;>4=2aVPBC85riXG%P{0j@kb=|s+h|RuF`z{hvv^daE;!%SBrVZnxW#ykqO*=Mf$<&vB5m=-qT8WF-VMHSAL%4Y3x1O8fl7DyXYt4dvBb-*J$15Pl# zfQO2U&T%?O{#zKa9IQ09=M63H5hl*2Crs+I1U1tGBAaBGrA)E1s#lRU1SG|{@Kh%v zAnD{gIRO9kWiaXVQUKfg%_TCl<2}9Ahn#qqJ0A4x!xqk4&=z7mLwxU@N_>&DcdQr2 zi3Oip>}4Vf+STTLQ&pU9aIk&pv*1*(<;Of$1aBl4V9R$b?8ZxpgT! ze(FH9_6(?x8YkLQA{7cgJhef3p%53P=~D8UuOE>Ov=)(^g|*+#HqZT z(;O+4mbCNh1_vnzi0)EXg-GiTeepuzTo*m9+Y-h(?Gkg!9OyJbq#NS}&phB*!M~+~~7XJ$d)*&WV%1{(9%XvQf_k>_Z;A z8INR}aelLO7Jqut*xkBO9B?{a46|`us;o+?OhfMq?v2MjFUaw*AOc$z%WJ6k7PM9u z*48beb9#+|zmwEG^2FW!i8nr}*waCB>6rySO2n99Q^th&6J0;|>WpzJ&W(Aov!Lt9$ z=>YS&)->}cQ-7>iaOj zDz2tpiD;tapUyFU?LM8pZ=(oX1fL{D5*4j$*LjT@plPMI_di**^6HILXYYTn88(RQG4>k z6S{TRk|U-6d&!pNFWNHn)tl)4PZx=dcI^{$Pu9#qD^RRbT)SIp!@S)+XA6f)7epiG zqpbggE!@I_FFAgWSo__J)c6A6MAUP0{`WEpmE~90P;a zsJ8b6SpK!voz8g9Z&Tu?cCx6`8J$F39MtG^osn+G*dtv{VVmh((Z#Xf;(M#ToI2V{ z&$_;aTV#Bf`0VDmw`reb$f|4YEvYGdHX1Nl!9TP&Y!3;=(a#lu`a602Q$AsND$|-e zG;)b;b?76o?`R{88OHkmy&z3lSluNFz}n*Q>)oe<@dZ4nDFCb85FkEUpYwyvgV%bO zBE!&~6q^z$sfFt`V-nFnp zuX(`M8?A=Iwrf0`j??D@7S_nxDz8>_v5N-4Qw&qlPE%Q2@Mb&>5+hvfYzFD^hOPce zy+T}<;mNDZk&sAjK}xyv%+FNM4`y_SKruIx z^NbUq-R4EA?2vT`1!CH(51?~>NtM9`6I}r`+`Pbo6&skQqZ+To;*~+C7fyDaEm6NW zPFh0>1G%w~gkU_3hn)^LA0%3*QG(FcI~~%&ZX^!1UbPli_=qUAUAop5-LGhfthJ8{ zD%pz#6$iGlfOG-i`&=CnS3^!uKsU_n9R0s3-5k56rH!`H^&py7Ow`D&` zl7WHw@a`jqYd}1ljCJ21%hPabPJv?%!^)E@+ETd*;dt(x9Q|v>BXt(qLXW=3OY6Xk z$QI_3<_VTIzx(o3*BL59kqe==nM~~aU9w>C)KQ&)LwMm9hCD|&C}rNXoM|QIDq*d+ zQN4$tGd#|dtJn*9e@BmGzfVj*EkN=;QIBF9{|WK_%Z0eS_q5;;NR%2^#SHo>Su+Fm z=Q%5zDrm3nL?jcn&3@>;)G8nRz*woj#wSeGXI6lQdvrmvR;z+^jLSOjZ0;E2{cnzEH~D``U4YnPvLfay~MWy^4>goa#$kP8?DN>skJK6XyNu82@+ZwN9?!y7A$jX?;>-fpf-)H0v zw?LFY&`GwOG)3wIPu46Bi1)A%C zU2L3~)4fmr!_%!Sr1}{vE;k9^W6x1$de-pRl(i>*fE9l2&ToH!Nl5g@e|NRW`o85f zmrGL%)|oYGN(O3)Zn7S%tz@=i8CM1E$7?RAfuM-tdP&*=dAL$!KH;~xVDVuKca6j# zx%jf1gYK)x7vq(Qtb3vx;|qmR*_CWsSaHVs!3D>6xKP>5LDUsD4UvD zj5#G&)$1iiE@JLrxZio#N5?XU)_q``r(l13L6-VIrZ~R6u2Xf4IbN}>a=oq=PZ)o+ zUuKBCqssnpF@fHTE)U;xwb@=ru4ur}AJ~#N#jp3c+l=(@E0E zGy6Qnb9STVu1*DNIjgF0yTu^Y!4zC zMMpr4Wdm|`1z25zE!9v}foYOp4bkpZx^)P=g>h2D|W?*bEZGubINH%dO1%u_Mty>OpYH(4u$1Q4R3Rd0ipg!jTg`rHbw9-CD zWW}(dktPyb6|ecCa;Y)16WD5FS1ulUffo6P^a6YOnhW9#j94RK%<##wqG$TRg=;oi zha++!qzyM}DJ?Ay)DXSmy$}fFUKyr1D%*B$Qj}LD;JYQWR7E)tI_Zyw=7K=Bj%fg@ zq&URm<$4IR`!Z>{{v|thuSOg%B1Ob-~Xb?7_;D{1#sm@3d{m z_~}S-4hWIhG0jC!WyI+w0RC8p0rFlD?Oh(FIt7JNdGR@f+@j`rK~RP71#^*@o`j#OIfoEHzb>kFoP6$$Y@XA0R^1TTyCLgWX zS*e;eOI@mC2Je>Sbp}{7g2l%byY<*7dUzs7ga5RU6u0rE1PDlSNg;~ddEBIk?SYFD z<3EmCTwt9%d^ApUG_}PJCbi83Rz0pre9$R(ldR*ahh4Jz=04b8u6~YDL^04gMb7FQ zRl#$;WJZm?MD`6U#=OCSsSK%zJU`KF>0Z+9Sy-PB;7Sw!xUESslRxy<) zG+O#dlw5I6i)Rx~{d-L%&8E4blU}s5|7O^w@Luj_aEWpM2(4W@;lCWx$t#Q>9Kxk_ z?N~2vz2n8i-F7Zwdr#8sE^bQQ$1Vx&oL)jOH>BIR18GBRQakDbV}EbJY?1dSKDp$F zOd9Q2Y5I_Zg~&rSRw787ZM(WJx^`coV?YIT?sznxtfsfU9}$y*_b+jDefs{{nF)?0B1lq}Ny{j8r#W zmQ-92W``sb%bbzlm9+G>8ripsFN}48w>xCI9c)!ml!R}7JE?w!UdP)c2DzRZHOvHh z24;kttNKpD@Nn}$y#G9^L=>{Ahoeu~In2WC(_FAcu9x)S_~ggUUdFCxBhEgoRPOlB z0emaVLi1L}3@MR_?0=ME9WO+q07GgA+#9sfI20-}IGilKYsyiWWyAe$~> z4bSkl5Y@ZoG*(X1m{ImfYI~&7xd$|B2QcLtn%_sQU|pI7C4-kYU=h*UgKh1KSxH?WGPSr5ad?X-VIfFIpc>M zQv!v)4&TebFc)~^Ll28wPm*R<6-wS%7X)4`g7sxTXur&K3waGBhQn1>Wbo?locF0((yVDfBzIA=iscm+!xp&5k3~Y6p ztD#QS_b@+8s*+*jLb)4?)man#!a(O*XkC4SN=;csnSd4HIoksfGJ9s(kP)lFqzH(c zqFQ=Lc?ee|1&wMaR*r3OmgT%y;!}&B4(b)l9EjRxgE283>d)xgBGJ*w04jreW2p=U zVJk+y_=#r#nDuqztgmf#-^47aQ@xCkVF0b$B4C&{Q1`YQ*)~6lGey_PJI^%n(1l59 z;m;O$Grl;Aq}VO7@0a`J9OpH{SphOznGmXSM~W>}5P7bk3nh|q5*<5Ro6w1u_NJoL zPE}H!=2?GVSytd^j5AMtFpm5++-mu*<$HpJ6=x=>Mp|+ht?p!oE#0B=&cW-8kw*iz z!uS1#R-Y0B4CU398ofSd1*^U{^7C9U?w_?1p9LYeySvKRK>egM`e~7=vkO@xzB+Yz zvvYu#fHKdArY7Q-?q`?y{$+dy?ChbiH)-tj5?|=M+?eW)Wpag`gPdm$Z+%~3_`bri zouhX>?aWrw%fV(l=&M4Wq@xAz+u}rSElG974WW*6{d61CpYsi<_JLU93mK(6$l21z z7Ud;l)(BtAVJ;Q@iyrs>{m&Lmg(P?~Z_wk|i|A?j15i4dJRjO+ zW(Uo=p^ti5m2Hu${x?@5p2_gQfA92EPO0>TV#b&uYFj(*Dvy8v=uwp<3Sga5RgoSh zSjA^9UIuTVWnlc(M87)GDfV5`*)B&-%!6g6@sn?)u3UqY-?DkDXj9~`z)xYCE( zLE3)jsrg^Y9S_F`F72}>vY$Pb&$_L#X7H~zi}W8WGWOGX=&6^Qj+N7V3E=uZtI?yE z$ia!-_()+){$!a}-YI7gtfq}_2KiA#I{fS0jBV79xK9rr=djM;Uc+ihDcx?*GGV(J zTcZK;S}&rJp>JJ^x-ymnJU?o6Jop_EXyF@gE!8*`pbLgBY}~Ip$VSoJpM3C<82PP9 zdTOtVEAoMhe8S3#$p11m?}n(ym8Bok?RHD$T~S8zusbr|T#2xrTEIOo$Kx{IW(wDz zY}IpiI5uA+&%Z(Yqk`932=NBlIP1=EX*9ZExJRYIUG;{v1>dwYFyiW%`kDo_jc3eI zw6wXcZ&_}u%mp4838=7@`YBxU>!XZ9ha%`BM4^Tw085Pg)2^a7m-A2>PWv-k*`=(O zxqy%^XGFuouGv7(RbrXQMc{J++H-e3PPFA18BY)tZ1-6WjG^QibeK8y6mgd&z@XgE z?M`Y84;vim`#PyIucy$eomLvU0J-dP^ZcGV%dDLupAa?=&M34FF86ah-P&Jb&~s*Y-m#O)7$>@2 zlSH9HfM6vj>LN#vZ=Ce7_?g2zVvB4*RmoH_Re}w69R{mPgy=nHK}q>sl5uJV=0Q3~ z%%5Xqf~Vlc8xVF?M{U|8n-n%(B;i&|D|^Li!_**ewQ#ze#C)G51%Uc!EZq+7twhdi zue)V{x+968i0|xR1!kP}dYN93CTc#C2eD!^Qw?S^yTp2DFo$VS5ADHVB~(0TEIB4D zMg#<$J{XVn;Ut<4Pqu8*x&)>tscpuD2VVL>aybNB!!pg=TorB(*XQ5iUyE z5rM>KVDQHI#`TgJjtWi>N9V@Ff3k3fFnnJ9wM!MYeQ&R@QEy(fbl*b{!{z=+y5ptv zRPJZYfcn$V&YOa|9N6241T2o4!~Jx*lfhk*@%3oABP%l*k@qY$)J%}}H%>(;2BiyYY{iH<_GjwOmEA@}n*)!{IK+Y3b} zC*xa-(E?e~XXSC}PrSCWOJ})Itr3fbr@hMt)8hWlVVH`?jkLpL-Z7F%_%x*v@&rJnB z6EJ>Vz37!S*^n|u`QU4L7$E3W#aD#b*W{5V%dJ5nMqZfMt~Te<%@E9rN+v8rhxwj)g9cK^i0 za`|bgpOg03a89 zs~zVVQoE$UYz|U!RUH~IX3z%AKF9U;pI;zDS6|!9K34VUl$OeqGBIatTD>!j62=Tc zw(+Lt*m{g?qr?%5hL4!o<7KfHbv&eb;zp^j@CXwT*k=ceH|q?}N3qoIDW$rZN{<(c zWM|@n;KG=}n}t4Eb<0JH?ulbj@Q}QU!fjsQ>bbyIrY(n&lLt9E6#LrIl2#VucNQ~? z6C`g=Ao;DorBgBSPED{G6MwARBTI#mM3S1wY3j8P`-s^o83kEwuQG%3rf5KXtJjM+ zojmR1a>f=j^_&&rkx)fXZW}WGT+LWW09ry6JbYJqa|?r7f%MM&J-??#@QfKuEpkTQ zygck`3L%}WZcre9-7h;O8e2q4|0|$O^$fI%_uBWvLPHwLxf2<{WmZsKL%g09S;ngD zsMjtY)z9Enh{g(YovI^{)aaCG4)wRiHpcIO`Zo~hjn4r#ADD2(te1Ky?T`l0>Q7^(Em$R%y+}R?Kpi7} z93glDGi*z1ni%e8JnFHUSgXWVT1kC6C+#FEG0_%-r@bYs3LkN7XkItqi5AP_q5%;)0h_|^C&MIL~p=$eZ-`67hove>9|t}(z2%^j$sij%2Z&11an93%Jy;o92Z)1S=jIun z*}?8XvCFv|%B18GP+xF}G!i?Kd*)9!)iSG@cEDtH466|oP_Z#KrRTbjOEN;S*Au`E zm@E|yhr@kyWS_E|#TpJZkREcr;2AR@uFgvsBlWva_b@V@7PMbb!pFr|s(7{ZDnra? z=!jY5DvDZ1KL!d`kc>K#jMXtmZo$kFFLH-EHa>`@<{68-3Rwta@WeAUFW`9+W6ZKUa}D>P9r^aN-czZ6LhUwK^)`70d;)>n!ha}{!;GX z^4AeQt0yr9xtcq`_A&U#E^}w6xSqWvyOx}+<_(&W2eEC|jX#3!?EsdIkKvMvX|L5%m*H<`?(>n>Tn zOvYx$M2Md}j!hpQrRGe%3nRb~-oaAjpTU5?TBGrggVx&1yYf zi8wWZeOLgmB?9=X+3YcT4MfgZB$u+z(5l_#Z2ilE9=XU4>K!+>zjFP?Y52`!jL&vb zrNF)gm7{!G#J{_S|eFW20UlV+NI&ebh+pdy6an6Ck*Kr*fWhX(~&8 zhS!Wy;Fm+Kq9i=OQ;)i)&$9#|=cw4bu1m!|(G(@R1qrbHYE>``n^z1E>a9#bw)J)S-M1FY z;pT)AaE~wWNA0}FK5jW28SR6A&y`^EvG0sm-g%BnVx|TS=tjtSzbNV79?K3Ay31QSkIOy^C0a>XLIaA|6j?> z16=ZCLDrY^ZI~k4mRK2EN`~wc`jI)9u_jaRHjxI>ruoIqR)2HzF)Na%{``Uuypdx^ z)8#x*CN303p3@)Ar`y1PMhej^clI~_l(aP_p2IAJvGb?VVU!u5Hu;3)32jTHVf8ErMJc4$>v zMtWp{MD%l9tn)>*QS1Z_aze_TKE8k;ZR6pBA`WvRZAqo1}LU4$OJ2SY+i_=bc}en8*k!udQFi6 zT6IKFW32}L7egHsB?UVFj2VU;a&%GV#9kLQV;TFx-ko~rZW@3)#tiRDSe|779a|nN z)@e>~fst~Xi?%AYkUL5MB^%CYLeU?>@flNp=9i;}O%zT@vbe@pKxAMO9cjzN|6A<(T$IaK#(N!;YaNhE(ZE|C%o1kb>L zsc)Z+%cZ_pms;Ub(QPhO}k^>NT?L0D|{j zIvEnA%7}y-3nGXiIADnM@HzRN4pA9-$~apz7 z&Skx^(Hy}$!24gjNs6~_c-KRJejoP9e9Ao!JckLhU5UK`HyE_01c?n;+$B6%2rY!^ zZrw=>xbQsE$Ho)td_=<%mHyoO=y4Z!*<;DxbEL(ZQ&d8He&yO3Wwa~3!AsNrMZ4HD zBx(0vwDK22B>yAgEFB{+w#u!*L*CR*)y%H3Hwl@)%rQhXPM905W~v`Au`wWlQLr!gs}#?C*O9(T2>Tf?CwqqwgaDWq0l4%;xo7 zX=PE>x$=%8JB2PpL)KK>x*a-~Ts)gpR32FS@mJI7nHJ*e_SGz-9VhbQZ?#5G$;q+X zaEmQ`l7-G&i4OorGko z<_z9(Tx@<`W~&&MF^Rc0`a&79SOBJ(tZecje|)%B!S36f*NVy3y*K_t-2AOy-@R}# zNvbPh2zB5w^cL|8$$|AxEhviaO{#sX70LHYrunvU*YQa1*YWUU^$^#-SE7)iD$>IQ ztN39qo}RC+GZa@ZOA-W>cvpDVsUk+L@_ zA2SSn>%>aD>b*U7-JZ=f;Q5%LH$#8en`Hz!3*Zk#0mz;YkZqot_MQ(RA zBD>g|RMCNnJW1W`rKoij-MxLZYAY7@=%>9L1iTW#qh!@^7~pc;NDH6#d{fpLk}VG` zaNGwL4VWIP?g=7KuKNo^lU?ol_U@VLByNZ=qyzq)?9}-{D>ZWmRsR1XC}W059*;(& zS`%vi2+dg*ShZm^awYACW7jHUhOO*Pa=JHZ?#XA>dcf;Lk5NeVw04(UmN?BHL~#J3 zHAPTzu=Tf>WcL&A`=`%u_|{vG`>XToj)%S&AsADVc6rNkpPy4iP94^Yp*;ii@`oSh z#5LLm(25@(OyQSWGb(co%0j_HXn|6qLr$V<>9f@KVt=@#^Rg)+6k!T@9W%rlz@ij>f*Gx(#_dv@?v{c~2Fu3tE>4gg(V zsJccT@uoNIbIgEHfB0(U;|u&tK6K^Lm;K)5Q#}rtT`=l815{uWEvNEY60@nKrZ(bI zzf?X*0vovy1)tziHyy@{p<9lPw0&86?Hy1yvD}$)o&ld>(vietW>Iq^y5|x1#!1|c zqYlNG43tvZL71x5lN_u#uGs=-bi)U*Fqy~g^~RD4_GGrx8_Xge?2EYz2N!mpsMl-| z!Yaa5k~%C5K>~~>PTyw+OL(9b!v}1WCRaM+{b^@mwE3MEbgD3?18>s!7&OXkP_e>v zGEOBlqVm`19HWSs?!mxg2LhA&9HO{kn%_I}il@P?8ZHu+yq$Jjm3p01Pdu0%e*pB6r{orOm<`78bc#@f zxsqDYM~#+20`aOgtf5N-IOXTk$iY1R+KP`_AHqKMiCd4mH%ace^`XygYOtgsCLu&J zOo=TTtGnNz6_rhm0#D)7XenhVDw{|egB?6TD|vjo`5hiapz{r=qNhHfR^j8B!er-U z0u9xZqRb8pG{KRaSyk0pQ1rD|%c)hrc=B-{}UwVJpzzPAX4OO`B$Do-3`@x#|6Z#5YoX zW~P!9n4e4bv7B6|Wy?^{m(g*lf7ptsG}`<#RzC6tuO7WJf#cjTDmh{^I~69sC#7Sj z3L`7uG*OUMk|~)?KVzjInj&psVnfbms&YcT=7gPwfz2LmA@!qGKL2P{m2Z31T{3mi zuV?9Jtb__nQP0i!Dd2IWpvp1{n!8SIs>8b;&~4qSiy87O--SJj7ESyb7I4 zR;v6&EkMv;HEYaOejzbIuhcRl_|`nthaxB;d#LjimwMFfH~P-GU-{;{_Pzb8>Xz6~ zL1-9>WZJ5#;s5{d?Hi<3sLJo4I$+86HmRv+{fd&lXMxGAtbjDa&rV843D!mIF$VDU zcX-*kGayH|jZoXva8M}C+2=>nXuLxx=M@DoAOG5ApOH_!>wBN6JLJv>KbcQ>UcPE_ z=xB&jM9VK#VfEG3Rnw@T!44CYYp)8aF$Ae;0UO25@g^72(9$MRdfIax>M{**MWh(G z8na@Y3PMR}@;pE2L~J6%$~;i+f}=+3G}6e}kRJT<`EfjEhimYT30!f!H04PiEv``A z7C!?^Oyq zg9zK3Ip_sF-l6H!dnt z*KU|Xc{(zO;g-W+Biqk*5Trs8xWz7W=p1YPjHJLdV zuG3=s7H_2$}mD&QSX~3G)R*f}_m+|(fb*o7$wUw+B{e1J(eOyx`ILK_VRr!sG zyUq<_KxY&lbY7M=JA~naok!X>+A!vMqNq-?$Hof%!|@HjxAe_@Q?L)9s_8~bH~N%} zJ&R?r<$j(URX%)Nh-c{fbzXmZ7_|gD4`MztQ2tf6WAe(B`}z{8c}5@~Y>n-zUkJxO znJtxFmnrY1UzkX_m=>IDX7TKNwov`5f54|jzpDuy@BQgTd}!}?F`dU|`^~nSIJb90 zOJt!Conz9m{dQ>Ap^+vaAgBxZd%f;bLiR-b?(!VW^Tyi;^P_?tL`2^Rty+{xG7$;tbB+|;X zFrddiyYG7kdHbf=Udq(!K=kRCt`cx`kW* zXqObSax8afmOfNt!52rf>Spl-)?bE)tLZH45rBJ~jNIAORb%-1E_uSZl}@i9ROTmi zsW|&%OtIgv;^hM~P`A-?M)22n-41CGenk4vp@Jgtm`-Ws~8=!dzG=(T^B%*cjmhWa~o3u z2Oz%byq4(oAG_;A&+LHb=>e@tx>HuCCX6?bbh2idkL_yx=m{`!qRo2(V;`|NxJe>x z4>=OhK8rU#aSK`h)Tss-zLh*k*s)5VQ1+}zq-_0J+1HO_-{wp)*w{$ZeGE9ACjTy4 zFC=5N6JtAyY&z4PP=v+qoU!YZKi&7-t0)Z90%JFwl9V`O>a?o8w=+CLN8gig>ODII5`BiYyh>kQ z3Lff^Yy{d=4kjC(Y~fZ9k8Ox81KKT9D6Kxf^DXV}l%rG!g3BQfrdAD!h}=57Siqx( zWmvPG(*PCf!R6ez=XRVgx?*P)NvqXqngxuad>B z!jB@P$(a?Vlz7*~EMxdMHD_nb8F(932L8&v`d z#n6(orCcV74VSb5zrV$2q;62METYYtoOU#D2?AK*)t0*6Is6TV5ml1_-v51s>Ic zXspD7L8*a??+nnAK_O^dQm<$x5v#a&Z>p0Bl?rAGX&vA$%Z-Dtc*#R=+rRFVt6zQ^ z_2smnqP611Xf3UvQ@Fu+irN$&ppnuYL<%G}csjKl7HDb|2H1$~-;H74M@J(6`%dKX zCDo-`7UTx5S{=n(lA(nk+dWH8KO)`oyN+mq?UCEfO6q~1F$VGPBO}>3>HK-d8d+!( zdB$!>7%Gj@?nj9BK`awPIIK!#^|e?Gzu6(pltKV^Q*wR79Lu>jlP7h|qMcP}kFxAk z@xGDFfH)YQ_Qkg@IrZD=2flpoH{ULq3vLHLaJ$haX62aFCT>U`VheZe&ge!ud_5(r z86?rZei!$iz*R9{4+rh02G6Ei=3~|bb^Yn>lJy_mB77I9vi&DZYktLI%V`P2HGvDF zQMuYl6LMVY zgeJ*B%h4rHx_%wYw(MlAI))jNKHgyxA-K%{VHI`VB4!}2FYpo33UUGAXxcfiD<&{gV zLkzaEl+nS$K|A;!%Hp0S^j1HeO8b)}B52x7(WpCh9(?#+ZUtv7uIZEQYfYjxS=90i z9|R<~YF~yj78~<1Jamt{#tO=^yi0YAyW-#8IA%}&+b^8)MsgwessBOnl5vT>!TZ*i z(je}G5VJ|--~e9&7W4*}(e8zjU3Ikvv)Mfii9W~S0E_L2;BBhJ?4>T3J@+&z301MD z`=o7Kp7V23jUXZZRix+xJ(Xy@hjx=HGxB%TA{n4vd-gaVRo^T%`Kk8qe9APL$jt>G zKU$#pJWdVIn4x#~(l}qhnk_!t9~IQU_8%zflLc@5h3RGLkkmr26+R^CR|j%TCq*?! zyxOR9^Vj^In>e>_wZD4F;!z!#D9e78HKwkNi^cT+aDk6^{zIVWf4pM5{HUNM?uejF zC{K5*m(kDYQK$wE!w4&t`y1@flkUZ+iRE@P-$&XzLnGap$R-z~LqQtQaXGE1b`Dn( z<1GC~2Ksg8nfj~aify^~EYCRiQ{lq5ilpv>Ets0bsu$3v&1UFx1nfM>BnvstEYI`` zJUO*XWfXRHM&fH-bdNPZs{CqaGnTrP%r2AJRP7W~@6~ifz=jD^VNyCK5os^O1y9Oi z5~H#S37eB_wT`Glk#B{1L%cJxDAD~DHlu%Uo3f;#M^w+D4bWT&;H56Y)aDK|KiRku zJc~Ywszl2u7M=re%qusj3ag+}hBDEZx&;r8p=Ovpzq!GiGDtqIt|vghmcI|eEm66U zNYPUiT@t%p`4R+KF8!f)nw#{r7~VKkpRY>v=jAuBY#sf{C?cwP0n0WdH1-jRj}r0S z4&Esl1ZLiJgcn9n0SS|Fnh=!>ZlsFSa5@bUU^sux@^liKLE$ZhCN`%atOs&@?~#Sg z%@8l6no4%El29)=oF_20sbr8Nl*zxhib7R271~|V8bgbC)P(p)FlV6g3hC1KyunUl z8mC4tnZ2I#ZvIp(R$Y)$c+UO^vFk#(9VCt2j^VzqhggLyB(l_R9g0N{I6#%B#bcDx z-T0#H9iUq2A*r(ijs8JUX=o>|cx6ct5*7-CA0Sqm*aJ{IV4Teq=L+d~m2{A<%m)EG z20>Wx$bm)~&}<=3LJkL1wI43H6Hv%nJKH@MM9HXyg}0&FwAill4GyQxi<~i?=69&3 zlYu{|z0YSb%6L#aJxo#+#jc}~ZW(EnwjRiHI&?&ey(cH*L+4u4`qT$0K7*m2*toRm1nU_y1Sl;sv~5QQD&r^qhwdJV`LO3(Q#*n5igaJO&?u6P zzgayGve39pdvN`)=}F2SeUxT49QA4eMh0u2v9Z@lwudiF)B7_60{sh)eWuU!iNBqB zQLdM)+9%q@esEFn1z{FQY+m@ak6wLbL3`nKY`f6{;;VFJzIE!L^k(6}PUSP5R@!$r z%eYdD&-Fo&WuvyaAR!S$i%oCan$!;pHM8y$_l9YnjW_y{>K{j(WIzknem}0=nUPxH zi|;n;of@!NeIEu`#Z{r7@ZMg#{;`uq{^JeawQr8%yUJ+YQRhcqF=mAl9fsr2rLL^C zNcp-C8@J~JQhJ4U9h>MsBz*KY8U3|+XuvebmN5ggs@<89m7ixsG|r}`OE2Bb+5qu(V$7gnt!CP+ zP{a2Vzh*iU#vX23X}qp8#CrSB(0MNl`$y#rq96Y3N27Gg^^$VWg;1ZB@+_WjLsx1i za;)lWafknGKMj*4jty9zo!NPkW(llb6*FY&>kPl76?pJ`8ix@Q?{yy@t6%0f1rrD1 z{WMlNI~vlI57lkx8vPKVe?TNpk4UNW?b^GX1L#N<8Yt0~1$b@1*5$$E8+N@h!TZRQ zMIr9VF~cNA19RHdIYS8*)n5a?`=;!z09!n#+4q0y1cs@@X#_6M=*4i}K+dIYgm&xjGb~t(m z3aFuw2unz=!e_&BxF!S`%Is_twsenV@V++H9~rVb0H0;h&0a)B{H@S<@IdQ(s5LS zNs9t0QE~8Yc(!%P0?c{7r=h`6+nhj$!5g}5(A#o7*hN)x3cL~?P>$&-lL3qwSHSCA=_E3*$eM&9_b2_Z@)iqe6D03}I)11^wgm*E+4!WaGD;~-^uhx`O*kji3rxRsbqDMQdnNIk2Vp|LqaZdX!=mM! z^rlB}h&XUBn^|WFB{#*TPFG}mnQ+5UUrJ7?VuXm`Wqy_Tw2^Wr0>khck2peL1+mUz zNwl_@8_*3MG4+xY7&oJtB^b+0mBrAzH29XlB8HUi6Mq3>hCO}EN>dIpOSn5&XQ0EG zd(QG4C8c<4$`yQgHXfReyayj7)`+*^J?}Tm=!(ljcO6=%B@Xbxm8QAvQnjK`on8$% zk2d0JXob4Z3+nP2(3Tg^8Qa;7$Afr{=jd~f^?PK@vJ4d`MPScpH zVz{7ZWxP6FCX7Jht9NnT|K!8;JCEizfST0K%oxkO+86w;yI2Bv_#0L)G*mv* z=mJA`KI;2?wMcK{Y$rWG!I^CYcFx!w7@E|!Tk;w&BtZ+oHaS{PG;nlepZZ%FW(Ah( z_LC88p$MMDTgMCsXNmeA3ZE+s(X}mAC9971#}Rvz60VAgJGr)02DcA~Q;q2x$7Se$ zQed<%qRO%HQOfCjs6Q`0i`|A}pQ~vN2A7NDP-%C%d8PlhV#XWA>3c5dTzr#rXEJ7x zi%QmW}-sgtRLg&ZdDel!@<&G7uiZPcz zqm9H|&}{;V3_La~NW5a7$;cN!-twys2~`DhfJ|Crf!h_kv|XZB0e$ET%PM*V+r@Lo z626g}c;Or!*Gq=`Xsq^tJ{h6?_jHSVrNSH&S-SN_HuN8HrFy`2s)P{t!mf9z+-X_t(^^!FytkF|%sw`_6 z%KluH#r0Xn&8#z+bUz<<^>>qw2cr?29c9K9byi@QN#gy0BIOmf(n7Wj>U=P1EujU) zZ?tSakRmB?>M<&#&A||Pwax}aQf7qU!a4)jOGf2_?o`oKSYPI&@7}OCNhyrV*r%!_ zTZVqjBFTQ%Juqv*I9w>BIu^zqniY4^t4C_X7HXuFLHwLRoSArQ6_HTr9u(kuz%o6d zjscuzR(svTIV^e}3=j#SF^$m(hMgxVmf67~)ErW)RY9$h8JP3bHxS4T9K0LN(S<0a z*Q;-i*KnTZ4W^FIbcrI}!!qYqaCR%+05^$@VPiN=sX(!lRkOmxA#|(YbdXRKfi95Avo?|+NbKFE$hq90}^90MMZ{q8OYg!&2=hcgrwO)fiTs^U~8U{Ohr@b0fLSgw3bVoeMTa>n|$4C))(O- zm;)z6yyivlV{wZeF1g4s1b=Bnh20~0yshh2Up%hhl|g830I8k{)8Q%!7i*ti!6zFv z(mI2|Y0k0`763tYdjmd(`dh!@q6=b>)dJv|v$1Lm(4`5P2kkogOfQ#vXezv{;E60f zg<>wKW9Z8vPu`ig8b~PxP-S5h(Z@@x5vOuNd78BdRTWD`=IcLRvjMeMBDNkUhzCqq z3?KJ)2Zx?y;(+r(K@K<{Y(k#i;}n=xA|ceIlyOn17y0Fh3WoZ9nz?zN&q}!GRC<3^t3_ zgjx+{UyVx~vLFPz=2fZsbjshEF*~rzN`v}S1snTa9>2o_O|eo=J^3!T<=MUCC&d9- zho%l*XH4d9otvbmIdKzAhbyg3HL=^S-5PJb@^d0q19$NDyrZVGSxTx5VRTY(Trc?` zUE}fBifzK1TP?L+%CiELnW2el|1}@Z3%^q|qJ>%>wA}k*YB_ZUl%MV1j*O@!=4aJl zDB${@6`06VZnhx@cR85tN-K08+OEe*EelQF*1lmT3EfMycn#>w8wPg!;$@Z1c`8N@PnHhf;1bmCG~;ymLq&1Jpy?9dlKT zLiHzwum$T@Mj3J~ZIKihCxwDAmxw$ew*9)E^tfaai$m=(x|dz=hmi?rv77)Y^{9!t zgN_H?qkckY&q3}&-9n!5nh`wMs88q`X{GEfmUiWD2+O6oh`Nk?YjL#g-gk#;%VQ68 ztr}h^M75KoQ0eUp(hJ0@bCC2TE~%XE%=n3+|LKc67qx2|Cc$e?s0hL&>nh%E5vXhX zH92&|K1~m6Uog(&5h}G6ef8!yiIlcy8)kjN|3ulTv_fq7xJgr;bjkopgUk+%no}_w zW~)ICQj$*jK6OCpm2=b6poasNPPRrh*a)Nz>U_YZO{AC#!49ZeH^eX*R5i(|zyKS| zQAASCZ81vp26YAoLj#_hXM6hKIfXavI^w8>s}lSgkJL3x;mra_-liVpIv^wVxTPfr^3(;RJ_hSLH|a2~!Pi~)@(vu8>kcCa@dxdpYTc*)ih~#rb~_>) z`@^X%6p-G*RbgulNl0`uZAua>`iW=mq8T6qL|1n8e3=Y4 zok7{k0c@+LsiC5px}e1Y3Q`!X8^g;^wajYPn#;3nqP#hN0b&jr4ov1#d* zaX-klXdfl6f29upaC}OWDtDjmygoco{M6HzY&l+PO7WzN`4rdC zqNtd>KapK$whqookn0)LRqPdu3=1!I=em*qaZow0@Ue1&RlQp)`3lUwpm~^9;(h{wm(n z00EjdtA51p`^A8H`S$@x|Qr~;tJ{kQ)oe&;Uy>xNJ zjq4iM`~hsM*xJkwUTqRbyz=8KM`Y7zB);@fU9)-KNLA6F4;pUdT#4-d=E3wsEBVfY zlPYf1&0S-%y05Lfsp}VV%4JVzcOF!JW!32R6Vl?~+ln%AX(5$IkM*j-VFaK-X9OG7 z(ynnYLuB9q*{WPgg?DExaI)YH9&6R|K%0Vx^kI?Kt|$}}mDsFc!BZ{K@9S=U{2j?^ zi>PdQvyq*f-W(=T{EmrBYUx#MLTp>(ND-0)RQ@G390s_lxElkXWXT?Nt;8?#XJYXx zx49q@w;)a0(`*@CyERlR!0aYHIHEMHErHhC3MQ9`YIML{u|X34bmnln`iteANCF=@HJiV}({)QVw~kG5 zoz`ecwx&Y68be{t5G(b9CE9Z6Ak+Uoik+)f5WP`pDcJDjt)UMWwEvbh0znZ)E+!la|>*b$+*_nBC&m*7D=s0>U16qYvVJ0312PQRV0^4aAG|OaOSQ${B)jq;IfQ}j1 zB2zYUZmI2Ne@Pg+=3Y~twlTSn8!=$b1#XeHr&>(L)FsY|GOZB}!nAj|QH@Zo01=mn z?YrQ-Hh)%a{UVC()O=F^hRAc`_(x716%b9pcluWF2a5!S0zzp~!{I{K=t`HFpp-x3 zmo0aZ3{!J|^4Mk1{o^@*zi`8~H?k*cI-ug$!-OP{c7)P%jcg(V;i$om`v!h>2z)+) zoGL3E-;Ir2p_BcYaypgZwNy`ouOIN6wx06(g*%v}oem}m<(>ywZR{4uso$wy8oE}D z%huHeWyNYmu3+8nkDc^z=e`wpPfWiHF7HmEMg`yRb`avb^;$bjg{W+ta>LFcQQcYfn zoozBLBaS3^S@+ic?bSlHB_7ZkOR=8^$rx=Siu8w0s{egSD!Z=qAzI&v)ZD!2Ff~0?>fL!4^rlC}0b`!;No8(Z!OMczc&!_)hA!S=T*weSA5Dfx#UjMA-&sp@)_XQ_l} z+0_%k->^j@^{Ccnl2VR1>vK?99BUH!@)}#*+g#HRP{(wbO;hv_XWswKU;WMh^f-fOSD_S$QIf1G{(R)EIRi^7$|jLbgxqI9&iDTGEmv;re)zsWS`E$39tr3e(02CV!v(rI z96_avK%D_<5wq1oB^C{^=qgUG2_3kZ_NXA5fQW|N#+jo%s2>u(xaGu`zy9*0-&wtQ z^X$#2i?TF~PNdrDB$wfcbrbSQ38zY^kG%0^NF6bZfugrrJV?O7AOeI*cT&5hwDR6% z$!9JndUh~C8^(Yr8lcVwHkIVW2GXt4Z*MrAMF)bfd?x8x(}V9(^fhy6A|r2?KV!ak z$2bB}IxKw@KfIpdOQprL4htDp)@f%vd*MnQM$ulog~9}bJQ1m;Yng zs>npuRpzk3#`WiEJo__7t@lujBZ}ioRZ7-sYV_70>9t_g%dAwrzTU54LM( zf%*;$N>#i;5^M0rv)jKwtLp(JCpNS)JbslxeW@`=HgWUgEqA36xdw)(PQ3I{K|^aE zeADuu-Sod+dU)}9pPRF;q2dpfvau-&Vt@?ng?=k&5(4f5XcDl*L-QuZlYjymTW2Gl z+2!E6`0X`a^`_5+8B6N>dKK3Y`;bR!ntH%-SykU| z19uejCBHZIkAHUR6{~L_-Q%LG6V{)G*@n-bLkECy2xx(@)C)UK2UJZ4eSD_q3?xU5 zMm5Yp@f2rB{Pv5(#NHa6RoXdY&P56bo|8hCmhO;-1IEidser5J2pZQj09nJY3ARNy zUU5Z9cTNsVqj)!Y!`cvdlh5(gd$SLa011ZpNS~LuwkJ(ju0AihKZ}etO_or zCD^hYi`S1HSkd$O=YH_w>x1*E{+R9|Q7Dp|3`D~L1XNkAlaU194@|ophZg|SV@)W# zT+fEZ<`|!~LOLEq6qQ2H$o4fzM9&tyRCfknumdDp*7e*u^3q8D$K~}7F`Ka&*{Bl9 zf$u22A+ZP3*|R9gm2w6c=8tu4x#@@ArZorart$q<_KCA@Sg~(YWnQJFsO9PVW7VHW zezm2p;Tm`cadew8a@we4zMBzV32wG&ZVA*vfI;1y;oY!BaGd!R#`(bsXqVmx!Gi+2 z%xexzo&UnWEPCx<{@c4;at`HdY|;_;?m0iQC63F-mPYc_Us za7h4f<^P-hARMWj9T+0{`vrHxnzzcIl?M8TQ=O+ z5mkon_d$#3lgBOjbm01x#eX0(rlFQ zm1Io%SRJI&Pao>C_%pGVjxQ{CU8kXaJ#NuO2V^WAA^s_n+0l3R1B>I4-twdO4$xyZ zAYn?6!g*b4@C-^{!$j?dHj^S+Ww`J^m&wNB20I43UV{y*i$7A8-8k`aIAEKJJ28E7 z?gNyzjOoHI2t9$jC_4V+DgDa(e)I?Ln|#m9%^{lXVPLn2Or1aMm+Wr*Yxr^2=9;i_ z*97J`nP_MGPZy+ z(ha`DqIZv6Su7_{qr3sq6)>=*Cgp3!9b%z|lc=d(B^x8k;8w95A;WB@qS7@deE8RX z@aj)|wZ~O|dLIm_FOG?vE*KwqM}x*#6qPO*Xx>v4T%;9btNaq+ER`tstg>N<1RAk{ew zSOpRTyo4ovkOWoyu%LmlV5T=}uFPRr&Cnk)qEQ)yr*W>sHJq64r3f;1@!`Slay?=+ z9T(kLd+iH9`>ThxePP4wtdV6HCUxYD^M)J~xUa?H#U$zHz#$PucA}U_8GHj01r^IO zWogAvYcL3UqO^A*<8u>*{_`5C^?oxx~XHDjn{lmtkLgDx<$&ZbNu2L1jOFo#;Op`@h1 zmilN1b27CO0*fCb+qPx->6c#e!Jm%)>4b0BftvvXpeLacOJ0WIPlIJQzI5(XVCfNr z1`Y)t>B{2`K3Z7uLwGQ+6tSa|0D9tKNL45+J$TBk$j%D0p zIuaAY!>we9p(VhBvDYNRE7{|>^md)O^sleI`3ffn37UbCfY49;vD(iMY?OcjaUNbp z{Ol;aI}mRf4#HXZB}j}t7J?lfFo0J;;uhi=lOJqBM}cLut-*c9ip$y9q{SQ=n(({~ zgZj@{m4t=L>jTdY%V_)X)_QNZ^uS?qLrk)Pnp(kXlolwc2ue#@##Y4*92yq!B`zID z5)fPFQO4Ksln$sY%MBASOIiRT$z#G()EFhE6KS(hL_Qe;rZ2Rd7bBE$NVF087*1<@+iRCvZZSZ&y(N48g4~{rtBp_)XVa`Xi8e~bx^ZZ^;^JoKc zb+3;E=8Vr+G4=|-Mb#1>#5@#B_Ts-yg-H0(s!EX7KVwCV;YYI0M6^f%02i1*9&}4V zI>*$iWvrgS@Igh)r~&%W+wS|wXW#mRiIGdcN`gb6hT_N)Nl<4Vjj{0&yR&b@?o*A~ zGAkQBg2HwPqqO(jdzOp^e=_;S2XcCdFOu=Ah-4PN3qV1W!yvOHMYq#X?V5i*>E*xs z!~Z-t*g412YC>Yxd4h2+Z*Mm1`IO}pC)B3d-?{!8m|)4&nf*gs<*wT7oGe38KEFmX zNA*!s%?Xv$Z@)HoefL;=c5(L3&WD7|TIXSC37HC%QKh398mVIeM!R&lz+jeayV1oP zScRMTyph1NW@BMaU-9itH$C;uSDe1}U%w3ES)kW4%dqx^5uI}Q#+BBDdR-#e<(JVs zFuW_gzpDlUlK1Mnl z7?2?pdwSd=0gAB-L?S<|Sc6*!CL;!xW>5sdjk>NHktha&VcO!fFS+8L@U-8Zy)uZ@ zKuE1lLmnZ~rIHs`Y0*$mYr;t}O-Wk?mr_ss*0tl^T20u3Rbpek5y!Xd;qI0(Cia)$yv{qfR|p1uFS&)I+NMUG@vgG;N% zu`uwD2RxB`N#PWiN01TEI@FBf#bPE*lq<2&V-zCLPR}b zN7JA9tXwioenxaz-`Jj2VlnCgcMXh`|83bvUjFmrzjE!p$Ir2lUW6)32-;&%`om#e z6^{f{s8thOSh25028Wm1;6bJX*AcS8stuq_t{evfusaCLc5zYU_xeCSYNPblXw%B$ zf73eOLk;9rIMxHaFF3H6j3^D?pT`d58Hk|)occ_I!ioXtNB{5ltX~@T>^x!LFru9H zKFAi=mR7BOJ*KeFA2(R{(=pn{x3bP1AFn_X9c-*yGWX#;C}N_5ZU2*811P(n%4_)6 zH*7QUOHq`Xu-dK{+}-u;FTQZ>IpO~`SHX#~v?}WgNn(pN# z;VPcB@Kz*2S&|gLTy%C|%z-XNe8RMqQ?++P(J2vzKQN7O56^@5UGlMGYVrC%LN%Nn z82h{5mB>`>LR=(^HAe{$2XlTHKlAHq!XC)U39+as|%{j$;@;e-7;Dagt&3yM5u{R1Px-y!P1(AE|BYHyu&+xBFgTz}cbe zMZsNz1>Zdy(vX%4+DJ#v9pQEn>B|s<9+n=+mxvnct>J!!Wdl8%2co`J^q37?&Zcd$ zV`s^&VQBK@QNioKnRK5(XP^R^ z0ct%?W3mg0ymFEBOoqA>tFV>mfYTZmWZzd<(Yx+{+%fj(?JU5vfl*GrYS#H>j6EGu z-CO)|42CZ2@4G>a_l2q6R{Zr}K5*qv&br;4bl)5moSFrkC;R2`cN*?^M0_8QpA)g1 zVY+B@&qccI1~(Io?Eq=!5*dCAgYgELL9u}p6L_)!RsQS5-~879`Nn_Rzq+&6>x%e; zfv4kc-HV0ma3iEa^K3y;2|HnO1e0IE0GW`;f&_!*~Gtxx>D z{ja|t&dRX&n>NA4F>*#7t+W(T@-3paeRVWgSfqs|+d-CTk9@Z+xz+sTqQQ|XMKiCN zj|eq~x>lq>En>_lX%`gz0iNpYNR~xR?(#Y; zCHFftmfJ4OMX$p;P8iJ90BYWIiHeR-W`GweNC8tvj4V~e(7kOL_!5vN2gX09`p+GI z>k9q;giSr-5L2DjaaXLNPF&j1PFtiDmk%QeWrn~sWqeN-l&STxlIZY3ow_9QA>rWJ zv!T?Tx>iKI`EO4C!M7g&q<;x=NeLF40#k*Dw{!68-o zptBVa_Qi|Y<%(BVr8x`OmTu?d;lughlIo-Zp%x7DC34q zJ~L(Is`X>hz}2C=Zp}M?ys@Kr@$V${@O7`|C;1!K;ZY;7DKSO->M(9&xR;Jst#N*fi7poZwi+>oGtW_hs|D)rHA3X5W&g#b}=E~)57%%4JUPw?X z$qGH!HOLn?4U*~#C$0^4=cNNHxfVybQJG%TVV6CUd?5PN zg%wA7s1eImePNig(`d-kJL$-c`ExCi&38|@jXmnikg4GHi|}_y4921*gA}J?b+r10W|P~ z$KYh$dogPaJAzpMY2A|2vSKfip`@v4+Df=Kpn z5!KtwUdKJ9tRjE@1GL~TR%HzKbbMF8oONJHaAx1R;IcBvf2-r#c}6#ZC(ZP+6Hj_g$aCT{r{f?ev%x*xukA zyIsFM9P7J!IXbWRpOF}-plC^tXoEEe?6_Wksw!C+?47@H&*gJ=nbDb{Y_Pb1?39fXuBik`Iteku z6Ty;t@3nauBKP{IZ*d$W?pquOBiG}7>3z41NdIzld^>a^w%yA{s<~4hTXg1-&oKs@ z3HwT)8AFPZ6HuWV5$hshuefn{M-uV~H|AIHkckf;6T16oET~vir20uHsn+|4|Ng1) zeaXfrr;wqu!ds!+3YB0At0dU)jy@x$eat~zBEyg2mi8l?0#xCT{y~hS>7I!$3HN@a zAf?aFCHJiH9E9YS89-me;_+rQy#d~MloxXxG_?ec%FzJI<}mtKwIIj+i+9@Xqqt{2 zgN|68E%k05#|W>_4fpH{5es6}pNyFxeU0VnL@O|+?D^U{B_%J@VUmx;7O#C;*iosa zLqEc5(F|@Ku^BP1{XE$x|9l(ddxoFVR3PQaYbs)&8xR@unzI8~>iucERMvfX z{OWmm&g(f^_hnP+bbmORstLlc47jij7_H*ABIw41&IybX?dG@Yc*j9e%s|ayB^rkG zQ7Id6c-;IZmL6vz2q1A3YydColb{$KM;2ID!d!^JZx+lFdM0Y8cQT-|Mn@5%>{sw| zPzi<78#yUUnn!C@WIa+5S)O!c9ng; z%^B~$L8>ZVGtd&!seuvaHX*hS76NM793iGANNLBM!6G~3qW2A#xz0zVzfM@28K{n) z{fX;VBCX&+8+y11qv^ytI$-d19H^=nOPFY#Or|A7jJyi0crr)3U_+f?8h{Bn!{OX1 zwo}pL)E34D!eBaC2vjyD*uNQ*3QpNTQ3>|Y@$FVj&k|6WCT6KZW=n*@s#PE2%CmoHQ@hIk6)m+x(zH4Pg8h;*~~1gk1BhqS4jwM18@-s_zc!#B%XGQA0TYPd(7-+7D)xZmi>MJ z2H<00Z33k5R7dabgwC`LQyT-UO9QZp8*#b#!5Tl^VX6CGew==?1AW z*t)nMrhTbf4##jS|e2M^&S;FUq#k#@2vSr|mJwh&?;BxqzS!fAx> zNfjlzMDio&j%B=Rc*cP-0i+Xa;`IxQV45nu(9O$ZTqX(RV@qbX(b0_`lSIGf@~jsf z|L)S5jNDFVfFqAB53c{5bJWdhfw<(&*CUFHj%0VGfw~W8iSOuYH1oTTHGg{8?evd zu8QHV3GxYZ2Gey+VkYqs1Urd^SlomkW6t1YnJ+R{vkw2-C#n%;GbCpcFwHVUQ>c(v zTt$@gsOIA_In8$MvBcCfjP`k<244)Jo*`8h( zNF|T3dNUS!`lAtdRgBbFY*EEdM-jzUF})KxMDR9b-J^J7&S2@?60{Aa9W8dyM5$q# z1L9^^K4E9abU3C zs$M5yB*tA8gSsDG#Iy7km3*O^0{#hQ^S8uRKh$5kx#y?uWmV(Ih)P9)m*(O@^uobi3Ez)47QDI7K=rSead~S? z7NMhHQ`w86zC`gHuP=CL35T3`u|Dtp1mBEVP{AkZ;Er(mHzE$B?j3>PQ%f1a+z-g* z`KTX|Hd3523<{=}s0a@+mUsYGy^J2Y028OIz(ohJe=-1aCw8(ui^{$`OGhs<*zA$& z1W2GY_f}(j7??)%3yA3;62&SlBEFmz9k0+LTNAkjh=a&xch*o4D-;E7XyAmczySyZ zx*8k7h#eWrrr8*x0X0Rv*#e{*xcDOs8W+qv<}j6bwKNeyH;an{v{CrH7?!~hrPsdG z-xvw%+3yHdT1SFoDWH8At0P?nh+Ps}MM?3?^flzQfv?>S@rUs9+^c*vq=Eg2!0z$E zhAbAn$Sq1k;WxTlK}doggU@xge=C%{Vu(e7+QU4iaA-<#JO&0t7mHs8R0Pi^O~_}6 zp_6g8j?Hb-iChIr@O2XcGC&Q)Ptkq^A)0OQ%M{YV*u}|6Du537=RBqhTSGwQoH+)I zl+;N)UKcRqj@Gsg>XseKb%Hwju%4C;-&8*6So5I?k*C0`_=nJCRkP^kVTOiM?Vn&l z-#Bg7B)CABqekNE)XHA&)K&W&0~2SjfjtsO`AT6x6ypkjQxf(W*u%B}L;Y65vG667 z`9!rr9%IvfK$5m-VDiJTdWc^-H9(GdVA-GpN^JoKxjFd4_HtBwM>LsyFt}Tu$DmO@ z=!13RVjKtg8$V_ZY-B7I$dlbs>tZ2pp}_Q$i3TS^L=3`8R7BUJfLGF^1YEo@M#H0* zM9VtLqe*!zFXJy9kFCN1n;7W>_m{c@445#q1gn9XpBH=%vGKJ}-Fke76ja18p1)q) zGs0&Jz^5<&NbI*h0)0-R>@W#vE@Uh{6*t|SAImvYT;uV1Tm6A_+y%Q~>;~y9A$iUh z?)kvhF7J@yyKfR(c{+^4MRz4@<-*l=46SQCJaq~d?oe|vXAlJ!u7MRFUk`nCOkkTd zYoq34Khw|93Be@(-`K7hd6kr(e7lCp3*e4PRTx$1*BXYR0U0)HPZj&Z@+cqw@4T_O zg2*F1RU0qfM)Ldw>fIOU6_wTSjkHbjCJ;k6KwJzTPwh-Aq;Ge8u&52xH^dXUG==U!wtQ) zWDFfZF>V+H<(Lk1lnKknfQVu3R2Ce~*cf+O$$0`(gc1iiiX|zai^lw5oOiBH>Yc1O2U=JYK!okEvZbiFXKTYal6Lew1S_0#S zA1*Ny0W`>U*`gSxwl&5jjSz`hj<5&#oYCu>^8}>^ZwxhCGfzoo8@vJ~pJn59WA~io(6p*l> zq`_zG+(L!A@oC(VtKh&Q@X*N&Ximp4j%#q3hl1s3n*C-3YB)^8CIwi-X(w%;c22K( z8}G=Xc0?`$mS%B=Bb>vqo^ML;g2Xtu+Jm%ZFb)Qs*Lns}_Qbz%b z!j*PFp)VMbUHF75?q_KIagPyBnu-#R+MzzV?$p?BzaSdG`>_^XuHuUI>0ZkO@!DyH zL@Qz6g)(Nlm}D8mPp7?!ngt9r0&pQj-Vsh`pu>h3UD6*A+?%Pc_5qJ@rna?=qN$v$ zDoCw-pOLX2Uc%FQ8SHjXHn#@0Cw+EI!kGr*#mfj6`XFbfNEu)lM_X3BJDYLnXE*jghjCCOc|PyB$0#M%r0nHSIpi5gz-^Ol_kcL zr2gT|3iNr$Ef#P8;kWBnH>PnO^BUMUpcWhiFw2>J`Vl_w)jV>(DcS!*DpDSusDoxh z{JMMAC)#K=!rjk#`?gNiw~KxpULW(BdqIk_H%d^zz#ItF9?Of=JOotwi% z)y#TykH|1Q*0`bkc%%ZxK1uU&9d@$0fO3Ka3bAXkSZlLD5rnO>&|qli&xo_(E#ViT z;usWGZcyL}Q0pG^7|p|^4NeIM6Gy_S2`=1#u}r$zJ_Xx}As9)0$rboEmwN|zUY-mFeY)0I z@tMVAJoT{u9GY{$+kvCW?24!6Wf+>o+Zh~Rpt~C(r+J~S9THJdq&N6E)g~3`JgX~P zpnbJO@hGY#&UyJs38|Q{)}UOUhkEykl^*Uh)In2G{AGaIofPq&iFG+#~ic9-YLYWl~>~P-(XGwOW z@JdI~qYu+0Gb%;#3&&rlVxG82bPLY7Z~F}tP+Mp%GfSt1RHy{F?fIoH(MzC($XGGQ z-jZ=oc`6@K82o60blV;GBHCg>b$nGAn5}*M!W5R^9K}3FXk7(x4FIf4UR<|h5^T73 zK;(g`z!ix<#GrNE&#m0?C>FNrv73j#5hFwk0EHXnoqnSUHr7r-nS|=i;LUg|`X=;b zqoIEt32nD|_~}m=aMRxFP59{dF$QbR{gBAXK$vpMZSj*!FeJbSKTKL}i^e;zv##LM zV@@#eiB_pw70Ts$rP`ZHce)E_#yZ}Bo+el(QSqN-g(U-s$acigj%aPi^F}JqGEgu^a*mbMmP;16la z6QsNN^(N_uyR_zj_^)S;*Zde>skzS0E=@{OyeSzPT8rw@PjF+t>?Yt#YvJzc?Rvquf>5Htjkh4V6D9l6$PnQSS{4k2=CeSW z1#{2ZCalCkM{+|OB&w zix1-IkKF8FtH3Rq9-jevXHyF1U~93PZwE8aO$e*5as`l?keHK1E_YV)LbY=lR&p40 z&g}9I8!F(T@6i@!#4yVbuMI7EhjpM)vjqw@Q;s$|i0Y` zN*Yz@gTV#FR|C5PlRb~>VHw&;6c~QSDpCn=a1LPS1;{$wM1W@6;Lnm5mX>;@-+87P ziNTYKa>?xF5GvFOjPg-|07_$iplW;@Di!7__WYO~1XcI&Mv%j}0c|%Ss8jgzJ>jwQ zm<^U_;Wen_-;^pPi^_ zGj)#HRwKlG77F8vzQR($&w-|RQ2Gt{B?_XAqWu*Tdl;%)j_%2EQ6!rvtKmpt07`2K zHpn}jJw+< zz&FZp6a!!Jcp3}xwgXojwdP*7g7qLkB2#|^7X5k5%GNrJ(|;cQ3`gxAL&7@L)|9bI zNwr=UI2?7^3hMc2LmU;>IyJ*bo!5tUeeIYcNV+lmk7d&Z9c4MM9s={?oGpm%Ul*Aq zPS%}qU(>*$&d5LQOebBgxk*n^#n=~l4bV?$)c3W#7oF~_Mue+c7r=VE%c0yX=1x)8kLF&DyC;c-EpR30Dqa#eaX!SRkP_y?VdYaDK*eu3j-f z%H?>qLWX}745KyF_k3;o7i=_6|v z9aMSf)fx;*viJu0GCM{G&A&N@O7z^97^q zV=ylW;Ezqz`GwFJP#hhc4E<`=>QIx%tgme% zEcp^aJYX|=?J$AVheBCu-5O$_p(eX5w+ygg92`nE6N6$6x!mBLFBZ&ve?XC=Y~c+4 zYvrh9e-bNSbnsW?;~^Dz$LIV#%yIq1gXedmXJ9#=12997y6{tx`Qb z9A<#vxiRV8cq*^vmfBf?%7HBs(c@^Lj68<=f=Z0o2640{SlLQ1mT{(o2J%6rRqRYS zMGy;MUa0%0>mZ=WU_YL4STK(=4hohz5t6btfz8f>WxP0SmSnJrfL4nY_d8B7*bTq; zEj`=8WPaFzIfLLr6&;1FsAm{NBI7u~Lv!oaM;r?v;u=$W)PUn3=>9L}a&td5X;A$Z zz|=Y#gAIKoP{Ij>takzM>2ShU)e#+J80Z8jb-fllN7jyGJaQ;-JO{WKXOlQd8wqmO3~FFiQSL2z zIag$CuMBnVlaZ<;mRuPg7@r*_SO{6k*>**Cue}mO{*YadX~{KmZya}*WHD$B%Zf_` zEh4vICI^^V(veYhh-{y$5O8C=;b6i#Rnrq^3*a)uP`;iLZ2)5Z`vz=*0PrvxKx?o! zqK|pVVDLUlh|*vzsC7i~&P<0IpUZ9yC0I zYUF+sq<_U1z~YF?K@OnJG~Hd#G*ks=ou$eStYFxa^l~kRqGvBC8MYo8Pky2DQ9sP} z{DUpgb$*qv7Cn>GeWtA+Atyh26YAi^8xNVU zAH;Q5ry(|rZvfwo#c=v0%)ed)$KTK6s~WPTOO;xxXkTFnr#H$|?YhXDlP-JfS1o)sL7v&ke3t~9*YzaDsi>jiiHUi2W zP&CRJwz=?LL?h$`#xC61YzA9Kq1<|kB=$DC3s z31vy5KCg#5!zzen$l&0(Gn(S z(3=DFupGe-QI`%72}(r!>k0<36>fOj;fn=f-Nfy>gL#oA*;jlf20i4AjBDobF zoR@ z+J;A9JQkkwz(8H2(0nifUbdN6YM2BUyraTT-;JSF!90s7Lv-MjM%#R_8jDh!@RFGZ zp$$OTVNHgC!!oNotb+R>xU<~49EQh;Pa6@30V$*4v}O~2+GZo{a~H5wR>EhQEZ5@} z0&nt!Y0hvEA7s2z@^TpKG3+Hz^!&1D6L=`z6vKGnM37ea;i#6dMr4Xa_>uq*q`D@s zDG4Yzt35%=PfzhPR%P(kdhAmRisZq-OVjijt4?D08LKt*Xvw`IyFG7s@qla^xU^Bg zqksy-QewWqn&RooEuV9PvW4LQrKwO7QK3Oz%DnExuY#Y@kwL@55JA!fK*s_^n#l$g zp-~s;lgP$L!anIXzxB?_7Wy0lT0c>Ch|m8Y2*XHdgwPf0-O<1R0-Ko(*b*UAoC(7< z4m3Kw2k|arXqgkN4>!ZVDQ7dh@;Qbd`D<=E0^(5=mgtDer#5}!0Vjk<9&9QQZjwol zMwd@)BVmRPuxRjaSm?2Epsp>+8QzJ+Bsr{s1&(>-1OcJf;_k(;=})<1A#IxJ}GVYYw@{4pN!5wM*Pu7utES_s)Zu$xw>Lu@oie@Bmq^&`-OA2p z@T_Jc9LI2jb_*o6s5s8cr|&~yApm(>uj~_f=#CX{hSYO{N$XxE490KhLttO1jap~D=jcA26^h!$H|GeH3vT;d%pB(Oo2a~sC;GVt)c9PkS*mzL{o3T zNv8isnrm-n_5~6?zDUcI!1ublKPvLo{HUwgOi2S?qd20H56beM0k^aYXG<{C6epv- zIWRWu#_L^{{2N-|^jDyM2qj>u9_?le-94OeGP!AG*E-1$Rw+;YtlO_+nB)v^M?HC2j>(yI=H+=bZK2{R?aDjxZ`PZHEbl z^o-9Z3TnWA$GWFuNjK-qX=hER#|I>x19W^6nk)rj_=>N}#0jVcsoa`K;Uuue+pb}8 zBZU7^2%DcY81jLijmyE%l)c5R=xX=EmR1cbe(bM`$(B~@Nr)@pYmfw}BI3M3uYS)1>#gC}6baO<$Ak z27w|htqx|5X!T!yE$-pRZs>7zaI!zkfV0YBuXzTA*{JDS080)3A1;#d;Avt_R*gcR@Melb?JO~1&yx~Ufzr_c7Q|ZS zTC@+R&g^5sqF4*c!(<*Rc@}`Tp-3cScgUmnQO;Rm({jGWiI|?a3rt^$(*sxH!=x_S zp4(iAZcJ@$R`#vD3UvoZxPJu@8OH<-dx}K~9AZmdkJO4Y3O`x{oX$%H6&4jVU-UtY zqHHKuoE7q;FJ`Ksk!EnEvAshonL3<~n+XoJlf;VKk(5xN)q}yR0m?I^O=QrS-moI( zeWxTS5zkkC{I?g00x>Ie*i0rxB*Tmd)l5b#jLZYIZh$VgLEPI9t0;}5f&!LW4B8-Q ztDSQDxE%9&`Kna7R)hsv1GyBmXf#{~COpFJl)#I66!^yZM3N!1#s^17k4>lxKO#)*?`rn9y-?5yQ^wqbf={W-+O-eQv zzW|XXG8S0Vi)5Gz)@l{R7HLZ;2Y-Z$;AWAdg$fx!*zsk|ctox^;MubRV$2JWGsa-K zj4SCm94`+*&^`G1K#B)e%wy{25E#tkWWy?l#!2N~>C&aiAw&lwoI1r4UC5%L8eIL0 zWwrAr;!I?g23Yxr=7vc<4Q3!`t>_0=4u5%2bQ(XK%>t=N1AZBp0F}UJWL#C77jf5$gc%k!(!#Mjc**75x!2<^yG-uZh#_& zscUMZQBZK3%LEiZ%i;;` z+V3B4q<=TwOadeAe{EEI_6=$cnIf=jyMTvQ`FAg|o zSP(F&@k^l@nZkGlyj+Ig$)MYQHsT^dH0#N&_vd!6rf>GK8&Yu25U$)3Fj@Fk)^>_D zE4WAm?>)me-qj<JofY@uDGqc4x=_G&!ufvhI1ngy|VcHG3Py3 ziJW_&PbSJ+66u^$60`#A?g#Cg0Hf`jalL&-@~J{QRQA~VV`AY2GW(u6r7^TK|-Dv$LM@f z71rv<+YDa&>=FaV(ZR{moxcZbk>x+ey3pkiFtolgY{AxW@P4TlA-NjRcFDasr;`Qx z#~_Jyt62MI(hHU|!7SUbgIC4qt_Uf?uAiCd-@-K&SV{4D9gta1x|6DQP^tAAk?#b3 zyefv^%51XfRA5_!IudvB)p0rLr_1bLAzJ$Ynx*?!WU-*kMK@r2j&$n|i&^v`31q;- z1t!Oxf9*rTi7~s;m|f@m;1usjA|5m+4+;}OLm60%V_nmh&k!yiZzm}((x_`OBbfKW zAnpt`bdjJ@i^f?Gh~{+ z7}=2zNZi{1w7X(S3($rp4RjH!MoAChu*3?cJw-&TU>V>D#adj5 zKicDb>PBE(Y<1_HPq5?#TcQ;i5U_Lu-VjHH1wP|0Smy~yN!nl+f|Oe)M+p^#4;VXE z`;j)cjM${GIk`hd#!XTUDs{5RE$7u;T{sqz@e0He>x=?zVhqxWZ)Vgf9I}PsQj6dR z3%DBFPQLV`=3&m@w`NdD-9(Y}mi8lqT%5XqwjTmBwdfd-FW|1B7#q6scVw(*glc4E zpWu39WCd$`3vdlh?>MMj=qU&@3RQSkPD}UgP`M&9OraLkIv)#9t|q=H7@#^8 z#!*Eg7-+_%11% ztMImnn=?%7E2BN=!LlAeUOutU;F}*d{^R(iol_&tk(6)@y2eH6S_I!d{AB&_Q6^j09soT9lb+&&S(%#jF&s55x449NN|vyl3$?#4+KF zZ|GCGcxcaY5Ru96Yxy`F?LxVnCVB3}ROT~@Kr z5YK$=b1(GS3Tk3qB;&|H6ibN_iH~*+PkYbTxcCV)<_uA$A%$BXeM3;8$I*bwCyfU8 zNU>C29^w6M@Va}IE{J0wo#*7u5VXw29!)SrnE{ihSizj(5J;v%gU?u5kpoCU&k^Q{QL6oIxRDEAYi3I8TZ!=?pN`D3P(vGdECn zHe*xT*^q5?*T%i;=uA}zOuqeS5RJmJ0D+-)4EYC<1#SU#0&N=YGlO;oH~^z4S`HWm z0o?6%Xi>3pX!{H?t_(WM0t}0&ow%fh!`JCDp8Vl88JDXDNlGac0Ve)gn-&P86AeP> zMwu|gRkeH={AMah4}&u~%mxuOk2wR6v$(?@=?3vLuGxsEaU7>jyVx%p{8UDXY>1^z z_68CJNEg~KhEk@;;x{ieiXXlP*qOaQE^_E5YuOb-#rZ@x@$)L=P1#AySJAfZ4lSdNEVgYhIocyNfTIILnNL&ZL^nrP;8{MAN>QJ7w+RSyIxf=jc>9P1CzjRecX( zP>n(k-lofWimY)L8!EWlX|~Qbagh6noSDXylW0LyijApOj6xEeNK?5fKEhJZaf=Ix zu_TYmRVy_~fjbByDcLdLHs!^MkKAvS6U`c=_8Ch9hiID^SU1f!^lxw_Ib>=94Tczf zp=ZFM9hCMu5-j|8hAs0O=~g@}enozlfH9H$Xuyj6v79E~>6Y;6TsIHKw+57yP%J{C zH8Xa_4lxiVni};XgXq0rl9=6M@g32FVRQ{f#0cLpc^Ot=xzErFD$@iyBquxd8seNy zg4K+~5+UDfbBnNnyrsEHZ|-9OpC63VENIeKqLaKTt~8U|p0bY%`fm$ZAM4PwKTxeZ z4(ExibgFiNDV#ZgdE&LPiQoM)+od-S)nlBGE51P%eKquxdN=TK_Jol}d($-^u&`^c@bp?NDl5; zRqR73zKr@X!H_=VjW7^h*Pu7OovgA;$Ug?9F%07r4g&*LRxi1cR zU4*YZ9gDR$*y5%~aAyte~3C z>qZiO+15Dt+6MwE-Sx6%kjGR|*WLx(g918NTGEf>B;L}8x=lZFVFeSa^tyAGior7h zcR77CQF=QJGq9`67+6SogrK2)9Bt-kAC^N;jJHaSd@v0#e9i+H;M!m+u#s#GFlWHB z;4gE1)$ !{knnOk8<|k>tGUI*)QbCKw(~!)LYv^){R6lZJB!7_ESxu>zoQqvM=9 z_#Kh-&rF5N23Y*Y>o3Nzs5&s_gIpeM0gJuA>XVvu+}~zK;BK&hb=dj0lY6}Ji~tNR zDdH<};sKWSOaw=D_yz|AmMq3JkB%1H!L$`iPeLk-pMjy=S3q{a2~gnG#4NAPP%ki` zQbc0|17C~Z{9uwwy}sb82kVZZD1#tI%IZIiiP&75QevCIjdABo3YJu^-p>oB;p4<2P-iQrv;_mIg}RUm<73^ z-GK%SgP2-Dkp!JGF|jcV?uv~wD7BLTbB1_7xM5uH*j|#)Z}qi*Y18^q!!`*u_*Jfm6QI<82TsZF9Q9KQD-1_xY&qYIYyjQ?pYeX`|X30(=)AW8%#Nv=(TcDNN%5`!UA|!{U z9fc|h60~kwFY-*3!S7cC`_ZsH{Dw%v+A%0YtDvaI1~I3~xdLHY>J6FIlMUW6X~8hX z+%TF%Hy`IGFeN*HrR7z@U`GmR6$=D&30-n$ljicR<4vClgUzI&xf3a~pg*#yMI7@1MzFoQpeusq#-=o|YKn^SIxRO3tK+oXPF3}xJrD(qnwNF3| z_BrtV%SDyp-T%`lV`zd#s!S7d!B*|qtti>yAi=$ zOjm#kgLjSv@wwI^Nh`SYargoC1*LbdMB~+C(eCXE0<-p*kWunSzKWkP#fBEl87#Yp zc>(KNhJ(blHzji2$fB-Zey$a~>?0N*GQ+6ZJn-TYa@d%|NUithDtl08u84jSB9o9` zeP^)8ZUGTw0#R@0%@b0&t2;@qUOtN2hnp$mjd|UN%Un!738_y2*5DumepJKZfmc>r&*Wvwwgqwp7Pp;((l-CSrj{(pqoK**^S&t?JQ>|Q1=7!VVDxJ>NNZ!#{+g~X z@-z6)2gn4!=hH7Z^2`irR2{vpu~2XgIv&jbpO9(b`& zYY!hA#rx1e+60Mok?d_51(**az@uoR?NxqEwYxkT<*Al&{Gy$&ciS5&bIQ%`@JKP4 zo>l`8hYO=;TamX1xFk`7UM=@jJp+E+dLf`ZESUjXXAE)y(Ny5oE3&I^8}b1S_Q_!^ zXV)obdsa~f?Q9;+I5g1EG42`g?=xT)jq)E}87Pk+Y4t`#bimWw@5%l4vE14dK$)c1 zSdTTg#l7mSbDM}H`1Y$ycKa`^BkGuXhs#4(MI^W~e+A1AK|@4KsC^(~7Q=U|N97fW%&Zj6`H>aloMou7j8cZYB`LiD`6$){yQa1&s3<)ZmB7 zRbT^WgKL3MLA_)J@KB1-1-l_kn0qTEb(n@(hVR2M)K}u%BqA zmLJ0fS!7ro0I4&N&OofJ>W-B!EOkL`0Q~%*Grc*)AW&8hBb;I{#R3V2Nb?t%B(zzW zIUg9cdmX8TB~}zfg5ztPmAxolR}nNsRUj%Jsp1Atz>0z2{9w?w0Y>mMv^Z@PVJVAt z2$YZLOk{CDzf5meRkY;@kEDl!lN{(Oy2Xe81Xb*}+HGa>eCcE|drM1dj-XC;X!s0c zEG&2#*+DrkaeAJWFvv=jA=+L5V|RO0K~qkryp)U)pJf=Wa4cxfpaOw@0`doskIVK< ziz5V>rIg#C?H^g2A6XM(qLroF@cmW1o=fBUa6#*3pCt-SO95G zZV|Ae&+@8zZadfoMLS1G*+ z3qxR)%^BG0%?~V&^+Q5emu?@EPyQX0zO$0pl?bR*yT1J9$UcgN3)2z2QMV^X@z70dF)%P~)U5v44> z#LB?A=&8@f*81fgv1MfQ0`&h(9H!Kfo)$@Sa*I_fp;m}V|S21U(S7|H@wIPwMpeH+u&523;c+TeS zr;vx=J{g;b-xyCiz>^T$$KL3gtyb*j_0L2l%|+&p|eL_55Z?2Rwe=HG9~qq&P9o1nOCZmR! z08}@8xeu0*(M}6m0jd>fgP@&(DJfcu23XqA7V%txpv1`bG@PYM>QB638;?x@LJ+&$i8>c!b4sj%tK$uI{T z;H9qo_%$}{jUksB%CbK2;hW4t%o%)^4$4Se2u#k@`S=9XU2{KUHxBf?kO6_VL-t|M zR3Rx8vt`1M{am5JaJvbz>LIwUX>=iNQiZzJ@8Wee<~0T_ezchHluoT+y4;gfR}bmI zp4yc%1BXivr2?`Av{f8I3X}68Tf$l$a}jlrV7m?Hj>s1oU{0Y(5Pf9e^~7SDf%Q*H ziLc?we(!8;4tn7-La>EU+6XoZ8yh@JH8@oqh0NqyqLB@TUAD69LnW+gHZ~a41VvIz zMQiyW)bO+TImpsoch2^lCP^^tE?xl|w|I&==yMTM=m=-gR+)@)h6ZNi*b-NThR7Y) zo>be@_E8BocZsApO}bDRYY^i70c;v!tpIh{LuGMvaiDmuBspyi=d~@fpzzXc9RueK zTe48|Js@j%T~y>|{mF^s4|v)@pG^9~OLIoztBD!c=X31JL%h#`hGU8{5jI1O(@OTZ zL(RaK6r4@ErTk$OotjgSqqC^iQ2M_j(Rjle0~t?xD366>5P`Tk1A`PQv03r5Rxv6XA+Nqp$gv%q>BBXb8&{bnx?bq?alu2$0++{JO;zmqd(J);K;lj58gYfyE z-5m5=NSwQP8OkOam0>8HjAzJREuj%^3D&pulrM5U1GWIjM*g<#L*pK;bByju<5^dUYyKMPp zM>3qPCMj7q3KSg+1e4L-f~G(o!Z;#bo%F~fI6tgyvI-U1l3>u8w9N$u9#bf8&L1_t zm#bf!x=gojLYsiHp@r|G&rCv;^rx`5=b&$v0*SV9LC3eKAYNdkz5 zod3yjs@fhL^%7+*Vbv+r+Eqi#!Y$oKZ zARFos-vJwL?NDp%)>S2DAf|?mO@LmYuq-7}Y1|{|^BH4{-;qKZ{ zk!?d)0Hc^Q#Crw1OZdSLVvyi4!FYNNyhR0ooFGi|3XMa|V^}F3;{zb%#r~pm$z7T6W*r2# z5Ib+`kN1*yHf)KW8HHTnAm<$ zUh3B(8OFwUBX?5A-sFiHVcuqifx{eadb@%C^T0-oEl?p?+IgM^ph$tm4?I)yeIVSDc{pzVAI_OhSvr;ft4J^vqT?*nJqRn_^fbMC3C)76#k)169E zX+pBAQX!Stked*g7TUP0Qh|cxK?M_R8PuEpMA9NUJqQ~9>8?s86d_N_OvLD@bIC-e zMMpb49v@^fZ%&%V7iaSE(=x${j=oR9Lk;@m_2WY{gDCI&+vnU{f4b`4ip;9I`|Q2; z+H0@9_WwU;pU+-ImR`1O_GO}F&hV?_kLcLVcLLR+^M}#dir6fDoKq85C$>CrKZRFg zKh$z7a`c7H9h%G;oCPhzXQ;)UrH@wd<#)d{YdkP+xf`iUH zlDxF=5ByYNM?cockI{iz^V!6nB;?58)%M6s9@dnBvjT6nN4X6(4^P22yMf&vqROX7 z>JuY7-kau{hAS$j5Qw;z3? zurhf)$J{Q9LV}K;0imIad0oj~=xmZO{Xk8$hV%BR$91a;p$X`I`~#WYAqP_f>Co{X zcqSv?2;QG=RD&GNEm!)NEFVV@W$X4(y!k5UCePBvD^%cTBX3`E?MFE-4|f$;&og?| zY7or}hQ*f$cJUU>w^Bq9aKiL_e$`+7%-@FP`kK?81 zvRkjacr*jO)URID#PPBdkId3o4+uT{)_J9Kqvn~Y`FxV$e26}*=t>UnDgR6V>_jJKdr4W~d&M{eu2 zRIz`-yaQziZ4|JYiL5bL)8mp4FkO*5K5NfF%}&u5%*v^iR^&y(%2J;JH1|A}?qvZ_pr<7C)R&&&Jj43EPR>~`dow%dy zpm^lr6e0m7kCsH?*%Ri8r>zY5UkJjqoWoQ&hH;z;Wbz>f}<^#Yntd zeL(4NPeL@1FeTziB+crklL7cRoIV-W3{?|Th=H5%W8kFJ)DKY3Kucq5LletrqBTym zjVz?|B%wrod^brj?DQEc)RGpC=1T`>f#hU;a#D_ML6J0xBqXU%`0~bZ>A*M+=!P3f zEpiTfrFK8ju0$?VjATGnr8i=BZqT{xu~EOzjuVFQ{#d*uXw}@14S5!@cEsro^=GUS zs4t}SpGC@`;D>F3F$LJ;WOGopxTInj64D`E#c0*R$)lA#qwMJehiDDfz9&`c=-=A+ zq{0A6I9Sy^JVua5pRsyZC66Lm-TaE;meG-LA{n&mqg64I_!%o+Xl(bRM2=(a73J=1`l zL1!Z4Mebw>I@#z$ErB9I?_oZAca5-R42F#KNi%rg}xp;-0>6qEF7=ix_y(SWIuc#?<`s!5ts|_LXK#p+kRB(paeldMSsuU;(-p$-^ZYvN zlhGr=Esqxtfmby*go0I+I_i#SUjQs8%_xKvn@A+7r1<3lyVprWK5 z_CL(TWHNLp7ifJ)iMkyq53ixE?eJUIgmh?k{*<=3tO{w%IQI!tlpGIoN<5sCxkH$T z4nAXya05lK1~8N&V2rI9`Md48PS;`jJv>q$qmq%eF1udT@C|LAs9j$~14`*9amw*( z;3OoFE>zFHrXmTFm{OH$X{1UM7-qIUG(iw6elor@2s*-T<$p*5>n>?h-Vzr5pR-a@ zHl`zEw*&T3mWqyqhZ{0JQNfYJ{1)a6$5~&B;Lo@DRdv&VKGuB>u(ayKIoNX$j!~I2 zbmC_HV=lL^@hzlC^f+zKD)1 zEd1v>juy z1bq7f=Qi%;2*HVC!{^)ZgO|)T5w2=}=AXII+x_oO(*xhM^i18}QT&=-O#cZr!%;4S zmR6s6Uy`=$a%-Ks#FCXio-mF(__#GACG~@Z;}|U`Dvoe-z2vU4ytFZ%{jC<h8jM4J$tWUZh1I`YuK%QC=9yuBFu#IeJ6e-f|`^hPd>51E((LlX&$1#K>(d6rF7h z+XpZ3!|VZ^Jd)UyGVK4k(c(Fc>bqlSm_zI&O^41}`gH5|Y?!p!$wwD*woa)XUmnWx z2ac_n`+xZ|G)V|_NP=>4C^om|qVokCd3d?A(QoZ$mEi<|pW>?3U!h1d?`n(JTGuAm z*5G1OfM1A0XvVs_fSPq{Pe{y-hPT*bu`p#Z7pg2chZmo*3gH~=xrm%*&KXO;!>fFv zX7z^bLy;z(OFp%7J)PY1oJBIbYV}Xjd6s%ZdRX3<(yX7g^1SlCT7(#;hrzeJto>uO zo_^GMHnz|F#D=`|;X8Qkb6x#$)bf$ny@&`HkSB4&kV^7`YgjX?7*pH+ zjt2#i-!8s0up#-2^7$u2B{!X9){=YSf-An?eP9c@`<5d_3ZyRL}Z)))+Y zeLl~SU&~VqHC^Fuv=G{Gp9LEZDt(drA&yUe2f)~ml$bNPQj{!Vv2x!WTJw=gm!A0NPmgzF5u$LMFN2Ii`rBy#6OO!ZqX-8(1QbS<0UoG%;Fxxy!u34bW1W#YX zEYmMUx-&>V!fT&#^b$QRaU7Tk1>e^pZ?t5;Se<*^P#$97bBsZxDGEOQkl#Vpv3{G) zL_tS7Sx%1@HB%B)imOK+=P=qSf;j`K35(66oF!^REwN159n{x|-rOMaq6pTOf&?Tr zb>?LC396`8`n}vhD#Pce8u|9VS5s{GTOA-mJETLuW@_+1Cp z69}f5ik7s8Lt%F?G09tynVsgU7(LsXe-JbkZ@}+kP1hF4sqF3Fsrr57SQ#Cq`vJ>N z6K(7dRHnIPvZC1D43$@uS4kBwYNxhbTueD`R)^(6AN&N;%_dM0-RaQ=?lukzp2yf6 zvCWHP;O9`)3JQO{t>%x)&CeuUOvr$a8hD|4f%BCwY$oaJJx^6Y#@KxB?uuceeH{rR zMm#GBko?m?atq>|;XmDEBTuF-XBG{jVO1m+={Z7>F}gE(00n>Sf7$p$MFSW ziqf?_fPPMSx$XYNx%liDRp!u#hW_!Ple^h{{OpU;^TJ`9ANcJ?;{1Rg(fd%ldF@jm zews6A43m~4NzO8r;n9IIwbQJ2ojjlLG}!i^ zQQz@5()>PNh~%FPo{&m(oHP7KV#t1kGXT#GB*q&Wy!M$4-y+_9D+4s1Uk~*2l^op!}-ztwqUKt z8dundFUZ>`|H$X}@wKY#!tYHlcCfmd&sg1a*|;5`Em?Rfb5>s!!*PsT{7xbvEwDNG z<}C3%?Z(SSi}3IF`mw1W@b;9BaKG)6SvztjjbC9E1ywnCS)|ez2;9x;iemb$4 z2ZjpwaZ2P5d>w3@2;n^}E%gIvf`dpkGd%phaGmE&Bxl@7j4*lYUei@E`6Kg@lRHfh zgok90Sr}!Pb^^bC5Q@LBmgFmc`-TDEeDp(BUe56K;4!lK_Zv1}<#hX#-}q2y6Up-L zQ<~O}?VMp(xnB&DL(-J1oKpF8bNZ7f=f{^ao#ZH|hb-j?w>iA;`PfG~7XpL@@sz5Z zEH4Z^OKC9~B_KyL*n#<#+5!nqb5vsxgXCbef@h2`rDcjlzR7*nnLWMR99PBcn+ikr@$geD0dRy{-ZuS(_>i$pdb<8QvUocDNSIk` zrYRevyQI@=_haEV5NaS2I9U}#sYq!(SYl_=PSn!26&Hej5EYOn8hvscWARg*Hkf00 zT}Tm(_YM}f5&f-^OIq>>fdS2=+*Jx~(N#JWYCA~V^LUY8b!X0Eqy(3iwD@0TGtU*- zs#XivcLY)!z%sv292UkjHoQ!tB1Apb_N^a@Tf>jpq6M5@#kI-S=YAYQXw;XXw@L$? z8UVKi)SBADr-Nb&9*~U-Qznl8vA~=mT~bs<>f=HmVOMrA zyw_oKAmcd3hg!@X2AMN>qRk5R_;=J>xJ@+ol@hZ4Iuf_-0g06;k`=gC!XAkH>hijM zs7*eE?r1MqJHBnS$W(?cpw&{eN1=`ZAGyD=fr>I@d5s3)fsK&`c-VEYc z1Fb9yVw%`P*+JUim{$2VK)?~!`zdMEx(;L$velw=kl(@cY_O~2oZ*Hd8Ge$*u<{nT zXEWoPGeqsScfj3+Uy4-D!f`A|k$jxv3+-wEPi`#2YII;<^#X*X49?CSow4<|k4rCAZk0pqtuuoI&J%gg?kf~f@ zE)+Gx_aBC`)E@6}me&ghPY}JE7v~KB$VzbbJ=S8MVcCZ0`?xCR==6@5rc7lXZqNcz z5Q~*y;dhyTd}?Z9zq|d$M^pq`4~N0QT}vmlO|~m0;L8kJR3?KWP%0e1kT_SbHsRV1 zPm_YQF0Ob z_=5+Q1IN#qe`zka6LwQ5v!h8%POzl+Ee=chy@~zaC?X*{%Yz{~b=}Q2{D|5-K2cnP zjUKqXP?CM++g0Aky)Cqf4JPxvYdfB@1yD4#9MH7YKTr zBl{NZUU++%XP+Q6gJZ{>VaMPUeT2qT(qa*WvHU9n_n}rBAZ9N2i~bF$dU!~cdWNFB z1w21gC1wk+^{7+|@(V}VYhF#lvANI2*yq#5)Sbj1rsQfx9}hzQP;Mb&k~ybw!(co9hpH(v#-1avVP z8jX2+Y{|gbGM`}NU5~pxnp03~m}*`haPp@sZ*orC&)~}9Tp?<|E4q5@6N>6P0bd7> z%>=E&vXVnVOIeEPXv@siRNFt+CyEcV zRb-=RSn$f}Xo4N#>hq=omaL}kCkb9(?^QGnr|TtMa*B_36sgyEHYKbt>XHD-cAz^k zfm!No>+M+bMyM2VlhBla=&c$(C&ZRjTOK=sS|jW;RMcX7U#jp+^ zNt&rgHwZ@;mbScjs>Qsw86{@QHjMj18!3g4zFobON8NHJ-)(_%(_*NCC7Usmx7vpq8~ z8S8Cqi`ED28ONF@oGYe*L3NFe>L|>E@cD_<_6Iz?wFZvRdMzoE>6+4BYAfkP$Fc1* zGE`DRTnLN$Mcs}#tLWX2DlD7}^b}xF^+m*up(5hsr|%TopqEXD>H5eG6X> z><`0J5@h7fSvpN5cJqPER<8P;s%p$AIH`?Om4})`K`B6G-q#*_yby2@$Ll4Z`PnQx zy+C~TKgQ|6=XqDK^V(F!#<9bPZ7*>|?O1Hy%`SW}A&V(^4 zE0%CaRmtO@0?ZlG(dS>3$0<>=V5Q~^gF5>Yp`TttEZ?1oKVY+IQ8)8Dd9R2lx&iRbF_c^vejo$ZV$?h?P7&zrG+*D$qBOO3GwNeN;e= zwz*;{^=o88%N1Lp4h_$+qxeDs8FL1A2U<=VF19tkWFdrUW-9T~kH#D0>WN7bKMnyH z#UsdL=b+7uSkW4OQX}68vn`vA(kGmJBDEO*6M#8G<}{XRb(oFuS{)6ev$RyE_krak z5;Yx@?Pc;WW4W!|947N#lE)-feqKsZR*rI1x53vAr zbH=Fz=;8>d`OWi-md(Xacn*YB4@@c_0Ua@ig=iVB`F1HbTLR~=tsS1_Crq+4XymJpO=H)uSZLISZ9{EPSW`ks5OdYI>ma<$xOW(d z^9m7aWfe}5IEN9#k5Cx|Fi(RlACpm4K^JYckr;X;(eak~~Z3fL5TDBl` z=4Cc6yUp(|Hs)*hv-JXx)>;Z=b$CC(Z0S#mA#;<@lI+LPNQ<*w|ynK+>2{ul=Cb?-Fs-ub$JXGCPs^eV@x4E{Ye`;{yUdv z2yIJ2V)uekI{{$`S8&~+eoX^UHX06Ls1n8Vwe34Rs1X`e-^mG zL^1UQNe`jzdOw4V@*WEPWw|?XE14=yAAPnVjJapNPH$B58U;u^yyt03TXBXRe{|Mmx?$jB3x{{3F39h%_ZMrnTMOXw?}ySggU z1ltxu(z5-h(Igb<8(y7OU(rE69~9=o?=1>gg#jf&SH+;RQXXW-ekY3r$V-=&1v4AI z5@F3p=*4uS&g=thsdY&7>_B+Acd}21?_RE&)RS3pLT7*SRbI^KkZt!2`#JTpnuK9i z2^d%3bDOnOhs5iF*{J%l_P{%0WzfohNbe?sEKiCOtqztH1t>O!ThF7mtCayl^5umP zZ5Rp_5}2C(BKLsbe|hDxm;U+mbWX+uYiR&=S16^b$%U9r715UF{fKt_pLzMQ(soaf zB?7!)Hus_+VRddZMoT`+y$N*lmF;r`m?Z!9aWC6pw_um6>)ZxBa-r|hj`x0A+&r{# zuAf$Pd^bKzHGe+w6oiuK+ywH?Z6x8N^~fKOnq=eAYb1(&1to=Fmd=ADE#{)RDeyMV zm3GwcK|Gm5uey!>S=cg(%biN%VJZlnd~qaeEV7FDZ44J@l1U7V>8C~*u51LAnwC(c27qNo zUOPR!9R*{J;DVm=>j|MSil$)Bw7H`y1TUZZk&w7fcq|&#lP?Szl__f@r~1z?&zeLBoSh5Cua%+R&B=l#Px@h^7$d02E_1D53Ij zJbY!X4_9{_TRv|ors;R0{<@(y6D~Vp_Ny{ZMS;|S=(qa<;~+n?5r`8T}Vp!lIm&w?xV)W=*s8BUlo}I&gA$e2wDFo|Gb& zCBvfzSEX53bZ5oXEW?ZlPwvXhF{x?1W5QK~gxX`PM<6@xo%{A{AaNrElXic#P8EsE zGtVt7+EdwdbTN=Q19{5yqOPS8jqyfOb2~fYXFp$9I5L>pg&ZL~PFp&lwZ;mn%#}0F z8Q2)Gr5`7oKonp4?%6m9Ymt~Xh@KE~XJ7vGMQOo%yLM*Tmd+d;}~Vou&YVFIb2cp2@``yEXmuaN%ci~XqNQ_0L* z99B-0+Vepymsds+^7rR0k2^Y)=<;mlENf9bUPM3to6JC-RP*&RxC~#AnMgX|u*7`^ z6|l~k{|6rY0@yv*Som``JWgM1IZ!WwWKbz-PUMoN-!2V?Z% z3k;$C$<>8m@8M)ZH*KGM9YeK8NY*I9(xS)_1ewXbZm*o!j z#qq1;`F_SZf5G98&;2lE?!P5@*h6D8xj#$WH?avLk=FyCv8sou zG({?zYAptAFA;rfFq>RYx}|-_3SLI`V(Vfae`07(DPISxL!ljhcW~4+WdqJKiFxAx zVy^If!)fK;(!HZ?JF~bh$aYo->)S?Vxv8>*H5yxw;R`l8#~n+1~Yi!CU{ec{pVDHqM4G-d8cJ-~W(<0?E+zH)}X8ugjn;aeOPAKR~>n70iAFt(~%K^Xm+#0Q#3*9 zX0^amFvHLLw7SwL6!R9(s`%KHMS^XOR6YssT4+iJbd{CxLLKfIWi(N1QcY`Ff!Ftt zC8w~l%+?;;JiNo>!&b$BRZDSeE=J?x6Gs&DNx-*)Zi3|uG(<66%gkY!?yh0A2W%p# zS+D7?Gjt1szCyx4Ib9?Z>M#02ws-|p40OD%&MB6DkAX->uqyD1RHg#p>ZDLN84WhT zkjsN*EI!pb{>Ff|1yDK?AMgm)is8AuP-Lg8o7DJ-4CfedPd9?G*<5uUs^pF`8eHNe zYab{=oMz6kXdWrO1nw%iMavdhT+FRArTIuQVrgZGu4oimqHFROaJs6U>G;;A+jra~ zs-92^H81o5YoxE^nUQ1t=L`XbLa0RAvVxDW2gAe3Ucm?-y}bi#nzphEQ-oNY&a(yS zGLB$%&{yI5K^TTAFX6^ML!|>Tbt7RPBPjA4m3a`z(Sf!7&;$AeNOTD`(s^qBn52fYS$;7+C(BO`oA0)~HPIFi zcm@~n;Nx!NHk-VCVI$FREu5g-uHNJZ%S#kjW*^;i!oq9qrO7+*&EswHO@+8QSg7Tb zb6Zeal8KkK9G|fgy;tZ7*OJbsb2~A%jN9=|T*qt6*SC{ia+H%BL&u!v6e~q}3IL`x$wl??$(TF@k_>;X<$j=5B3WO~AL)vea{i zR`4yy7RzJ6>Cr z8Ye92aN#yy*o$+9U!mLp4e(;ehFnN7N99{3qnGFBP9 zD+xprN_%UOT(Zi241b z{NWX=nNzO6<5`yEZ$418#iv|(jpJeYw{q`gN%^fTW)_Z-nAxu^TI&-Fx&6a6^_=04 z@E)9Btn*g^RAP`{pRs~_R zLUJTc+8WpMJ^9$s?m$DGuz#ESglH92u-itL4@_|Jmc2e14ZjtUIvv?w{5|yy0B4hu zfxGx70I^L$*AEg~9b*6FFvJn|)~|Zvpb@Q7yWc*4c~Dz~id2h(D19^rn3~Nh>N6C^ zHZE3GtZeSGWMaXF!@*cU>)DI~Y=Ee78Hs1{0gi!td;5ZJ{_RYVuz1ypO@V?6B!$Wmcu<&kVTH~7y6=>fZGBq zOW__S&K*EX&=BdMck2VhXcrw{@^w>uys>8I)t`4kYUn^pSDpnSRNUuroGZavJ6Q&Z zXw#A)iy{B4rjmVt9SI2aTBH`}X7-m>ouFC^M%-D#o3hTWrDm84S9rLmgF&~U)^M(P z9iRH?tEXo!8apI8Nmpv6<@;^WJ88QF>1c#BkSQlHjPG03dq4##><_~y5@tGGy~0aM zj8GmmatBQ8VNUZ@Ml&FHJUY;c!)Hko9CN9tN1=VXwzTRx^t}ACW*0IKV~qXh6%O_c zKZWcKu|*>`+BD}K`wYHIFKKmJDQQL2sw9SK;R1YH3{qX?;S+Reo?jp6qz|$s>N$x^ zJ$C6qqSBQ$%=0pUYF#@qzvSc@jfSr>CamKj&#fT#CnY|ago2lr&ubt{Bc`3SdiupK`#*3`-dOB#Ie}(h3A0AGOhuDyZn6A& zMLBDLx2lOBj{6MYJ)^v3_^yiVV-?{d?E6g#k+y zqfD}4n#bKFg#+STQp3^~$?Ff7rfnOZUDWQ@fW1BGhKbn`7V;37;UYmc<6ssJbL@r} zh<*6sIJYWv-XW%?5~Y89g$T27vA6WE;xTdK`b6Fwx}Pn`aJR=Zg!{*BYW|>iILL98 zMUoeWavydS%iFl%qtyQC7cIcx5p*6Q_?36jvY%z$`^+By05~KMI-$H)5SP-#KXITA zU@x1p#w=u`9DmS0gPoYzm~m2eM6r-)S=jQw%w6l-jiz-qDw3^X$}^_0 z@23qbmMtrfvVNEMi`qL8U*KGRel#YEx;a zN4z~lt2wKEhWqDZTPo@Z4l~}hD(-QgK|2BGYh3;46}-29*zCSY*wYBzQS@YT(A(5c zd1MA8kYu+p=7iICzb5#9ejo_v_ys(c{_JNhiP1hn6Myzgprz10Z~z(?AkqjhcZ8v! zqoDVjE0f`wyy>y$kq?BTJ5#Yc|D{2Za1k60GI%J683^6K!7Bzkrr@ENPv!*)mY zfmz$a6>J^6cW8g5%MR_4zJ*@+l+&L)Ndf4`*Z^=4?aQQDMXEkhN zGGW|Qo2~wQe0gSF$gQnPEVU`FR;v|_EPYlsymK=o@BRyvPu4y}7PIXr(R_^LF6@q) zx+7@oqakr$vm$q$P`)!~aGXslic-ziRxnE`^w*NU++#uLvYC2&&sL-xaT)Y3qNK-E zd9zWW(eE6?6JJU+D*TRiGGwwvXEcI7iXO)?LRSzV%{{{y&MWvB3t?sq^v;0jpbb%6 zEHNNBiajkseLdo&(?Xjv%-4CwVhf{`ozw*a4aZbq5oAl%6I0`!7?t=Opi`Kty=ZdI zfl<#MN{mo>Y((0o00ch10BHk2M%VF;FG!4hW&XX5$W_2lQ;L+U`%DW?x`IyqBx zY9s>BF7T;FTVeQhpL_-NFfUj-9zOHXLZ7e1~)l~;;qwUC@ zMGkp{K>6=hy^1t2oTX&p+Y{!YXp7gcLpHT!49;oShNnF%MND|btKOCN;|P%9p4aX} zf?CZ7Yk7U&sSgxsFz+E6NSsYA8_jd(k{In328IEVSDjLQnOCAknz~r2gB-#*85ctV zVO1fpZzRDjsPK$WS zL?XhekffEmkue!)CSVro)V3Vld2KEold2?7>SgJwI$Pm76HqPH$HdjSfk0gntD#J= z=(xvmofrWrgywAF!5mj8a(gZocgaY1|+Q4MM3+jw?+Scb^R&j17Z%NYe|+ zBJNf5VZd8DX^nztgF^I%LkWaTkT-o#>NtHsXasetYoD>&W5Z#j_VBvi-PE_LdFlg) zL|Aa^jCDU+wMS~{eAw!=mFvHrC2soS6~U~O@=ytRl%epGMJ&*SHDiQ?g9XAq}c)FO_TkWe#a1R8!xCQBWKRupV%3=)551-@+Q50__Z5&=;nHBB{aYskABT zK2SxnlQOtHBk91ME}bGmI>?| zp%!nO@Ed8nsq-E3c@fks6jP8}z14Atk?|9FA0Pb_wiMo6xftI1b&b4zZy{Bx1;rtS;J>Iaane|j1IQ~6 zt*mrOMdhP!PoqWP3<@V&?RIl=B9XnDgdlG~k+h|r)K`iNY7rSP^k1^-Mv|my9RI{1 z8GM`pF}0)=Hy6~%Snq+u3zH#%vpdqjYC-J)o2J6oBo0djD{G&znzQ+&)Sa1X6^Sg3 zd}_MwA3r!AWIcMNm1^!coJPE-OUAzejviwgL&FZ#->Gx zAC8y{kLMPk>(tI-+N2QbI4BT4^?u1u*_ zCt7aBYeL9py-C!}M@OE+646w9nfBbdJPJQ8@#Z^O^Ci7ye z1S*2?L)h-Tp-IU`n*Z@VleT;XbMpB{W{+G@k(7`L9KX1ja7nIKrL!gS*-0JS!npMN6H3_Rk(qlJY(Y zX3J6b9VrN+xif!sk&6dy-gV{zrnxZXK=Gs>8BFu<`!&U3S0GQgN(BlBH%{;x(Mz~P z`#BD1$h!}W>D)#M(bE(tn}pYjMqPnlejjhpihIt|Du?Z(7WERYiPZ6ni9R;zVbDm6 zLFOvW6U;65(S>B!de^$ECJ|`|!pj}LtO2aNHtNV4zmm+DzY651(5@oy19ar(kjbG%J z{?gfX3-?b~!MLny=JQv$=qP`1ys)=O8-iK_^Dm@W&fFLwxBe5JMH%6{;*WG(s2 z(yALR8v&bVURd&62OP&}Gk?Qx8Dqd(_&@HU(>-Xn9p|&Ao1iVXlL4Sk&HQQ$nUH1? zC3FvHP)OQUpf+cygEh~IhK28pRcxCPn@S4~Tabj+J%m_x2B9J=il<3DaN)9KTw%*_ z7t7?HdLM_DlE=wkK#P&7w<6}W9#%#CwuXyURzgE^C!yLRb^JWhDgla=!?%z$qt2Wc zW;3Y0a&urIr2iW=kD#?PT52QELK$h2t$EkLY; zz$hXe48ja{(ZrQ_H4lR!^Ihx?MlhL!I^oE)wFs^^GNXuRBm!qX3PzUn`05#wdFB*} zR7NCH0+5g+PL|$KNlWHMjVCJb9VNRKS|8BilQHx5M9pUeim1NYT|c}+Ng6@u@~GVF z%@99h1;*Fn?c$6(99LY3EIK^ahgokABu#`$wQFwP^70~sMZ@FbRi!a4=UOO$oYIn}DjVr`1g?TsvYr7)cy*5Q!qKF1vr4lG$I0NX=$DAxRlpV{uXIR0Z zq_rb*DnqXM8pXp!tc+%7&M@*!YOik|%;!(t!LqX@M0qK{D%oA~Ei4H8LqwyKmb7d> zS*hEBHPY)^VdT&~mX;SvBIXby*}-rTdp~=Z)yr|^6gT17rJ<9|wUE7R4K_5p(m8HD z$n7Ovz>j#&AI$J+FUNvG3CV+9vPvB?yK{!6pUiFia|7A(A10psF8s}_ zLu(CUH{`jNUAL^>LmTjYzPT@07K|(Cq#egE>NWhxMV2jnw*^-p;eM5Fm3cn1aP|@# zUAnTc*5@Jp(p9NnU$_)}3t*K6p()kDD_W8e?{*3$1DAK*S$Id70e)QXVu${w2=`*o z27ZR})*ereEezYk(_s3-^g(q$yq^K3dTAHC7^1afXB*5JxGF}c&`W&9i%mE4Jm;lS z3NK&1Ld;w4r2qN~q3=PMBYy%(GE>-vgOy%t6`3Q*+H0RLWsm$3CRk^V4x*q8UEaWO zIYt8AcHoP4+*us|y#c-rOj(>_uiJ}S0!d|-zr%8W-`*rW^=6@Bv^vY+|LkXzr1b|M z&RZY9Jh1Rprzp~FZ+K3OaR1MF`Nt&R1dic1Dt^=7}fjf z;_m7D2d&Z z8xBWI^fQq7eT>52_9_N(f0<Kw>^`JLp4{H3pu4)xoP%+X^dA)=Dt~f@* z!Z`XvGNXf+Bjx4mpX`DR)fMzN10Wq)XjWXv3<=SRrMrV`&zSEwj_OayN4 zgMa@I)}QH%p|293N|P_vP>Bpq1Z0rS4ssm zvREw*iSr%p2(dLx`79*PIaPp`2CR*GWCW*(iBlDFNvA+;SPVykIUegl=yDMwFbz}4 zkWW(_wvN7{KnpFgNSt0-wT3q!wuZ9w0taO}qH{uB~H1ekH%^JSaTUNe7Y`M?f|#p@Z%79Iaww-j_^4>eiEw--CX{HB?HV z9a38a$lZxL#wz6YFuUgEy4EV$_7Uzl5q5jIv)9M4T;_A48fnu@E=c-_N&s#O_aPbXLm2c zxwRC1&SHM$S{IO07ow%Eb4!f|p*w?PLrX8Spccd03Nh(;5pnnJK5xlYD?K~7$C*7& z5>8*7=Kf_fK6$5cY$sCmGzz}VMc2N&&Oixl&lM9k^-4?5ygO^lJ;gqQk^mG|-V-gA zYs8t0CnGJQ0 zou4Ph%1{11K-mOgILMTvrFy0$Fv=^+QLYJ|{RcA#0!SL(?RwFl7vcUVhG+o~o11!u z_Idnw7`Imx+nYp^{?aZ!68Qdfa$dX;*uw2B8UEH!*?8;ZaAsP^Jn}b|o+85={PJ&E z;l)w%><=rUT)8H(_W?m{YIa6WaJ5c{X2JDADbkUtK2zz0SV$lpmjN|60_8gG$#+mS1E#x9cZJ zTMO+h9$K`YKjr%`&9uqYm2bYTcu0|CNgfr4Le1TGLlR#qy`N}Db%YxzWk4Dg zo8n<3f6aE5qSb4kE5BD{;j{Od9Ymp%cZFD#AX)?F3^6?WKEaaDSyDXwFBzNt_Yl{! z$i#j?oZn&(g&QK9>D%D-$nd7TOIMj}h1U)nl%=?xy<}j~?oN$k*OaMC%@$}0cibA; znMx{b11&KlqY|nSHEy(K6PLZVuq4nbU*xS~v%0WDq21qa6)$#8Vy~0s6wc z#$`~YpQHQpBCqRMV;GK+0XbSEROAU_$cf}7l){veG=H{(1i|uJiUsq*Ik7hf+hkI< z6ow^tt}PRRqe?I=4pmdcWg7n$0;MH_i(4O-58xtH1y0h1+OhG`Z3Z$g4_AfB5PyQ} zGJTit#!zdnMOjB|xYmWwm@{k$L{d1uG>FX2T1z5Tw4VH!7{r7g4c3M%+X9*i97uK) zKz|3}ByB8wPg4z;uEdu=oaz{ntdN{phTOW6-kekKlYr|B#4)?@egpdlGu5m&o9fFT{M7#MR)dJo!PL+^b0(wA=CuSK8D7sMCsyR`@HFzT)Zfl34VwT zwXAETDG5C+zG!VNx9+plFqj9Y713^z)6>re5Q7h^VrDvtv>%>8u`$(C;eBYlJ+hz{dbn{b;g?^IaErX$(PPdK?9R`maS-;go6k@4vD3SF6>!K( zr#N~-NWbz2tlg%?BxjSdr4K#$TIEMoj@0H`>>!tZ(u!6+FNil${!L@y;gU6VwIL^O zZ&XKHdPY=xE`RY2+}Far`z;mk#-*7eX|>C}slRig=$170Z|51+-@16+P@X<|16A~G zDC&+q&g~=;uPf=P4e7L~*;R|HXFs`Foa(IYZP-q=($`3%@_9Y7UcUX6S~PW}p0$Wedz1^fsqPPQ8J8 z<{xtKI?L>aE9t}3w}T;jNDyc~7LluNf%__3=coQwLdIxUptfheop9dngHBG9xP{~i0!pU+wr(?&CAx{`A24DHZ zJ{*58Sa_H{oJYqK-})RRNM@d27xWn`Il@cL-J1+r^DE=5k^XbkyP7z~*BdUkY3fb> zmUvp{>3i-ho6@DBa<&Juo9iS> zGCdZ!fDG-JknMwT#sK|6xImWO2=9`q2y$uVFHR0$DF0Wf> z4$$99jLZoKJwZaIaH+VUA|-?&EhhS;Z{)$M18s6(8ZBgRaAy2donx8x7L=s|7fpu5 zk$02Y<{2pX3R^{2*)0l!eUvb+!qMO}iuYQ~nuy^$r18nfw}Q-9HDw%U$b$2Fw+>uC zP&n^2isnTlP7&HzN9sD{3jD4fGL71$kjRszr+}4D;BuZQs_lsccaYH=q+&JN&Qa;D zXoMR>I#O;gYq!wr4(?+OBW87eD zl3!CVa+Fwv)eiJJP;Z44F}ZP4(RMkB^Q2hK|2Dv7F204I*QmXdFKXI%0PxO`q1lVn zm7C)~k%ZWkc0=LZ2@LQtDd<_uQ z!d^q7Ry4rfN^hOiirdOYhFRr2FNBmBi=yF$Xe=P4UMbmMd2emj^O=s~Jq&gXuBPk+ z-V|_dlY1Md1E20BUJ>d?a+gA4ZU)B?k&JPE-%qp|qv7L3;rX2daf*Eob|a#?Mu7ZH z4MoH?p^XGIe2jGfrsu^vFLwvAdLdLDVuoNSADxhd*_@~A-Qhy`>60Q1h8ZsVIG3|k z#%M^<8|D}il>3?Ny=^MW<6awk_J?BX?YDa|JZOm`T%W<{{o|sqi?QS_#k>o;A9c^m8&vVbsqH+nW@3{!xvD&pNAC3CPS!m_Eb^Cn{K?MYZreHuDQHZDGL;Dy>})_nJ`@4q~N6UpO)~ z9^8k=ZSh{N_v1!P*AlCa)+zW3YEFi@Klw|}d_cTUzYYf9#x9@8UzT3r7=|rf39oNo zp$-Cp7^Am7MXTMJ?{Fo3=KL?vh{n`F%9ez^XW6plABl&JyMp;%5kvsK-vvQb4;Kd8}g_Vmhx7E?z zgelS!gDY|s=eFnCC^^Ny1u+iQtWpl^x2Ad-d2aE z&A(W!T?L}ByZ5i8M;1G%>ba$C8%T!D?GF?)wPx8{8?n11C(I2x(pWr}>w1&|n zy>LE8O7`%^<8S&~leV2;*7$zJTOAp{O6pgI+8&1OmUR)=5tfh+%CHiaC#^sKQhiKR=3Nye4@;5APWZCXb-cuEaFsMViIfouT%CkDD?_84kNn zi+hV4(RCK_o{SwtC)T=)kzm_X`%V%e@uU$|R4p@ZeQqyC=yq26foz50AmXkYUgH_Nxo_3 zpm{5fJ1S#E$!9aek6p)iiD8#ET*7AdB)3y>Um0@2i%Jc+wyDWRX19_>j6}$Z(*XvxUU_p9#dN=y}@Ojw-C~5 z#ITD%Ez9D5OAP8|uCI!yQRDn43A!2j$r)S>QItIR>}~d#Se%66BEJV)@YNLZ2*@UB zxtEDrfOSPT~ErfBU%B;4SKTh+=v$= zQc=-M(Pz$}isYRF_EWoPwuF*sfLf8h=n`R5vbv0Y?Gx(f`bR$<)m&X2CeYo@)b>32 zI5y9(kcxni+OGN34rPG~Aw-;CcIAket&EnCA#<1>OV~;%$DCOea1+p(t1!|pTZmqm zgN|Cb0A`^hYVow6Dz0K}`2Icvu!i{@>C1A7DG%SqV$tGhPfo zR8^HogHeRnVm{t+c5`nHv84^g3S3d{ws!e$AChl+n!%wgao4dYvbv8p#|Ki(s5bNa zXCJ3y_3#Q8du+95PZ zw(}{R8RG?Vv(N7;=EDJc1}a6i=lpj2oH>I66M_i}s#_v__819z5Eph8=_$`szn&0s zJLy+Hs5>L;Im5|&c?Fx2;#j_prRDU4Lq+=WL(W{}xulizMw_|}EFO}>}P z!Rh7jVw$V}bME03>ba2vZxQVk&!s;y5|^;Ue3fo zZBpwL4Wndli2Hdz2Ig6u!T^rn)Qf*E=mp~3S%{D&7$SUIJ2 z;P(D8CtB%6>Gtti8^5*?2K}AR?5_2S(3a0m!JpV0_*{6Z!9~dZ{#ePX!1*V+;nse! zj=91O$M?6&Mki+~Y^WZ+OvgtkM#xUy56b>@NGp~8B+ii7uC~JY#jg!WPp!EFmdt#U zHo29K?TK@S-{N>laRq&3KM;iv{G_LcD}`+ia|x59m%DjR0j+6lfhM5%L@Z@|tz)ss ziiV$?5^j z-Y_7-z%LJ8CcjOb4ywHoXYaQTs)&l%R3?$p*2VZ*Bj z4zik;!Z$%JFj??~d@hcObDM||w>P-pmNnU@*jbCDjfO|o>mcGw3qF|$FL6sPlQ0(Y!TGrMW zUBIpn*H@swSe;wS8Yxrz3{@^gs$K?b8C2qs`K^eW@KH9cB^d{5V9v0Jt<5x&WhYLJ zq;ncGs|G{7gQjI`;Us!L2o-^IHR0GqZK+a@qu5VZ=0b&b;<+q4&9>9C3rbjDK)+Q% zQ}t(T>S738*59^LT||U&RN}F<>k+P|n1=iZZ$t9waloT}uB-G?+ob=sdy&YF;|d|*e*Yo zw(>paTYHu9(gp~)q-4aiFh>fqLo~LZ8(xXYLLJpO(&OC^W>-n=D=%Ty2+CktqB%n~ zC=+IBj`TnEpM0G9<4zksgkN5?`K6~uohkDiKcWpwJIIANXYjN@lgHXub2Zyr*@`Fh zGp(Vde8cw!rUncO&HT^-7xq8znXLg!$5K4*VHM-WE;10Z2ej=ccNc^C>3y6PqNRz2 zQQDS#Ug4NC6miZ_-Xdq^aVd1^^_gq_-4a)gEYVJ0Pi>^AEnYn6G-pW4UyGSrX*~vn ztCL0CpS(C&{KUs2?`8Z2BJhg}YRl3KJl_X-$7fi*+Pk}&A;f)#rzmk<+28Qf#_J;qnA_XEsd}V=-gH>)qlyS~5b191iXOncXcMO$p^D{G9U~*!Yv}-+WP>cKGZX5XS1~<7? zxeyfU;9*Gj-e~r|tK8J`oB2$!P71&3x0tG2pEBkoKSo*uYg(@~tdKSQ+Acb>TisS? z9XljFp2^*;F56y$3(AJIT`Z85o3b#tuke?+j?+Ow3!eU06@V@{*_70tAcA?mE*1Vd zX^#A0!zJN^8FL11rYp^S$Mf_r+3=aMVBz~2G0t3^^L;I3dlj|fWagMNKt=iTw~SmGOT^C%86 zw}AaCLVEgvj)okvFfwx9SXZZA)(v&>W0*>&h>E3lw?V+UH4FwNZ*haC+u+*L!2-&R z8b%6ugi|P;@xwZxl}mJ_7nOCuiCkTe3io=SPLd*eYa_61P0!HwEdo08jE_k7(~-F3 zz7rZ_Ppu|`;W2X&%|+o%o^^79etIgZB;vO*yhPEGaCK$;;96g4MbgZLIpE79v!u`Xo0dn8BsEd zBqZ%xMKw}&)rEes*|gT~Y4`Z4PZ@7=5!nKvW)t)7xKc}$*K=@~+|V1~8ZDwzK(kl? z$JV7g`c_8fjuf0=DXVU`$_4ms0Ik<-gft7GRzoCKmDRFrTV!b!`*u}JBtYlG=oX^0 zW5i<7acuo$r9ZQ5qyA_$HxXA!iAaA(mE+@^NO1PmPZi|&idUl480zIuF^c@ji7+={ zN`nWN{s0E{wUhI0)HC1zR7}iim%>wQw?10u<9jSi(sT_p2un=pIN(RS#HOM&@0AC% zQWl)l4f5! z->%1FD*Qbev#PkS;ddpOSIf~Wn$&JzW<0lxb)`ig2^HlU1cyD&86N2N3}%;kav8!L z-&MM);Uh%FoWT|uBieNgTtHx`N%al@H>28L7Svbu5pby-!7&K>CzW1^b zpJORo3|~817gK?gk?>=mf$B_~+Mx*YQ7_w)r5}i}Im}6&FQI{hW#aujn=s-POUl9b z*ktSU2i2Pi3Y|>~S2+sJ8;Mq^ZnPUKhrG@OU$29*g2~V6Dw9(mj*NZK&K#4>$I`uQ` zGi*4UH1eBE<_bo+AISfI*4_usvf{e$JomoaJ#U%@?hHMsg%DIX18xwKp8zLVLZZ59 zPz%dagFr^MY&{5(iKNX=WLY5_C0pGzs7)qz3lRx9iv5JKJ(gu{p&yPjW#*muWr?;Q|DBj`v2$Fb+e4w7W_}0 zWrtsLoVAm6%Ywqb>6)QAL!Es22!7%q?+L5p+W}sAGzLPVIqh<;V}rIhnwOqaa(&o3 zkj?lDyE*EOH2_8n6Iu84QlIh+Y>_c@hP948^~Tjk=iE*Vbf{u2HM}$(_#{UDD;MP( z_EhYntq8aK_Qa%{i^Xd$Grr&9YbH9g-UFBNwCBdc$5&Ee9YBV`Fa!7@tIsVE-FQ=6YiWU^ z?acV^1JktK++7T#aD8CFH5Sz3tgv)h1`7SH-QpxZ1a7Xf@*v?pvzykL1`##0yLm8( zZ>yY5tZZS9g|rV<4!nWBXOKk=5_%AtL_yM>YL2Sm=Bg|~MpAAyCwmwA3Rl1gah}}y2K8+)>mf2+Gc=o`{))$$%JaBBtdyZZAXk-aPt`UyF`wU7lJ6H@fa!X1W1%BAGXtr(Jp|!b)&&|h< z*W3V^8&0x(f{cQnlSTfpAS|dH3t8%TjE0BdqEZ$V_$YzB=nv90!%I`hK*`LxCiRITBcnN^vX-Gv{Kf?qd85K~b|o3<_}g${IZ!&%jC7_{O&nxa5~q%0ppS zJ6+Z|YUuIIQQAxh@C*cXbx=x96lo)Y*{Qa&rhLqK&Hi<}uaw0URCN=~Kwe{?a`C_% z9>kD@A{OYzSoZzlC>hXlj6o%q;g*`6Rij_d+5MXF1!7s;2Bz9M9-)@*6(3+?c#lk| zJwQUdM$=|I>U!LbUyaey2x+SolvM3F2oF+60)xm4e$JL8mYpB8S@FX&>4isxN~GuT zJIOChVCehq+rny)dk4Sq@Mde?%W3C|0%R8jh6LKmfmcpix}UMyqRArC7YaklH0D1a zNvk-5!d*$!wt0?+YmM(oktTgM>JHLcdCyrcM$>6`tuQ8c1XB8j*~ zt@GFgDdV6}UJ(euCdX(9eGt|rC1m*q=1OGcnpw6^cfjDK4Ya=j{!(T zA z6vy!Z|Coxgl-=bj2#0ccAkitsdnGZ5J(QW3MKUARA#jSO6E4$|*f^N=NxYm-R}htngP^rR?!OpBCCO?mkE{>_;^uSWaugchE%qA&0is&^?ktEh9K69! zsZ1pZuKki=6J6)Xr=0y84IENc>xkR7h{tCWqb=yHHDZzCVW2PA=(#eS~HpaE@ z*vA$U8&-24d-`9ew58O1V6H=uve>>TraS)(Z;JV8B@Eamrz&=T@-Iw;wS*dJuKL?J z&#=m6w;bUvAPqan@4_9Y`^V>Cogh5i^!85dYR)QY@{WTnukx09xQlX^pXV4s^{7)A znM#q;5N^iCag5TP;?frpl96uZ1DqW=jAINO_1kZ-)mx$3`Zz~>&tf$Frfp{qL@RRt z+(a|KjD&D|Y9%=gn*1l|Ruv!kyN|QHyyo zJfGE+r(5Pir96uIvg-7Krv2G>?@bSUDHNU@Y-j}d!1fRLPR$LNWINXFb{)Qw(xe2gMEz(5i0^1-+X&+?FZ}Q zAdot-y2Tg9XRK19zRF6hGEAu)3o&OalEW=7i|_xVY$AN&&E)=nEVJrl?z1S?{AW8^ z^T9u05et3ABi!w`{%4zNeYtriE8O1xkLU9&On=C7UTKXDXIiUQ5 zC4GJIb)@Z_MiG9CgR!%G)u!^V$cw9vJA35Lv#1T+fArk97b*EMltK=|C$h3*x44Aj zwa)~PWOmrs_7RT|{p!p2ycT~?_;;pmDf;A}<2=28>9F9SuJv?qNoi!fz-dk5%-}k@ z;&&V%+V?{LRle)dVe`2T-3)^7)?k?=3Pu)G3@-x;9Iz6zT7yy1a%$`b>tSWtDw%%$&gwYbml0 zVL+KZVY@7ODhpkF=vvH0TSh;3kCu#f!UBjPscsLVoq?h|q+s6RnnCBz2R0LoZ|i~Z zj)ID`GF)k`pdJ*(XjjrppyMMFJjTGBE?gm?8}5L2g+lfKx8NWcO6PR%c7D3;Sf5sR z@fb#M{y8G*B=U+s`8`asx2y17*+dH9g~>^;Q>4j>Z-`ONqVIsArPYqyts0pE)Q)`~ ziDo)z@7dzEKYe}LPEd$D6EANK?+(wVfeRa<_@s3nr#5OV1eCz(3RLQCxu=lb!5nSR zklG7XZpI#6=;e_k3QUUljRM+kyfwBThWXLK5-~J6LinYXlwlSqOv{@Vx@et*|BlS% z%e%&4n_ZH9pS2Dv2poL%g{dtO!zw#UMOdwS2IZ`DNy4FQYZU=U7T@WP@l>FF!E0R7 z2rT4F($SMqFU=6Lj=gMgj`>Z7c8 zhO-sp2>po8cTpps%r7)5;y*&jzwzBIMKihF^kR&37h#@rrLzv_ul7*iq9v`oUzFX; zH)umliP&Y&9BCc2Z!e+-PX}od1qe>PoMwruGwp}7>`0AEK6{zLR{aM*Y<6rCjRe1& zBoFvDm^0jC$2GeXc=P|vIXgmixV90BIA=JyNL{^*7isQ7eBRj1wHeh1AHT3+2#N6w z51_Mxg9J__*vV$`tu1=)4MldMm?qJd!=EdKZ?9WxMU?5PLd}1#~5en&=>Q8w*sx(|q&r(pTkL z(H{+twt0>Ix%tOdR{s2WDzmSan+beqp0N*e2GX`1d7&@opJxU%d2GcVVSW@|Pnmr9 z8_xdrN1N99IC0jT!Jqn&DQi>ds0+Ij2c@1lL#{c)>eT5}%pQ?XEMy8Z4)oG%`#U}w zdAWrZ&m@L8C}b|~x?b|;6MP+@<|Rd?BiuJ})>X{qp$z-wnnJjJK_BtrJWa6kudu8= ztx*_DdoT4qeC2DGn7#EUBfC~7Tj5(-!A;+0=6`w0mK&7Q);BUOyp4lZYyF;I38x>{ zc^cbrqF4NaEDGOw4~FIp9O1@Y2Anp1m+IdERw6Fpeu(2n8`AX47N-w+M6NfC#8G=#hiW%(*rlP!c!P*5#q^ zbq2OTLV4}e<-f-TX%#wFlJcb{Dg3PC_~cvwcc|&OB;;Q6_AA$KSUAFY+`r&;iHg@@ z*UmtOaaD{}C!yTlQ(-4runAYs*-VwnP-J;`peG8>?2T5G)B0rBs<)!)tobxd9CtZn ztSasV$OZsH5N=p6VSr$7Yoh3{wG*BOc(7LseEx*6gBg0bl5D!J6dL=P1AK@oz*JbH z0AI+-FTTf7++*$BCB%k`E%q#R_lo5&dV4F{i3`<;-mpuWZ2EbwWGQMSq+Kz>rS6v3OI_7c|G81`vw?pHT?pu~+ zww%I{&^S}1)lJ}1G{V7+8qp@ri`X3-_r+?BjE_V)h}mf;qErTHa2>vz$(!6A0w>p! z1oRm$fbwbzx+pIqMX5zC7FRpYV?PK-30cyB?wqFdhBo_!1kZ{^i|~xl1VL}$W$)s3 z$K=Lz#}`l8sd!sL#F1Ito{(WfjHmA{d+=?M3^YkZ=M7q4P99VMkn`MvY+ zy3qVnYx`>%lu3x<7uyrKbAcf3kLC;^*D_)%32l#_A9&47nlrqbbMw=!IcuLfFSB}| zA@jes6f5yiLLK2_qE20A#Y&)*UAD>!jmG5om=vVnNT3?#%darsehsw5G?4%PyVqC< z=*Hb<-|g&)bI!>U#)A9aISaRxF1@NL?jltVjLn4(gCOE- zJ`fg`wn+k0Hq_&Og*n5??7LYm`5+Pc6dt_x$(8h}frQ2W&>|r5HOv@7eawi57&{ zvj#C=wVznD`8eIoa0i{IN|1uoj{P1@dpy`GAM*BnimkrY?9p722wha(xs+S)GJ4kv z)S-Y%*E^xcKa!Uda}GpyK&shR0=3nn*a%|^+f zi#dbWoS_&9vD-?aTFNM?__%hr$aHqo?ZP4CCBCBXgB3$NKMT^gX3uX4&DR9!05J%KM+qSoPiWZ;lSsHC{<CuTx!#(5}QnO=A-An26F}pnbi91zC?R?5Lw@sZT@s*<3l8E6)6N| zAt9nOb8)d`+9%JxSyT5ByKJOCkn9QO40)U8;ii6dDpxurfg%^*v9?Ai8AsE4p(xUp zS<4PLsS?hE91XNAC~u9amRo6eNlB*?It;*q9e7oTqg@I#7V{A^;@ahHrrV85RIvDGc$0e)36b zo4s3zd!(pF`f{*F8z(cj`f0`u&f{4k5kNHmW1+PBMB^qWy+swcp&$$&KMBGM*^6@# z0?wmp3e9j0hs|3fZGRD1FDkK0_O% zS^Fn8^9hlT0!nmV-MZrJ@1zC)vx6$o?;YHXN>42YqbU2vz|8TZ6ypmoBXZXjevr2t zjMSh<&)!az$|H3-Sm6If%bcMzR}`A?xa=!*(#VcUyzRJ04cVmKu~z1%4VbUI9wVU* zJI^Pb?8KH8+f=4K;4W~?8LqJYRWZEw3Gy@+F(c0_X37t{0cOj& zd7O$W(TsBj_8D?>IFtspW2OHx!>coZ!e4oo6(4=TxZ9UD=^!oA@z}ZZZZJ*N`7^dQIqWdm{I&P>E><`E&YnEOYFmoMd2q&RjYG| zV!*`2hjRA6#-4$rEx%;Jojb=t!s}zA6>=2h_Qac=zJ$K!e-k?CsjC)5kk|E`!H24d zp6H9qDSj+39=JHzECr!jEL?NiWiz^7a{fE0zKL(DJvvEinmEOMhBxxk z{*}Lx1uvYoW@5xr>=M~trYGj2IYrTVRPp=rRF;MN-&{PrCD8^+Rr*3ABGNS?i3$pb{HIj7o~m$MRr*lyRr< zT|y;~jVq>Xo;iaOUCvgh^b33~ZTcq^%pM;~fTJU+u7 z_?tWU3_C+pg(wyr)vi^Pmpd#uztOM~y+!9-rb3bNV>Pp64WSF+Mr>u*6`wm*k#b|N zk<(Bx*LSsb%7r1l=h5lZ;nT8e0L3@^kWmX|l?GIV13g<8v+L<1CT`9xX^AAc_fSPC zFAqfxq3Cqo8Up5dIx>?7!b+`y->@1$48sPchWRIjswTyV45tP|hON8nf-c&ENs<#GOe0Di3#S0DL=6NMr zADUWKnrt#GhHDu=`Dl+Qq4$RX%|bIM9d$|uQxLR(qnv>Bc$(f5I=1>tkw>u{(4|QQDuV?& zX&sJp`G^@|ENR45v{6DZ(iYID4cE`WIB{rcjcJHH z28@es(t95Q)|hR54t>vIo7ebQ^gu>RRYYV8G+x;a4%K*$Ddw-|9RpIfn|ud` zkSPiG@N{=zkfuXk5#2<5hq}0i9X}_ttrQEKTH2-txMu*Di6$h%W*oI7`wr6&xx12T zWVx!;hvX`klwm$O()-w52RK49iK4P#ZI&Fu{+40I!h?2OGn@D#K~KMg8QTx?StGGw zu*~WOmqqM=!}bZ3&7OvZpY~p(fK@0|4Ezqt-A>n~Y3bgTx}D8#o7C>JZS%z)2KwhX z>_A~s0#QBsbRLzMG?VnPgPQVI%_bO;N3gsre@4r`3qpm31=Ify8NIcRHTa4EZRIr z!@-8XYmu?(Rc{UMBTcJQLDqtSaJj{Pl&o zUpiFS{p6Fvj|6C&d_ML8DdCS+Zld4QoGgmv>G{QdhVu6g(Ok{U$$iRO@H}JnKlv{U ztB$?A-dB`=fS+Pg^79W$(?_oNkq2&xUKVfm^SmILI;% z0#!hS)%HtV{0@No{fU@?#U1Zsn)O^hGx;|Xqc}0?&KastQBHn?f!m=M#!0|G912D2 z1#7R1mWfH>WD(mSi`3G7Pafg5u@Gj`E`z=(|qysJ4?sOUIbcv)ut zVXToSjLv1bzboCpJXm<)HoNq;iMc!1#tUI7;i@H--bzj89`q+Ci!8kTW#|;5F8qm0 zws3`QWG9x$oW;dowjT^&FRi=4Qy-)7aZqY6AkGq%N>L=3HAlWsqN8i1vE?6RF>xN6 zVu8j@w7HaZ0W4rT1YRkNKt%Wt(XJI;m9TFC_$KK*BE{b`s*X_9ZNBr#O?u&5_luk0 zTnK!2){Pex2OP~dK|+t%;o1q#-4ANAkM^=+v=p(}L55ldb71R1oahHs9Tg!@vOI{J zm%WA%OLWl8WehM*r*ogkyqIT>)x#wD8pF=lw0fF1AbMr4DEUfmJJfDUR4z|_L4F)0 z-kLK+HKE&-B1!Rd60~$7^5AUJG+7XR@pK>=l4bOEHH%2Kr%_HB7d1A$JYQ)Wi6+G` z*^}9@IV|M>yZSN_#O77(2^e~`pb5~3tVRDzZEdgA=>?^xAVD7I47DSYvvpTGPfA@E zyYJH#SLVc!5fPA;-BXj(srL_q;gY@*27B2R>#~NAx+@t~jE1n7{3{+ClR@XbLd5ut zDL*Maglok|?FSkLT#2R3?O5*m1AS0?LNI^0X)j5frG05~7vx#CMfH=0yHGVbqCE}D z38O=LNNnJkwSze7^moggXa=CWL7z}zz=zerLDdcT3|KjbLKaWd7)?$*b{*Y2np)|4 zS`ZboRg+Egq6HCog3k{rCI; zW*=+2r0&kxRg9~{!nNnpOf$f;2WZhwd>@j-flTU&?CV$*_Um(yy}#rP2buih|;e|j1uC&0qx($8v2R+Xvi13MY86y z*CXWYB;CGbR^1R_h5Al+71$*YcQH9OPNn=pwQ?>ffC^SmXO`RXHcIrY6*b+nRp z2@S@&hCzbw9Xms~#;8!C|d zgL>94oYP!jFzxO^D?Yy%O^GSx$~-@tpM?9@Nn5>KE{{s{vLzx@o}vi9j(NjluJo;k z@Vfka345C(1Aw#sIvuByUEpN=Cls`@9JxD-`2upYbunjeUwa0fnYWFCLqfVUGR_b# zYyNJ@avSrg3uvVq|LNs)iMTw!$eqL-eJ^_q8qQCVOp)4?_Y!xNhH(&}iAt|1=8y3v zkArpo#E&)U4$f=(Rf@xdx09fhwhqEr+($vD8u^ER<3bWx{3Y{#^`2*5;TiL)Wh)o z^$;!MhyqVnonIKQ8X&t7Flo`ge^K#EX^>@qaJ82jzAqgNa+Us8N)P|q7`Vn>Y?hH3 z&P+H#Sjo5px5(|OkFNV3Hu>!~b(`Vp?WL1XcG-QH6qzCLCnu>eFDz3A2DmB1fvlR> z#kX@>erD^jt)}lz@dMR?; zJLzerxZ1Dlx$iS`(W@7BU&5Up8(l;xm9jqDEMhCfr?gU{lfUdOSJMXCj09EJGVo+a zmm+b?0A?R+;hpvwvhCm)B%s|iW%rP4;cifT;;@)?h$8t`VMe%yEI%`FKGH36HYwSn z6C-1Q4HS#C+FhL1pCguP*Ag=1*=lp3L+ugnuuPM5I0~Hl|3)X;cNnEfftv%^>26O- zc5}7zl8TE2hs7aL(@K(sy0Y=0(t*yEGRA% z!Ad5FOkB)DIR>CWXP$-RK*AGDTSbobAPLt#LwA-^=l{+*Lz^JJ4%Vv}$(`AKArg``Clhwuj#jRCdyNSwx`)We5zTHVk?L#~tx z_DJj&h0Ep3E41$eFn!i~ZvUJwY~wo;g00=eK7(ko%h^?E#&!MQW{~AC0vj04?10DY zi`iD!Bg;G9Ly&aS*Wr#ZeW&YLrGXd#Bba-|vQ2$$uGuY4VV)l7-kM?a^g;8TSIu3J zeSK>Ap>atvY3mNok!u(Q6ikBfH|j z#Wm(E{NKd-#E!fZi`6$O5f}1477oWRW^nT@S?)i3NpuT00>XOxlS~gA-kk1+U)Q?+ zQ(w*9QKWGxJfam?y`qx^{!ji5c4A&+Of4-I@3->g6q%I~j}Qq)8u417K+@cjI4TKn z@sFJ3-P*~=?J<`^-=o>Zhd<<^bU*#~TXyG{IHB^^bM5Al?4xIC{=S#faDKyVTg5ZK z9x=qP+u){shS@KZwuRITQQ(RS)|2^9#`w@YGmu-J;}q>fgj-|MG5e?F>x&Jha7-tN zT&lh>?ii`po4m8toOwk6WGrCe*xNGu{J&{t5i6g4A$R3;YcYx~K%Dw#t z1tR-@$rH)*{MzD9X1DD;+q(Ug&c!R~J@S9{e@|lZ9|vtm3b?`GfX!_DqHDLm%v~G* z=h@i*)sq*!?xm4R8>(rUOm;B>f#;7^%Y`H9rf4|(izc#opPL>yP&%Sc4ayW5gN z_nQUuy8}G&r(e5*?)bF4nBG{&lRLm>G)EbX$K>7l9Bkg6@v-YGS*PUQHCq-MMcZez zckbuHip{On4WjqAZEb`Xmu+J8IND4q5dD6zX;1&nC3$`&C1vNF!5zDtIm1`;b&VE! z@F^XoIM<$t^P%ID;1^xT-o?{5mnXu%v6J!OK)7JN7etm!!}bK7`q_Ipdz`?Z8prLMpBA9u!Rbq?-RtlE=Wae_hE4uo584TbPU#Ts{f(LwE>W(WW z?l=nuIuGoMoGAAKm9dz8bPml8>V48SIJMDnKv+fnvnf6+St4hbCz@myt8l`Mf*?;R zA6QU)8!p;+7>A4~415y}3;uf{P5c`OQU5QTs;DXdgX60m(a*L}SO zOvOHuJrKP(me7M$ny&!M2<`gGK1sNQVn9htWv`3h^BE<>r(1qnnM-u@>9L0yBChQF z_<<<(v3uCMQ6+9lY7;G`C%O|4$1jVD_Sh^{cdU^*=YUGV%VuMt39Gok1}8>5(7T;s z6cK%tWDsK0;lSi?QY&x?-!H{g2r~p;u1ZD^G-t4rj>M6Nx-HT^$v zjH`2hIcI?`wc=o8Q+D2hnwc{UC_9EPCf~~?)8RmI*sdd-lomKCAqdeNX+&usDo_5;=3zz@F!qWR(Ti)X!w;v`0s@u0VzNjCkyZ- z#j$*mQdW_U>FvVYHz`$!^@JD?B2;l--X=M3d#7XU%PfTcSMuE8P8B z1UwrLv;6LkWixgs??CVFA|crcH@=D$xepfB{`pBZB`?Msv1-@N^NV+_me0hqNxh+o ze-fgl*B`R0Hp|1|)ek#+Vb1c-QTAQ3SCP@ysjlgu%Yv(I2Be|o~UVoX0sOz+KY`K<2^M2m<=m5E24rS8{hX7Bt_ zE3UlBIbYtufc3&CHd2le772X?)#K!Ba3cSw)7~EWdscBaskGO`l@yB}cb-G(gJ64G zGbV=k$DE&gG2soeaX{;Q zKVvkAqnfFD7QRi~=M!RAc)k2#pI>x&ael|bH%MYt)0{!09Vr)U`uJG2A6`dQFPnG% z8Q0310r}`i^>5=hVJ4KZRxIoWV{`hE>}pz4yc$3lr^c z+7kD_)#d!;)Q08^OrG&9(TC$=fC#5A?8X3JauaY17|ibCf>X^Pdaz~z=oeeF#Ey)v=1bnva+>X#pc4o2pxgjV07O2GtTfA%<)xh{EZ|;JaK& zQdTrzTU%!wztYu#$o2sbZ!aURaw%PbjmGNsJB$()UphQ7yMw!;qW`L-R8W08|hJDhtRKW6~5caojV)YmeH2ayCk|%PntI=|=>63)4 zE@7<2Qh>$Mhp%rYPEM{vJj6ZJ4Zd*uLfKGS0JUzbUfD+y6NX1jZIlTKB`U&<^RfL4 zsslPGFka=F5^+~L# z7TsNPchwh?zLgcUyp>uO$I~V-YrV<24yLzRpk;a7Ox3 zxf}s*KR-O$UBB6`W&h_CYSf~TqN%h2E}FZIVgOZ>_A#z+t!)D&VVCC~2%=#g=>y^R zpaLbGM(A1OQt%oEtYAXIHh3tR9@2r74AUmkA=CHu!63OHcrSEDM$RTzP?)0UBUca3 zFuQ5<#zM$I;06+R(PNNbFF9<*cYNI8I_6Op{oiUP(|q04H&bj$=AKPdiNPoI~s~ zjtzcJ5y`Q{nDGRvfn&kiF|TTN$=9cimuAj@b1Z0W^Df2cDhs`6rA`U=F!<?ughc$ZbX(;m|@L({#yeE;k z2H*Gc`W%AbnBovMM-6ocwTvkJkPk5;HS6d|c1)*_F^Oszys}?|&T(+Uh^1u0qrr+z z4<>)6XC1b<7{fR%sy(`5lE*Ohey+Nc$bOu_i1zv87HomM>4MVKNgM-(*>0oL4caIg z4=pR_yHp;FR`$LHkYty4h)-P4*V1a%6IpvUPx8`J`7(tY z3ywXF1+oG@!RCDS7REvMqKxgwX>(XX-OWJfOq$1S(SFP)&+gLdZqi+tIz-iAqO>+nqAug@Pi`9>@{sby0?lDo7?$UX^1s4fN8qH#+b2uJZ z2$!Gjrnwr^D*IV0_%@Zdk5l+!YQ#d^0Zw}}hd7>eN!-l-u;nGT404PE#qVi<#D&LS zUv`c?N7BDAyNi z5^TEdGAGig7Fl9(J;B)Kk_EFVFc^xh7HlMMQ3dg|D(Sg|8SbkjfuVgqe`!N@z zm3@@Y^#c^sp=^G~MkidrykJL0~WKHr{z-4-s-DhsfiPkO+)xBP#sGbP zY=Z)H#oBISt(uXis%RtO!cBRTp&a{57CjP3>k)GAgDjPjGYo+xbTE6Cgkef63KA_T ze8+KXdkG731Q*9`?6s`#pk~b}bLfe!vI-UQ${TO`#6;07Ivisl4vxaKtsQ0P(aIaK z9i7N4vQ>F=XH>XhBZDY;>x;25F~v`1#cTnkjD?oUWiu2`ZJMIE0~$_)zkkXgg$P6 zTj5U9Rh^cg?}6(3>gA!%D{+LE3^DF8nJ6yBgOPWxqShhC-x^fBo8kXnwx&>x81%Ik z;^M~ko1>G8^^iTH>LK`AmK~;~wCsarT0luO`b!)gXugGP z-sI@rB-nBA2P=~tsJYmtIAdWCA7jaT(!8~|59zf}aR++bu}{8w#;!e)-5QT$Y<$w$ zUC+OvXen+h{KkR^IVn+WKi&u`aZ%IZ!#7g2t|{+nuckvejMDAHAGa)s-^`!b;$zRf zfwweXo{FQ8`vcYJpIl()tDMGfWj%ReP~(#7wC8E-uR*^XbGG&)LMM;KIA^$+oZleP z{{oLLYvdf^&g_oGrnSFDX7v(v>_@G9_&Qdc zgc$_^VShGfHpfIjN4Q<{j}OI$;BWoezlL8%p2XC^!`HmruOF}lhV#wMKLWXpF4y0& zBwhMA#~dY1ej$OjN z8*gde^3{XvMu^K8D7(ioe)O@l?3nUep<;nL(P-Llot@dUKOPwcnRkDrF8c4e$igF! zq4Ozb`H!~gqW&BC^16n~30kj+uc9naTvyPMj$_Qs;3{Y4qDL}*h^GG3gde{v;mR=0 zoM@^#&wPA)qVhcoq7k@>fZEohbOxlAu_)tSZSK0Kodc#xMV)PCxfjI+bCRZo)?Yr^Nd$Y0{3 z$l)+dReogYb_S83?srw$Z^o=brGIyY0|+^T@I|K>wIcU^mLMdZ{ED`odZr7{(45_6}TVLLb z0fXgKs2C3v*`&GRmPlPZq&P_e5HvBF^E4*vI>$kPpeY0JYw5Ye^gG-G&fJ`}@9Zs>tfM&IFFy7Ud;uoI`5bq}E#}b}Qy`r@q9MyLB&}Y8q#e-kfx@)d#ilkr&|F5bOs2TC#OHJpUFa1(eKuK>o8r4eV+Bl~QHCeA<&3|FE8J+V-$FQL_O{qb10nU+gE}tq-(a>)WOyx$=$0Zq za#R>s7aG~ez^|P1XlcRx1J5^A7tMY03fi6b-*1IZqoeq+3I35ejpK>P?OEw!%l%W`GOO{!7mJ+)#WHPTYtApmh$r7D>ooS$-{!1(DLr!8+)(wfti7mJ z24D(Ku3LhHKuH{P))DT&oWbHt@DL=D*{8DHpSmIEs)I3bGcx?k?9TjpoBHMdYb{hN z1jRqjC>P(x@cG5ef;b>u;suLOUTAMGw=N0mXa5-cpPvnO?9l^BEP5IZ%2{c7`fcXh zI)ym@fmrHn(Rd=4QuzRH%xVf?^hs>QDC|_r6~`W+l=bHf!5<}N`LChUKQC17J6mJdKtSz%XORCXy~A=IA^HP*~WJg;nT2; zYEeKjaO^X<25I|Gmr7b#m&bDtg+w~nboTMbocS51u#Y;9Q*1-?y2gB*Gqj3E%2R~_ zGJ3KyT&*(-!8&ERn9!JxFE}ksJRaO?54E4=C_|B&D%h_PrwSp0$<2ApwyZm#x^Tkt z+Gm2GWYlwpXx~A+r_*(=TC?eyb4%MkC-$7B-SgJa@khw~*gn42@N zVWX;TgEJ2Sn|_FF?KNk}vQAQ0W!8!6tLF@%4yK^{KsOOcTWcdgZl7p5xyZM=c$uwN z+T8_pzChsGBxZ{_!+ep*N0?3947L?0R(T51>=;|7-t1dOsu*IEPKx(13uQc}>2cAuqE=Fj z-$?B={&`4I8zybRFtb(=_Gr(`)9%GKq0G*fhlFOv0xWAb4trWczQ!KVRzwX;VGi3m zjabY%ha*N`VS{pV3Lko?F)$UXMA4pz&x||%HO}y;I`ZoY9ALDiwE5mNWv_$|P!CwU z83&4Pjq~*8ydo@^?O<%lR`ZL*67N}aqr<7!py!{kHkBY5sE607jz7A z@?D1x_eqOkBNh$U#p{W~ucl`-XE?1#ytU8JVsZ(t5632R3m4k>E4OH78{1hC2ufAZ z7b>^tY4}p9h5xm5oK4zexO=q~Wt-g~`&C{qnRSq|UK}CjTW#O#(N-fSsLrAS{C9MF zDqoh;3!6Xa56%|dED=qocOiap^DbN5U^M79J{eePpW7l6E%$hj1qc}u=hpsr93pwwMR7-`$=Rf)=e19Bb$I2C z?xP7j!}9CqeEZWZs;*s-Jy;Wre#~jk@I%H`F_T=KDcUHOrGH{cgeeMDm}mSESACv+hV2t1o&T*z{sA+BM`1iUgOgV@p37_j z=^7a}{izR`-NY-jhyToqTnt8mCnDFrXzmFSz2yCA$akqi1z}X6+=<4)<_*_Um+R{# zDNuIwBj!7QOoA1G&Hde&Li&@@Ap|nUWek)c3zJU9_RQ0UG&8vG|@zlk4NdXYPb^bj4Z{|wcw~g7IcE`+@uQ(>TNUdGB2sAYvRL@d92)RK z$A(pwK1_==UcuPMVe><-y&SGb;;dp$1I^S^3z0J9UgIGI^AyxMmK@EzO(z}FL?xm2 zH5GFe2@=0)*cDk}hJeK>+!~2g5x>&)%|)(FT$M)68N8y|$kXxe$Zfq$B~=7uY3@BX z3zb5}78cinP@El*8HcR0jDQX2@SRK)Hw5G+xW;&uz8S|ST~zn!WVwVCc%Gh7pREgH zxyKj@Wf})*1w?v{a|RW$m7JnUA0?HASm+w&C;%>T(}st@DUl&s#~Bo#?tw{De{I>gGR#ZEVcF! zq03xy$3tStssN+G>%$M`E0%eb^N-Rebpj$7j0DoCjPOwr-vJ+rYaR;~WtJYItt*A> zpLNfn>@Vn$4!a$+Cn7~PQe_l}1QdwE+?^^C)bq@vw~ETd8=E{-8893_FJl1a2kiS> z$Li&1WWJL@$b;%7aZ$~6JH6%3HfpRQScC6cMm(jJISbYi%^V3_r9q{{HUn;6Shjpk zJ^(6`bdt``p&b60T4lIKg7flL&mLi{3L;f^^ic;Qe7E6oLUox%wu2nKeEYCx9IDP* z$}L|SO>MChJ@b)L-+X0{A#5Fb|K!|6=YvFaQ$YzIM8sK>YWqPf9WV(pcibp8I26yo zFHU+K7Vs(WZq{>Em{D*ze2hg zPXFVkxyuMR@$xV`R>%hRVDVLKt1<58a+kVWkXUt>UJSdbt)l)oJO0;ZfAHQnlWEs8 zZ205MsxL4;F21sE?hw~hHMpNa*O^qG%5$5dQB9>Ih!yb!6e$%C&#%Y5Bgezn8HYDz z8v%~_MS;H)O^??+HFRBPEiY$m_~I>D<>gJ>CEm3%7k+`bM6sV(PhzzW8o#q6%Kacv zWDdV*;kUoS82zT#E?SH0Rnx@egL-uzRtOQaU$~@Fd@_v$tLOhY^M%`~6wlAcG)9vB zjIc=QJ3+!ASc=z6ic&ET{50~h_FEg7xX&u5fN(M@oqagDKEz4W1%Cq*fCo#P=K~4l zhkX%lx|IaJKKQny{7X}32q({gHst1ZvuoW-i^SqtgR6Vi@++z1T|h}~_HLhDzrsgU zlS7YPzcYABr{RFz=U2d3KqEH}S6E5>z zlKr0SzF75vn64u2;to)z(5=+vkim`Q_YWnxtcw6&8MSE^S`est-=bYRXA411<;k-t zChy)*mhKM<)dLord@A2P)E*Y!9pvuxTP5q`$ajfiBTf50#ZkH4s?4;|P1dVF0Ut%kmMx2yegYrORO)+`xy^iwGSgTdN@SwkX~wOHC1DYiAV#elzZtl8 zg~ckZ!3YppQ(0UJBh0G#JTS9idK^Kw=nsBKJz8Oo^AO$*pzDF_WyTe}ijjW7C$J&gF zdk}gy6(2#xv`R@LKCo^c%^DsXH>k`~?<&oG$(ckdd^_45qdyg>03O(iCj$j4qyBl{Q!^g7HL zKsndtkm0(5pXb*i^-&m80S0H|YC|AMFFy&VpvpyMW1JeOwRNffN&Q_Ym>{*fj&%L( zm5z8QD*1Yh%4AS9BeR;YaOmlO95%#v4*>g+5-^WtOMBtw+4g4L68Qd)BmuhwzJ8tG*qMc6m3 zkc1&!f)q5}48u5z89>BgwG2+!pj^xWAmW z3SLOu z>*|5C_GRVy(Rq>{C2&^6zfkmMWIei_mY|p)iJyqGB7EDR_ZM$1eSF37mZQz7djnn^ z3F$)kSrmPm`>#Q)RgIGS!PN@gK*Dd!vYB_FfB*ev_s87m1_G&^6_+5Kbl_wWl~m;U zjfDUMFDiJ&O~rLNo@2d$gClIfvFjJs$cpFw<%YTC8=~rOCU&!Lti^6z!Ejz-*1l8b z7|4ByW7ExdD}luIhSw~)xBnH5)I$&Oj@Rqf5-)s+kCk7ph0MIW$U0x6F?!;hGnhcn zvvqzo2mKY<#d~i`yrNp3eG`Qo1>4~xRzCD0xL2$}6Ah7WfAbBHrn@8k*6WySEO(lj zE14n9amD0UwEptN?_yffS{tZIoy-w-Sm`nDAcK6!m!o=)1ZQ4^J2LPoFi)1w8ZSS; zl|6g)+TqH$8Q}F7$BxY58Gawuv)-HU&KW2U0gurT7%0)Ymlbn{oXoY)t!Dn@Z}Hmr z^gn3&#ko8*zVBi$9_{C{j;BDyvR^%aA5)BrgOw-bHx?eX)fdiB(V2EGbN{wcxL90U zz#p-5raERX&%J$7FUazy_2i#+32aJ7qBNei z!8wEL`R`|O3Pt|8yADmozH$5Y>rMX!zQOv?fiQ0ywq~0LNF`bN-9e8{8OD*MY=Rv) zd+IX1mN*6;XNa}hofL#=_E6r@Rm$J26|(S@3hV%cqOflikWo22_?f`LDX&W69DAUz zwuvws*rqzT!nmQ!8=wD45ccD@M(5r zVV#1cwuH#$r|d%E)Sx<4UbyJ$8GZ@tByHh1$Q%?fiBPT8miX}2shx8JXwquR7S$60 zJCUi9$l+yhvKO0ZGBz-hMk4-9uvFLXG!4=WBa>5RQ+`PWoC^b)iPRn1bXY_J3pzAag3QP8K^rwCf!%UMr+9Q?7akD^86BOVoI4ZP z+?!~L@E$J>Oj9Co^mwAml+0tc*-LLy)!yv7*!GWHV7{twW|K88@U@JiG*FR`M6qns z^=dk7&3hQA;t@20=*6W=v-_DyXyT+oZ>~pCPe_`+WX&XG?cT7nf+%XcRnsC!skui^ z>Dj|mh^Uj;m`2Brf?4DKe?4~RW`({(o=!3rq`T~DzbD^I615cY(fn475G$2akST8e zokLnj4oPwRLVBo4%CT#WIVh5fisg6gj<0A2f%hz#($tusP<`-J6XmylT8HezG;dT4 zlDax=Jc$-86+fo5=3;rNeZh@|`asB7x}5~S!$@NF8V*EUNr|mmb;YZu=N+TH&^kZk zHHtJSx6qro5!W+;r9VF}XE5wN#O3;5IF5c@NE&~2IPBW9PN$+sY2!P;P=o2RSG*$w!d&FlW3 z#^dyl+P(KrG%LKjkX}Poz6YU{k4}?au~Bw;JV1;G4~eG7vC;*e_BG8J{sWA*G-r5% zQLngn{{4iQXE}<$I zuagj2ti$($-?l8sHFHIgwZBHGc!HOT>!KK`_B5Phf1EQDB3yZPGVRCo)S|rt{}8L? zAO3kOs=A?R{(bbd0BOV5YFId*m5w-PxS=R-;Z)(Xmr@`<&`3vNrFFrR$Z$Mkmo0go zpP@^=YNCAcs$bW79Ah9Y3pr6qiILYAVDY5d;h+B`?^9g8ZvG!PtYFF`V4s0G!`;S4 zJ7T(X2DT5*09?Cy#|iJBhII2LG}S^-LkFOy?+NSG9rraqN)jBt?e}KQz_-JWMqPgR z01eYc^cg3b)%sX5B%}3JP7jN4RJbV?pPrufi`|@{f<%j_8i6%x;OxLyR8TZn5VFwXz z(9yNK!&!$p1G#@^;LOgf&20Y|O>HELy#`~9VSXkXyF00GdLg*9iZ4*Jr=M;3o z9{KqJqD8(vVHYr>IN8WbMYy}qkgYD}cDiAi<_yF~=g9@iP)BLbnhVkA8!xq@qgN%q zHGsu9*iGUU1WB|3SiDrvISI$}aGLoPYw}p8PB{Dnb^-op7QNC#U9Oqu^I(L>k%uhQ z*@{cU05v2zFX3K_9qBXTtU*J*9I%apf{}B(OOzv|3juLnk{p;VVRISK+lkbExY!)v zo|6aQBL#_5kq%TKRzRZ1nHz0|8VP<6->A%M7$M59RCL^A2>p?AP_*+y6&~57Pr46` zX+u3d1-}o3I1}8IqTOAhX|SA1?{n%&08h_QN4HPp zx(qKuAi<%a!b287=LxMFwamp_%&VAAPrumn6;Tmx!Avsb{+|e*_;%n|5Su*D5mKcp zntBF&RwW-_KS?e92#5rlZ;k;+!s2qpqtd`_=7IuQV#`;zr@aD();XFp&}FF6=Pmp(bx+UVEfyBwi zytgmm{d}lneN>-YNuMzob=c`$N;qZ;03X&$h#tiqT2U8O~;#_-8oM z)joA;l%hGqv#q=cZqiwApFv{B_6(Av-4m zI1@{Uzzl+~Bj;xp`4R;OiHLgW25omO;jHDGv)?x20QiZ6?P$5}d-nOpdGsAHRu4QW zS2JGgR8eGl5K~$<>I7Gcj%A(e5wu<7K!m(%c%DS9<(xhJ2JGu|WWzt*vd)b-1VyJ? z{ezq%;Al$52#Wo@R?S@B4>kpuy3r}-7O-b*6CCZ6dd>B~ z3ObCMkkLig!x@64lbexdjN$PQPGA1mWul7*o z3|}=4OU)v7L0PlDEjcZti$bYcyVS*HHR2kZH%npiWbj4xXjyCa#meshsD$) zHhiRV=~2%a0z3+&TRYt?8%g>G9#W7`QOr!0}!wv2JGng{C~rggqCbNY-GWfemjTP0}75j!K!@?a;jXl{Zb zF%U^e-o`#wj*hVS6h}%uA!BmDq*SztcF0N00%D!G1BYquu@eQH1tL08Yz7-r?L`CE z|Btozfv>E(?t9lc_egU`XyD8sK*kuhG=hl97+(X%II&?%2qNsUBkaMZZem{uPKiU4 z@gE%8#P*gDSjNN=E=k6&+gwxX&&2uDNt*VN^fkEGVd7B7;0GPVT{ zuPa>XoW=`O#0>F`;xUS$9mPweY>F~uvCt|)65p~GhiG83Jxrw&X}Y_>XDg_st=lW| zBINVD%k5{#y~Il%R^aL>U0Ck0CEz^oTIpgNQB6_BP%ErOfauv)C*YTjbuv) z-mVR%a_4+Do)*8L(k{GJ$;McS9OJsA7pNk1#hD3&9pM)m7F)5lO&9!e8#Hm;0{CNNy)Y|4YY&c+6+QU@GPXOK4Wz@i`fY~ z&XxweF0z9u1KpgQ>1FkpH?k8GStG78y**sZ-7YFW_UD@STG8${_^|7m;vg)^dNf{Y z4SlYXjWE*Tl1`gr6aP~?&4-Su)s`Jd5 z!xvO50GUA!r9={0oZnT=|)gh0M@rwRXS->8#HWKkm`>5tlC;VgwKIhVOEh~ zzJu+$m@+Z{CJ@m0iaRHxk}^*B!oV!Mv7h-f?2=O|tUi;K>jK=XX(_M=aDIP zdZoap)?@jw?%vXs`>!@1v`4QCE|NT^y+b))O{ekM-LOUAEn74!lf64lh_P9&k)1fVj2# z!N6KVHS=LG`mIBJD3QnJBP292JC@;4Nqc@ffw`D5giRf@qQuueIgZh4z0OcaJ$c$g0E%OBfHu)7ygU2{wczXLhuYFQ95_b^1 z{4YGGY{?S|}~S@HNC z=6uQ778Xw7%CFAkM@9G0bF6uO5doz2ox|kh4rtG0dFl{d=m}B_*Dk{V<+N{dHWUuZ zY1&%tUM0r7pS!lNsu+PhXJ@h!*#?N@?rVGFRo0pRzI@a_C58>M{mCvmi|M)UNa#gt zd9J}(dX&O0hkOsat;6kd>TgQBmsnh18zE$`Y}&|YcKd8$52f_Z{7`iqW5`|w*|)3h z2BdLze&(_;;xg| zBvOOOcuFP$%U!Ljif%K@qeS*3Vd14_vM{PtVMYirLBo@scOy?ZGY@}`lzTp97#}>9 zu1ZlHs(92BMy8D*#z~~pL)Pisx^pYnrX=FKrd+pqmWuS+X;FIXz}u~(L0%4J)Y#~+ zJ)7F43*)eQia=uVF$3zR(z<((-9LpL-kG8WEWRP&({461C=m(CRwCvAn1^pBLT|g$ zv)~kAPF4}!=LZR(dzDY%?+Ql%%L_p$mRU2P)fFvOv=7U}kOZ_DGbeYDp-4M`fm9)E z!KLbTCfGjp%^QsAn%TkCidY_BAPKEI!(D-NwJmUYnll$Qzye4o+Fgw8RGJ;4)*S9I zKi}gNNDR+1on)Eka&8YX(^D0V)V2=i;T%1LZgYN-YaKR?b|-6(lcUQouXHYd4X$SC zthl^JZf`5G0Z`|BoosZ9Xx`{$miO-+k9)Kaa9vTideG7u&4wPC@@C8{Y?8S~9W%uI z*S<|{UZeb@2Rqiifl|0NTUZ`(k*o|qp2&ymSX|0dbbcxM^0}AVjo}ApxD1`y;+gW+ zar$fqA0A)wrdT&>pqql83JbZt)%GE1&Z$UrTtn%H!(A4JPJ!rhAiy%Gfr_+#1k7Hm z^tcz#`kDbUJ|ZD5k}2>rX~4;$2vUsa*PoEQo57u4*bTg{X0g^bUO6kj4fiK_Jo{!I z+_#%qRQL#!_xRf9ao&bJ45;p&X*Be?2!>P89YL@~y0Q|LW(OBNwvOBCXfp zU*1h6qT`NVDL(b$Kx3PZmJUO zE2I#Uea;q~7z_eFQ06tAcltE%@!Pofha=N2t{L9lNE;)t{HJbrx_Fq}mnXlzFSC!n zIB?T^NLO7CO61fxxa;O8cKPAuzhn~jqmB47y@j#!eE!t!-rBFAFKzIG59${Lm4n)0 z%jg;JVHjvKD8;K{IKmx%^ZpCVmF$AtJ@kFv9L+YXG8*~{1b6a9hdk>L>%qErOINJr zb!f3R%4?PpH8I2-29evtU^v2!vtBcxE1=EnI|6Hl=?z>G`gC(uSH;|ty&5)aY)-6Q z7?$#TAtDiH=Z$DU4Do*^R)}_rPv4Y3$H;K_pE5e^sI1>oTDas~%Z~0ZiuQ1lm8*G2 zY~*dc+_OLvf#pz-RWX$kisp^?-8pvli;+V4X*s6t)W7gMtS^r<5Pp_4nv0AdH9oP8$oyYf02f&V7PPA5mAj8H9) zYVRg?w*15<*odNAM^Sq?ue1W2DeTR zE(U4$7C3e93uYJh6bR;^b|AHSdlUCUF)i5L$v=e;Qg0`^6Xg07`VSvMKy#kAC?KDu z7M|xPBN-?ELwGnjD>I!!QJHmwkqVp~@Cr)WpI{R@7iK$P?Dq;6p?C#;7S{}?=yY07 zWm&j(s3;y{cd})Rk&GkUC2NK}lFl*BbBaTm}dv8Y`sI zuD{RnNxC^{ss{Jo-6{8?m=U&Lmvc%W_UQ`Q3Z@AVp%Z~56)%5H#^=^FaooR$O^jPI z>*}hQuUr(9cTj|XH|2QFkh$&^Lvd;3C0M_3c+Z3m>5Q`)Gq+NZnqCHYdbJ7lj753ZVC`z*h_cQ!z6cfZn^ z`$hUZ#jRnb-gm7L8*H-RHXwHHImJ$7c1(r(mUD}8Qr)RE{M6Z5tXDYooM9{+SmHTD zWD5mlBVzy*t*Q6Jgf&B4HGTcH7W|)=%l2*Z;zQoHXKn~$!H1@LpRrmhf1bkNs+f|i zVq&N$wt-1RjluKwz>A87ZcGY<-{n=y%+?N8dh9l$->%9~_CzRktSq~c>VEcp_RfU%p_~+6+-wRWuRVyj}Y=%YtNvIrBGLd zDr7Uudv*FLBqXacV>c)FRIJY-3spc+j(Qm>X2`KJUF5p_JDt)?lp$H`=BDzv^>AFZ z`Zgdpc(yredXt8yJXGW}*i&^>$*W(F|7snwz1^h3XVwg(#8!MdYC0*~9sY=e2jnNr zw&IAWi-#vBDb%Ji*%%Hi^KkIkSk?fb?kysi_*>hS*?+M>N`laf9-RDLLLwlQR}@~j z$Dcis+XB<+ZVu9lU>Ec0{wi=eh9WsyU5wQ>Wigy~2`x`*a zc^}bcPSJN2phneVmjn&OulKq(2-N5MGkQ5VAa*KEK7HGyryU3#Za}6-gRVsE*lD^d zl9$cOQ_2P+U&+HqM?rZi0pO7$(EHf0@^hNc&j~G&RSilXJ<+K6tRqfyi4`^MH0&AP z{w%KtdJXL>4#dPEj5jJL$C>P5)lk~`WN{;ejoveTlq(qxeX{%fBqH1?QkF{A9KxWu zQD3+c+v62zvQQM$<`{eEYBI7H8ZXjo||k=cfP*}DQG@Yht^Gc&Jb+El^f0` zC8F)jHi4Pt%XxRdJ!BVWj<3yR<=i25>Qu}}GrFJ&l?M6Cp`=*k9@RFwKfAfmILzGu z!fXd^bi-$?crK#(OQqNtq1M=#-Qp@Y69uXNIj%^tx8XSDdBHTcdgo(OI-3;s%*Oa; zzr=>%`kEo#sHYTA1gQdI>*T0~_j*Th2QcMDw1L;*eOB`6Tez>W9iWeJ!kzYt21R-< z*Sp+oPdt!aRF3tZO^R(<%(jD0l<#L40M_V+Uw2`?F#a7Yy2cYF9tkRB zTaxGC{w!Pj6E;HM@iIT{6M^ts0cClPUDW&;uBM+jyAg#(_Vk9>47QtmYZ{G1_hpgC ziSKeYY3&B`#utE3J?#tj!d-b|hpNcgq@i0_SE)X*0%>LkhOH&ZV8gEy&B#4c2){v> zTuHB_w+Gt3y2KtY3Y*maEv5V9bu9e2RkIv_|Dt)Y^Z7#T6FYOb)9$=yy!%fm=a-cOYwznC?Hkky(&PBa^>ZH%)iZue_;6C_SQeU)~SB+J+j zX~<9DtTWHJ_(ygJ{@~d0pgRsW&6**rNVc}LTxjodT9|kqaFz?*-WZ1B?QPnr%SrV0 zY@2su{(T3$edp4tbiHIr1rY?*vq^qWPgm`HZg;uxxSouz!$5g>Ze*(H+kq0=6dbL@ z6OudX)(nmaD*AYcf()Jk?hMT72uIsiS4=+q`IJJ?)KvL!sVgm_xT$_AugCdCm}Xq` zbA-s@+Cn3{bo*;G>NdXS8 zf_e~ouI(KuN88X$Z52lEP@tg3l5!N;IGc@AcSUcYS;|tGZqu^^* z1OmYmf$i>wlP}A1x+k;lqQL)OdgddTUnl9wiOc=ExP;jpjm6s}KSXuT2SNyY0lJM;3D=45FaTB6dRxjH3b z7pdzKi7bG#3KPkW-kuS9E`}2?Pg2-AdVtGs6<#HLAJy>jC}g0%R>Xx*j`4AoyEY_Z z1xU#4Our$poXV^nOCwb3psJGTEK#jgA|xMmMFqpUVGyha2bWdP=+-N<1%yv$*;I;L zSeAp)vM|2g61Zwysp@%0jzf*T+3XVR`GE-O%dPUD-!oL)DYWoeho8fF@4DBC_qM>C zV0|zC*alvT_p9f6vnv+a^?it~@X>f)&YsDN=>X&KH7F)Cm)qTluVvL4@`*nTi)ek|ePDEjo)Q9-?hefO%?H zrjQ%1W3T1tSyMcK$n4Olt+ucPxh`K)?ZeZ)-5?b#cj+9<~ zlIPca;MTeB@rRs+d@fd<6X>j4Hs&Vs>v;Zl%CheHdx|hhEq0I_UowtlQB#~vsgi$TpOKg15VL9D8F3@yKg^`=1n(pb z-!`j&)-x#be_pRPj_hBVGy5nzbmas1gxL-Pf#}vA5z!m2_P+D0d*iLq<3~?Ss5+#z zA%X7ikUr@|mb}lv1nClQ3&*SjZhK&ivDV3C-a$nZ5GwVS}0<8@(92_IF)u>+Iy@Mnv<5d zW7q(LZXxjy-M_rT^6q6E(ieRF1{m{F7Xv_qBg1_~>R*y~?D_0d*1cwKDoB0X0g2dZ zBO4Ewl)3#DT-Uyb8PILziIkF}ws@nY4?_4|hKq8_My8$1j0ZgSr58<=-cjg}l60WA zs3AY?IYY#&YDGZkC|{`jm9-(YjX{-9xm5+(0#HrJ9Fw;KRw1dzapz@lju=ylMYiSM z38aLg(2gnv&wNhtdS$b)IhVqek;UA?=HVN#xrl~6#v8@A!}-?`=t`}?=lB6>oUaiG zq@0ykv3I&MZATmd;jYZ49M~0pI?hHl;kP-|b5pNieS5M)Ogd9G9bEE z1PP97sZv3ROllu?+(zhB6vQJ73}N=-iUd(6D(5zq<0Eq9zJm4CBBJ&x<-%TT&R296 zWub|C9FYoRrViZN4nx!wK+*z=S%lnL%?`CEX(03FKvP6Ml16vRYZ~=xcM90V4Ah-* zdmxqQu-^y2sPjP6(*rCU@v2Mxa1zhQa!RCSbF3L+(PUD~a-~P^J3Cb=mhz-YD_hB zJLDwnr6@bFIYA#DymJa|5#`t}3*inTf0l|hox8BaH#0Vuux5xI`f}m?i)~*xkTdgr zFU4`C%j^sAYM&pooP8`^ev`%6&rpe1e%3ym-<|eI`&gdo40>pMxOgmUHOw=#dizo2 z3_n0FnlCS1=M$9Vm+R`CqBj|v>4nQr6~*ojJB`2&_vtJ9Pt3UoWBQLF4~DZ({dow{Qe2FY{|Z5F3-NUKJHZ<%HVl`B%9D zCL3a-#ue-?fwfV=Rj-^lVz|!y8@lRC(CYo;3K8| z(%&M&PjmXoo(dluQ%=F6}cCM8rMrNd)X(PbbB#{+u05t1F@9zs|EPl+D28ShvRi((Id}61cia3BSNu}d^YZzu zOI&DMMb10Wg(L$AtAOuGEsVVy^|#pyjktP(Tlk;#b;njyZ(<|JT3uW>E6+7dvg??g zWKdh-^SAb1mV}?VfV~ECaxrrJC^7}aV9h|0UCHW41K}W$Qdh>S^{0r}eu9R3FAl$G z=lgxRtCu}T`mU++{??4%G3V>xm-b7zMQUSAh!)PKn>)uTZy4t!wI%%+#oHO>My|iu zAJrI*zm4$S-80$R@qCg&(An3&m)Wfah%q|n%+uxtJp4G`o6oq2stun7PA^*2f(NO_ zCh)O3Mdy}Auy9g@n}FM^nI$hghWnKujAx6PT#1LyHtcR+oZ>^^mPyInBs#70hlV!WaiAyuSHW=f)#%atFmM zY))2?LI(f?Wu0qfS-C{sOq>33iV;e4ateC+Agz3y^a=;I)|4o=fHHjnO&5u_VaGB$ zi>>$plIE)qG~TSEQ(rw+VHDCS0_oJbZbC&6XKdY+Ku_d$zfdWxrs$R6RMBjJgh`Km zKjqf$UK@+!agWZKG{V1?ch0AJ;S=Wv$W{gMh(L1paCO+Gwi7nUAfx9F#~HO-P;vOS z&c$JQ1v1V>D;Z#Y@F}HHfEWgD zTiDp6;A<|#_Q07@J+DAHs=B8`aA5R1Qus4N!S{ok>-mCQm0T?+Pt-ofhEf1u?&dpl zG#igNcHfdnmC=AHQpc0p2)#;Q`J)xIW=MjiD(!?`7j#37iZM1dyce1^Ly+zDyPYqE zm~)e>HlqgZ?*n@&l?d4wq^6JU3QiuLGx+bd{rhyomy65Oh~3igy^tIH?g<-yZH{Vh zW!?6>@csf>aR*`|_ObpaNQc=Vtx)m)5zfB zHd|6AnH&g5QWaz#d6jjSAa6=U_aF>!d5W)9jp1GX87qIZyfO01Pua*L-{PF3d{;An zc&x>s^%2(i#25%(M7&m-b-zRp`sRP+t@W#xExTctY0c32cba!my68pa5m3K>{q;81 z&WO0k=Glg^P^71W1M&NNvd+zK&2oQl&alF}5%#7%8qcaaHVGebU*soPdFN2>btzKg z2&3{zl5KsIOtWU_{IlI*?|-?%#U|l(yXWR>hC30=Gvd=Ro%x)hP9`O`g{fxb6t??E zVgqb>+#y#_*EnGIIh4br5Z8R)HSv;&)~hpC6Z9sRf2nDnh2|}~#sBy#N~vrxDEE)> z>)idl7TV8UUS^9QEQg-{3R!rPHza1?8*7gHhonk6G56g+xxnn3?_af-|LbhFx_xK6 zgi5>eTLlYRmLE^u%|&d#{{v}XBm6e2!Gf4=P2+!iq=?+{ha(p|TYhI*9{(RSyBR9N z_y;MlBR9~ZexC9CJ9$v`v}a#qo%W9-pd{;u`&jgj70klh-N{f-xYr3{me#!kTsQZJ zFX$^0p89kC?<40$Y%9GbX2H7Z!WUj=v6DXaM$7GMi`)h)?CH|=^NVijpoY1j(cHh* zywQ~GAfNeU|BVe26a*YupEV%G!-(-+>+nU}rMTf7NwzVX-QlKl=dkMeJX9wn8gP@} zm4)b{Ygyn5YR(u(xIg<5kHZC9`#tiobZ-2nRnz_;@7R}TJB?!>N_6AzAE`i<%e zS6eu3KguQkCLl?T@w+$SaJx6<(vO!I|qu zx34h+Y*&SAKwk;;(qx_K67`?Xl?s?ZsjLHfnnfpmMI*$v5Mt;P)9mm!$r~KoiGH`G zAkXCkQTiUI(O^xdcWoPPpN5`x)mw>Pzn&=L)P&POO*v!^)1u)`MXqw`#fo?k+kjW@ zD{#@S^oykM^Pu!Acn8{HCkRS0v!-WSq0-40FwxQup)0zStgo}s{8&{ToC52nP~|#S zjI6~0qs8^iCAu@sWk`9Go<3cXV37V?9SP=h+Bupg2K0l zzzdrD{O~)o{LwY2vr{5J%wwE`*6eiPW&2^ifK4rkGM~8C^0oii4E6z@?-n^TKIQ96 zH}iqqJ;B>#T{CsU{b6zI0~nM?TWEo1S{d;8y~8mG{M!E109IcQFHuMN zv}X9t6YQ*xvc)obya_jTc{X{&2iV-XA4&DS_V_--F#^uzx2`u4ZUL~>7pC+Cdak{6 zPt%m_%5R@-jXRmn+{rMkQ!HhMMv#FvTq*|Vc;!fgk6`A;tK+5C@L>D7Mq zVzd1hTEK}X8s-l-n9RPLWPgR-?8c$U=iWoM*MFyx=kNPKQS1%7?U7sA#GN`oeYkaz z9gTx2Z(VI)pSETwJI_pnzOzZk!d|IKBSR2xGE>gTu|2r>sMU#R!`Y-IF?vUH+D?qJ zG+1SVA6F4{vOmO-bmPHpRQl{!JnN*39BT%&B>fElDq4~+i1@B=z0MURTTK7AeEQH7 zjL%P#Rn8^_gX1zQI9{r!X5GXJ;P~$hr@ReF#1@_G(-g;}WBS(|SCXr9OYt{4Ck>z|( zDv8wg)=sWMgv*IonS0@&HPh+P&S=H0*g?#n73)E*<~gI*_F!E`)$D@zmPKyGy^W&GBgQxa?q7TDZM;{phTD6Lq*+=v8dQiXXWWt`K_Uw zkdvs&z$tAY>dPxxT#DBbHsdD(FGD1q2}nFRC~AmNElXJuzGFOz7-9!8Mitp+eN7!b z*}Lh|sE&zOr55pW7Lo9l>jJ$@|I_&`py3UAdw+RrulhQ5;Z%^oU{iNx)7DAr))I zW(!Nn0!pL@4lT-~nzn;<(%Y#b?DC6srzOX@uQ0=KZv}F}VykB8MK=sp${qou&Wsod zddbGPHFn*0>rS~6la08+K39_{dIip0D5h95uK}Ttw~3S_hnN`zRmz z?eP{xkRh1k=c;}vB6bdNOvNNm@CmhUo{^tOaXJ}P zx&JV+#IvV=Wy66mM%g~C3bP65TNlU|WeW~RZb?`1iB!-_AL=Db--d0ZBqf&xZk+IKcG7uBhaeKJR(SqCwDj* zY}X-$t@}51sUaq)c*)}urrHX|GhYdelR11pWVs`CMr?$B0l>S|WGEDM7N*;_OxM%p zxspH`uE43_Q;C7uSt3RHobGU!x>&JUz@B0wLitr@+)NdK)pU7I?W1;}(japJqvkJ)UWJ@z@)dfSIfF$}?=6JEjbTINGC0&8 zv%$nM+HDBJ2BPQ*nzQ5%_k6a~MDB)qnjfv1$}2qvG+f2*6d_M3f*0&1pjz|r3>t(N zwmuLRr0@t{X3u9%UfRcMjiQJP<92ep*T)d)aEEYlMR(hJnbX`l&KhOrowin+C-xxP zXo!SwW!AK;S-jxyNn|cud11>o;)M2}=IxqUR=#glgOCl|*e zY1n5O$5+{_;TNGy%{{uq?=MwYSuS;^+}Q$0V2-w@t<~n@C4tIog%^!xWP`x3@t9qO zw)%>S7jm+u;T4cLmtbqvjedV&Yu)`e1jQTKi61*UQUs`MnMs`c#zZ`hv9UW;=w_g- zA>T94yrEIrS3Y5TKTFaSToX9t)g~KdV{%$Q3pnHe8XjX*U1mA+}a`m3GB#b6M-lRc@i+^+Id^ODZ9xR-SS*LDx@nPf*)Y zA8ly9$;M{YK(0i}RIFeC$1iTJj(3+ij)6D6vie+~E~U=_z<|kV3MZ-$(=+r^TT)UV z`3XcM+3xX1(_MCD?DpDs6rFhN8q(m8QGRcHjkDF)<)$-@13_$0@^hnCH?8w!DlJ>9 zE3dlM3T>^npS(ySbmD8Di)pVube~2+*RYH{{*63e?pMJUsfF@cX%G2sn&C**TW?5; z3l|+W|EmW^%)R_RbGLJ)@{1NSvFQHYOPrnGZe}fOWZGIC*BvtcWvu6wrQ<7Sg&;bP zaGMJgRsShWRU+XkH_vel$}(V_0v)u*sKEK!wY5FnTM&hL7s;YzHmZ@~T_DC#aQGyS zd@2f6YGQV8q_iUZ41Hmj4)=!|E*4?JZ*K+#H~)lgt}~S_*v-yzZxd(xeH6gw&7*+q zhz>neiCFky@%#I4mX$+hwPhxb&0+s zt1Y7j?8=OxLLyC>CFLJ7J8$1!2=B7TnLkq@aq{qbV+JVeW z5;w9<%&160XBCI;Vn@xdrc5_Y5I&6jj=vWM+zJD$i}Fe920fyW(4kpvfbkw*GKD-e z73Z5Ma}X>?ddRzNDLz(s#o_uGSt(2Dp3yiz_j>{}Zq>%dnx^|p4B*qEtf5p@SDl=q z9(Kg22f}O35bcP~8YEF?Dq=3}qmPKw4WE~2vW7D2qxTW(>ag_c5I!zEr95o0pcRF7 z4E9=(?)57*?UuH?y)P)}IR5z=@3v-vZReqOTtIsomlQJDM;Q_HcpTDmZX%)JKHR9lc+b0wnRWQtEB23Kmsi_x2${<~S(_4F1vey{We%ux>LFqJ zCO{0UKq%@CFcDzJ672bQ;-CWcprnMNGERWoga zz1gZV!Q3~2OIH0ntio2-9qNo6N4S?S-xzSFFN#_&)&Wg6>;fLWQWUaFsqEVDv%ns= zY@`?5AYHxOG4I1x4=^vRPa}i*AYX)q^}=yh#Kr}lHA7N1h1|4%2IgKD$)nL(cW&dl zxKeJb(MRMaSr*)OPkCX8`-nqKw-2VQ{kXAa&@4*yCzw~*bmqgMzPMXE+v`F87j19& z8cE0F7#}CYtQmMHttK_bn!(GLU~R@0PD;CXH`2`-b-?EKB6rYvg34mFjTOGD0pazW z;yK)CHaZ+uwMVClR;6JGQ1`gqsDiN%WXJ5(S#BsWb?mJfy7_9PVuApP$|wPjr4YTm z_8E)1S~H-M#Y^g4gkXOFh_wvd4~d3bYFb&}VR4$Q6ti zF_+(|4l`>m{vna3WBB$)tTU3Y+uAi@`z~Hyh--%5xF9dJW`I|W&zd3D)qt;|>2!O~ zSWK%GwW82^L2}TFhw8FpQLC*oSK{#2f_C zef|{|-tosY>fQTo{I>6$>-E~FmOWP!Sikl;9Sh7~klQz}w~6wbt{4+$0EE`bF&965 z`)N;II)@|NtIUl8(fnfvz1{gw=)Ql9XFTO1pwL?9t4@QG;?5ZGUJ)QVpEy?$ZXtKf$TDcOD+1O-g`K!TmOIgT#K0ha!7Jjn^RJ_~o2@ z;Qp9LAsL^xG=3tAmOk|sxyMoGXMc*-me;9LZWU1;V^sYY+tG0%;$7Hh3 zUw`mMx081QUB$!s#d%cDj0x(9SDBMrt%jFu5h5wo@uOry&M3fh1{wm=Fs}+=`Q(-Y z*UJzmQmjOrjE5?M2DX^dvBYBO5r97pu^!atnyAD8wLl*GLaGDXV53A@5L65u>QYHW z@WX+C%9BuAn+1oQ)}cT~C#H(6H_^QgK~>;tN3>M}nlwA^xEKVeqJrSkqbV0$&S7nm zNzVnfC*nEt3D@#4w87YqAs3LRvPPDa*Bp#M=?k;${x*Pi8fHdC!4 z$qKgmS2kJvdOlZZ&A>59z4i&{6gu#+XjaA}d#3Ez2zLS8lFfzwfGyp(e#qN%izQo~ z7Q(CddkY7KitM77GX$Sk1lA1f@AARlRSpb#Edtt_AZm9z8Q3$qp}5OY z&C_2bw^ujH{N3kqGU?cag}3i1(110cY~=nET&wu#g)CK`8)^p1NLOb{&DK2cas~_> z-M7Eka%RoFwgE0u=zCIeF+Dc|Mrx|BikU!pdT(}nn5JH<8*u!l_tWbtHP6rXour)K zbvc;7XRgbxZ~K93y%KSE@S9=g9Z6X;l*3;l*^|u$A-07Mp{jgce)hn1EW;k7PZiq=;=vYX%o}-3}dbgudE~m;6qMokQ;WXeW^%eAMn33)j%`KSI#4 zsTe$da~Aw$MH!znNXCG?FAQ$x!^0~-NKbz=MLoTr!*2V?=?RO)z-yoC_CjRo_14X! zquKP~p@AixWN??D9<3Sv&N46LyezTF!+~tcKGV#Lz%aaYKszuIXau7^`%x6_Df%uq zMOo*y&F&H2!i`X?)ZX_gUv9Qdpt9&mh9jhSFVw8$alS92>kWktY{4%*XFwy-hb7R0 z(#au;!JybxiFr*`_^u%sc$71zF$gs?2k+(`B(j@xIO&?TI%o^K#aT4CNil)Hs$Vx! z(%wi)r-;pRU3*`Ga8ZX@Q!r6e4;+)IRnavzKuXpwrvXocQ|%Extr=ENBiYuAWbO5G zoY+Xqq++7oO~J}iY@t3n>Qsm2wPiw8AqIh%2%2QMjb2p()%2R9O{ur>j6@*=ufP}q zPZo?u-^skT=LP^zRAYQN+U>B&bFVpAOm)Z~GSCfn9|%0f_ekY?IdvUvzfBKg=-$sLclcfe94^kz4PMYCYao8u?J zqww&I{(xO{z$(uttBi?!H9$f|09`}xsu+TK)Nxsj$JS68g)FsRpmlfSNWQV(D!hoc zgDTGz$$ZRp(lvARKq!ZH!=J{;1SJ=&UEyUfo-8GCMIAJ9MLmWrUVug zw`gO|$1uw^n~&h76ArnMw<8EJ2uP%+YM;g68|jqB^AxM`8C`quNQ=h1V9g>ICJG2xvg{dp#nw;wYE zSL|(J)kH&mO?5tJ)fm?dm`Gy81Edn z;0O)(UFcc5n&U0!)$Fp;$JQH6VPN@%Kb&H+PqEJ)Bb^Orla^ntPoxb17L;-8K)md` ze1<>@^76d#FngR0=4-4Oti5{{?pGHI>HIzl;@FVh2j(2&O?>f+!Y-eZcK~?8)!qWX z%jWJjyW@{TmJJ+yXCd#OX$+suEnUin8^FAXjN3?jhA*W0 zo$%qbYe7lt@ZxgDnjzJi&zu(()1uDi_onLQ2zl?&@VQ8r$7*p#3L6mG5UQZL`^z7v zyx#x=Ze<)B^DLgv4NdzU(S(s^9EB=cf1YPmW!ZFhcs_|z1#D`;Ae+0?_SmZz!^lA? zOuIYNH)MRYiLKL5^D(BdN=3SBU6&!}QRrV}S7yGX<6C0R)S|lim#kC7lnT|n6R9Tk zlBU(fs)e-_H{grZ*s&t)?5p%M~b%w)P8l_c!C1oCYTjy^s0E((t` z=M99}Y!}93h0i;!qUJwE0#1?ls*lM&h{0UgX z3f7{T45>%SI&F=H=-Jj_0?fQ|50q^wwBhJo;Y!>gyr46(u%VnoE`oR~i(W$w`zk>p z$Co)LyM`oA?W=7M1HjYCccaU&$d|dkOs9Y|Ob;gIo;H{T8}I8iYT~fSu8Z~u+ck!q z#6%Y(BG1~q1GXcGlI};o=2A!z@{D>@M0eW?g{zH62$7nMwfRL1-x^%5+kZrCYII!I zw8&pd5aepWA!vCla55Gv?vwIV5Nb!Wu*^qKgTmo)!>l|x1=8WMQxr3XZZw+B4v0@x zj3Ev-tLG1C9=wruqp#O;OqC=KJgySH%xh962C-#@I$MTtg0f*Dz93Eo+6e>^c^PpJ zjH2{``Nsf|jQom6NHsh%Gk_XWN_EvH(#w!N>Q%WeN4<-U?Ndq{U~r zoM-VxX?jw24pchtP#Pnz&0OQi%XG?0I_cQL%l#0`I^TF)+m?JLd+Q|nLg>AA+g#uP z5-X61U*j`vtQkC>X}<45zFwCzGVH_Ev9({qQdW<$RULkiT5@=@s48>}+0<~1vi=^P z6#6X9(lEK}jpoTx=WkcB+7~o)_w&}OynX|-u;e}YmS7>+U%R!T=NkKh|KV~d z{DXa~d0V9ckZt!(`pMOgL-)wAm2(qdI6>9S2t9FxYWDfu%e_4is|`h*)*k)S;e6>% zdMK4;`@AfT#PM*+9Cws-9^FGoFiE$%)U;1DhKlgXS9v>bLxq}Y9thbM#JIuoK4; z3eSJ%{caIGpxNdx)7eh@-Pu!5H}=LXlz*_#Kl01J5bKXB+`LF*ve@1HYCZonyOJ!s zjJ55@pU=w-fh&J~R^gh|IgiKkizw>GxJ{oJow4py%VvM{cHylxw9DL|pX06h zQw_W83;TJ` z_nJNZhoPEqYdetZ;vn6MVCgTB@>EUSm7+X-z;!$x^rPtK`KW5bS1kKr9`fV6!tBZa z>dn5vVy2F^t<6ZqVjQVoRShDz>R6UPUvb;hdk29!Y;>q z5VmN~@5!3M7l#c!*Ez;^(-6%dSS^2{z<|*VIBG1;t%3A|YG(IR3*}N4=%N8$QrMeM zq{^7?T%is2m@t|kRB+7io5ey84VN82>U26AD6=r+`VhD;%VIkKiD#J+rM-`QHN)`W zOf_4qL`FE5Ju8+(kFX1~tR>WAh$Od5q0ziWri)G~g=t&0k&;2)ykn9OQZJe>#gSEH z9$c?!JPERJmIuq6l%w8nbpN`7syJs@b6PXZrKI;-!*{hF5U?{90+*ikSOji_K^VIB zRJwC9B=-e_DTEPf(JJp5R*ce7(~96Dy*e_|oHLqYow;7VN--y364EZAWgcSGZHzVp z7l-o?=4M|LnRw|jOHD}F$O~P#}&r5gvyHr@gicJ|Rw>(E5Ai5cSBZ;_CN zIy6qg<%zSGgk8*FRh4#EsA0=GvO~72G1MAUG|6jDJu*`f0KqEorB zg3ZXoY-9k;aSFaB+0au8NlAL0iKS#cEKym4wyc6*jEZB{oKOQn?~j;Gz$c)Act$(2 zs73H+lp~LL?yA{RT8>d^5V#~3X}AEbub8_zeV<{fj(s!I=Xvdm_S^;^VLm;qleEJr zvqixwyqtw_W7I!k5dG5&xf*!byc3)JUZx=3v%FiYk{5DIa496n$~Y8LX6M`xJtMrm zFvpi;$@!>VK5_-j;*(G4D6OG|OTF)2uW9-4OndEmMc)Ag(fGaI<{93toMc*EIIS7x z?bCbr+El7!PE>-mbI9uoRhFz|jH!9!p4pPzZ4bfu-)YS%1nGc(4PiOgOWkwrP3XOs5Fj(N{gIYeEEEm&d5 z81H5bKZI)z*3j?{Gz+>DHx%yc7oWX%4aBw(5Y$7VYT@@jN2X69c@(}o6teMe=lRp4 zsQp>G|Bmg-74jw@to#yZ)9=|cWcMH7xb^>9jJr!A=5D+6COw5X-LZ$Js40?v`09O4 zJ!e>a*DlL%A`t?jk_fBT3^x_7xUMML-(r$DbdJ8KJ^*s$dlO0+XK^wEZSxP#FJL-7 zYfs<3&|4aW^3zM)JbwW}#x^Y3*Ca{F{)6u}EcipEO*zZlDSCp51LluDX?ss}?z8F7 zz0Ea`Je)5<88sEx47(ed|L{1v9O;-pKwsDjxt%=w0w}JFgxeb(&nImm;Zsk(^OB!n z0rO*)dBqvNh|cke>qBv3bZ@gexw~2X`u7C|v__`Pz3bxg>}fyb=GQ2rZ_ya28!=QA zTS6eD#g~w}ccXCSzx@|8)A2dO$}P>ZeGL`;YZt}S;5s89se6_hEmVdl?k6 zEi`?zrN>n7qP8oMJKsB0IOLmOJhIE@@r~~kq4Rl*@(oR0NkwA>b`Q(tXea; za8`=Jnjy=1O%wi`9ZfsOMZ z+368Fi=rBWdOG?vdXY17RMYfqxBg&mnvTt9p$=*@VFi#RojPC@;hj889d#tJgK$}4 zh5vEttFT_i_7F6_x+v9SqSVG?1v$xYgveB=^e%<>U~n_DU7r0KOVoBQm6*B6Bz%m1Q2su|k>Y&91@ly`7-|5?O-BFQIaey)ziMMPtnXTWwG<0bb5x z7G`GKRw#2cuyt^wR1HT;neo(31Fodo9^6W5oHbRjFg#-tT#{lcjQQS>NK0z$l=HNAhg>IymQMc0Co<%IV z(>nyUj9#S5kMu!q%#njLg(6@xh_yWQg=7+#>?U6Db3vX>fcZ0_w3LDHB>i;rcKVKx zNZkbXYIH9$6C)=s7UpRsnwIb)Yx8sbDrK5$27I0?$+1#UFK&F!@Fzv7HG{EcFzaem zxz}59WY`Y7iXLk_aJDQoH zfMEF=8}hd(YV2wqC!KFY-UQc3(W3w6X?otr^;EI}21yD8+~O zBHZ%z#j<-Q<4eFVqZbHV-{>nH87O>n}VPE2#Q4b6gn~ z9=?-DSX0bk#)@M2zrTbBdjFW_C+l?O$hpaAP3$@!!c8!h7hxBvJcoja={W z_^`y~D08nWt^Lmz^+{s5A5l8oPx$J>J~}|8844*eH+v3OSNgjtZ)WX4$^6%%S+4E25RdY&WwwjN2SCW}?~QR>LGV&^ zaNWF8MjLaO;YE4i3w0c(;|WiiysE@BLJ@Fsm$#GWuxoirk#`F8K%tAiS+?%B@+a*g zz0V*RTch*qg9SPQG&;}z&5JCAuN99?FN{8AOj!p(=W=_voVI^;X(Dt{XFNyA>{37U zNJbWJ2o^42d20<{l{=+W_pCotv`Lmmz;+kJt~qr9qwgYBf9|5?3(KKZ)-IRzWIz>u z+MM%{YI-NTiSpD0njTyli=tzDBaq$VVZPtwZesFK<hq!rh_^7D%Y8G#j5QKo0_%nl7oXfC+VU2euJ~4d7KU zPFy2YNr#>{SnzlXGzxGB{HR_(o+K`7k+>JBiD-lnJEqE0S;Lv$Gvy`O!a1Ar6B|P} z@huBCm!?BHFy6%*Wya0|hGxIy-0O{}Vf>Ml3|ZmzssKxV^p}Sy?|cm3&Y*^gGS&K^ z+|T#&!{YyRxWPQAI$*NHO3%%lPIRW9ZmV>AbYM#0quz12%ytj8lWt?t7E9?*AGtW3c|4WVLT7v{gZC=#wsM&HsG7S zAlS!$3J?WN_)HPhG4XR)nWr=$YvWdzG>hqC;mG1asP_w?l7{8j61=9?D(lh$16ZUB znZqUvw^KP8>m*NvAURYt?dT;E19;eYpH~by(@3xA_!vMvI)u>V(6i}4;zL3^86^)g zSH1yI7$M^v49rch}|M%00f2Su^3bu zRdgW*(zqIgm+dI$8dNMzPPKRa84}ebTi9NBmh6;x+q^o!q1NP1DpNeO7_}+IILmbGb{qyX zZ)L)Am=7#=&IUuWA8p#SP2l1cR`c9WcQ8`-Wf1I)0aVyJ0B9&QQ`6kyqdKI1X zuTwmafobRLI5iIJhAZ8KmI6Cy48A;taiah+)C526~zt{Tf8!c0i=Qjw#ZYupD_;zjN<+k)w^Ykb|kanXyAQ{?L<=$J*HVYLhiXaPpEY?=xgsTr>1u5qbXYX21Hc znslSQa&-UoNwFT%=lDI@qGj`(!L`E#Uf|?wQP!(tJKzw_V9;HwA)WcZYSD5nmm}z6 z0@e)F+Wp07NArYJshqo-4OZN${P*we%F-7x_fq`AO`X15J!UZ%vCi zpel*zws37+Gq~_>Z_^8xW~=iFzrDF|Dc$BHLy2g*qD4xT;phTaC1c;paNm7XRA<+` z&wFbIkw5-XKdLoDe9jPd@#fBT)Un^)Ys0+pv%pztgml%I7CK77O9|?H`fNQt@T+YN zcmu#Q*hn}siGe~FTZ-ZJ5pqF*tJmx%CX308k&p$YMj}>(TQK7E^Z%#peV{C>syqLE z@4Hoft0=l}H3b%b0;h@siw5<9Xj+4EswhaIj6Se29plmmK|_cuX`?YDlL@Da0t#%k zFwBIkIGG0$)1u3?(a9pl{PJiS7s>F;pg+uzjBBN0NWvI@N&ieVgb@9GzUSQcx|;5) z*R!kMx#!P5`|PvNzkUAPb46wlXgrS4sh{!TAtvsRfhA6Oll_u>ct>a%mX5(W#>10? z5#~WjxhFV(yv7MV79CmG=Z?hG>TcKf-Agb7Msa2I;YbwENX=7p6WIm5(^~xqQ8P*| zSOqdC1?2w#+QQA9>29#9D~I3`ert%iEk}tACYz}<^muBY!GrBg`wYDU0%5~Kyx(F2lIJr4R*z$ho3WF@0UO>JQ=@N4r+5JX*pPHtt7=~dPd(E_hlVK*vZOL&S< z>7w0$kcSKS7rJO6Y00>zuB22YTg#wG%_R4*W<-y8uAW zL-h^_G8ie$IV@BB6c9ie2&z0@STu7bk_Ejo#bMUeWwZl9C-d7qSK~o?26sf|N#5#A zX9dZ+h*b~jUOZ$8MW}%E?v~Br4-f&dU=B5fpR^L{amgAjg=mNF+fD$crFn z5iA7^IZ0sG-5I$BU0maX-5Cj>X z-;n^Nlpq|H`JV65PvYgrr08m#mGlg?lZPmJIaBg>`w6H?o~~52MA3SnT7pj%hvK$; z<)f~#Ta%W~;P^$LL&&?NUJT<@Rs%m1-)-4phLt`bD300`7H;~$Cm|On+4;c}))nXH z*US^V8B7KhSxy^vrH@v$fJmz%H(p{WoVo_fz>Ltu3=X5nl@g%WC<<8yL7U!4%U_+s zr?PWg$@m;W@*I6ILyi*4dyMq#e*o|Znbu9_1MX&m5jUnn+d7yBJBl6vX~rmO~YqM z!u2WH0_BPDjZhcB5id9k(G{cQu>ezO^)$KYvb1W$bq2!c6;e^-{vw}CNGEEpPwjNw z-mEHC6D_U!i`hbYEi6=5=1!!Z;MYRw?*0&!^M$Kybh1T705QPJ00{Bsw%&0JxGwAz z9mlXsuja5WQkgX0C$Y|nQ9*hC2>WH{&D#9+S1ec(TM9Y8=~nXVGneg3ORHv|OOu^e z9uGmCU31y~5zl%`q7XA()k%lh*DqV6TSLkG|5{;g%h?UB@w; zEky$2r~00heEfLrbHY6{MBUmE9Q9!ktlD`y#>QA$Exb@){XPu%v&rious5uu#763$ zVCUy!@@A=*v5}?SaybUvAAIP(lw7~w$Q$fl@nPcrf;ayH73Ry-jcRqIzi=_7MM_=U zf6I*Hwa;1hCaa+9B|}_V9h(Y#&rgYL4OKkUd>DSt+zvw@YM569Ui;M2s;j=<%;vk< zo6SCOxmO!+J5Yb^HI?7XO^|IHHdimLmMe-k0P_CQ>X^&zp+7&x9It(b*`J!WHcPA3 zH(o#PA>sIC@7+;_k#@%9^vuHEz=7=MpWdwxQVarDa;Hqb+~j~9$Q{=~e>nKC+3FP& z#Q{a1+D{hvpWOdYq}83Ex;=Cus5oC1zn=90qjA&iu(TSuI-T>2%w5I~_^D@p_G;d< znT_$H(QI%svN>)O3!~O)%geitu8PspDgqmu+R%B$7{@bM(u5(~VZqK`Dkio!dWxn;E+sRKnA_j+v8NRfqRW z>}tpClZLQ9R!*#RQoSX%w|~ysj7A62$l2VP&$cCGN0K5-7OA5 z988k+1WnrS)UJdZbf>1*e@Z0z&Z6m)h@;i{oFP4{VwKpMyrW;eJ{trg)@`sU+P1!d3mGN*h5ap)l&Q({j)WLx6_PLI5*`hvP}Q17IyKtwQL? zM!y2Qmfwei$C@iM3m_F-lQUwph*~k zifPk$d49OlqN)@5D{^mrY2Z$yDV9=e(iYFLh4@;o53yL}yE#P70Tv@hH3R95#0k)t z?Aobtq6DZ39r1ae{D>Eh#5j@CILpTEXPV~#j)cI> zoYq)5lrRV`CR6%WObw(GYHJxf!&seF0pHTt(NRcO{PgAwYb0xg-Xw%f1*ZFux-c+Z zpHa9UsViDtJdn?^OI7To0#lG6*w3=AH*E^u5~$7$A+haJBl2~Y<|iX(#G>bb5(%}nm7e9z%ESX|4CKA@ zo;q}^N4bl_TC#9nBu;DS-2c7D9`&&l3&PqF*0;!xlnxksL2_l$s>QmkQ)r zT%a0Fv9(}X%$Bh2QUl>|fz@+{bc7o>!;3R};iP<`LMm7XL-^q$3>^k_H+=Qy4AptC zp*chQ;w8)8q^g-VbeEf>4_Wto&L@QNR(7|}w(ls2eWHMt(VH`nPqL&rL-vVmDb5)- zQd!@@aJWi6{5lv1-n`KA*Ejz79u>*}2=njZb4b6#7fK`Ik7I^gs9-kYC&GW^`S9p{ z4dhhy<_xW0q3}5dNf4;I)$-?+j5>lHh~YV&HdkfsA1uQi`>VO3n@|oZmsstduZr;1 ze@8TqWAtD9j7PXX_RE3OOe~v3JVfLsMUP} zc4i)&L$N<^nE;=kLWg-^=(=#~P?ew7aZcCBXJT}A^JG$p3!HX_djAObTTk`z2=~f+ zw`E0|GbBt2q59qk!nvyGJj6R|?>b0V@f9wvS3(0|IL|mmJ^4R}Y*CosrT`kB8g;rV zX3Fo~Ha>bCGq!`jRj$-?hT)6;tL5`{ej0q@t(N<)O>i zZTQBc!91ja86Dw18JQelEySy1qRyl%>GutnZiNw@7CsP4ZsVD7f18#1o~^t2L+2&> z*YUd6Nk2}ZKih1$xn~>YY98m4={Uy7EK73>S$Bcs820bq;nh_xPGAg#`~Mxuag6F4qihHa0;*o?yX0o)sr7M; zHU(dO*ID=^v#vNp+CRtfId%@N(MlgRjbFYp6?++mc9OmSHfG2V(rq$l@YQ;jhub0Y z>Ax#@y#p0}shq(Xp*qYXpkPicLXoyyS3&ez@D3NNq0(^-%YQjG1w}dm*xm42K8WJ34ve-f zTw^zl_*1O09trnJw2ZS!dbd?yx8gf&t~0x5TRv2;JoM3yu~crY;m^yrhhI_W4m2<5 z*lZhpB1|LKbq?3CDA2U)$>3t{B8tZ1v(k%EL34)5XV0vB)ZGwr*?L70vJ&^6DRW&4 zIjT~1MDj0NN~-Tixl2mcB_s}Q8$yn?2GnQya{rYg#lBEaz`OVe_y=qYnI#YGoNAL- z=yyU@KM3y=gHA##de}a4?QynxUNuj1DDNDVY&-l%*`yot;v<8YKDMMWb+T(WjN%52QKbJX>`q)Eg}8S!5YJhEc8%Rflo4vT`jCx%&C5MWnIZ@H(q`_G3rM)ai5%#b*f3imsl(VrT zD7~Bh@8`7@B%#nAq&WkwVe^=dVSK~oIQVP=(l&)#SJQ-*2s`JM+XloW_-+bQ#%%pF& zhmSh%I#A22woL@>hAUOaFPJ?R?T-3o=x>9oD6t~w?Z~bO0F#A{b)t<@wHru4aD;0r z6a~iWg%a`Vn1YMBk9eCH!Iqgb@GS>=912$8RK@0Gk*{&RZ3NG#)|X>8oCF(d!cY-H z6n*TU^Qg6o2SlNz2KogTBPN_g4KwnVb6x4fwO5&Cc1=HcbIXfHa8lacM|QG#dLX6f z9YXhNz;1mGm-w*Rn!J&WpB}y%{y$mXWN4_7Qww;SuYLCR8N$qE)a|8@*xCqkX?wJ>D4u?V z<5&>Jyu3+=*FFp1Wn!tumy(%WW%c$6Tq{${&OIX}?KAMLukV`=myPA=Ggg1gc@vl= zD+8dk2YYh{X&vZdKbQ`%hj(ww;#DzDz5skxeD2P|;cZJ+9Golu@?aM1(U+@W2EcAu zSvtc`o_tGPUvE@>{p3kj=(SI;*oP*A9e#4v9!>iU(tw>m%$u(yYt_Hej;bMcpL%nK zbKm4_@=^1TK1Z2+<{Xw3maY()2oAIPYoz&;GnHLEO4EVJ(!_I!XUuqboq25H*U7D2mwDin)^dvjHEci?RaOIe)sx0mMEv`0_r2&u>o(D zT*unHE}ZMCn7Eu{H$B-XsvCYPUpswIIm?`(^pXSMWc+XTJ<2wzbA-D(v^TTYKIac9 zU`yyw8!rAjTK@Y_oi$ac_Vq|v?IP?spX~%n$>AujJ*inRXBfxc<>w2R0>Z!jHuIsc z1?m~&Ks>^|Zux44mQXxcWo?%&PhGH!7zx^Xs8JQn8C<*T+n*F*R=(YoT4M#FRC~H3{pT}381h1eV(1%J<@UP7OE3oSe(uR=FSxxYa$n6zokvX3`viKx8c!U* z2ttS+9+S{Mf)njn6Ua?YEvf2EM(pDoBlZULy{mKE+ap6nU=aqL1ePVS>~l`u5vo$F{2SV!n1mF@dlccMj z)hCI7X0*pFcA#^3R(=Rxh}s3I2QFF^SwAnAPrQJzjIXKub1Vgo^+lkFa<9}C29Dt0-7fR2jYA$7cl z>_(oR?r}d!#lkrX?HGr5L4J9ZZVVmGInj`H=5Tb$Z6BC3Lz&E9|3%XdLvytkA>X4JbQR8qUFjxa6~3S z%DO-%I-3?sa)KR27gV#D7z;u)w=fdxqF)+HTpyoQW4-Ao87+yA)rgkUh3AgG_o6Mx zr=Z!gIG69qU&AfB(W53B3M>+BxmaiL#grDjiYO%S(zB^pHIl1DS7soZ{pPIpWl@i z;T@2C2%-+pY>5{I-+o(g?SH!6Hnu;)jt^~l=d7LX{O>l}{lth?j*!KH+bNj)nd_?h zjU7f=y8b*EpdL_kToqHSK6tjX?d#0={#WBZn(7Hb)tfVD5aorrw{um@SGhgpy)R_~ zK^N+3DiTt8i5fvbc`D6%nedlWfNak9XW>xCwzztY_A~ zeXewW1pB}D%LwHX+TlH?!bPl5LHi7=jTWTpT}v?jIs18eNTG%+!%OHZ~7(K`wg;tBF z1l5B%BsT!cTnMEnVpld`EGJ_=?P7xl>qwoat6s6<_w;OGv|>=`}Cs(Sfg%yI4eR<*9@szZ!j0BdS&#GQ2Cfn zp=4B~U48;@zMpdP0k4JTE4z+<{5k(h__yaIt$QfPY#sD|#54EDT^^K=36b((}oK(#3MLrecw z%@#B$*_4SsIN8R6`mFRhibL z!Sb0c>NBs}{>o2a9#kyW;wJ`m5-Rch{3!vqKvUAv?m)KGvKm}e^g8h*Jh`rY+j_3l z*}5OSGtD&X(EfvOq4w=i&tsatpg{<#sUv}#*}S-l?K2f0F;yd&6WFkP@(L|(rnGMN zLEXRF%U@=9FEWmZ-4jRztQt}xJ(m-o&@tvgW!W{@Pn~WIa8$XNUe|mKA+YlF&pfw+ zr0kG|2>YW6qu!kI3MfQ`J--Mc3(2>I&}-|OQ+L%d0Hw5-W_lk&o(UWeUAf-LBTAWl zgN3idi}NG)_87%4$0TDmQjFu8tOUKsdFQlHHBTqNT>YmpDUZy}+COb8 zhwYVf+yeh0ymsWRMwN#cS16l-<0T*=!MIJ^3I(uFJ^b}J59ZSo!Q=C-`NysGgA0*g z;e}a@y-q#9J15$HYsim9CTUy_-L??f6Z6bbA>J4&BtiR*3Dc=UsT;Y;_qK& z67kfOW$UNBM6}wp-kVQ3~dRpuQ>xN0>)j5d9dMAIpFym?+5%f z!-7`YZ~AH^($4o;x%NDBSZ!Q=>vE^t+L7!V{Fd}%;7t|xreGtH;&C*vcK$=8`~UEj zf%1Q5)a#tD#O@_;-=VhE)F#n@d|*od?RPHQCBK>#OW7WB{X2Qqey~y4ds%lbzqjPN zdr;<-{UlZ&W4F6^*wBC6#p>tJdVBQK!w9GqeCs3hhTUz9(w}p6wTsxtH$Yju^KTLB z%m>Z>_JS;MsaOT4Yi#3sv%7aWoepBY%;B}SUfUKCC*v(=a&+~V%=y3L4VpWDv8D6P zenU?yoMRynTkkXQL_|yzI)6jGc@4MSoPMKqJ?}HL@A;t^_<)L)|GeZ~d;iakN&{k; zd{Q-e00c0F6@*v(E=fL2Nmg_Y;WjpYybVZXys!? zIY~l4dD3W=zx85nb^J_j_kEWApOwpfwmv>oK;{TEUqOaixMxqI=N@&WHLtrK;o&kE zxSt(Xe+2uuHnCjZ_2axIwbz>A26Y*EIT55Sj}1@bTB3v+A+IjR{_qA8*PkCW&p37c z9i;ZKIR$OxZ3F5Ct5%+NQ@bKe*u|9_9cqPFdS;&|ab=A@WA*tNi=*9aM@Zh>WZ7JQ zXAu<16{p&J2Bvb4^NN~a9cef!6`TLO4)KQ>`4u=)qLZp!A@2Eno$Eokp{u2FAm6pu zSmrh##wToO-^q?l&UNiyxkcU8ks_UFFnGpm=3@I>#LJ@r*ped|tjtmC3}f9K0>`Mi z_R1CXK`y}WE=DOL1_o#7TuHWPi0xYDqFS7EN+(q7fL=HY4tr!GJ~ua@brcNQ@T|kf zGbe>}z6#Ikxt^rA60@7x_!QyQ4aqljV}F|*o0yr4q*7WTK(By=(VYO&kUItYXU+QL zlRSYCO)fH-Ch2jZcDW;=ycWw-krA$l!X8Xg!qSHpHV_y}IVVBnu(L_Iv+9!~>j<8xHg$=JD~q(t zd;`C8j#|`@=Sfxk6hz^mmxN5xf+gbgB_xkWWT2lSbmWwvy{I*9;zS7VAIP#RZRc>; zrkZvdDKux?$zg`L=;$@Lo<)Kf5j`>CP~&-I?`kti9ci?&3;MB=^B6ODPM1ZV(7uLo zG?9Bid5>@tWzYlOj0w?B<@F$?`)Q!x8I(p`Y+*7`3&Be^vFKbPD3`O-0`ep?reF)k z8dZvrPB|(7(0JFCMYY7MTxbgMRdyZ;=)yw0*$7n6^xV7XkBVP2P~&a=C~{?8?Y#8D zOqq;UPJq*puf~vtPWdP)WsJtFDiWvA5q>8GVop-eR1+84bsY56a&|4|l+O-;g79+D zjetg-Bqo=OURxQ>lG%=nOoJB0bxy2G^{YG&FH*LAK0SM8TnG|l62oavQoFGq1z~Ph z63}|l(eRBiPW2Hr(3jgmheihr2j8k$|_Gj7Q^n^ab8h4y78jLje@K;_E%W8C&18l@jt zE9`=Rj-sGut}yIf$jUY!PPN5sW#~A-b7pt`YqmwEX`<4(aFZP|ivnu|XvHt11 zSRa4nG&YFW3l>vRXR0;I<`1`9e(CSdpbKXm2#)4|$RPBUstR4y>Y-QJZdYV+unPl| z%Mp>@XPE4wf&2-g{jnCc^lJ0>&A*DGW-Ms`R<;DkZuxRvq`9-f*`$wfQQQ|Sn>xH? z`3+i|9R&I4^6aA^N?M1W+Ll$HICGkF^V=(<7R|DS%ba1t#;Wg3S*U5PE>a5N3UjZ1 z3A+QKvb(dZ%s(`X_KfP1z4zq{+N#U_yHB#ItIqbZIrrFb-)O95@4ejH-uIe4`3t%E z?X6%3zdj$S=VQ+xq#o|(&urzxKM^L}^I2H>3ZIZ#eU@t@zqc)mCuAGhh_k)#fyE^> z$Upx94lyGkoOb(2u+dwBt^e+h*&F6*f3%*kc_MWP7+gt@MseS1T$-?-7Tn23vOk+a z-~MUKyxwQH?i^Fqb^7P5)MDAL+^!vF3iroTZ2Lcr zPhJFH5Ub6+%6|L|4#g%CL63>Qa^{}Pmfc-tcE`vsaZB14f815WyBQzCHr_)y037|b+TC8*am2>ZvhF*BPWiyknK_$@sO_2!a3OAG4>G77WXmV) z=qVJgj=Ny9-17`Yc`PEFwg@9MLn)SdA^_o}D5B?TNn1=T5ZgnS)eH>v`*czk;$av( z1R;=4$wC9l0~ktFxLborMxgBoh!y!<#1g}N^iGBZj=yyM!dUIn-S^u_yBGI&xnr1FA(>Lm`lYfG$=u+_ca5q>JA6I)1 zc%bn}LR@iRZJ{>0shzQ*lP;@f$w$dXIK#%h$2OLS1rph52gsj1wgyl2hyHBBa%9Jr za5rU15U6L^j)+G*joKK>xU>7^{W!u1Jb|4V?^MxdTwR}uZnT0jdq5}DngpaDOD*!%1j%_Rg9Lc+BYg=YUu=w4mX@+ zIeR3VeXL_Gyu!F-UCDabqh27_1L-qXr6-dl8$F5VQ(O|MF3{ynswcF{Sc)lVb8}wu zh=33Pa#;HmxRNT1^!l)7&PzEC@JWXj)z&P6j2S2hfcsy{S`*{|BH#q{a6#Q#uyE zB!k`?DnZT&=ZhUAsRZUWO0N!o@nfY&Qvp#1aZ1x!VfzgEkOnHc!ki2sSa5n~ZPMtb zDS8X$LJ4Zz2U?o3AR>-m;MW5OeR@lKdsSVTT?qBVLyKAYCLi**ID9KRtZyY;W?W59T<;g-!DB)O z0aDkTVR2`Oa|U~!F=eeV|G+B8sAkA?C(6g{kEbK4V7GC0-d_nXJIc`vWj^+l1CnXR z16mR3PXe#SI-=`*eb5c}z6$I!98KY4AEn=C&JaLiu*H(2_WP4!U*ALlv4YQ7nZLfu z!V^O3l?8p(b!TuO`SzWhoBuG~C)aa#4kP_9or%u(OJ&zTJ)Efq4Fbm}P1($#ZZ?18 z3aGEp`+UkW*U6YO+&f~+zqj44cW!Mk#n(Rbv2<+G~{>)BekfKGpD zP+Dfw_1UE0=KlojPrZ$A%wBEn@GN=_bB410)9EGrcb^qvJr26ef4d{~!(=|Yg<~=U z69Iea&8g4u?JHQP`wv7}dKp{nbUc1Muku5CREwEYCtCjTuhBf70(B>4-dZcOaOwid zUY5IkL#LE>Ej{Q@ekHd<_i)?8m-ng~nwQyynfASw+4pzM+v>deZfKPGo#!CWRWz6` znHqEEKo|^HU0AJ5y*Bsu*RPo>pZ@+xSKpK3o_S(sBhG&BEiUh_H_`zH{M><;Sa$b+ z;ew&=94|UFM(zAdTO2t`ODzMF1F_wG&m}&e+0T07KmDL(e|8h?@J2F5vf;F|l|hPJ zLdR0JEnnQjfp2@Vtk)LJ&^Z&$(6pdrG19<8LMyP!;*eC&EQ(*s?z&k z^?X*Yk*&w3GaJkReE;&zj|2NuT zr36@iH2Z>Q4j}J>{%{vY_vU;??u{@+EO9-P+x``bEGotAR8GNnrWCdn_#$`jXUe z3?VRLQ)12$m{r5JFeTg|uqsEgLBT4rK_EoVCI#09(_4EM^)+&@Yc?5V_=!ZL zPS7Kpk=z$SvJ;dpKDIDw%$gZdq=RiryfGb;Z^)}mO=XczEI{AYXlZcLdaamruxlTuxK`?APd7q(*l5`0h+9+yLyMAOs`X z6hO)YA%h(f*$9$g-|Ofgp+$ZYJ}D`SfaAEAdL{KQ=slbn!%*EGV4SUe*u;?4i!Z3?r;`HmPw+W*pXliHfv?uoDCxadq8aDw~-< zDj2`xfpX#%AW_83W=`o(+HO=4%lM^mo`Q%%1#t|f0v}culWhxAw0$qUZ)q+=yz~eZ zCf1$I4WKw@VYoIH&i-=2ZA4(zKvgn7zy#W>sf>byM-2`Je+v7}Gb&4A#b5~TV38G?GlU8c_}lM7tM#q22(viGZp>W!+jF+-;}n;UpD#Ymj)4>20J!nu zVQwKsCM*m4a2Pf8{QZ{2vq@{g^6qIbGxur7%7v#l1(siz*}=fEn~$Bt!R8k#=N6c2 zj5N{|Qy5!8{EXH9$zXG@hT1YWmaR3B@86J@8F-j^LEDV!tMZaUoHIPb^*Ql-Qh!!3 zcy2{Kg_YR_C&vcL2F8We%!6m47&R90`8&@Li#WeKu@Ge(YFZzPx;oe*#~sp@{3d#M(#qhzNWh0Z3~?AtBZ*(AI3 z*PMgS0p5^G{QP{w{DDyxdiO50c3z$N!8xL&)_Mn^KK&W9_BWAlYt{0ln<<6o+lsBv4MepjiqahB7@{hi>(Vh)4DryyZ%k%(_Tx zs4f>yXu^*Yp>pP4FTa;vgc*F2uA*!X8*o;HHOW#xz`G)6REgQm~V7n>62Mo1> z4Am3H>JrFmBzd?s&?3p6CoJI)Z3Lvfm?JogERJ8C z%tDKMJ?Nl20Uv6ssEef_sxv&qacs9zG=;=tYiLp0UU=esmS5l}1u!NXmU)OK{0Ai^ zdQQ$e_$k24cMz;W+zSbvNSl@x0#zvb+=H)j8y74J@SGf^Q;<{(8>n@%9*Z(9F1^D5 zJFNnaU=e3E*ekHp16 z?-+?>XOlf8+o8;STGF$>tcDhBbhrT{sVNGA#d zpDlqzI_A=6tY*QCa*9#K>~4Izc{_)C{q-z7PC{C%sukY;BQPc>oexBf?k6xn8#oRjzCsB=r^xS+ONKR^ScV^~0i z?0*O|jW0E4#Dwu7n+RskNK;Io1vOj1@4`ww3;EJ(nb88$Q`G z7wu|)>}+@y`yc?P&rJY%S;gH@DlR6KI{fBBk50Fqbh1d9i~bx~qlGM!>JgATgM$aCE0MLgg(HER+NA!bsfg`&JuK1fX%X(I zM0yzH(=Su^^MFd#G1fInJ_I-`P}o>XfN1-u94jVEtJ!-l)v`T&zIB8T451=-d0Uaf zf&2dzOGnnN!M}0U={Uv(D=*~))b2pNl-O?|z3>1_j=#N1)yRs`#SbUmpCHstmkV~8 z;~IsL<-C12!n}IxM2>_Cv0hp&!Z*nnORK@{vU!sA8GD{yxG!jF9|->*P3bB1zOMj{ zrPY|X6tkg*$Ps^trWGiqtUi6ntQW$^N&jh^2MSd+8doD=i`FrYx|iyX(WwP)_+ZgphjUO0s+$=U0A0W-XRn{_^Y zNf~cmoP7-od1@82!YrJFb`9RoUYIhgcMMnX+l#H&1aSc!G8CMJ=sz za@-CwzYDf~+7^B@f1vZV@dxZfP}_SgxBTvzw~=8E&unZ;?Jq&&jtf0YtC{`L0U9lD zGv;fbq0)5GaPRmW(yNo%;<1*0;JM7)Yr}%+oO(IOaHus-9mmMB5ohImqw;6*-H2r* z15E8%Vri8_(9izMxz?6XjvlVJIW>ee^4l zLi-&VmzeC}1*ewJ{4Y1EA}4jOq#8g!1(*G$)rIe5*8bWMw+cNxO{*%HD!bgdYfiP< zx12-X5`LGZRlBC`?WXnHSM7$ElbwGZv+l%vX{UX*vV(8TEu1@Sjj`XS6CH=$f7xN` zBO^2!Awz4*CdRnP!~H5%X|)xa?|%ubUWRO*&MPICyBF4KJi(S6T zS!&qh2)EM_?xG2^ohiFy=$|riJ?e)j-EUI>lwL=4L$Hssw0Z)ag|K^{v9cLTbDivm zS2~+WuYEEKea3;~Gqk*T>TJ}}!ykTU>Eg>Qj~DsP_zwdAN)m}9L%x96xpr&Bp1g~W zuamtiTSD>azMKkkW$K?qEX+{7QTm)+V}<_!P2;%+T&VF=J#6n47!P_o>8bOy?E{y$ z;n4@NdZc|I1}9O>#qii{h&2d8*FwT!`t51)MJ>*@s&-R?Pxo@Su*s`_6zEUUA+Az~ zo!rrLkWu*>qo9-{p=>t!=-nH^G-*}Ji^jQv+_*e-N8)Gz_#@#VV2?Q}p42`$d5n^I z5bCtZK0r0BdgX9PAA}%|XOPx9`Ns4-;pSw#7-CUqjIP3~Ma7(-9Sb=HThL3q82eba zYwOi%%0BYgjinka!>z=`G6yb(eSwzka3Gdo9H9_GOUE$`Eqk~Ka>x7$WebRi_0p=R zFHE8#f;4u)yhWj5k0`kWcG_(8=&dM5H^L61U+~`$i zq9r}0@=T(+`sk;e2{QO|=xn}_x#GxY<&rDc|g1&t|M+#=1RjYn{cn55{UBt4FeB62NTS7?LgAGdkM zLOzX1D~dt=qGZ(Wm4YKOgg(ng)n3Ds1CQB_#!F%C;*8MBb)?0L^}pbqOe}-I??Ye6 znKNVo<%$>a)109j0&Gvw?dKNkg`u)_;N?demrxy>)*RuU8R_nr96PZ&gKF~CB!XVr z)p3lWdob4U4F4DNg&F7_n8k&A?=Vk?ZF$HWw?MZ1>yZc_7IH-0|4;Fr%_7s$yd8*S!yW ziZZg8qA%L{T;1~I?Hq-8;C5b(yfH5*$-MaBj`*%t=-zp5l}!_WYvBIA?|s-j$1(gV z&pBH%l3>noL%J$vZH^7{6>IFjyzn>w#W=i%$ou4Tb1-=Fl&yT07vo-FtiHDhK>{8C zYw-v-a|XTkY4(nZ;5BCm<5$w9(qFhgA9@u3_A>XwQ<;ij!&SAtNx(DXv{|GJ4}+l0 z|A<@&@rn2I>g4n9oM<(cUN=$f{^`nlPg(M>+UrA2>BA^Sx+*6Bk(aQ89Ll9f=T4on zCthd%6Xhv|eGqCK|K@_lb1k30w9pn(AKW8ZQT`Rvr^WYXdG|1-``ydE?fjPIK{zWf z?PG3f@gJU{$9)x5G$IfITg{3?^8x=6#)hiRa% zE8Pw2oHf3J6AhDZS+MTf50?|)`=YZa--t*brK(-?Dzh7}3S0`_6`u z7b1bt4i!fgb!V4k{eQz#OAgJ34+8}x0z&MbVLA|2IinJ>I8oR)`6vL%-eJ3h!+?{D zJmfl#vHsu7JLFmLZpZ9K3CQypXoct%naTGunslC^Sp87bp@L)?FB6uXMOcqLcO83{ z4(6$Cw%FP3Nru+aRG#iNXaB%+@40mS7tztY{q*UqgU3c7BRJE#u@_|O z8hMsZCaO822(rvTun%r7rIN24V)-2sg-4OGB@TVZjOh~*_zZ&5+6Z?ZrqF~rtpAP{N1TvDQ*kc>!z&ZZehH_uAO(2zH@+p0U?hTE$Y}t?MPlK+~s$@YcOM$+6raKvRXnx2g64 zzDO~f1Bc@9Dj~QaJOZvZo=1s*xkIk;KKYU!NP{5JgRH9}t+X=d6|D#ujXAhej-(pg z$+HImi$3ApP3+IcjP(LHdlm^f4&~{l#-MJFa)@&VALHEqm%tm;PF@f3I&W&VxAiidP6 z`C^BL=@{Kd-J0!FOQUn??o@Yk0?@t{CHG67lhP0JJrXfF!!L9l#>Jyk?($V1=YXsC z^oq61B$D@hTg5(`91D%Zjg(DQyTRZqKXDVybRGHM@%;3h<_tsOhsYnvR-KnqnE+n< zY(&d)HlKaj%mrqUb8GaG1EGNXTkEXrsu*NrL-r$-4GVqSs(EtpE$e12P6hX%nN2Ya zulb>Gu$uqm5Fetwd@8p+ARI=#-9_-8`yd-Y_d0e!Y&LxzH$|S?`3J5#_^G@Ir@o|| zxTsAFe1@a&A4I`m0$~2D+w-?2%q>i6+&bflKSZ8C_SK9IV#bZdeTGqZm{?=uN!uV} z2dB)j&mhSVrNtMf{PHYQ`jv|pefx5XL0MYeBB298NOP+tWw}a2mS@;9=x3~Uf{=k9DZ_l&4sb$aJ z#%HW54j=vLZCp{rs&Wkz?&&PIM}LuK@l$M%9ArovJ=GPzb9({r6bV!8K5j40G0$1M zfBKm}w5jIXZnyC>&qf}Po8xYHKKrE&+HAyy#jl-e{$OJ)`|52mdfU;3d}@{X${|+J zeWPmU-^uNiubm#nc1#KzJvum=-SNm@+}xQu%u>>y%{Uv`W3yZpQ|ABa%#Nf#UBC8O zmK@lcC&fwaGwAbT1EE8cs{V#CM3@u*N^g5`$PQleohjZ@W;81B<+V>fB8NpK1q2S( zTd|w6*24DtUcvnNiE`dQb7tE0Xc4L( za}N!-n0nnhqig{55V5mQuFcKz7Z|nuap5J-;X- zqh;p3U61%2Sq(Rnnkwi+vAY+-mO#Emm%3*&LsPupL1)7fO7Vr0X0@>4hYrNn=Ic2F zIasAH{%VyKhbaX=K0mu9`4~87d0S^N1g$J<84bc(+b}+*)3yko3#u;V*@w_wqAciS zyYF1K@H31)q5WO&mYjmFl z|BUP<#nX(QkWQe5WfhGh$VPd&V-WbML?Pj6oLtB*!I49+pyth@993)&cjAx4_mzPP08F~<@Xz>rAmQZP650y&!EBjJ z=+-6g1JIZp&W6HhzHE2maObNwpqXdg__XDzy!^7Pzs=6@ecAfXK}GIh#cs_~Y&&(y zvzd+S=gMnh_t*3gm>JH8S}*Ar>Eu&dyMLB}_hIY8Lq6+Pn5w)mUYUo>a1HAc%X!91 zV>w~p8SYB>KyDuMyMIB$ecI;3z7N^h*e$c1&^x)XAEY@zv+|EO${sI@vl)~(-#WUS zefbnV^Yff9!}a%BG4b4#)z?c7S@&x%<+#fu&b2-L1IypnO|UrD<(*VrNngx{PUjz{ zVh!6Yg`@o&x5A$vu|@4OEK?2EsG#8sobkA6FIDzyS+3sa&^fupMVSw=#c;9}S_==^ zs`nn>EkPYpZ_eQV2;Kidtyc}9iBGojW%`ZE-ZahvCMtHQ3ElcFXYH&}*(VOtp>!+{ ze!=V+wDZp6DDM9qv6t5`8K1t*?VfX1>&CCP(>C{wbItz4MLu3H**(b3J>PD6s~%g* zMLpd2QcU7+3FNfwqB13@mgghAP}n8>1tBY(GtEdfUDBm%Q0>_0Qd|PX#dTX?CDw zQ~j%AS~j$JC*x**Y30xCgnVf}6fUX7*C}He^=bH1 zQQn?9g=96#{WYIP4#!i;H>Q(FpcgtBPujXUk7Bc#I*2w5A$V880d8YNGt(u|{RbHi7B zwJwOhQuHy^h|RGSCG8jhkQp5Y1?|-$1tAEr4BR(Hk&n{yI5TGR15-2fbpBQzr64`5 zwTJAYu#zEvh_6FY`bFAW~9kI;=EiL!Hl%pMu?(jxu&_9xKH0 zlc{})U)nF_UpeiCDVo?%Zr(#EBZD)9y~5&L9^Vx za45g4w24&&;XMpx+s!%71L1c2Y1%oqeZRhBD^GA2%EZ4G&OJu3Wxq&9^al9{hFQXQNf)f^Mj>aQ~29I`)gU(yp$6nB9EU zOVknN%b`itSh+AKbz0@4+w-_hx>Xw1W?|>!JF4NwQAxYID8Nh5eCAW^Zh7x9Z#Pq&_oD1ovTjq|dE6x84ZZwM1NfqL}iHGpce|q3=C`u|cKZ8J{6Ce4`M)_U_s#!zqFpeKZwZ0W=7BqzGn`$F z@fj=EUgw1--e(9UCvN=b-<N%4ACW@cpEqpe_BT-qTo@Dvh92IQ;XTj1z7zOP8%P9_oT~XTdtz2nJ z#Bl9Uu!~+Btc|)Ae(Gg)-zvpP(Qez;wlBV6p=!MeC*Zn6Ho5eNjbQ&Y?xN3DM&Q0i zl(N7vGspTa1tK`Sqv+UA1oEGg={-Y^Lt6H;F{m~)H!lu;+$QX?m5}`pmhW3L8^brT z(@EzmOk|De2XXKH(aygc%EBvsyPCCWYmH|+dl4sH=QY?v-lR5%%ZT^HP$zdEvCzZV z^hqL>{TwHcUXG-Hbeo;uzQ)tM&Y4q;*)+WEFuW4Li7mZ8%k9@r%}4FZlmP06v+f_* zbwiK&MQi;W-O8((96dG?vfWxl-D7o~j5OBLa1qKoYnrIqw&vht66i z*-9M0YZlZj6Mz*h&D?zLwbf%uNKx6MX2Bd{Ln_9g8zHRl=ohzIKXtKU-0)Rrs5daN z{MQ7{OEiH_0mfz>T@`+|or9IOB-=)axi73cW$=$4=?DxOI0Kbp5X81`zA(R&I$C<+ zcdbiRN^ma9MH%3yFBDIJ6B`AVF1?9RNzEl5aclvK6TwM3@aOVT;)gB{5r{i|*h!G; z36O^(s5`7^Eo<@_2p!8;v!-M*;++HuECUhgz~caL4D%`7g85Dy@`s3mrUmbS zjBuW87L$lJ%0Nv)%h9|bMp1X;Es%BC7LO25BQ>rDrKpCzFfX-bXxUg$OJ^pyQ9!uk zoPj6xaib&pY+?jcr=J&e;HYrj5zq=Tn~~2Zx#;TgVwkK5HP=iPqW6j9f;LY5qn@P9 zgEObT^p3tP=>LEqLD#}ob)Dwd%$(#X$lCF-g>j9Jg^y{_3w3p8PNw5PXzR z?ee5lTj7dI1GtvqeF6}`p{il_Nhnt&-<{@ZPDT$R54A(Brsu!Kv#qd7I#Ci9?f_9I zQkBD?V0;R~QG>Ro-4TXr-`r!lkDs1`I16#n8VyHne1U8BikFhyFIac<=_^_GqdrCY zmyL|u`hPJcyfrw>C&Dj~%BZ)3CBTJ8|1%bL3C*b%O(J1GJI8^Up^;XTexVObU{Kda z1H0;-MKb!+!}G-28Un%LN0v1;%H!Fj;^AdRvLY1S)2X4C(ER(0c|v^&5x&UxoUofe zH4FCb@&0;{{EiDjzSq(9Uxrlu^)@-Q?C|jc73pF}eZmroau2x}IXeR$G39Wz!9 zhablSDSk1@&X>%mlC`nox);zt53N`c>e-c053!ose0GYZ|Bs++{Q(DTzkFrR{poC6 zyoH+e{1qj#+8RO#x!-?^<<}wM>W64}*e#WYLD_a0Mn{?mDi2LbJF-zqgXrLVv zd9LuGdsiI8ce(>C_&mBD$qoW8_PLZf121bBzK0jmzmh0_sqtOx@ay_I`k+$|NyzEuYV#P`*EQ(it=OW`x3 z=zxX3-{0EjWHRmKocMX4nL}fMZLb4NTvYPbK$&-VcH;S@IRu^_xHE2{+WVV_LI-J9~XM zzpo?8^8J*OBug9~D_gAi>`QMW0mDlN%(pR&hK8GP^6UeiRY}I<_F{${ljBJHJw+qv zGgh1hui0-9^><^ZWbFmaFtV+TJrYKLf#t1J&C9tbi1{OT%riRoNfKv3MB>)i7hz!L zG+v*(mPYxR?HSwgmdAZ`4NX1^5zP>8#kvBRRV2O>AOv{ms5hM` zqzmKij8E}K%wWMqbP_F438#32sOhJPT^HsX# zzdrmJte`D4jHF2n3wllK0eDvsLnm<^Ctj#j{J{`nP#jnB+a-h6f8xXfX${zI)QPi5 z+K8xvO(B&SB8keSiBKz~E>6F9xOP2^0s1g;9B)gYfh2eMi)nyGB7za-b?vkh(@+s6NsfnQU>|9L^4j;QTu+84TOEh zhas`H$?sx3+-1MuPv8!(^&p*3|JR6}vZ4X=N3;Dp&)^s{czfjlCwVuU5(!ls2%8or z0|yL-UuUzeHX6D5MX>uqu(vy=@i;dd=F_&_fW#M=Y!-|n7QtFchIHn1s=O5e9VAVU zkY>NwwBZkNQAQjy+&9f!`!*Xcf0`p0|LO_u^Z*dKq|msJ7SqV)N3NjH#KEatFTEn5 zE~icy{b17T?)n4JW6Tidg?=-%;+SFQzmu=5Gqe}qS&j%#qV3TnWgh~At6-o z*0uBI?tOvRmc4$kY`4vY?AU8;I-Y_4+>0n{S5tNvGZe#2xVDDwoP$Q>T&D)gn`l<| zoK&W^74!XKz~9?vx8-3B#oj+V&F$gS>45*5E?J^SEU~?gl{er(VF<%-Fe?X# z@~w4R<*`4o`FUx&U%PkK9M#+smTm^$RHXi{2_(e{XZ6(%3MC!s*PcpJH$6NtFm|nH z1Wjcn0xJem8tEN0YtVN%^o8NCQX0iOpT4!ry~Yd+X8-Na3Ap_{Z(sQvvVNXjzO{yG zn_c1(iUd_<|KA~{gYTiBy@;!)AERwrTf&5TGWf*zl(Pdx{ESuWFq7(kyBA$zj23C7 zmgQe~RXRg%w;#XQZX+Ihv>jWWS2-E!Rk!(_UxmbBMw+|67p#5RNMg(L zwIn>m)}y_@n%Jjo8rg1MtrB!Ve5ti<>CT~%A`Jc{9_4_|z2!=Jq|wA~y~A1|yqwKg zh`!C0^a0K&9WlA%hyDs$87`wtlDJnICSa^+PL(elr^n^zqEpYu6yc(H`8=48Z48m# zBanJMp@PTr?eku$+62V1DUp1Djf*M{VTL-dFMND6OLrW3<%TkWBSM?fF*lK9oTzek zWCv@YY7*xl6aF^vrKzof5|OkaO%ygpEpaQb6CrF0c3#Ka>yJK=W1|C^Um2o33kbX=c^4!53{`|SVeN4P zav;u@^Bp+qDuF`=ZWlr&Sl_kxeETLcSOt%1$+?a_W;n#OXJ0(sG#1rTy>GEt3Ae)X z<^w!Sf+FIpFMKpA&(2ex{XsiMDxg;RXri#1=0(P_#rZ_(e$|Y5Ely6RGc+WNo^Rsj zla>aFEYMDE0NmCko)Bf^BHk2; z0o`YI;t=c?I&nY;;iqd}xxEx6D_RhrXtBhYaEzi8tw+=H;KReBqRlLoFc;xW*W9IY z1DwL*(NY(q3PI)p7)JC~5TJuxSx){8N@SJHXrO0e#ujE(aQDRyOztc_cwQ0W(Ff8~ z!9dM1_(pDBhn{a_%M=CrcRfTr8hw&79AwL9c|=I;C9(Zw0Rt)Gl#mif3dj4WC}Ly* zK{HPD6kdeUfC9Bu1t?fcdy^tmbqlfu2@a|Vl>Q`&R+3$mNb#|uFar*f@mT6vJ8?0u z@yHwvs8HHztUaYhe1eu9^01lMtI4!3u%s7Js|b|VRS*kR^6kn_gIA%p#^vEt9;4_2 zIOgRJ_@7*odrwf9MggB0(p5^FVpJvye26cZ%mTBOLUA!7MPe7>vTH4i*iQn&C{oA6 z4{@yp#U;js!W6t*6(pWu4M;9;i%ZZuN;izV-d2;wr*`R0am$G0oHp49nMSGo@ws#8ub1+5F;TqX5co}%qPRF z2i^B>{KY9S%P;&mlA@7U8f^vjiZ0Ny4g$6w@Mcnw6^q=SNV8C_GZ3u~jdH3`;fOe6 zYuqAZ1|pio<+=Pyo%Hy!!V0GkByWMW6jfs-A)`OFpyCypxMa`rT6_+Cc|s(@gUL?4 z4}-p}E2D|0cKLQQcZFwhrJghoznn|xX9;U(2eaR#o&LCzxhb|dpi>{d<*f1Dg5H$U zwdUsoJ!JUuok?1jXBO4o zq>-v_)tf$OKhg5e8`!I{Cp9-b&2-!kWZe?dGrp-k7v#l$Ph+9aSZSSMxj}=AHk5w! z)491SaB|;^^RzgI;;d%*YvYuP4{SHLhlQU`G3*sd+ue2-eX+OH|0`|%%yTF*+gWEA zYt?M_K|-E_dZ8j(o^e&Av-_cWd#GSc_uEWi?Eeu3~t^V_bqk;WSwohFCG;CiU~rCGLb#hMdJSwCoe zC)vaIq;lQ0YOP~6J6%)rOCKhYhl%#zelrfNUiato8MFDp9(g~JKvS)PHUA~H8~vhS zG2>e^2;mGH{^;()zV;a^Rl#b}@^8G5b%tHY_9*Y!bKD_Xu09GpzDKrm_ySyvgfx#92DQeu4HG9O~Xlk3GS$lnua5 z$?K@+`|6-x9hg`^-k!aWj2R?qU|9lqB{i8sD+sU7V&>-m^W~g4dJYb7y2Hr$4aHYb z{(^MIN$Qrrre+Hl*KKr;90-4(rgk4cpuNjHdVZOFAj#TtP?VWJXDZ0j7n-v~@|k?V zUT4kok8YurJC@FQI4t?*77=K*rgfYO#mXoD3rFwUNtg>13AMMG9WdIgqL^QdZEoCL zU;fN>+fwfP(e|ygMGsyPJYgrhmcDN)*K`S%hq6)=p_5W&COfe@jQ zND^)iPcY<}CsycOMFw}-PBIq4P&ZkDVL($jybP>#iC1rElKvo+)RAe>ix#aRG!=i0 zkv9+w7UbqVk8ysA9kbkegW6EI$)gGfYe{faYd=q@6f68f9fdRpuN^8{7}3&rB+##B zYj8?%L8MRvCioRN23f%B0!|1Zm3xNv?)YQKEq!*CW7_jRz+pdO>?C`aX{v)Pio?~2 zR^kofTQ056qCnjnIh>H;I1?e!k}Zi7YCo8SKawJ?+w0oYDhaoxL18mOI}##LHZ|?a zENB9y@(6_G*B=xKSe5){Q70X?%0J(uS;T|C$`E{os64mY9=c=iD_##X}c`xMB<&sbGS zcsn;PxLT+Ynj{EHWrNC48$CU!0hqKJVKMZD7ztPkg%B$FwlNsdR^pT>Nv$o%90t`( z3{~3j@bLx+i6Qb6qa83d0q`p;mN&!+6l1RG-4i5V0eSy4I3XVQG|ygg6Mcxpc(^FR2RhabQtt+?-a)Su7_QuT`i@C# ztj6BuspI#I*NIF7`75lKL1C5UfnSW%=|$Jsk0>3#ucW8oo`LWxEz_{ke-`H<+6Naf zBo(vFrFNnvc}+*O3XFL*Em%(uEyxoZ`4WmAI0k7cT#fLZB*c_zdO3suU960s4WquD zGY4*O2rXJKjpn^QfM`%wi_jn@W+|NbPB|qe>RwYmi?MH`AeIsXlj0N6Ln58+MytVt zdX5L>s<5W8B0-QY#9leAQsy;Zya4t86qHE7X86Ufu<9UDx-tn0!WB6TzLO1Ew$zEi zwFo8M2iAh9^o5Yx45Vz{>R#y)c_JAO$^wBqf%)RN2Y#C18au&XA)X1PR0op7BQjr2 z(qX&2!X;BWsag}Z9*adi6F<&^d@njaJZR5%fBo=)lk(xD9bfDvcQ)<^h+>`8a zP`Ohy!7#MJPs=H;;n_irSLV&bc~EJHBI8{FJDaC;XL9mmrxw9e--MsG^bW?8sKZK4V9S1Vuo9O1rg=H` zhXC31C8(z>62wQga&uW`np(|_z2 zc-GSJFJ#o~i_k*1qIUx=JF4Zh%Ve(Lv2B5>p89YMJGVWfrcogOHE~kV(}X1J!k)JO zHRtRDj4gun&<-fu4t+Y!LbxEyc3n&^yb94>^gNRCR!hnY5|{WcZjW~vDBs%&8K+iX zcpWv#u|A?L-0Vz1RlGa1CoTy0xQ|4s`-y!io2Jq&DwmWmtj>o1&&a}GyTC&(s*06ehS79$g~^nkqf4w%1| z0B-=Rt_zdDLtz?wrMe3sWxvVRm7KDmr4rh?*%`NMsLmA-uO0LIFSXAvJb!rBzIH`Y zv=^^haMzxi7Mfa}ek2V858qOPtdaJ*k}0LNuT8pFy2Wvvuaz963}%j*(=7fa9)c! z*90jIo$1CJM@BiPD~@_Y_=Vd?+Y359J$bh!Espq_K4TT9R@-Uj>ic54&Otp0icV9j zbN3H$ZN8n>A}FJqX&hNI>|bcKVP3wEL`wp#a{&e^7q$8dUxjJ8matu)>C z*Or6{YuAkGGXG*G8t$N3@Zef|L3F&vUk85I3}P^u6fO?Wj= z+vKBni4T{eQPpr&Gh6W}oF3rLrsz11Kz<=hn|2#HxIdeT_`?TO(loUy2+LK;Ie%QW zqG-w%=A7}dDi@3i$AOag!XHxHngKt8wxIeG+EkL=7Md?`}OFO`%5`^1M zR%&C8+`Y_s6>}@d+{#nA^@a>hW09gx>u#7e^#wHb2>h$i#@uAuhU5u$BVaGe#e))} z2k$%yeFzH-PB3jPgV&5-9WF7Q2uZ*$VxC`w%vEm}75d8DR=09AMm{I*ou_?1SYH9C8?9K58Ra!zzM0vFOz}Jp_4PEh%we7R*67cO-ek|Y6?fQ zLv(sX*$;vc)-Z!zRVhNe{ioKhcqmbRBs$XPTTuc$Ni=TDa&20}W{Dn%tX5O2lWvSP z`3^`UhS!Kb5sgjN2xxd7g3KnWI4bK&pUH^Ty~+t~!kQBi-f6+PofPu{cTdpJ4c>@` zBFmC6(&?sJ^1UWs6i59*JQa3F-Dfem=;-nGC1-Z#{Edi9{xGkkXrD%Ij%3PAGgX(N zA2<-^_!abYJ_|*~{D@LQmU>@Y!X{MA(&_f(&pKJQqL>9$hAvkYh;bzfX4kPR=273Z^nN=(*Psv+ z!XojMmH3Hn5Lhpqs|2UQ7lh;ammo-@cOS+<7Ld%}JAfybVJ^IwQ;#Dx8x+@( zH#T=V4j1e(`|3EXbBjs1HgDM_ze&e_CdabC=mUiMi&>2sT&=-X6%qToQ<)VWBqLGh zx00eX-M=Fry1$dD+0d-rk5UfubmC|L5ATJ?w>s@P>IXD$*KxLTmc~Y7hU{Zo(}YL@ zV+I?#=XS~xA5Ic{tV-fQn8dJ~D#BnQ({_w3diQ(08HC4bBj!)RaQNJW`(k2{Flv|6 z=!IzE!DWCdu2X6H#efh6Q`(NfY11UTZj(>cEhYC^*v4A9h3Ars*KKZdO|@Faic>us zPu^wokD@&czth^SN3TllIVesCUtxUu_RfuPoN{+Pb0p^svc`6?`2fvw_|iPln4uWE znk@*)Yi;@Ni^%XdD&mk_$p(;5{s&39_y+%AeWF>o;lafH))jHz7{Pl&u+O6R9#og} zmyo>UdaBmdr0-@Dv*U++{BROR_mUUP#W6!UN0eL@leTOy*rP)syQ?St%5z}*|8O>T zBZ`YQY;Qv0yyzmNr~>TUHG1NGbJRDYUEz2ru>Zy+_4=kK+cAdsUu=8A58Y$oj}GKX zt3A!k$}f|Z?{d=*JiaB(Uwyi_%Rdg;4miC>C|(DDVLZ|J>v=CY&6|??xP9YG0;|8PbA%ApNyFg)NJn;-Z~>H@iSIzdN}owa}viE zZa$OEHj(8!;fWCaU})!KW_K-}!yf!!B@zt}_8pwIpl~<*zfeDl3;!7x-U|-b>RGmU z#RQAJ@8!d0+sUvw8l$s*o0B0wOke#}u@JUiAMV)ohsh{o2Ddc7*~fs#9}E#Dk$@Xo z%3{6a@BLmg8TWs-txehf&9GFJZmm|w#_ODQS-cX zmhWCkwA2s#{Yg7}-|hL7Qq~71%%7c1tw7EuZ)fAlO(TpMh-41^+n4|4jY2}NPRq?zhTFiIYSE18%-TT*X+|K&Gy)`Wg+aZO+wX zdni(y*%gMx@r&`YCVV>{t3c0HEfcT0oZQfc)f6F!cM4c$Gi`<{gT}F6PnYCz4E&^* zR_`i_UY(J{S-;RSO@A|v@kL_ufxg=zetc}^0I5(yjsyGaq6OC&XS8&3K#XhvZnD`5 zi@qv`aCIL!i6>oss5~cJ_3oA*8fP5|hJ5KVa-k2RGr zagOdp`>*;yz<5qS(itIC$uBHT4pb)~{t z_t{}o#Wefg^({1U{yq6+X$ChHW5#tiA~4noXS z0{R$&Q_3}FPz7-1nMCsCyea2?&`4$(_+?8)+i_c8I4WBCbVjgH9Q_TK+tDhIgBwjf zu4A)SA71$&wdUhQqICw}B<{Z($gbnoxioo-T|AGypeT;M)_iy^MN$Ui zoU=!l+a*)KL9M0bOABYk9W@IV(n`O?dE1j;nGBAcmAjrX$x|B&e|kr;d^NJwm?5dZ zjdd3y(KM`3mmSywt{nF^&J^|Cu;G3?_c=xSzIRyMLfGZQiJxElP;U4C86~s5z!i+I8p)R*%g{LPpiN!LOwC(Q zn8H_M1~)`2Qya@QOXo^WSuMN`Y5e)5v;4^5f>+PQm;t!yA_oHxyg1M1uJwE8CSGFx zt7i=+4L)`yY4wD*`MZm6&rd9Uj7EO)ZiN07Xz4Rnb7M(TetNrE=li-7Z##wAe26h- zNIY*QtCb*C3}2jvSg8>+dU+#dJTn4!&8h<3W>*(^}jdES>J1!B$PsK2$~d)2ew%m*BSiFNwy-HOMPjdclvOhz#mKtFN2PK3PgQ* zBVAp@wtdf{ziouXcBAA6-G1Y@Ov}qLCoyPlpO+f^)i< zMwfyh>#3-yN9l+meob`KATOFf$*q%Bc=iUCPl9woK`TB9uSm+uvgYaHh~-2Ng(YwL zK$MHq&~=`s2kLAE-5QPu@)|QNMmxq1Eb*=a#tC>?*&l8>{wv7Kp61fTvHO3+E#x&)V-ipm7W;g;)OM);*YdI}D zta6B(q^12c9dwpz70O2Ho)6oy31ty#HXP)398@`D`qy{_Lzmi69H1qlYOF_6%B?6( zD@JA9j9SK)Cj^xvfTZ)=uYpBLleCQ0Y0N;ESmjGwT6p5grL>MGAJ&yVIIVDNA!X?_ z57eEmqt?o#BTy}U*KNIbm3wSvBPQYKhA+V?u@Vf2LokYAM3J|IkB82qMqVB7v>tf& zgsMn+p9JU4e2hh+ZHj(D`|)8EFCopD53%6MqXRQ~huN-m-FJ+{YXg*v84hu!rx}@_ zA~n-8@smI+ph2ZnX+M{jgFewE_AJSiMbF2g-$N;!;_Bp6B_;afb+Ep5ijOa$aLq5c zgQo`O4(CI9$I!^AN22w9HJA*0q^+s_5ZI8mrm79)>YtC*e4QH_Y4niEp=zt3<%4$G zM&6UQg6+lBp~of<9Y0SUI~jFUrCE5pe&$~L;ss(-HMZJPcH}^6A4S+j^s7 z~$}kT2N8e-lSCgtk6K(TXXsKg=PEGJhP=6X@%ZOfX}^k*6jb<#{BJP`7ZO-FGGG5 zyyBpw{Zi4kgHI*Cm0VyGwIcD&_Lc0r`gJR_^D}ZS$&!S_&o@6n)8c5Le}|*MV@F5G zB^McK-$K6K$u61QCD-X(Lk{euS{MHe^*3#@eDAkW0@T*@0_Ex6%PGt#%eoIImS=wh zn?~+nP-V5LaT`2p6Xkuxe_3oK^43%5l2fPJ%oA5m+rwW&xzRqaL!Ty1y<(D|H(H*5 zur%C|y7185X6=Uv^C;Tm(z6*mwbRT$c$OiU!aY7-6-&kp1jFS8u*27>eBVew&R_99 z`mML0&Xo$k53OtOq%-)Nt$9E)Hu(mM*iowSG|3r!JJ>WfFQlfuhoajU8cCCX{t%zw zd3=1S4A*c=&9;I36-W8}6)jd)|3PQ%gGuhz9{X%x2&?<%TbVMnd5V2%pk`%Kpf0yB z&Zq77T8g1J1XeqTZ>1GzC_mnDTZJ8xX{+CdOR!`v4|fJ@|7MojXDi?K=1>hJMsrtl z+_!4yY8`E&S$X$*QV$xfA1wT%+iWu1nJgvY5zs$qhiz|K)U-D#c@hCJhjwr>iaT)Z zQ=BmdqvsbL=(65pm_{rg&2O4Nf3lUls@Al8ARwQ!Jnb*Jcpy;Jwvg9fJT1%1 zny5^*<}Klqhcl;;<2yXdx@j?q;JN50IXm_0yRX`Fx=)jR1C*R=+;DQMf_0&O*qGNL z6PBEM_G`^1J+fP-I3x`k6GKG3Avc?-CQ=EA)TIvtPD!8gJj%OiEO=9L@UCEMmu`|0 z0qTGkMs1S#MKi&qsX8X!tvbdH~R-G{NggYF^F;JEH|Z%HDNQ~ar8+Pr*R6yiOI0QC6^Bo*y%wIR#G;mYtFi!71Tp55^~0x_|R_EGOnp$M0{Fz+As-u@R&{F$ zS~i{)ByHXZjZpN^fd|6y@d_kd&4qeN{!xc|f_E*rVs|HjZ-cbHnGqqad69HV5mQK& zBTfP_2P)UkdIIM&+$=$q*CSVIVWi{{qJyP+DeQ=~qOmoL&_}}Ov5eM3BmB4tPDCa8sK~d3l6<$?6Weyb?t7LQur3DGrlbZZWJ;dte zl&@0DHSBCQw}I=ud<8xX&>iseGLYZP_L5G# z(IJWkqAnW3RtiD{1>8u3l zAp4nVZx1Kq_mt13-|ffpVI}Ggq8^$M( zja0IscV^S4tXyHkGtYLDa_tGO>pP1csdEei-;4}~%>GL}v_ZaXF{rogC?z61E1e3W zx-t^1+~sWgUCUhx>%X0{t2<2vT4A&E1i#{G*{5Vq*^7O|$ahKBfO?JQ>6Em1yEvu`Y3@ z^X8r!vHbl%)JaIM=;{$wKXzVHK8r3?Gepp-I)HZDld!s8gsF=nXosik7# z5dwaP^@0A7c&lBWqFyT!{?ivg`g<2!#%=|8Ucs_fV@`BRv@F`W;%MZpp6&Y*qKYPsH&BuAi_!xkHh}oP!VlA(6fNJvS zubBJj0ZWvyp5X9Zd?MJy0J5%av3%*vjxozN%QX;~lE}XDev*>k!fR-<7ZIuZCR{6${Plz8d7FwI;Pj7Xp8du9ZPu^g zs(ksxQ-g`BW={zCGPj+F^X_5S*#sK?MVlA`{z*C`AKUe!RMe%3+Su+}X5|(JSdoo| z;3HhOKSE4jp=7Nbef{waE8lyD?p}_ZtsNb?q&UxZ+YhCqqmR{!OZ*L7c=t5*qK z{rF<^g!{78DUMzcl%LJp?3#AKuy5Rwo8Zo2W_z4U6Yzm~WrKY(&8tjp{}qm3M4E@5 zB_#>fs=Z*DU7tAIdqbz^87Q|A>{!i>uf&FrK#gk0+=;<&wdJ7?B&_`l>!^N=NKZsL zi7iHQpvb~!P=iKUxpYe9lW8$S(wI33F^r4PCCVDm3bE-keL;!E<3OUfTNy5qUkAe1 z*N-{N%(a!Dll;($JQA~XT8+H3K*5UI?1Ho1m3QYprqCCuMuO`ath^UEe;}DD{VhwvIOJwMht5{+F{r0X)k2eLRD#Yp_g6~)m zNClslh|L0(@(1|wD z+jk3j!zfv6pu}9(NezuO33Y3{);B`3n8iDV)NHUMcr1;gBU9%UrE(oZeiv`?pjd-E zP(x)dlC&ODtyWYpY{A`3s5o*&?7|W3u3mA7wP<@Nj1ci#sbmXPo9@ZZw2QRPyX|~Y z_ho0q&0 zW?95|;6)664cQ!Zsi-7O8|Cg?mzJC6&Grl|zuK-UQvZvQWg)B&A&LS2Styk+vJAV6 z=>FASZ|}-oK?Z^P$}091B6{puS%~q=wHE}{<$oBrtX;Qz!lm6X@3cAY!CZD8Z8&)% zU9$c6uBI^U9@WsL*YPsZus}9^>UqR}3iQ4Kk()L}N{M}!a=-7?4hLXec3Nu7UqJ2q z#EOy)@$(sb{4^Q9&|o@e;25iAjs>cLu2F}=A(D7hY}(pI?r&;r)xGc)L3dN zlJZqAuBwOA&;Sr7H%!DagE3~X#y_&|0e@Na?)6a6M^W(yxlVNUk7~q&;*`dERA3AiFzMh(=5F~E~{m{~)g{jQ^8E?1bz^Be-*W+1}cIL4pxlvW* zj{VHCeBqR|%Zl4R&ZOIwbOW~%=8?Lq|N5_5+W5|Rw(yNJ*vtDF2yX;-{dAGGo~qgN z-u<%Fc8w)dG2A=db03<|mfZ6n?Ti^18VurajKlvWj>p0{en8xy4evj}An~c)h z2gKyc&8Y7G4`)QLON#99!!TaVqPm~SN7lXq!S-Bj$lg5W?I779c#(@Q?)jy4KYy#Y zVc05f;l`H_)8!p`c~K8*wOwfqt$N+((L)C1ed|D+95JzQ4}+;tKU6p3=o(eQ+JGO7h-Y zZ2Y5RRVQ%j;*ff{n2Me<@gr>;gKOccgIv+gZc8nlr5L$oBM$A+sF`O360Ae>npN6F z34cKY4jmD3`WT}?$D}Qx7^EK88C*Khsw74RphyKeb4n9q1_>-pGkwBj13;7-D0rc! zR_e71<4&oihPW=OIsk3PlF)U3{+->Z?Skz6p6BV@zLU_sI32wa|XOG#rZGylQ2 zV4594+Kf=~p|NLXJA_rGy(OcmsfrG{hQeMh8v;K-jPRwAN{AsM1E$0)Ct5a#ua7o_ z?&S*Rgohhg@go>cneX2LVX%B4&R|OA& zcolf>tFc97b`bY7o!mD7PB_a-Xv!}_fKwvEWz<~gx++=3SBpOIhkq$^Dvp5W&P_Hp zG(lMYr}TTQzvmdiPIrC3Yn7Rx&n%<2ZZUt zZ_g@ch`UN!SioZy+^VT96B$%pn8If(v9_Hj7`Z4Q^#eYbjt8%xnhia46;!uyM0KwP zn;qfW&TGKl02IZ0A%*G_2efg_fU(&35YKEP@$-{pHF?jO$O;1A_LM2ne(w*S=M%4` z?rRxI_*Z9?B-{NnpEy4L?*!_+szk>zgIpHaq_1~KLTrD%%={_{s2X9C&snahI&Puq zyw!Zyv&XwdkKPVxW0UDyUY14FTgjId_H@KC!?EFD&Aomgyg;{MP~fsoW6YSrnimnR z#tcO3W7t29MFpS#L1*^O&9<{S+|3sz37J3t)TIP@WYpR0|1@hIy)tXO;yrwTZ>62h zjbB;Sut;eAhxbeLB16rY@X7uD>hM7_S$u!^muN_f(vomHqk_qa1vcA9BCV9>PHZi1 zp+(La?NPJj{OdnV>em72kMAF|!sGA1rB9k-2m|y^M2jj?360Dd zDvnKe#|*G=^h!(TKV-?$I&{}Kx9!=?Ef4-<9zR+YwTP+tEvz&AEKz>(Im}f5V91JZ z6YW8iZeXxJ`Ksj1S+#!Mwv}52!G6rBQL{&+Z))y)3oU8L<8>-1GN$$wo)-ut!j z;gDobAFcB4AO&i9VY`KYdJoFT0ZUfyJ=g5FPR;$84(KB1-`lK?maA{}@Vu9S@K`x* zHal zY1$qjcmgKhpk;HFwQPb#S^v(N3@ttkSzS{dXnbG<6`)_hq}G%SN&?%4(p&Mcoezsd zxbx79@1~htFDGoi+(u^Tq65YZi=xRKiJrjGZX1I6b%=u9_-qFF&9(liO9+Oyg5m5(6{J58}MItNq|GA3i!cK)8Yy5xQEWBZqj|y9~(ZI3%Ua zOnAY_BpN2SmyI9yUB{FSg~~_!j0i||${R_DQ6(@qV$_KVsv_QOgs#AK-f&{cOu;kM z*{X-DZ<9JdY<{YRSTGCaq`=U5jeFL%;7Y`z|2~k9)zJMxqL`@mBb!O4K6$s}!EBu_ z@u`o}@v%H8X(3r=cu6dAq(Syg;b;SU^D~KZ?sw7~&p1Jt@{HhGiV8p`l$g-Kn8`j6 z#$);n;hX^Ui{=%fJcwGc_W>Vs63s^twE0N~*==jsEeAEOT_Q-ciWT>+n{X;CT;dPd;XVs1h(hs7Nmo!J(;4(@#VBr-AdrYRgp^ zi!$^C6jJ$jn2+&jGi2dVR|fDH*2ki{a*U`#Uo|-PCRM$am7=295iUW}ZXhfjaF&GM z#;h@e{a!M~CgLSm_%LWclebeXB9*64hVd?XulI6jwBlDKJwgatXZQeB@z5#Us<+q1 z{XCbY4AEF6jYwqF%9mgeb9WE;Q?g$+?+bKnv=}}DDiIWO1OME7v#jgE*et;VYSO@y zgPr34i`|b_Z>h#|3K}+X4gMrqwvr^y&^zqbq&u%H|8>FJt(pu1+-WpFAJse;8NtqK zwz&KN8TkY{sl3DHPNnS*Mqh}>6c?n~Z48obI0cLy^JE!y{{978I881J)MGc4Dc&dP zs~7AL{e1h;O@o&GyY1V1*LFs?SNPdEU$gSl=z2vA)blYpT|iUHa&g~X7JdruANe6m zR{rC3V!DI*r2@S^`$X0qGgyiyP<$y*LP?kW!l_{6_syGq`@&IgryoIPeBA6yyFMgc z>ZBm%cTbXlV=((5^V#s&42Mp&#S9;JwCXG*!?-tTF$s0n8G<`XB--k~0ca=lXK5mW zNFJfUd~RD>*vDT>WqN}44dvS&{2oalg7Bp?6)EQvGV?NgZhLBnZnx3U7%lwf=gnB_ z9|kmq>ciM8d9J*)Du2Z>2XYMR+)rI(_8i1_@cWrtdAyblymB--zxWjnT=@YzZTMz( z2Pn<`V3<{&#`{Qjjc+jLS2EtahC_@Wqg?xGlCtY&Pl3YwfD3_`ohtS%l#IFSLh_)F zZG7vjk-XVX688RTC~Y^)zHF-7?>!@O6^!r`Gcgf)+b99zG5?qG#NSF-)osA(AFRY}@x!|NQ1vc+MWli2R|S+_v^|B70!*0#~-(1)Mu<> zJ1OUt!PVCJIMy>w&RWmG^&f{zdlfn0LfSMVPwaf!Cg)>-Bz<%4qRJ$z)@1}sAElIB z#Tm$BwF&QOj0LrQ&rdD98FBn!EjDe=zF{QbY@LY%MoDv#%xmz+oMFcLmsY-#y=3)- znYWR1s?-X%7euX&wsM~icP&LsHWhmeWD3Bwa(R(Pqx6hylIkoQmulGEMDD}gEdMn_ ztI1^)6!p5lh;;Xd3_AQGh+rmmeY7GxJT;pfA!0?}E`A4Vy_a9^@T=FX52+>e&pxcu zcec;b&xj_T%Z|4|BK3-OHAcB^Z7JL^k`TU&|6ETRaK*vn79WMLTSEI$=hp&R?%iyU z=H8FcX$pcbyZUf7%VX_UNdzf_P%jdCkm=AL%1Zi5idrB?X2cnDius7Vef@bmqCi3J z4VtTq;5#gn?W9R?7l7CT!yb9D-JdpDN; z@N!f~uu2`pK7)#Mh=KwwHa{yqQP*JY&v8Z(^f4owv^(&aCt%~N9XCKs#qmFf(D{hYJJ7* z8AN%gQ}?8hTpm$|GyxlzDM|QGQVevdzGb|9!?qW zPMkaLZa-N_&Cy0&{|sNs?65;(@>dQB0zaYCFaD^n#!t~KmN##izgQsL`**3FN;6sTWE?s4$;*)3`wO3p>)wm%~u{ej~Dc* zfD=}#73?GsT5zL_W_(eKM-If&LKCzgCS3G+Ai0DA(xyHFaAG1(SHOc;+NA#%s2~_C zO9w@#MXf^NJXBO(>Ch`!omypPfk;3@*Ybs3)$#B3Vx}U&pRr=nq&tH(#nOGqaJn-w zR=L8P+6dR=6^Lq>veg114p%nC3C)jg9e=s{)E+<}u}K0Iogl4Vwd$p3%jh#n;0Q4^!3MocUi;S2@Gi;Nv6!y>G9qYUlH@|a$|pn*O`A604?2FuC= zICG8{W^vVW3&e-u$8aEOTmuiHV?mQ&V?b_w0G@nA!4R9bs8SnS+C*mt>SDd*r$P~- zlQ@zCeJL-JOt4w|!1WmXzL$lMOmK^)7PH&&Qj-_FXpe6MIh{v8$Z-q8f)QSfo0q zTFb($ZiZ@U7;tE`dtR1-9Yeo&V8)sqmXR@ns}z6Y&K81diKW~Q%L zbV+yzJY+31_ahZ4ZO7oWDHqh-&KGxAsFMdzP3*6?u;eoqXMJ0L8=8Mf3Qh*qv8w&g zHt$)1PX6yp(>R9`lG7cQH_^h zn%iBBY2BvtC$s%;E2hiWZJ)^wyf`*YoLpsp1u*uMqvQfJ*U@Kub+OuxvG_yYT6<5; z!gltZoO#UbW;H`IHxzdNx1`=yuws<|+lG?Gu~&usp}SK{Uh-V1KVj+eRXf;@;kvDF zH^4gY;dat)?a8vKWNgO9R$S>XfVWqY_m(g>w(Bj^Tu?B>)M~+l3mOlSSyxiO$Bt6# z9;Fzi+j2V;Jj1>0Vn?t&7k{E^*G?9p$lhc1G#>kGanGko5M!l1;Dx?sJ4V8Gj3Q30 zawUEA(3H3Gst@?I_@l%vPJ_x-G1j_;75|HuIURXD6MI?PlGgRK5__1azx+>~Xoc@E z5hubc_ENL|wuP;nKTXW&KMD6+D8^TPj8o@wQ)4-t#*1jjO9YSbshI*PY?qM6Jz?Vfx zzgS#H)0J8H-yBA{ZeVt*>BQJ82wg0^&mLi2q8B(T<8}<2>0tRPi$}E`qwBjvw&z;>)?P!=Mwr_)Q5mj_-DbyiV(qy^a=_x9F_i$+hQEJ zz#`cI;VqN?lV1Mo!7Paj zDtP=RgygCL0wxG_m5Lzp!y$()O0VLM14rI{AaR{OF-sLnafp;7TE!GcxxPqZa}+8J z>9rA%Mp7)12yZt<2k+xhh2r2N%W`ZdEt8nUtBY@ZRVp1XR*D4<2Vhv=>UGiB67 zWs7hX(xyE*kP6+At7sRNQ4XkL3`V!&-p0~}tA*6I(wMN1st!3ZcXbl%{MOXoH-5N7 zv5Q^3E7n4^bP)(&WE1~$Q`{ZC+l!uja1?y^{rcV_#qY(>T+{xcpVbcTd+@A!aAQ?U-DdU$?P6}nKyW1pm+QoRKU z+&Y31+V$-5_DoM?0SN`hUD^c0y}@u@CU#HZ+1&ZWLN?GskF^&k4UzGl5CT=3<}D#B zDO+UCY|{SGKwoIEAbCF*$v(l&3lD8^*a#9_Qvu)i*nlm(e^amzdq+#Y%tM52q*8T-NeqZy{9No^n*_Q@BaW8zI45~3hzJhMbn8E5>Q?KNOAVr@p#Hooqny!t2H|k7L;y8lKPc9EnE0oIQuBfbfER^ z#0DST!igWszo!^B@7P_~r=F^Z@a;|J7T*wxi7xK?@2M>N51O>I=y9HNHCyg}aU}77 zLy>%tB9u>)X4Ls)&fSPEO)CVrYwn~Wn{syfiet#UdC1ZiHn|U!9I&ly%gx6he%_@s z;{2o#h0d5^L084J7@c^b=D(O$a#%BFaB({Zi|DPtMEW}R*|^L0EUuHkYa`2x4k6FVl3~b)31#h9V(|^Y7tG8ot!v;e9tu;rISG;ZCG8~#DjN~}$gI&o(Z{7uEl?{AVF{Z8yRbkzdP>q< z`IyU&Yd!&2@~JxhrHqZLL4nEfE7V}6RbLzZ)_qLkBMxcU;TU;FQ7fzIxtYnpbSZh%Io<@Fd+?(0KXgX7Z{GrC=e*eQC2q*>^Kc#51&!;38vTNk~H zang5AQ8I-Fs-wM$ZcLunmGsgc zB@^EW@DaJhzb{mX^-v_OwYa`(Y1l&On%PSo9>B7Yl}@Rehb!0h?DZy+BF%?`L=@#i zX|=*(Nu8HSk4Ns8ghNtX65lO_38kLK5`jYkf#ZeJnw@Xqs3hOjJ@zRZa8M77k;HOV zE$9uNaGkMIg%iId=0Iq!~X@wmY9CotM zTpm9U8$CDp&?<~LuZo$$Z3W8b4g{sDd*Nv;0OUxNPZ#5)?*LJGlyPkD^KlrKon*+P zZjppE&LnVce@Bv4u~tbJB9~hmi57UzQDZ?Pwl;Ks<|Aj4dfavCC9srP1#M*axx;dA z#b!9waR+Wwp?d=<<)0WLq+Ty7mVmnmB2ApZ`8>izwReAL~UTYJKLl`T6mwGcdCZPXl}9ewRwYXg$8 z_MwzL5JMBenrBl1)yQvWG>4d(KT^m4!y&gNN`mAqIGD9-Hp%8Oo1wkBnr=&jns-cB zz8iU_s(RYOt)mnvAwu$_-Ee3`T?kA+`*W%?lGw+YuxfeDX7z<(RDQOPC@D+ymG49R z{yIWEMga>ykj>i@la{q!imqW*nova%zE=%0dJPseqz+l=Kq?HKM=ww9O>IB zZM!q&+jK5t3pW+6F~R=giqt<`I>RJWv9Qc1Wk*LZ^47j^O9@d3@r~04(@INldKViwTcR3lQ$7UhHx21B&GR=aB*5O+$IzCWd2! zR9#&av->qgGRGF~^uf!EqWD}03ujk5>5r9rLRnBj?t9yuHSgPA-I!Ako*P+eSvG$b zRpHB)tauVB&bD>N*y5yJ(w>fQ;R=a;#+V@+w3mR6);Pa#I&-tTZrQ4ldvBPsmOb$& z6OPYVd3!gS#H&6#?ie#<_DVi5onHT<;PS(RcC}rpkKuYXL>Hmex(9wGwf#Qv<@sBU zZ){cSRPU(pZSxa}V4JIf+T`Q4IF`%uA2qMqR4`_c7#+WOxH5k`X~QB9DeqoV=m~A| ztoYz2x0KXgG%q`oh46kKhS>; zT!mgt)uUATJgtO)z_X)K=+PX<3}r3Iq6G-2bq492{UGq=4b)Gk{G??q5sw{x%Y3F8 z8H-oNpq1C&OuxHyjWB5JDA0mpqzKV4HxXZ%9rH8}&re*m{{64S*V@@q_-5&m4Z9Je z;w~6uYse(3X7Jsi-U)otSBbiV$Ak)w3gT=mjY!1D?e6%cb5K+>3qirc0X-q@d1d%{ zr>1p|-^L&%2tR?ZL{(Yh6MAbQbS=)BES9!6k_`meUb6KiX06X`p}kX|eV3Gp(I7dA zVc+TqsT;-9-%%Ua?qAj5f;InD@Tl;sFukpFKhH!bG1|gyN{8dOxE2-r$w1qS$V*ix zqMAuk!niIXl9~==O_rkKxkkrXVDO(c5;;;%K!BdQQImxH6g$0@WLL8AW5LT!J zF?d3tBCQFB9|A(QuEPRYf`!1Nl68yAQzKW~a4NxEsMdc7P%(>h1(ZVnzHJ@)v>e$z zQw%bF5>9;xvQt_u>J6y|-;6ro23+sZ2S|8OX4InsgO^PlPzJ0{)Qq%)Rib&h2Xh8+`Oa>T~S1y#=*gtv`P*du&u^;q<=&OgA+O&^n145;(?Hhgk z`1yF&U+Q1PD8KL8=ibQ#GIR6R%-v`IS$nUw*Z#NmpR-Tk8DWatB`rrJPaGiVYlunh zw+^mW;MqdF@sx3Cyv8wvlau;#C*k6*J8gsLa}-ghvau9s!)!o8LZDT#v*6Ap%GvxH zZ-55HvMHPf&IuPukLxZ|3Q1z~5vu7rn0U4$OZKlE68m1z+kpci#2QKqa=g3KdBSvA z-^5Q>%h+emvz&(4fpk9U>Xr1O0XI&&wp1MHgbz@m53pKXe-)DW2XssC4XQ8yGV5Kk zIew&z!{c8{S1Z|UHf|S^Yec?t+{e54<;vG-m}qYlr2f_<^Jk@;O`6uS@%+l>r7jkh zC2%FZJbO9CQr$!W&B6RGL% zTrQ)NVGbLMs%u|;doWdA6^oZ8hW)6Yes2%Uc$b6P@n8sBDJRoJd$FE zq7UpdxCdSv^m@stPbBGYrQ)xlUN!d_hLjYVd0+Zok*n1~nAG-&QEj3fjMo0E@%6`G zXEO!p*oxuJ;!`9D6M&hs`Xztvq_ks$N^WdS(+Wo)Per@{SyVyYzRYqZ{ZS!*lp<8J zgWR>c_on~i;;A5~5p>R=wMSvsyI6J5IYXdv1io1o{x*@b_KR6@m3S|aK#94i8K~W9 z!QEB+d)%G&H>R2VI|%G%9QYBREi?O%X5i?bZBU$zj%!rR&QvQ*ApHQZ-td{2dOf~> z4zrh$0sfZ+-IxQcILvP?lNwjWXp85B84W9E0k?TJ$>XV-d0_J;T1(@ZPQSplh*dvh zwa-q6Vm&eqD-p3=WO+rVINtl-#B8dJ#(%srI)N12e<&9+(Qkyd05!i{s+{ zOT*Hk!5fdC80CzKS9=LJ@<^i9V_K~l^j6zLQ`grWd3TTcO~hCwDoBd}ya|X55ZMSa z?4x&zUVX@w0bT?3LY|Zf!A2a8pF)bw#i!imv1rApOkpey?qG0CU){l!o+-lOmURrr zugSL^#vb_9`6>9BD3{W4c+-h$zG<$ZRn1)4feTF-A95G;VS8kYKRUW`QeJVblEjq* zbd*3-C$56Gs9FOu>E?w=LQSrva~82ktSAI7){+>X0z=@;QS?fB{k}90j!$JYizKPN{VX@F4~gGgf~N z<+wzJfh?TYAU#dTe+fFd(m6T}H@>{ijWRCO>7@dLL>sUwZ5wENLrs21GzN+nMeU{6 zSDHOgRlOmilG&V-n>y+1)@+<2Y2f0J-g_y5eGuVL21ztAHAk!w0SMkTNGg`Kk6z~< z9B>$_^&0{m2%Xcl6~aj=mR)Zr{U+S%t2UHG1guR9h2F})&ecVn1n2ZQq^>1ll$^!M zrWjAYW13iWhA@)OD0O(|zJa354ZSMh)bMIF={mCOT&Kk7>c0s1%#E_Ar1h^r8qjVW z#6&1XIOvpJ${L05s)`J_jJGMTZ%3o#PMqEy6rqNxKT0H)tUiJoEeM3TmP7NURY8k9 zLODA4rmOX6PAgjZ-@IxjDK0Dn(`&i;(BI;?L#&>)Qfb9I{8NeTUp2#>DXYoeh>uvk zPj*3XA*+gE=XgW7UJ3a$-YA3JB#*7}GQ1o@4M`|bK zdq+u9^*)Ou0e)f3I*JsHOSpd!4>`Y&uRnIu0!|*6o*NaVmp(W%*V8ne?NV0shP@>#2wfG|DLfX{X$>GtE= z%7+&vg2-Q8;bhw_<8;552C>zVn3XWtn~eVCLYdMz1G$#nY_4R_GGTgvgGDzyJSq8} z4x4sSQY5i7(7%~eI5<1FN$kYnxTp?H1z&Dm`aW{Yg(t~RPUK)*k=RhCWM*%f$h_J? zP2L?S^GCbP=!(AtYu`yp!_W-RU0>svOqU zGFh8-$<)raBx|>xXMzqWg;!;ng061(1Ukof!kZ@_5v#dD zLQa!YNu?X~Z6ctP>QR5OwIHEBi$I{ytG>uMSxw3=!hzU9TG`XLdOP=G*Ho-pcnm*w zda0T3T`y*QbC1hAd0A@HK4TE_(h%rb>$A2}-_beEO+zzIiO5-Z4EV5>yKpr6=wm}k z*!p3zph0A~i;-#KU8?*6l^*9deItyW#r)zBcnjMl zzUkBXoXbq08cE$euH#W#2o}l*jFm#se?JNVq5MhF9~|GamCKzBX!8x5sJy@e5#;)! zgSrzw^R`od)MdO;l%?P_l6?M@WHk1@qcjV#&rVoq35=yHgrh{?{5bCD_EoZ+kqBx>lqTAx+z?$Z3^p@>Xxk`yM=c6C%rQP zG5V})F3jVMzVSkMT?wE!_9Ax{XiGheyl~kBkL-EH z7cH|1Wh2&Pq1J=A=n#TBbWm>tP8HsCW&pi|j?)E2C#9hw~VHF5E#XI<$edT<$>B}aj(_zNH+G(zL5sDpQHP2b8cOUx0X6 z>!|uN^eJyfrenT?csDfy^BxvVYd&7c?lE^7kD_lT!V79?F+jaK(0o4-#bnM3Q>=oFd@!ANH$M; z*v0UK1b#FK<9NVYspT_Ik*T0nfm5_ofg3xM@~Rl3R(z@p2!y>1^u1)KzQ$qrfKh^N zSr&OVG`*0h1nZRMcto3*1uiGOWS%r*NQkCQ#?2NBmYL3@hnIV;fc3J58bc2nA-1xx zJE)gtQd2G=^m$L|_N$@@j0jDfTgli~7t_O)78Hs0M-x%H5oIwWfJCLblgeVT40#-k z*37D*=J07H5u)8=7Bq*ZZc>Gw7D5=3TytG1w5?2g9?Ehjs*;w(xB)@}jH{u( zYL>*Hqz=L5)CLR-2^8CiS;Q`wS+d7F#H6sO-9_`ySPj{UAoCUUM+$n&{1HJui^_ij zS)9=NFjlgZbYkDx5LVSk3~%Xm`|cNv>Q5!0MR#m-a5Ef;48g|lO>!1jRsJ>*=;h7t z7n}=BZGX5GvMpe7kK1Xsk_3yEq$rOWCi7a_EZ+D0+p*b(21mtZqtzaGX$WpOE#4xV zlSW-W>{kU|`9i4nexjEeDPn8z>hex2&`{|kFB;k$_11DsB-@8}F6Uo_kN$KU{y(x_ zDd?SRUn1+@ze8Cl=9wpj*p-L99UXH0Id=O4drvMW!|8KkJ-9i)B_R-6{Bot0iy6+U zA2X?XgzIys@(OZxBgnP`D>L`dSIXX5#L2T82c-TnZ-erl+>%QE3ku=%)?h~hi-H|W z-4FR#Pr7buBEHX{LQq-H{^Ao(Uh^N2)z=n)tItnm(`_G?V&#cW_T0)E-4)%zR?_aa zMUAuvt9Os02mD@`*z@E%7Jd7sr2dZcY&dqe7q6*zG7+gZy2b*#nSk%UHkINvNcZ-- z@9uxlu}DpA(9b1pd7uNm7EAgeD|tZyEhFxHUMUNwDQstDA$>pn=4v{Mi@EQ&7Cz!= z+F|5@7_K;NU}i|Nt8c4hqm?VNy4Lw?jjfOU%de$r#qUH$vAF;sh9B%AxO zENty@Hhp_cDbzapI#=I?NcZ)LeB_PXaPph9gZ*7-owQOFdNJKKD=TgllTS=Bc&`+y zpe@UkP=94Vlii98Y+-IFk5IArPjr8;Ti;)A2LW8hF7F$AiHB;Y5j;$aeTv&hysk&i zqST;1TShpQ+PI=baMr^fes|AgD9nYlg_N7p^9P_{vcCq|9gMI)2@mG4^{c3yUVZCW zjJ_+g9|!v$-O0Bs8B2z08oDI#vScR1Udz~|`iZ*gGLowO3cc$(*KAA9Q(sACSA|vs zJZffTWC#lyW4Os=Y)MRV08)-^?t4gQ_zCGvt_!?$MUppaB&UXgJ$+hkSuzrK^Kb;WkS#I zS}n^Zm%Od+7zxNoE|IMXI4l+NfX&{Ges%&*feu9y;GEUxDQCuEo{Z--=t4}*AuQtFpe>_4M z!&K0dDrp|rC~&wM{Mvz6N%|(%u2>*c0(|CF?{U-XwOHLDsqkjFx)nF)rzOF=>7K_C zfgb%2G1fx#4)4`Tu?HR_YB&6PLaZl5aq(gxH(zp!aaiaROAhuDW&>PB(U24=A>ZCI zN~b+ZE#yOqP#TGL=?bLJLOR9PovTx;p^gl@)e&>blX4NK9uYkzGZF1g=2|?47^Ge1T7Ct-Xdj;vcDzBeb`8Vp2ujH`#t^p&31Czp%D+`3Qok6)Q85H zMy;}&bU+CHdhhIL6FYg1%adyzE|u5%=#|Y#?_9H;(3K~C>VnykvL;<+1tXXA6!9eJBMZG19cTL zy287yG=70NvZ;oI2UjO9xgZm#K~U{nJE9F2eAdB}Ta4squkx~;Q8Z|H8*o4S0|}GA za3z151x;)AQ_IEwp!*EF&mTzbo;AVcD=Jfs%JPvip5iPH%t_XG zdH!{B_T=Zfns+n&_JvL+$Kv=a*$cNO_1c5$o03 zxxXT#QydUV51@`VmXX?tOaY%C0vX0()@cendj@|tMV&=^U;WCVDq%jHm2l|f!XP}_ z0O)!Et1(33OreHh;jt~5Eq=7D z_v-O0nUd|JpMi}w5M@(Q>fm@BSS;L0#7^e_*K9+y3lGIIaDo?*XrWQ_J zZDq|}?@zW(0UcOQ$N`VZ> z|F`;qW!X6{#9=$4ENV1HLtkyUAZh!2#cy!K6gV)r>FDes&)3qL;EFe6I~@h=2Z^{j zBVL|K>&cVHjKq4BnI4y8|U_xqRXAyzK#4Sr|il|4 z!W%qCbgGcfdhsqXqwF)(UTbpZ3`MNVy3f#4o1(ES>u~0>=|d{G^6d!{u1<&vM#cUZhSy1fbHh!8p;4R6rVK?D+pwT^`QNqFiCdur8O8v25( z$Whbm14KT@6Vv$A*ppfy^n;=!t6~>-~X0ZUH^nRHcX90C5ynO@}Pxy*} zVXo4sYJ<2%bIq}Zh|J9ZGwKhFh~wP)7&d1qaY*aLm0k${NfM*hIOX_dz^Sl0p21`E zDff$TP+xzDgUXdO{FN9d>x*QfaCT+;AWo!|f_mpBdb z5NgCbw#eoP0fF|%0EMlYV{zEYn}omvHnK~klXC}p1gJq<^u1xsU&}fpbxyzh`w_)n z06`Pdv>X_sYhFGayFjN|fOz*!5D;FO|@XETi1 zicFI!AGvSgEcUDta8Xb>=jlU=7?+^F0blY33wd=WC3awuT4nHQ+dddax4LL+N8;>IzXLFV1s`J@4e=dQ*HET1U2DS9KX^I7x? z35?ZqBSUxDojMt64-L7dlPzsF*Nb1HmK{K{3XfLIn4G>$s~a7PLN=ZjbhCUO%|7B#AJIemGi_Zemrl01WB{0EMApj$D%-*3(HopM{r@jlC0DK2v* z^uY-$we6`lGN!o9;C|1E{bo1ob3IvFV~Wx7eS{E>Iha@FXV>>wLCSw6;}u$|U8BQz z$153b#%RK2GHNaj`K5f1=JuOWIG4RAW+ zIF)%{A}jJ)J)6WzJNpvlK~Tk66jFKJ3YX4~i;Qzhn$K9F34y$4fU7)K|4wbblUlun zk^lKj!u~|;X9k(Z9oV{ks^fIuzQvJTc>K8g{OEgpw%*v_E4ktk!z;|Dqam8a;j)s}+n;a|~yG!&#n!E!&B(q;Vjjwp#ocX+9xxMo|)&QQT zp=m_Gsqwe3XEeVlbNQh4# z`j(t1vg2nA#;i5+y`OwqY8D6JuJ1_P=fGNjIr`-d^ntfi#)Eeq<5{(A2VwF(4Y@P? zpiA73&ZHP>CX?!%HPWc0-j(|dz8GM$D?w(B6)^LcXG%Kz%dzfwHfbH3 zZVX7VPfp|h&kM*w-)B(p(ZsltU!Av7{adkopG?ek$+j@-&?%E-#N*ip9G{0p6}UL@ z2)P_n<}P;(LY+*BKRHArVBM20F>j^Qcx#|j8Dr(*Y@zJP)ejjN?&2+}7RD0KPVpy8 z+$e1!xoGJvSSk2Q%a2^2PgnbTAIzIkIr41?XD5igtjS_+2jf|C5qj>098>vaH+|Tz zk5jp*+TmnhM%{PqkQ=+)b`R`YHry*g8dk=nfwaFXNwDuZR;;7r)U!$9v!^VeHfLBG z+{D;%qk6isDwW}pEj~0QSaS8!k5AU?5cV?Eo@cH7D$CWXHhOhHKS5cZV>1*=9<71I zg%uM0@~A=(EiKOvhGN~MvH~HdrM4xYf;>#Q?|u-=WA&8von307Qt|-k)`5a18Vuew zI7agRvqVL?klt-1(>;zs&V)=ca#yj0Ci}QMDWy2$wq&TGSaVGw8!~M- z;~~t4wKOeOEGnmipl>{EOVkHZM4fURu9ei0fytE}+8Hv8B30&QHSQQcP7wnZKgP{O z?TQMhPzJ5-ECQhx(#{3UcHlwK#-*}d07|%4CSUqmS9S&LGfY@o5Wdr#_sD#s3}4a= zXOVqYO6(kx=JJn6qw?%mhA(^{wcI&r)z7fjLow?r&&XPLs4)NNZW?qm9E5 z0H+G%g_E-5vC6WBh|gqzEH;h5B-ALvpjZRWMST#mWfq(*R0KzpmKKTXT*^;fGj3%H z>L{HOV5_Vu;4B8U3gfyX3wIHtrdTE+s>h}`u^X3#>x~b2Aw-?;TQCyRhm}ZsA^DCf^BegNPQq% zqSi{XDi{sOQAOIrxh#j5{Gn09bxfBCpJ9Zg;7?fihq|Z+!%mWZ}n_dDDs2Jj*ZrjFqNAb`Gr~ zT3><&sKAznP@-s~Q89)Vb{;J_gJwH%h=8XwWe`!d!S>?kS^@2=sjiU$2t*3CC~-}z zY|GJd7(jFr^XBoGPcW$C zPzZ|&z#WQrSQl~qVFTtp7vw%1;#o>?-s?y#4kYqht`aN~A*g?;dA$^aPW#A+P7~8N ze`Vo!HPiB%9Sv#$>_iB@X{k4#NBB_Td&Sjqi-p*97^iuK9M3i|=%dc5t%-h=l$0se zSOW9saxk@-*HumRi4e)^X#5hgajCM2v&bWdsS(1whO0OZW&!?dFD0T_ESfa)hDAH; zwvdCNta+vj`l_4`tL#=mz!@lL2CH- zWQYOX4{7X)KxI=)8C5MyLeS9LVIZj1c_?szAuiwyhJ*gvmFsjO>Ti*VUgBPn9<2%O zAd_Q#**$<+ZDNOxATCESG?mhah2^-k8jGnpI@S@M+uV$? z4i;&nRKQDmp%m>r@xxk3(r-e)c7(bvofN(rhmH*M0Q<9t70)ykiuh#(nU8V?5%=>8 zOd++6H3e2Cm&w{LR6j=i$+bB4iVY{QxT%EaF>~eBB!!yRLTw~ z97R`rftQ{y4MnLq4WAlD-XmVvda-7147E_na<=w}pz;*L(H8?Eu(YbxO>guaHNSYn z@J8{`U%m?Kffvx?waeF#?Lfg9mX?m7S0ah)L(Ntz#&6-c_H7U9Y{yTDaFW;rZHqrg z>Cax-o4UKSlY)GfnmmtIZeiGhv@fJznCm0xOny%+t5YRW*-k(TFZ3qKle~ZC36M~V zID4kkPF;&qJMm>NZZB_f{_mciB;5nq9{q-DdoZ4=E}dIkcJ`F_0lOW^gESh)BvO0W zh3V(-VCnN`B90(s^mdr52FFN=63H^xpgVBNSo-aiJ>zLJA0RCbk1v;GurRVwQFec# z{PsooE*0tf=X3U`q!D(%H|0G)!}=`;cv)Ia^tI1$`QSh{xp>m^A#1juXC^=PUSlS^ zSP>fkBZzG{liTrb6{oL#%KI-gvgwP=$%}~?Cw(k&>pQ7OT9vY`LBfBs)6Xld4LwpG zzPd6?m}7fh`xHIwE`#5ty)-lHG=)sLDR-Z-RQ65PI^F$Yt{% zBJ?fhQMc~&vJ)FW7H)d}Ctc6aSBl%TF&p=}vKY@G@OhqNB>V+$87NwN; zM1T~HqzyE(ETnSZ&CAQ#_Q{}kD37yeZpE5|F)(<|hMNor@87P99 z$^Slqmpk4(F*^5M<_Yu1F&!@JZ~qMgr=%d~yV>x1xGUZ$_vwN5kd>b7C}G*=VH=4iD+X*XtA z#1wOTTS_3D%>q?Nam>7~-i*T`Fh0Fe_*N1PEub6efmU=$@0O?g6M4anuA!5%p@p*e zlam(}nP9AU=||9a|k9ZtKTI*r7^_5GzL0$yeHH)LAY0o4yrS{<7BZU__hT)JB8J|%V#u4 zXS;R$QbL|1+}^Ov-CVB_(j;xCcz(pPmyxSgbE^Jrz!7fp!{a657sL+OGhI{~Q_qyk zjvQ0CBid5RV^qG58k~gSsV52vi^D#>ADiYlC=VU;$1#G;kW;)gw3JBJjAv@mo0iU6 zhgwqts5r5UKeJ`9gi5 zoXAXPp-gK)RoQF{NnlX{Ja;Ij4ih0My!zJFPz2Rc_OQm;pe2R`A6S`60={ja-T||- zq%oWmOVtmcs#Vpf&5Pty^dU#7E6S-isIrX)pwO?1hn}$S40U2zR3qnUK7A&S*e-Hb z;wpZG&@y8p>T=08t(gih!Hs?|HG%|P=S_zKT*U~M^-HzTuwatykRcq-R9uXTOzL-< zOc&)PyH+M+)-*$N9WlI(0j5jJ%rC&~5ErhES7tZMS9CW5paHmRWwTsGLtyeX85chi6di zetKh02wRUQez#N9dVT&UIPQP#3h#>F@0sO8rgW-+Bg1WgLq+|P$S)@`>8(DGv-F*yBCJ&hb+?ii15KB@nkhi8)r~PIqw6trDo+myD>BN!Ai5EXeOw0 z25-K-D%pgGe77jkh{XyiRbrad#2dwzN<@oBuTAEin z?v)_5jXIM+0?mItj$y-FaC6MsjPTkg3TB$jdh5I*9tr52!TbZS!e$S9?N3F%_d(|x zl|EB_Z{69#BHUy%>ijQ9YBm`g zPF>07!FR2ld+1g4H|LOe_30HYM+rndtaosf6v<~KK4YG=D#{-gu>08m-!*S_-vLg%#bwi<{rxad| zN=&WJ^vHrdc$#$7&K(||uOJccu!ertlKg!&z+l-HBAR_ny!h9Pi!L=*a*5chIV7XZ z<$UzLD zXd@-l4ZWKI2vZnU+INKgsBNJOS^S)lVUFnGM9;hF)9B@?ih+CO^*Cc&m}T&d(e{B#mM*UIoaP0CCM1#s$FMnckZ1TR!RdpFZye9S zPeD(v@=2yvdxx{b4^X6H4S^td9)XBQ2Cj+blYmkdny&aDy~xNQThl_T=BH5MhdR%U zhT`t@>gA(^?R_bG9&hwN=UZ%7#Mb%1VXktFaJHHT)gW?J`YmF{gF#oKPO)G0GdsLEeqtzrdLgJ9^E>aJU#0zE?R*D!BvB?Q~2Zu%oO!ee=475#_ zb(tF=t-JIYA@N!iHl~Ll4iUvwO`OFakx!kYIc2cYLR5Bp)sfY$_^_KL;4RHCEpUzH zq7dhohJc*kN)$idc?B@ds3MF{R(usrEEg#3_!#|c%cN^yJ~4x9$4kLO-J4i!YPV>Uq< zqf|o4j_+VJVx7}e660p?j>j41nhJlJzgE1(o{e~}Y$yF*?Hm|VSWP~%F^9v6f0PRi z%>VO>@nvC6iVqnR&J2w(Vg3rvIfH7Y!(DzvOV6&yJ6p4sg69Y~2Rz9|ZAWU0D$L$A zJWZzY1<*}9IKnORW%Bz(pgZY-pA*6yC~d$DOSm~pI*0p%%>u~#(LU{m5UoTxN7<$Q z6KllCYvY+Qt%kdt42ufe$ZeQAHQv^$X{@AAdjij59X^2{kOg$v2%+o(cCls~=M23t zIkKr@RT0Pr*IDZo&8e{zP}Lum6{+0*6pTES+HH!wely2dS4|-xu9plS>gY>4Z$Zvp zhj7WKH_@dqXDFULCh^HFnQU$_XzH9{bSX+2Mo%QBcPQo4OND=g%KpSFxiauMn%k4q zwcou_w!EfU=XU=p72)}W?xIWNfAou6J$nkP-0TlDCAm1MYk7_Nzg#HG)2qe$^yqZ3 zqKeJ-6P;`;GK*F675jM|FvxhQ>X~gCK+$2mVbjVYvT6g7-t!x&eDp`4PB3S={}Z5~ zB<6}hvyy&xKw99iq;Fs8vTeu8cyR(3-hb__Ivb?S0`gLk9Yn@)I2fe~>H_;!&yc^r zTsaZ@fVshnah>0Qqnqz$w0LpI`BjWK_V}2>@N7k9|9-@UbVI+)`4!{t*$<_mJ{=C1 z{-J&iN-BHWCbLGj2}#;%xH6fpl%4q(n3kfWYYw*jcq^Be;_fYs0IvvG*-nwYlkG^sd9TGn|m*()wlQf*$-dgs5)85>3@6Y$S|1l zzo=BR&jse}l^)r84l9`ZnZ6ZWK{|QuvkFE~mKTCuKkm}a*7p}%9i`n0;$7mFwcWEr zbsqG7>%)!I1qDuhN^w z^~3#gviET3$Ncm7t#lkaH8!KDJDCo#uSX=q;6l95)&H>+%V?5)x!5Nz+k}U1C&Vn?6fDR83))z!>i!gGDdF zlOelV>u#VzEELckb87A(Gji*Ayd-OcCj%~$b2;_ z8}Lv!peI)|PEM(oH8{|Uve>i3hsYS5)63L-6Homn=i@wJC1{9IL-W`&$XB-Al^zaH z$LgI-QB?znIy_P!+Z7Bg%@%+mdFcpTc}l9$k%m|xZmbMi!n9(p;sk&z3$RrDEgdpZ z=$aF`M~XOpd!JOB$y7X*1sFX(=NaZxmAk$S-YGEyWj|EL58LCnU z366@>SGjp3KI)w_aR0|#j6j)F?= zpz2u^;;AErL;XuaFb;Nu$km!gnSGl$VzdAv9(#7F(xd_hbw$!;>p?0E2*n z?hHy|xcrN{#U6^Jo}*>j5XK8$8=yMG4G9+vND;=%hgDHQhz`nQ@y+0{H3n-zFAZ^$ zu_@vNxbkZA;$v3ppTvirA)RcHo6B%0oJM%e0IwyC;HUkWPQILL+gWIr;G~{3Q;Kpa z6J?!Xd_7GhhLA&t_bz?o21s^@49{{0m0N}K9`!)=UKCR5sYuXpW}@lXZ>WtVJwi0~ z(ovc@oCipTvi3Hp$05T**+}g;a~QGg!BrT+HA2)9PiEA@UfHNVkxza4&P1<+_vZeK zybbHsOT(-SSTvQTfre|dePI2F-<)wJ_OqulpImQi;!gAUFmbH2Gg#i@g4>AX{q!rb zbLY5MW1Owg89a=&C5Q27YU%4DeMmzE)7$pA9GP;$rZ&d zLvc2X*W!?~bufNwnhq3|oDRo2*}qy6c|StTd^6f3 zTGC1ey_E?Tv>hqJ6Z@~f)<4+2&WKlR&4RYrVmVp()LQblUnPSG zE)@CVi{yLTD(8-$OU=ppD&Wso`s2MKECrdB^wa01$M+uSV{@WwCaEx8kZ+&C9Vfee zN%px?60-ZDD2}OPCAWayL z$zLu@=%i&QA0IN`xt%+cC>MBY41e`>ar$!QW9u^OpRnopn{S+!cRx9G1Vn~s zX0jiw4&gH^Wc-;6z2w)U`J8p2M`jGO9_2Bw8gcKX+0A~yLp{tiPRKG9gZrxv8GZX7 zFc?fCJ@O`>raBD%V#w}!u4^P5{h@SB>xpf>_NnXxL0|hE2+VhL`sU8>(D}(Kd{J~L zWY5z4(h&Hrw!UwX2M^*_6#|1J_s`N3Bz5)~_;}Y&UA?{se{N0D;Kl_`3lHKtV)ChD zYf?wIXl|qVEDiAF9)9)sim{oJQVZJ;zS+oqb*9Mb0~5YL0_H~Ywi`=vFZWA-m{GQN zB>KkjBrv-?Y@U+7OS%tqWNvRlw2@=z@ze1p#R@WdA9ZOoYF*CU>b`bHw=|%mGbc-O zjTJ?p23@GcUcVa8Muai^yh`2%40e%d^$~_Jp$gO6b$y6vOM@$eFNKKHctH)7Y>*Im zM9q<>f=(<{D-I@ZEs%8fVWQb^Y% zJ_eTgO+rQI^Md$TAvjbk%(ju5!UkpN ze161L31l*LK63t17yB9)&T?FaHkG|GarW|c!89(0{4hkc+g%Ep65%CDn{e4xHssE4 zKyRB867mn|+)dm%fXbj_z^H*+*BMyQ;&CrP~ zXIEWnw>n{M5+N0)lNQTE!4Fk5RuW; z3I5_~)~D<1!7J*HRa&25pg5&07`MOAX@KQ-)bq+2@Vg%r;~q1qTy#9Nm4~HZbM`06 zNB%_2nhlh>N2$5^gv3S8wS4_UB>no2d)YKc>YRK6Z2C1`1^@d>CgaSDH~fHr4hnt6 z4KwnZiQ2Q^CCvBz)bofV?Ahd&E#C)a*;cZon$TE z*rHKMKxCEk`?#cB#Kyjmp|DZwG;-;2>FlkRn2^m+W!p9 z*f(9lZ5=<22Z>9R#mq21A=v@O9I9dcqpu2*|47Ta5Hqb&HXkKJE+DxMPBrR>b%AYa z{J}HMINb!?Flf{CUnS(c(V_<{WYhjW3Dd8Wf#d>qKprqNQ(!6E5klH$D>tf@>m}vS zLL)ql##+0GvOHg)Iu+MFO58=vpj5UQFLNvE1Lhj!qqF32Yzuv&bIR1aRA2|eS@})$ zzhJW&;YxqoU}itoA*(g1Hh>1f<}4j>3$}FXc*y6JtkVH$9^B5~C2`Fg0b4n<_NLpe zNd2f!#^-ZCkNZ*!M3RCpT8EwfnuH5HYLm>J`O;pqulq;rZ1V>DsA+8z7Oa2??bzRE&Rkhu?JBX}?98#{+Y9DhMi4;?>&{9LS(YLLRH zlO(3o#sIY=qB!k$qF9En!h`(KVZ!w&hIln1Bzqxi*Fb{qgl!SYz52V@yF`p749k~0 zmBdR5EwntmYTv-o<0VgFo$@h)kK<=w5)H4H!Ia#K02Q_ArQVfkzD-A53+a z^xSFhVDMyO+a7Y{EXEI7(%I*g>U?D#l+I#zYrt#?0Dc`T4;Rpn0AoC>BZ)rRf!2^2 zvZ>F5um|ldf|FLNIx`t6Jv+Unez0|;5Tdz+;lMCiZ%1#Ck*lHOSfq*QGnjGG>Gixc zxt*F;DK^2Yo>}ohaWfox4Ne&tOx8$D$R!t&sQ66hSY?tT9%+!5EGntsL~wqPJHB~8 zML#~4cE_?WLk@4cvU)^j=&~btA89yo0r!BaCxk=_HX=-8`cjOWVPL3|`#SnU3|rC& zF|?wOCasde;e&+&1^>hUr{r&~)O6ouBL=}5q_ zP+v`58d{0@m~a(ZKuXunjySfB;yMxv%AL`LcYV8W%rr-Sa>78H#tOiFQj7gi^z)`&MPHgqT5$; z?Th%{s?_eb64qlWPR8c~?H)@|Nd*pbjUG@fZ`gPfW<(lqV4Asdz9Vbm9_B$Op>=(T ziExy02A^7Up``O4z%yq68oJNW5$;esrE+d%tVWQ?KbiLX48hZaev;;LUWf5al3Cu= zh5H*dDWKMm&rW4FA@A1(^@|X?f{xs&e6K4xE&9yStYUq>kv)~-6;dhAp+fE-_IjE) z4gt#a(U*&5xHn<2!zK?rpUTzG^ZLW%7qWv@c&-iN$Wc2`A|9m9PTouzcvhyTf5@?g zk1|92;%sdh9uf^2PgQ+fZjB$u1}E-?rW(Ki3YU9cbUvcZnoB zeI)B-n9`^Qn}mW-X(^E*-Z@q%53n04C^a{8Q+zpkd-dCw#=xbGekY?>^@?A*X$^_9#ihknGM$o{#5EO&*~yR0Ur0mY3MbF`bTXWxS|23g0s`9!XH;iyqN zb)u2CywjSiKDUCA|3rq6=le8Tg!}*rc8%I(@AafUU^B~{A*t=BNB(3`gLuVDj)Y=7%bD_otW;VPX=?C5j)##;x9uug)2?nmqF#WQW}MWM4~i zklO$yn;i)GopW8u?$10TkmI|0$ReN8h&LQ%2OfHQ6(@pMJQ_~@`}k#(ZAyH_NuV6Z z^jf>C$@g%j;1xJJxnus}f0DEq)klYXr?L589EP?>qFf9V0B4}ZsI!wMH5?!=Vo%d zZ<)_zBK3S@mQQuOP8E-KfG~Q6`gft%P635cS1}ceO3=rEzGzK0%P;KFjc8eA1-A}p zfaDjdyA4oqqxzDy{EdzU9VTySi(2J_e4SA+&^-K)DI!eWC2nNhfx2jnIl(K7%nmO`jT1;%ri<@lGF0fpP}$xY$!S zoj1X$TVp0}6lCGR)!El zvoR`0I{8w(m%Dx9N{*`9)RkHjRN_yz9NM#6S~YdTsb3%~x#kS{xoIu`R3?&Z#H-&u z_|7s5iH;c@SX9y_4D*P6o0XE-?L4yH`!vyBT@;^}TPw!Po--{jJzB5VVBI_j*;=w3 zgV@(}>P;6-P!pI;U?X)NhVdcFGPI@U0-|PG^3DF>OfiFIsaw7PJd->D}Zcl9tU9v&%nqU zQ^36xME>iKfD z_^s2VN7NNTy#Q~-@lZ}YF~#E2TV6J9|Ka49mbn+V{txXsOGdFA1XmZ%(6N2lx8a~C zHZ(h@X#trUjvwijFv}y(y<8dC@?Ydx9kwifCWx+YOzY`&q=teWxz;E%l4CEl+IGYI zK`ymXA3~uhCNzSUJY2;B({zajAQu1y?1W)ead};HO=4po5$6ma^J4c`l9M7H&zV%+Gt(D1psyi6?P)ZJ<~^X&_ebnR|$0)ia%8zDb&kkR>=ZZe4c+7ydp zmEeQELUxg%#=PyLKYz_>op0^B>A2B()I%(;@V5J2o3vUFa|Xq{O-3^8uToFO-1I!)6r)0!Jv zuR=^PJ13Kly-v~^jUm29@#C=;B}iY(MoRr5D)@elf!-E@fPcD774Bg>^9J;o2k_0G zUuVL{j%B|8Vz=z|$4HNR)0IAb{Bvj6-cv7aMtsR5IFFCzW3aCOS$d&w@x|Ydp6})T zzT5h01Bw|7+M0RC4<{{*t8bn}=#O_8JM*DbhMrj`@~-t9=eqcZ|GDYy>Gn^abib|) zAFlKgQyFEEut>#gYC2~?!b}HGtV`m^Pe-|#bw3|>*VX*6|E@UvlqaJ;LMG@SmIg<| z`s!z?vKzz{53f#T-N#91isFPJALk66HIeh~6+3&cE)12*M!vUVJ8wTeNdIl17hP<( zd^f~Q2Se+>@|~Pb+K;dOir9?z8I%vQA?|57K8lZxq@GW4^(0BUx|Z7N^HVH}-x1R9 z@d}39{NXM$GB$AC-0y#Y3?Ckzxlp{@$>mTd^PQ}{Pm9T?mPu{=n7|#RX*Sy0XNXOc z6yhPSdtOxwH$4isv*VI|w%^+7*T_$?>(9^I{D!3W^{@EkyAM|g#O6w)5W;_womjGe z+RM4GkEAmBCgc48weVG6i%eDtn59l;Dc4x7KldYPULo#fKY^#i6mE=i&C2N&oe0Zsa>_;wV7tY-a0wq2rSa(;=D7w{iGSJj zT^XO5=-`!J7}~X|FYpeumR^j?E%YJVst()ZdvdYEEa%OjH+hkVC6@zZA(5~DZWu5} zuRiInGf2B7NNfw?#Wj|ffql0yXONzL`;fmGV$VZto(-#$1SkhttQfB%sCx6 zDX&0c)LLiQMWJT3AuLD6tLlFcJ zILk@zUW*QRIYQ_gX8V2;WXG?c>mV5KRB{DB(IQ?83uI8n`01jACGBGqnbB;vAgSh9 zH_@pioZTy5<>Qu8m9KB%z^1BtdR!nREnhsUj-s1FNWq(I{QM%Dc;DJrjhv*U4h!7l z$;O~hE=2_JMUTgKq>Z%78 z?EzU>CdY?zL)2MKRAEtznnxs3od53bTAjJ zM<&X?MvIzQKqCx;!?h0|Ga4RzeCHYG{Zd0-2EMEk2*t`Y3CvIqwx(zm?1SCsZraRmj{cKmNg)jsAs;&$?`3omvoIt^G-%cU_f0f|}So7*B4L zh>E_MpIp|vF>M+d)t10EFr-x}4cb;=emH2}&Qjsb15%B^;O*3+W381!$tn@2(&p_y ziHvzxbFD4t=Cu(;6gilh+M;VlTa$_(S4L{?QS2l9P(`vQx+MuC+gGNi#ukb1p-{#g zBYjnDw0}7WG4+7^r8zfO@cpnlzKRiJ#JI!h@4WfI?$?>C9{KB^YDi1cSX-dWEr=$j z9Q}ftQ)+nrhZ@aTjx3eABjibbQDNsP9kXgK4gb9v%-|1PO$NNfQd6>A7}LsQ-^`#4 z<~#5N65(ppF7ZKg+pj(&YVZKP6w9vC<51JEhCKqes8T1h!rh4?wvRU+&D;ERe^kHs z1}FY6`a3$#er^AmXWVjV?0FZx@;RuwH9T?(BfORbw{^qnW=9WgCtxsB_627NJG*7{ z#*TrJ@PBaEr>I3>+qdZZaKHG<>&*#rY4YQ@4jrx#Vj>rh57|+zRtonrXGp7L_Z^-`#(lTUTBX7UM27Lh!0}C7t;qqI+yg(*KIG)BX8< zH~o9!9{pec+|fns!!!o`-p(rgn4GtY!bzv6@@Kc8PH(G}r#(5v6@cy2oJmVN}A zV6v|T+1C%BcfGdLRo7q{+{rGo&c`^LBwI!dZ*i;~lQoxU4^)bjeTIgUi)8CPd?kcA zgTJal5o)jw9D4Us&(Y^Q9T~E4(()`e+Q`WY<9PW!lZPwfa`kyW<_(K8-cZM6_=juR zI(dEmin%>MQG~+)c|DaO{kRbK8RUhd#v5`DqWVvo8%GF@b+Kd@#JT)0}x0NB#m6zX$iBM(E4IfDw{5pXbt zY$Lqv$Ghlojz@dQ-$*<9h7CQR>geZ@ZIAE&e;cbv(ctzy8K>t$t z+kk)^OrqQLp)Zz8WS|e%2?aupad$GS$#+n4_t+gG?ESAVGbQHHG5Yx&OOq7XP{bcjAi zEl1Z5M8F${(P;Qxijo*9+fMIu7n1{LUSWzJhc!YKZ{E@|R$rUUNXu&}kf*rl#?$~R znsm@pbWONc_3lb2U5ww1C_%+Qoit8GVI|ipQSm|JI%z>rOX5ne&_@(a)Z;0`{J=H; zFiS^RyKdh*XFu4l{x5?O@EyKEL-Z9Sr=#&SH7V6OLy~APDH|T7j*WR@qBv5v0rk=4 zXU}uT$3FU}GsnuVTk4EF4zwigXaxz#r7~HZQNf${%jXG6*V>20sPOGeqJvuEh`MzP2=_ z7;zReMj+x+ba`WZXK%Nhos-_N&g0`4AOg9~9jQA`-fB@GYI5#PqIpOEHtfM$d}thH z-Z~LFpm&X|AsMgPMDHp$p^84!;7-2eS1mP>v3Q!b9l4|CZP@eZpE@dFAcgk&|86}&e@MhB$Cx) z8RaE#uy&!HhSvA3@_4Qk^d;e3Be}`YodZj@nlpV1uc39M!=Hl0ndGRvHMis~!m_}d z#^MQZD(7*;kSN(QZ;)+?G${uMvR{^8jNRUNp+9?<41Vt;*Y4SRSmcKF(LakOhStcX zVIKA6XxIPCxnKCh{_Q^<$xrTjc__lACLO~5d|HPi8xEJm5%_6q1-u$sWg-zJ>-j|= zeJ%_?FAJQ{8X1|hU8M$tu_rW;oTL`6{@C)j%CBG;W5rejS zc<{?U@ufH1CLiDOjZYt*n%8)T#CGZfglLvVw1>zC!-1k}?@&sUiLTm@2z0=Tl{TiO zIiusFEWDD_l`?e}O@262COK}foUU}(LLEw62?l50U8@!hk3T4c=&d z?9j+VsduDU@dfKlhA<-PfM|nyZx1ojs1##M{nP5zoq#r4u79*qsh8ePE$yXVdYe-5 zq9Xi%-`eL)CdoYV|7OnG>+!8`ed}BAw-?z~Frv;2L57H9W`t~-GpWi1Pr{kcFy~p< z$?}Luv?NQD7o;i9K~Q@zT4p>y2+Uv|A^(|TRc*;b`zz|pn|%r{w<*eeP|-)|3z(vmLW@MyZ$pD?#|o|T=ydVqVjK$D6V!I6 z+KN2>p7kTI938vtfg6~JnHTDsBW>FmS_%a%4Q)0AbMGMrJkrsqEdo7k$8ZcntJ+AU zMVs34qFp|usbFfwx2@{FTdM}gZ?kF?VyCKlVbW5CYaO2?Ln+`(@SexR)H*#9?`{y_ z@5->(4I!H*30ybJK4w>%J*PGvuibjm++zk!(d}L$j(81br$BIhQ@VC=gYsC(N{KDp zuKxnt4zTz>A5q?XBGVVZQ=LoRY!|}0iQZkl_wL`lR-$+RR>xb3p*!4LVTxpc?6sR? zYr%YH8|Om^oTzp^sPQGn>fqar{MUw^8oT7pj-?#5i^BDWu2)H#ey^DFuiEH&slVw| z4hQ*-Fd*i%Y2J1Wi*gTgU%pPQ`YSaU2F6YHMaYf)Jk-r(lQ19Yf5LQwJ+a^79$@1$ zjCj6CKmRY7lj(j7vPm1JX9=y&W0!fzcNyacuDj#9hweV}rZ;Y%w=lRZ!{Wxd-?-&VpV*6eF*<-GLqTkQD34oe1VLG+;G9I=6B_jCo)UyusIT} zq<3)hsag|kF}3<@E~e@G4~CFkQ_O6is&2O0o?7kO520zYC;yWECBEi~hk9#)O%CoJ zr&{iiyW*yi{vY1LT+p-hH*!kX4WD2MF6SZ_j(y4*@3R^mJ%pQoY*)N0)5W#V%t^S^!LU;U`o{a$s<>_r4WT%&JB_-i4Tk2gJ(0cDy5< zha>=iqYk6YUQq5nN%r<>lyb8slKsFd@2>oN_L-0T_pg)#-cbrfdmP?cFjk5E_yrio zkaCtIkUN|@B{&e6rq!dUC#e?5p{iwN5g?1)=|>CZL_HOi5}VQU|Zjk;VaZ zEDeSwI!>rI9p>RfOZow4Zsl$kWt0e$KVFXrcdMZbR{Lxdoee!m2FatEpF(8P#HyZt z3TgoaYYE<|sYf}DeKA4hh0c2$3-@WkL6_HPRWK^Q!L_g3mz_|f8F}&%sa+}qzjNcn zr?8kGjHAJlVZ6h7g)Uk(9L@|Lc4$kc5?P_t9oZHbj`AV`4yFce!SYb)m7jdu()=&p zyG5JqdBCY`L+oq|!|`nu5Oq?}K>B1ns01Kx04-BANm4_z2pBv}Oc>u;M|Sb+OE#Q! zR&l3JqYy{AuQPFL8j{>&v7pmBl!c{f>qjud9dfSZ=;-cvW7D|Xr*fqtmYhRGMW#`A zor4CfQZ|`*OE@7Gj#^MWvZQ-I9sAPO@4c^l=cx>3us#~p3qFst9y%2wAY=R-Il(rK zOQ{-)%)hba^<{Lcys@KHMG|Mo%8KW0SbAmTgNHV_SgyYHzyIlFO5$jsKP_aarP-%-&_%r05p|_;|GMvgF=I9 z`-RL|@ZMBMk-w#IeehP--22UlTXAtZ_%UHlS4Gri9dDahnS7~C-Fo)+-z$H+b0yYu z!a**wlieG4upPr%{|!9v=yaxe8l^x>pDXsu1g{#ZJXc)xJ!B0#$fk^RJ-f8QO#L=^ z{H=dJ{!5kqoB#F8e|?qY!N<|cyU09w)Jk+68U*0IRjmpcGx%Uu2QFl>;x|y}Re&sy zlVjs!IoBw)>p1w~S4MvlmO!3j-d2ecO*Uv#I4TWC2|rzyHQ!&%u5zQE$azyDPYkfX zPm#|F$ikSR5hBfqg}kO61^VgouK3&81!oO%p_WzdCuC+Vb+Y-rV%;oHh zF?*zA1~R*D;dXMv;D*GnWtJexlX2|)mTs|U=!@O#?XCXu0@7LsdDEne?gHsMNOx;3 z;gUV&`bWzg88`!9BT5cf#yrW$xbz;R=rniG*ZtD?R6NOvfP7W&Zl+e}6MW&jtr8ZD zTq50UP$+lh7}NKVZKhQmv5;%I-xG|K!9N98T#lkwKA_OISvAR2Tx_hoU9FD+(pB1} zQ%d65j^QT*m%C4kZ_jd8t?tk+q^!oF9u8OTp|W$f3IgjNTosrVGdHa9yr4~ zsN`I#gQQR7xZA%nqzJ92e!3#=lGYe0R)R-o(5OY?_ECAbd9x%~E%4!MWTUs}UW!-x zC&ly@+-q|>6LF^v6AfB-Qf-~+$48iObdgdCqE*epFwg+?sG7wEPi<=&39ZN@(%2G2 zOd}*tZDyDW=yNOf0WOBYDK)zp%Gk1OPcU{gRCLOt;|HB)KEYRMlHWC8?@&OnlxyD> zvQ?2PJ3J$WEr%prKc>PPxTb_VP7N$spTGd%TGf0yC7E_`j{z(=o?m(Z8j*v&dzqOZ ztxE3%*SgzAjtvQ{K}BYWZ^^1D;umDukH+(}D^xuupoHrj$SfeA1(PK{M1epMmhSnu zlhAF&d!3XPE6kM!=YTw~GJ#7vMD$^j%31M(GUK5n6ZEo;=aFKK2avces1aKTNDm{9 zKdCqxYiv217}kFfDeE~URPK~%`NKKTwqBNcT`}@%LoBG>a|VaPK`2e(V*nrS+i&`I z1T#cnRPr8zR6FLZHW3>%+fl9V8fbe^o)H(QivnKS+pGevEqoN5It$4VzpBv11J`So z+6r+KWsxs%7g0TfEhU%JH61{*bc@(|r=HYSH7lx;Xh>>o{wZQVtLSTGZWp5|*b{uR(NnQjBLN&!|(X_!wpkp8miI56b$oRo2D7j54g%k_? zLoRdZ0%f0C@iP%Au!i(g2oUmxV;X`}hqfj~ANWxV)u@H0(K;A%ehUVbawf1F9Dfp< z(&R1dule8X_t*seNAcwouF3Em)ydyB0@|@a-DF|rJv#;LFV=;2h$Rwcb>+cfD88)4 zd7IS30~%3c`4V<6qw8vZTw-@qI6%|JneS_raot7Lf;)?^hi|V@O&;8c9>8J9b0xf7 zMnCG?1Cp%g7WC5f9K8(Aiz!d@Hs$(N{k!~I9B;^~G;b7tT)r*cIi^{=p?itwc~0+{ z2$ZTTku~>V2pNwY(k=O=!G4yKb2hsEH3%I{n#A4~PQ<_Rsz~ZjMTYxlOf>RNj++jw z8Z4K2Sm*S-U-IYiH;c^NTFB&$E-L*C62r#iEq^yH^eWoH?Uduq>a$4L6Un0rAw{Tji@o}f?4Ex=73f!$d z$)@yK=kM0;1~KXUee(GUY=8S!oxSM$f-2D|IU@A45N>Y^SIP|Y3iP7jH>H`&@`6JN$yH7B>OzDLaG~U zG;q&ilXvi*g|%kS6QAVnvrfa1%_=RgO7FCuK&ee|y(H<<9y1KDcHvG>zme!y*oi-I zkm!G()i?E{;NI$eG{Tr+Vu@`w`%*8vu&pn3BRLzZqx-wlTEJUOxj(k$Nu9sp*k{aD zF*ZHVQ}hTx-b`_t39q2j#roJLR;7xEB848HAqu|%~aS_a)FBz%{h0A1x@f4CbRA3YfrHv=MRx{8H~lPMFhMB$St2DP?)wWFfj_Lnu1?UB@l3F6gW<) z%RQc*f^7_^5ndPwj2?3EV5yuHxeOzk0+3EZb4YwUgWTp1(ZNQ#i!M{~6-l*Kgq!|Xr3`{Cee7Rl{d%zm!^;_lFG~TlSq9MzK zmrS}#8uqaOwKWo$!H!yfyi*NbA}eZD4Jw*MxgvnA2qs-#=xtU$9& zT?CNwA`2lN3lt2cOwVbg0?@IhH7gQVVl7y02Tg8;fhLOO2iTx4tnAiQhGLP0yD!IA z)IWrsGKpJvR40-I8`YFh5T}&TPWWO;c{g&?4HSnOdRZxk*5ZWCDVXAzv3<- zZ&s(p#Cz7AEIpH#GJEr#4U+C7G=Iydjlc9mNJae@X_|(sVrm~{w)6X?ba=<{{?+%A zgw@@pb*&EE{9&of9^X$6KfV@f_;9^snb`Kdqh?06n6ITU0E`*vdC)K1zkyp{r%O)9 zFm~m)2U~SWK$=@|EQTN4yP~>I8E{%T zFnLbOH1*#66IiE?2jf=nW(I9;%`&VB&hpT%4a|*I=vg`&tZkN0NaGF-U&jotxWw^% zrlzS1((*xS?(72G7K>GPf3D9GI

    12NfIc!u49(w@6qkNSl=J4bKd_boZBWmbm$T zPKaL)>cMrX_7g?&A0U+vI78iKOP(T=S7{)pi%vCBAo>O1DUMHvD=hS<5zMUT>!{e0 zU1*y87KR`bP$JpLT%J}Be#%k`(eNSb^7HJxz{oEEYbeBuyGb!cY<{W6;z5yzTJ8&c zY;e=b;j|!M&~${>k}mwP-|dj7y}D?u>qN;GTD4jLY_!!Qg^2lQqYY?R z8oOlu%OK95HA}tm6r(sGEuv_DiXZ?TGpNR>zp8ds=_^e9RJoi54yJlE*u9#!Dn2+n zWjvaNwUE|W#tZ?$6=+aw#mEwt)1O-DV^NRdU|IJdUaho&tz!Z_WNP}5nbUNl+( zx=Pt(ow&shs|fk32=oX3L|wnb{E~{RdG$kxrb(zi6O3?1pCpQl*lN~jK{ww_SA0rd zc@J}WE?{YqS{NedX{J295ZYJ~(`%^vV1~^*Mttxd$*zLUU`k~jj1C1oi5Hb@h(gP} z(8p;!DBs!*R0a+CRDq=#<$x}pDQM|^G{hboeiOB1T4+isVXum69E=l3?(ngFUb1Bo1*XP@`F5kX28QT^(A&Tk)hWUBb94q(& zkysH3Rl*Du3E7x22hFQh1<47Kj(4bOyDEzS$aT4tOk0Rb^R_ZNNsz-(^TE0ub~6x5 z!XSVsiw$PULr)ZakhwUjvb<#|SS`?$ZVUXYXkILlYBCE2!`!71C57)c%6wm?SpR#| zh-i+ZCUbh2t@OS|UY8w0Zx@EQ%Z={04)P12Fj<}n0qdVXA87qAxVCs_tj8X(QB zk392~O!|2BS(ck3>8_yLvoToUASPWNSLBzLbF>?zK7A5_Jgvx!fW_U}=t?;)N}En! z!lG=@)flH7CD9OtsX9|dm~To*jcg@F4N&gvSe;D`9_@64rkn<_bffjXTpgObw}HK5 zCKZ@<155pc+&D$YB_2$fd)@%^>$%~r-Y+#=+oD4|H+abAzb=W}ck+a!KP(M+@{06Y z=QFuT1)@}5P4ald2_1!T)OpdGOmbCKv+Pj$V5OKeJ_&QLwRD% zaF#~lu4$sM3(G%0w9a7DC+K2KbqIG@68fY`W$&^@W26<(T|*zajlBP>lFx5oW0@Y& zkaL!Ze{9&)_PY%%h+(0@P9E%t;KFzQB61^`wYvA!TcbvPTCWuyGdyq$=N}p;OFDTI z7aLASv3&cteekP-Qn0EZ#*h>99c;H7e=IiD-NKC)@O}&oD-Ac^`${=0(CYbMQPJf& zbH6ae*08QrH!3(6vy!L016fXcQD#R54vG8qHsAC6r-*#w+eNl0aOP1x_8R-9-Ol9Q zs|fyTh!TYpl6Om|)3U@>F^-R36{8MCAfF{N8v6A+wPUsjc*LWD_$yemi=TJWWM`9H zKQSWXyk?ws+sJd3ET3zU@$_EC4tb<&T*x zaV;Cp5sB3cxm0Mq(^^We_Kb$O+ea}LgtiF%ujzSAfo+YnooMy+Qf7D0GKx7h|JaE9ZyP)2;3NNPoZ< zh%_gly z=PZXyxkCno%bQGN@wGe$z-wpZx%3f|3!CxYnf!5h9GLCL86S~$tD@J^;(4`bXFk<0 z1b6~oB;lh20j~+}bPIWrLLwv4Ap(YJu~J=m92SNsRZz)$ho&VDVIHk>YDJ;9nQGdQ zw~Bc$RaFR)h$;&OR9x{TplGCJx8UbGTq77JW5y#PQbWS!+{}#N5UbY-em>bR-m50| zGI$MVPAsEWjaKTgl!~sW$Oz4a)vOQ^JoP}y9aicCK3;J1deevx&5N2{E_JUOFNC}x z*rMxZL9!f156|eL4-Y(;G-fnwW6T+`(%7hE$XkP+#=)w23rbW%3%`qlCp4x#;E$0; znA#2u&d7U6#|(jtt}}RcW(lFk8NWWwfJ+#xsFW52->yhyM<8q?YEMUJ2cIdMYg}s8 ze{3wfx>P5U^GhpE-^ArmBNJYs+PxA3VyUY-5jw97A(4VM?^$C|t;y$`!naTyA7h1N zMI+N> z4#1vHSqHGF`lI73E(EMJvzDbgfbE!YR;^-UTnxYx-Quq!!Z;djp^rM_<-?j`HeCYd;_OPVipvl$Tq$aNmre%nRSotRR5s9KO@N%6Dk}9UnzSluG@JvMbn0$ zwZXWN8y(bUA@Bl~{|ma+;>h$Mb)>v))`_;Gek%LtXG)&h*?q z!V;*pu7A9t_HcPIx$+Ns;uZGzxS78PYTw;1OlQ^#F2*5^4jjoJ!EbCwNQqTV`|D0C zui0B;L-#SX44xMF;l6>SzHdz`dv0asLi=sEpEl&T)QNWeZt5QQQL_I|gbhK3PmQI? zddyR5sBi+kN;KofaxWUA1wlEkb@PzwKa#;8q?XgYN!5nL-Y{kuAsg;tok2;2y-Cj? zg{g};#BpsZ_8b$*7R|!z^3eKZp^Q5r&_&k!tac~5+~UR z7fyb3nM`OYrW3;$i`^3xP6na7WXW!VAP```ZhL%qz^R_|^b#iz zZeUl2D_qsG$doOeS)7tmES-Ake$V2+2;1r+}aSpBi zRJsa_@tnB6Tyht1hfsatLATxlbeybH8?sj*tCngeHm)W538$6{E~pDBHj29N?iJ?> zRWxliSnMXk2P(Fu;bXO|2GBq?SiVuv&ac>jz_r)mlpEqDA=Fody`xPCS98W@l~kQm zmjJpq*>RBu{b);$@bqN0-098~ZH}!9)H>CaWqdb=8V-j;^^oznAV-3xmBluV4mr)O zOH?LS-TGAltffjU1jI+BuUsMh7A;q@+J|&lDR`@+Yvt0L)d%EgRAqs1@dl3nmnQD1)`Fj{c@8Xr3@&s*R79DP+o(_WO<6!@^8x-P#w@D3u6- zdde{EC{e{}lm}w3y=FF`$Tb>%3AB(8^-}~9bkNli))3*i$m=>o+j&LdzJTh5R&sf=1#|*w@vA_qvinvcEQ)W#SqJAnS z6;0}b(Lf7e%s>Fl#%SC!Wk+L0o|&+G7X=XO1(H)f3!37nkR~xY%ZR}nkG6oE4@sm& zJ}x5G&6^IHIufih>q6 zyYdJe9sm zTgNy}#Z_i!z>`?yc3ZNARbPm7(8rWq#47h{SdmO8u0T;WRSpaA+NW7V&flZetQNi0 zbXnYBx%G@y3*up;I!_w*B2P=4QLG-M4vbK_}?p*PEKZ$kUL{gk^K%d)0o~+mc zS#RJi#tiV2*(|1DLw?dRfSW9nzfE2++?Ribq^UZi=g+czO76$h_HJ`L^)g!-J^kNXjfy%P4kj!}{N)PyQ}wV}b;sqg%2eF;c970zeU+2)e;N;BP2}utxam-t8)D=SypNvzuf+VnF@MmEX1oQu zN?H@tSLw$7ydNo0e~7t}@U97udRUox#wt1WyMaFFhXLuEKff)ky;Jpx|1>{8@xrjR zUo^3=Hk(YsZ?baxSqS^Ni$eI7HI(re){0j`-DkNlW?%hB1^YRj@nCf_++&wD!to$Et#{>l=FHDTON$Q(Z zkf(~Y(Zk?X%e&=9BJ-`Udw=2^CA#vS;aGn3mSR>}Ys{RWtddaKCL3rOp6C3;58fut zvv;%qCMp-R3U*8D8LRxg@1LhIh`o=?z$~FB-~4h+FBt(fmYD2M_5KP*?j&jA9_u5? z$6tgS!EWj>W((c<)y_rvuNZRu*>~{$6zMn28Q!Fkb<+RUxXcBe0r3WoXT)bw*7X^y z(xk}bu$8QLN@hP}C0{s$`W1FAVa#ClFmm;m;_k{nwc1oilEgelt*z`H1sdbu6rH$CF}FOr9QzeHAlhM9uSAq_MrswrT7J#sm(2g~j$G4`8j)Nf@S zas%4WSWTYsF-(PBa5$tSzih44?z@@u&e-?rl%OwD^c;81GcdrcTQeRUC_LRp2Dl2X zj@|MTt&yvVrTsWIeI-wszBkVDA<_`%Il!K2hvfSWCheCe8OIjLku*W4ft+cLeTRB+ zMjhlChybaM8RCNkGWo|6`ReL&?}+ua2VbTE%pJ(X$2DXB4e#_0i6}3LlLNWtfk_I zC|hREVD++zUR%@Vrv)v|zSc8V%QpV1HI3LtD84Qv&9H>x7fK_!y;D5lJ28wIyvIU` zC8vQ()@=%}AJMh+&Uia}35;Ut3}yC?MlDUIJMc0OfCy-M2N(*fPX?JXvxU`+8b^he z8U?srL)alJ(q_7*_b+>zS;)J$*5L41CSo|P{y`@5XCj6{fw2J=NT)j zxvAR+I^2w4EWwUcJWKt0-OXzDeU9N4Ra{EIWLlsE&T67p@Z{>$ka*G@fK>-)L6_{V zM>%$LfT8yFI{(;;Re_?zIUo|RJ5P#0Fw?JfY9I1QHR!8SmUVB4h9AClr1|acjqpAX zRF#TNhDF6|Be6`yV3X=)t8K6@M;j3e2YTotQIWRNHHjq|@yus4x*4`jliHZH8A4K{ zMyy`gg|E3Gwi3Ar-n|YLg%(wsN&6YAWv_UC&79sC-Et6;OlmaMIsg?>{_HpdO*d1^c-M5ILeI`cs9nr8+S# ze5%1A3wq%b&@^3QlTD`CR9fFTzH!A{3-=>ba5XXWz@k^j?&8!!jl@9aI+d#$Qb30) zpy$El#P@RUv#bW<<+GNp2J3^qq8n?}V8&YMFe_052IMb?E`>+s<6VaAoOB&}#_G`` znl0q^hk8$QX1!$&`*%k|v)GcXCX!NYwlMfO)f%{0$fI3gP7$Qn%XFf%bj7qIc1QCU z&^@X@9q&WkC*6#6=VWg~SfqhzDFrcP~J zsTs6XnV!AA>@l`0NzA8Xvdomzc1LXc8LQ=IJRhjFJk0*2Y|RbGWPG^4fH2sb#Eh~c zd7{(PV`MGdj$Np6^GtIka^D%e1$(}VfL|ChJWX|L$jYajHEx=b)~yS`6djQKw?Vj* zpAOn|WU4bdJY!|CY6ge8hOV&x#o^N_KO$=BM^nABVf9{Dx9W2an3NAP)}zNB_70c- ztzxXoHQG&NTzR4?|3*hLtvcrKg73bAFJb}WlbmW?H4qJ9wVRs`Nv<-rCapn#+`} z6TI<=^b4&k2CzR0kc}k2AQD;)7tdHBj@p{Y?!sly9~K_;8LKM&8?QzkGla1Jfgw$@ zrp_3+)cEw&(DEf13;e@WD|=5Kk(dwmTVsDZME9_0`cz=+xb?i;Lgm{}3_eaCy6I;_g%a~T&_nMcj2XijyuJ4X6 zZ}h%e%&yHMJ6S`NPyB$XfYX={c;Nl4s_ZvXerCDu`wGR)n|MGbsHwKDV|;k|NsRM1 znc5a5{o^-fQvDZpN^AG~(rY_ywZ4jx9T{+@9-qxYeU%?7)406EeiQ5Wl}2Rx4y1eN z87qqOT;Q>61P2>bM)Zyr$;lT9)@%)St#;v&U@*l2^c?S22~ znU}s)OvtCG8l-FIn?IrzB5uKSFUjyU9{mC^`YLt@L*ky11@+D8geZ2U^cqy}I|N zWo^8}ziEEBSv;OSDeXNDnVscIMHNiFu@+oyTw!Q5Sg8Z!J-d;XYeXzlM6>)Iq3y&x zNk_yLDKJzx*YxA42i3Nz2BEiEd}pbn{->K)-VygqE%leaoC;)N2zh}m)nv~=I3=-V z;`*|5+p6|qTRjnij2}`;Wf?%#KAN`<9pPK;G7S$9AJ3&3xQN|Huf}-ovJ+{3GvEir zsrO+!Ww4|Zredf|^ivM}>N8f?+?)keF)SU#u~#zKN7kY9qj}*Bs>X~tIXdB831b?E>xzn( z-m|4Q9#X7sLIlv$yCqHnUA{_;T z*f9AK(bdEsbgc?ohXjf4mZu!6jn2Ne+g!c$iRJ{Z>^3|TP+-Ri8ED1n6Pb*Vr{axR z9}QDjIgLeBM1q^6v1tWD6d1Xwg@Q5aAg#Ee(IV=ov&EFWtW2wS4t#Yi$V0Jbg9ehh zB$f#@LrTvOu^`0A!YqX47JghMQcy>a>_f<5ycNd|2hbY0Xa;Z=jaFN9Bq0P0I#mNi zs2YgZ?6@`eVs(PYSSLdsueb;SEdsi^42bx(su^ZPoU&2aXr`hyBr2IiibYOOC}su+ zc-rb>MJ1Uieombigwvs8Z4jQ*2u7|Qg+w=UX=S7_f*-36R^!Ypg4ei_Pqb(mX zkTK-vsg?yp;M(A6h@VqU1YI2j`>;F;YH)}n;^qo5sGnY^{GcntQxK^(RC>Uy;1Luc zSAtsKH~IOpW8GVF$<%fU2}1|r7~oyX9PP1j?MJJ~bY%?6vgk8bL@4rQ3`0J|$8-N* zaHwl>;~08C%_xeC!-J;HA3bW&9W_NO3Y?jXyl#RG;58X~5!oI@6hc>rrewi&VdX&~ z5LjD+*UeN$Idm;zX_&Gz-9yrqRL#7n$cV(S?(7$TkQfj1RHbUNi0 zYJLVKhci$PONoW~!S&EHR{yBUa}+d@tP1y7WO$@!a>fyGo08ht#~YeRVGi)EntI0R zuwX6XQ=)O~8KqVrN;+3x>b(fZsc}xm)Zzah z_@mK%?36zO(9xcFei)Va{ogH~v2ug&psPC%xG*l7In3x3En-47@E(e5VdI2M5kRAl zLn9dJW@@EKu-3S(_sDICalx(D!B7xnIO2os`WzJCB9_IeGBoyRNy~0(jiU*;{svM12|I%^n>F)e@#Q3>EbNbk$q4rNJ~y$q+_p+SN{_ zpdmSa5<%7S^@Xg$vZIS=4I(UC{8;uFiCl9bz50IlSo2DyGzYW;$(58gCNyEiW=>~8 z*VtB}<7sjNb?nq0RE?Wgehv$^h^WQ2K;Z)lL{!KSngv_idYxL$G5Cr{Z9ijGTlg6( zy+;(Fv#eBUDsAYL!Klvu8k1pLDHU8)xf~4#hgAR?y1b}IxJ7ytiS2J}sX=3IsO!nT z0}Qw&a*8pm3VlHzIOs9PDhF^fjF?&#TNbWgQETly*^ee!f4t(Zw7mSt8ZMv&G-=qJ z&J6nDB5EsWXEyVwqE}~;5q?%ocQBy1_#V|FSUQbOHszwpIzo#Dh*u1<;7$gv_;>?i-xPv4x})N(?ON~noK}`_KH}R z?5ijK^A}cH?sI)8DM4iTwJD7?YuE7hFc*t>fsVz3ttJ6n@i~1$<-iK0&FDUuwHV-Q zc)vvhlL;j#_LpM_pfwQ?q+o*wUeRNv?jlq-LXeN83RqRRnKE>k-ygb(Lyj)N)+I$5<-7Dqj1&Z~9+TaXq z6Z62zB$;0AQhBklCKQMFxF(ULDLmy+nCiZvO zT%6mcd#q&T4S&S!Df^bEIQFR?cf5l&1rwRt(w! zY+h82R>$cz(OI>*L%=q@*Sm?Km4nHBn+IH0N2KX*%4UjaB?rzEWA{@T5x2fpoit%i ziF~&uKPkn%?5OE`hm&lyqfr=zXs*Aeer(9~JUGE=K(cW*9Du!TGJ;}Wr-+ZdPSp6ex@dgH1X zYW8!i>WR|VQ67=z(DWt3O8e`hJK}@WJ?W;e%u^Ve)oi&ldv6z~+eV5FyuL$jk>;u~ zsdrBFOrIV<8eQF?DL3WKH$!wXdsg^i(c?kAa;q9b`0AX7NXcuLkFoh zU;plj)t^c~Ub_5}kvXWhtXkO|6T!hRQ0Eyd`D-j77HGSm7QrdoRA2mJX(~fLLp!LB zRnP+}wy~uO#!hOg90AOsl4efl9lXI8M`isr>_nLTjMZ0*;EwQ#(Gtnf+3%CJq17M2 z65bOBCHB0b_sZT3Sk-Y;fdt+hhV9}}fm23&KW*z2ozZ!~D;vbe*&-DcEsAs0kd5#c z_*8-S@l(()fXaeEG>2Weq%`ry&)Wx+%VTwz18lHs^+X*8NSZL07Y&ENOIgZQF_n-! z@FLxwT-TnJPoyzLrDQrQKK3+TE4)d=mFXC|)7Ht`kRfC?SkAZ&BDKhsY(FnoOitZ} zGk#LeuuR9k^^BEvMCJk)xyj8m#y+Qy?hD>~7164~w4`)da*fXT1+iCQo+Ef}iz(z2 zX*@*p6Nm1*gK@oGvk@5-yK^2t)L0e;N5G~JGG5ZrFr;LQeNxL*% z5=)N_UMe3of0h-Vk5n~?$UI81roZ5Otrk}rpcpElV5F8>&>Kg$s9+q_kkk6AvY0vh3ESVDE|ue%XRK~~_^;IGT;S@I zJ7^{8pOjNSUNL^;8L4F>1XkZEk?)L2-f1gCH}r?_htIY3^!v9+<2RQj^3++*HuG(? z$+|Q?U~>v_MSkw)3P|mo?%UAgd~D&4NKD#Mjl$1$zmJB<)3*UlkA3!COw=&lzU$ox z|FtXG_pyeq!d0epZT~yXNPNdV0ax)4hbGrc#sinoN6oiGbKhG>BKe!{?y2=$zv&Ki zc=_ootfV|N)oA%kZuZ{Ei@YhV7FW%aVcm5bANQP3&jrIjM4q~C5SDW!HGV~V(YEkx z^+PDGZ|?@lropIOA@(bOBmei!sBv z8Gn=GUWl%=dF_W11jJxs{FN30w%R01aUyfa39lEs*K;-3>M;Vk6({1>o7|y-ooFqv z9~EMx9q{ozJpB}&A7~%@eB=}(-+h$GoI^H6xYfI3+4Hx=Jb|oRz0%3kq*nES*`j0Z z{Xdbj?!5NB!-x7pM}%|E<7U)XSH^O~#}t? z6H}fP%aIWA?<7q|22CrJqkJ4@!6org>@UIFiv}fqay8LC;3){hij{cruOm-R(waRO zyj?@J-6e)_nw}28C8x*iy1i;l=;+>vRDIpC*&3PfOW9q$Vniim&=} zgajd{=85#&mF%H3eeXv6qZJn+&OqE*TP_zQr;-h4#aP$H$O`|ph z?$l6d)*+_L+ivrI2?QZfkNcW(HG*LZ;whIsNwQr-YOM`gMQnk_N?*;$G^C2tVW<_l zK9v^3P%4o{UIq9$1%6*TqfM541agF zJorI|25U5@pE;ADF=K`THfx)Hro_Cz*h;;Y!+f9U7o5#`)rz{+upg1P2@5eZMHJYBxU+NV0`1=^xubR{R_4mVGBOxG#4* zx%N4;rMN04_4WF{bLG>)izbz)PIl4eo`!FD6RpnyiTxGjBwluNWU|M3Rz^>%-hLAu zuY)Di6`gFtx?P(As~U~{j*JyL>7Yl)AndG18Lzkt<3|tk%)zizlxaif1Y|uz= zAlUl%T;$J>WqjF7(%$SwRx5N1_fh#SzFgR8=z1p%Oxoq6M!sJgl-;^_;aI@yUPwK0 zt=Y(OMA)BTX|kxJ&}GL-^tafMUo8$vV^?rECS|ZZrWu;d*R_8M zCsit;+0iirb?6qF&LWUNi!lR5uH;3JeU=Z>a8yhF*y3cr>=6^vxIyEFG&uoJye^2+ zWD|{Yb-C<}u5LsvV!RDPrNTQ4S@RtuRIvp6m!u_e6TgevGh4?xLy6nH4lYgW{?+)p z5sHDw*^2iRy5KO%N5_SUQ47^C3KKf;Dk=elFJ2WJ{Gq|DiJ;v(Y_$`mK=fc+LGehg zpG~wHOG7bhKbk@M}fC@Y`1F;J68^QrQLpi`4dH zGBOW#+n0VL&5UuxTDA5J)?(wr%bFO+rHZ>5MzO?%<~oG!OAahq5vwY6iLFv0za0Z0 zT~BPKn?No2zV(wFjaE0A#SY3uLirJSR5cYB$+@aICTJz0EvR%u&GMQEyK0A^Jz~g8 zkvKo4qZ6e^?V`EMXGG5s4X4rBs=cEM+G^mF2ARqk6z%9*rl0vc8vGKcckY4WkQ{Fb zqxhrI=C?rrOj(DxlOr<>w1{P7t1TP65Nve-XH5q}XsU|wmLOInT0HxSL;h9_?H)sL%F{KP0kv*vog-W<5C=l)JTnMLRR^I-Lm4Mom9*#W zb%{MXF8&TYP)uA*(iHLA14@k0Ex}4nZMCDC6KP+`?Fo4DVr)xR>NpED800k)tSCDJ zPIvV#4uup#Pkd0ZIp6DGqjM((Yf3Ffm2<2F)5H+yRP2F;vepe+mIz5p;jw_y0=$xF z^>`u=&AQs<#0U_Nwi66 z&h(Ocgpqd6LH8kq5rGV<{mLI1ONsg1Utv%JEl2+sy@L%*e4a)1Lm#5MA$zICr zO)9DPML}cfHSP-2$0LEW;fQ0O!+i}e)w}vFJ6viEJ_#>t(ZkO<^{Zj_6}tS@lXN|~ z46I`TMbm~-rk0OK+O*FeGmu&JVo0qbS}+A>Nb&t^#X23K{HX1;z}Mnw!<%c=$|c$D z{*Jcbv{EYWst!X7?;>{`h2nPT>It!M5e=WmKg>ymE?V^aB9msT;Bq)b*2?6Wr0Bc* zBiY|SbqVk2c>gT;dRl_*Bbq&elr`tvbq^PSp4*gK_S`K#`E_Sh>H3-El(KfV0%v|m z+zuwUHPH0=B=aje`lY+-T)$xtLV9<<-%d z+fR%_vILa*6(6xTNs`w`Cf~#!lbtVO{%Q-!yWXW{@0SMR%D(?8UqAcrCj8y`WfXtT zwf!fO=!z0I^Is9ySNy0c`_`^WdMnHU>WX#tGbJ;$;rEPx&4)}h`cI&qg1yR;6~o0LToq%Nv4{ATR01p~gkTvnB-b^l z$PXVgwBojUr5HAE$)1MRUW-Gr;#XaXzx%Zu)TF~}Z~q7xAsn=LKb{V(BF zuuif=L5mCjBhht+rYGflmPYdA#q12&+Yt>cc?+8dpO!7YaS?YgpKrtHDzH2U8$O~9 zm*};(6X==TpZ@(CH4JkZi-9NNJeC{JL@qv9@;ft&?S1NZtL`J_)>5SG|bVb`SX{GZC9GH4^=~pLhGY*#sG;*O@d~apX0X(z$n4lSy*1@ zpX1@WwqYN!%t~S7NEs@A+W)*f7sMd*); zTq})3{nXi5w4$}41Vuu>N~cC6&rf$bYGtnA<9_Y2#_T8wW@V-oY7~W?f%N*cQwCW6 zC;4`2%~g36lZP4>!6|%IX|>kkd?mQViHEA|^kOsd+NLiGaB4%X7BF)JKhBKEp1_3$ z;FKY#H&fXdI7aH&G+Trn!LU`cWm^0LcIczKeuABW99@i2n&( zMdaQ%+9%5ei%>2AhJqYyFeW}hSbZ8+R#hi}*&6=ze_=?68i?4PEwMglq=(qVaaE;P z&I750gmoG8XG6`XjW6TS0u@;+=(g1yUpga-R4bfp_;^C<>-L2K*~~=J>lsFctcZpI z5jJPsgWDVm9o0VR57q3RqG@R%#jRMhgyK!i7Nnd4;1xmhaW!qd=EJ6+POi2>|lT6`nJufjDTsdj#_5pKgp&MDRls^V<$2)k>wlIz{m z_TGwslOjUYvaY&Xkrf)@6hbjfM=of|=S2{`>w{eQZEW4JMxj$AA!eQVL zo^J#zCGuf@`Y@R$!C|55<`lqBJrch7g4=%2ZunqsOQ8537XO-crglqOpX`b{x~jWyCx=--*ktG5bF}8Oe3N#Te5I z_gk?tI>z&`g-=FzJ{9u(H3y zo9V<$*qapW7Er7IQ*R?t9N>kLkIofXU5 zN7prnw%i^Z7gj2~6~mQ?()~eW+yjx$B?13~e7obvv2o~Whsg=QqN4dR<2lU9?~9D? zpcLqKeHCfW5`ic`XMdh!4j+DvxD!9r?=mdXl6dL+fle#=K-gj_jcd46=4L0OzfmIe z$i{wYkOA%kK0;oRJ<;ugl{~_ zqZP}~KlFY|3InR?gTw=FoNhVlJ*J>sWwDD<}7KrQbHUkQ)~Uk8|}YN&HtjO#jcj1H)5M)vqPkGIJ3_1APNZ zfwhA2eK(0g*uL7qmBue;s^bykWv@h^or5?p8vIibonij*M1{Gn&6Dl#N>0Y8?8UfU@C+{jbWi}4-IMlKfKD>NTk$;|ugs5yy6Vs}!p zS;2gk4sd|0eep&0=Q!0n-Kc5gIfen*nV1#>?HlG5nEz@yGvCwBmx9)4miI~YPJ5>o zx>nHVR~J>#IkG3(VO&Q}i(T4utMoMoSOh+~-0K<1>Y=~1`3s9kUoCO-ExxLLTl}hX z=)+MwCTT`TM>JU-65+@5*cj^4;z3d8120rSMz;5B@}6#_=DMYqv5j+d&r|P_L(UHr zJ1gYWPm*r{-C<08sB_)y{GpadL&-IuQDX@}x|z;Q>7q1`!kSIS7YRG4NU;gwx7+fg z{2gXd!<1AMSIK#P3W+q)n{r`N+A1s$iW|qF5o1`ziAlclBq6HbQGQxYX`ju<+Wx7n zcvTJoE8f_9abhj4%e(3GG_+P5L6(s{gg37)MjlEyp|tnM2uQ;tT(VC@N4|;P#ZJAq zGnP76M)nb;RD6mo#obPtL>jGy0nT)dl>j&tCf2pF^R|YsZR_bE6riOomB>#X8TU5A zk>8XZ7#fC3PqaP5Q0;Ug+lE92)lxe3^)gF@{mHEIN;;VisIISczq#Z%_7TM(?scyYSol>DrK?FR`h zu_3|nipH^I)fKe|0G+Kl`lMx1_c%i5%_1POrB~ojn zRs}^^GYmLltV4=6w5eWVw9!%jXlgz*L^IJ1_pMCaTd+)W@{+#pzwji6ZDigQyYX9XHzUkEu)J`_r*ti`BOWe=a| zXDdUR5EfD)0TI9?AtkQyVm_tN4tN|DQ6ClG$w6#BxM3WSCXMd$V6*>1Gf?1}3>;|` zz?%=Y`H2KXQg;OoO)WPGw(QG1YElor+QuPHIEY#+TJvJ4w^Hlm!cW^CMIooQPR6|K zC$Lrj+*WKn+~+tD)Q|G?6Z0bBcXd?|t1N`N|p$nHe0dS;=olPJ3cVFmMQJC7@(0LndY-Q`LGe z16n&?BX6Q%xZ#G!=cp<;Sdloiu#|=V$Ijc{^Y)atnp$roHB;LzVjdHS7Q3tl|YGP6RH z3H854@0O0pfAM@L|201$jnDgGl1#>vjW~Hfjp280x9HON)HutYXV27y(6#P`$)M+F z(OphgN=?qqs1Bj+&EwCax=cDUvW|s7C{}-QSKS|Kls;pktg~t0p1Yos1cX zEua3dk3V@!B(Kb0mz3VMhVz9qJdNh*B)b*fo+s>Cryz712-qLgub)~+tVg1rNWREObwwaY)B35PT|OncExSg(utsfF(pCk5x3TLz>1y`+ zxA3B&O_G@_r$oLTHTv@s^Ch6hH)QU7dEhw8DSge5D3^WT?3DeIGRBy}lA+ZZ4v(Wg ziKXYYJoDE!g=Wu{4xMDh?>L~&hC7JzQ<=O64E$au6%S@!v%sZj#+i|14=tgiNQY%D} zWvMj85ebTjxmy+&<;%R5f+?q~#n)-u<7P&1U>J`3?6z~LBae0?W(s1rTtZup127nc zNqS3jo=~cgxiSoa61AjjQ^^C%2hp~-GfPP#uVz^e9BVvxO&EpP9Nf@Piw_ft&gO)@`B?o#y0a`4Zeqtwr!3|Pagte7YzVY- zr^N6ZM6)6=r*1XA*OM$Uc{YE9FiE2J4BtkwubId21FftWvL8{BfTbOtB!MB?0edbF zO@9e0G1tu!*{BT8A?I_}IU6lx5otjWZ-gB$rnKg;7TVw-%0_Y5wjbN;Oxo=k1v*pv zWU{b~SU{?A)f+t~uYQTC5IVe3#thh; z< z6xVuT^${V3#7_~p1>Nd{Ew;E?fGoqoXc)2r622Q~^>QWPE8C#xa47T;9$Myp87Ix5 zBJ3-9gos!co4}s)b4ea&4ndT7F?l-Lc+0Gm94Q&eoI2rW>_-up_AFvCYXKw`)ri@n z_hU~wc9RBo(kEZ`?G+CBA*1i4}mXnKsV}?1ChCb_LXy(l<#F!!yqwMt$ zvF_(jbVK70_2iOua*(sjCg6;pX=VjOSK;z# zn-!s&54KPij2TMswkOP|5<6Gn$l&F2&;Nr8)HxklEQ>-uH7y=aHWK2IV~43^qQ34ng{p#+a$R$U5v)IJCOT z3qU;lt9eZy>JZnB`2O+7CFa)pyRxzO>ChA0#y&Fg?;nt;JAS6I>hqRxD{%|o0`?~4 za!Kmqw~!w%q7m=vaQW{HM&1p+4v{~{y|JSmncK&%_tSr3ENEKtpgte@@w+Gx$*uH6 zyXe-Uu24?e@h<*!u9QXH6vA5^6|P{x8w+7?k`>D(#|(|B^0a*B57=^){GZX_jJR)9 zpI#3Ei-3xJ{ZpKTyfJeAv`Eh?{VHU?n(KR~K0sBp0HsrDRd_zNh0i|&tTUvI@wJJ& z{ggpD^l4G8okVkZ766uaTXw+`F%WQ$eJ%b4oOXgtm6P~e#*yl1_22hRh-T1 zmxm(v#OaJZEVRW1IDY!w>*dPyeX+ml0E-!qp!7_?FfcWd$Ni1Hqv?V8z~cN6GRls~ zRBnm<_U}s6uz|_$T1E6HZzkCgJ8e3SzH>V!g&;=gpS-0jDfN#w+k^|9A zteM_VIk7QA3h@X^mRR(b|TVwqG*RRnonZ;=!L9&eiKLk3P+0pu3m&C!03ea z;beN(Koj@z7x1mq!a9sxgVa?O|dLQfb&r zPldB?6h`NGi7sEyoYsS((ogiQ9;=i-`ESYS+pP{Q0)Bu3vfg&en)Z8}=-xil>lc7Wn*2K>#zqKuSA>#)zyY(4(l60W zh8Sw*7?}Yyg6Spc6;~({!C@&QaW*7kEN-8YQdP%`T3j?umDQM(Wdnv$oq} zPwJ3mVrng!adPz>lEa!OIL?oxNUo38wb$f=L|AZn%bC3_-RQi*~>M_di=X}?97DIP?NtGUAV4wX~S&zS&RQ~%+c(Zi26V5$YEvW(5{ncd!J!_Cx?!nABdkeHEnWR>>BTlQco*X!mtiWSEE-{R3i5_2#LOs zW3C9RWc*B;%Bv9RSB;=ksmL#)d{0KSOHRdYE21#ocGr+igVd7`Ce6Vn7$1eDAz9zw zcW$PSXG8x0iA7;NI^Aaa^;4ugWQhzC%Hq=RlBJ9yXk8hTnG3~6{$|&u7G8%QFU6xA z>)0RmCcT(Teouv4hhB|*>roVqqqx&E_!*8rxX+!M6*Ha$1e`OIROIuXp+)^H_9oSB zCYKwIVhTXtW>Gh^HPN`@I<8uKxh1_AOz-;z&VD_`F6Z*5*bUt*@iVoqq-)Ek#Mzjk zd+H_#IJiZ+u0AysD@P>yvI}edPh3H5u^27p-lmKjV=F?IXJMV8@98QcRqGlFv)taK zFk+GCSc$m$If-;=xaYwQzdzM??S?CtOf35z{Z7mx$vtFZ?Jz0yUq&bWyO*S4+G4P~ zYMnjfv7d$Hb((KzB6L^FtFq(s%z39b#WTLanZ(j}$gnR`^F1e@`rZ>!D~agkYmDds zu=tQ0PpJRr@&y1W^C?wpXDT`}5F*NSmZ z)UwpyKr)m%EE#Q^+C z+PutWMbSFhafL#_{lC&1{AokI6LGm&KnLS!DCda|u!kb(^6@AQpfj8#c_fj8PNwfp zS20LGI!ycRdS@Z8vrUF~K_HY^ehh`bNa zl?=;hNi=c85NnKt;CU+;PIJ)Tvc?tPcFY{xReJn&)2Y6`v0X~q_BiSpgl-HB@}rD; z$`#3&OF=BjTBVRfg`*XNxM4auh>%4KZ^T*l)vyxQ$K6^b>;~;53-H9R4QdvPyH>Sy z!0Mh7X?R>nNBXm9;Bt+cBZ=;N_5L$y{BS%Lj8JF9Q3cos;)^RhDFl)_Vbut-@<~F* zJz+QmcBG~pS4J%yFwQRptKkDF=Cm|SlSqS+UyDA8y#-HVU&Iyh5=1CO2#|&ir4s0K zTqRTRc73#3ukERCy>sJV)C_3>Oyi>FYIh342qdE;t3^DRv|V_C4Dmb->|0RIEH>Gf zz@p3IL^=s=GY8&$NIt}-_F`dMVD8g)O>K-@UoKJ8@~B+%6jDdfIm~v5MV_lrp%ZSk z^{q6lkwHl>n)x~b)RKXEs5G-EMsywK;iS)-M}^_L;jRdS@L97Yj6QmscxINhVyeou zRANiiB&hr(@fHAxrf0LsUYCGPAktzU#M>LnxfVF$cTg$T0-W2Z61%ZL%)k(=`Dl>) zx;-<8QcBb|(p(RYD?th4c2E=)2m5Nn`Z>rW?CV(3K0t4vWi-f5lG{o}MOJ~jRVL?f zm$bdY5IvKNq_hsK1EfY=30Xn(T^i51Y$`+nswLa7WbJ&9)%;44EDp=V<_N@$FH9-z zAoZ8Hx`~U1oHjzOwzvAPz?)_9Y$E0nc;mS|JS5`S8YE?3mCc}flOl$Ft%}dn^JJOX zxV4XDDX-S2+83{8#o)05#@mppk!)d2Qeu)a_AR>OhfkgB(>wF0I@2+$)(fF&kuD0B zjxOr6!14G=3hi+#{JfMyI!SO}GOk&Vq2HG~-W+c{>pJ(~q68|5SP}OjJ`7~|pN4{% zWrFF^el8>#94)9bU!&Mwyd3^^MTO%*{)|nFM;u7Z8Xya0(bYyVqYSVup+y~dNMc8>9u zK}9weEqn25rilnpezO#`+|0e03>Xd8l?tctgm`0N@qg)GdsPc&729vnNZ?$H)Zqcj+Ra5l5VOe!^$TdK>nvQ6^xt5C{ z3;GaKks2XD;ZfycYiU$R<6EQ8zTwIu@B*`ri>KzgFeM6a=!{YoCA|PVd|^}QK}z;g z&@<}Q*dbX5J)JP!P~PWIYDQ<|a5775&j5t#ci1AMKS9_d$_uU=n328V(@3cQd%fE} z_6rvKBH$wwIk<=27SI(ksf!qJnwW@@Fo|i?d`o5owkEt;9JClJ&p_v%aI4}UK_=GM&8J2BeF%dU#_;BcPVI^1gL738{({11Q?&i$2ov&JzI~a zX@3T}Iz-<UO|ZsJZ4t%>&KRlMul z<*9yk-@9z*Nz5F!f`))|2J31~m0oro*Jy^mMiX@v(r$j7<}JP7vB1q{wkc|vU#%g~ z;Q=W>+0hj=kLce^C6`~}JxyYZ{<(-3u_;y6!Pcc-UwWmxFebA=!*3sJ`2v-(Los&=Wm$+*&5%s^83*iDUWUf*MKauT&w?} zN41)y?&()e)ErZ*$-n)o-_*&dF7NB6uZ1Y5*_-l>S=ZSKH01F^h= zmAbE`_nrO%S+RK+)uDmQ&aY9Vp57Qj+chHDqa`LJnO&+NrNJj6)Wgf&B5^O`6u|+z z4V<6ZC~@2$hhk;hF-j&O+m2!7)OZWWK6jjk*v?@h<6dz?8*LxyE*bx`cTT%Ur*8f@ zBrXnIEgz*%ig>v^l`G#k)o*Xqq@(wW zk#uZXu_wohbc>?PwSvU=9^VjQgi4UT=HKxAc<1}yDkpJ8hCkVUk} zu}_;?b+T?fJ>roeN&U9U=_gP6Uq18hVe-o_mSFtAbS0YZJ0oS&b(d7X6^#^AtIG6j z$m<#EcSOjcBUKBZ?_%0--SZDUfSh9W8HC1)* zotnsd8Mf^IFef{2rKGT|+3l@Se9FW`YH!eP`PVWlnDJzszqiQSn%qYrTO|5porYLu z9MTWEC>*z#+rk}Dq4Ft_8~khtJwATXb*DlroNrbze$`0n+KtU(>#nN+wntOmQ+7WLpfqS_?`YcYNzR+)xUOf z|ALV1NK_hB6tcm3h61a!o$6IH1k&WcFw`uDT1K0hE?zWk6qah^BbM?CFu+oKK#O3g z)wRzC(e}6GXI*?@v)f%7G)FKOx!2Vn?Y}tr)yk2Bw70XE^DU#iI3&+PA)=#AOEcOV z2IoGog2e8e3^vgqF%w~ldeu+!L7QTrRbMO($tbyL2;P1OiP1CO{Eh-jr3^9B@Rl+< zC2B3)WzL5%2fyuIT#|Qg1T2|c4C?`}&Ah*;bFDDl}BS@VcQ1`78E(MvR8 zq)8=YA(qHxwrIW*DDeqp#)ugM+3^bG!92#8&7?}<5jjrrQ9D-;Pp1tXE1GyhJ5H&OU5>!I0!00 zZD!7(A(Qq9FRybh;Yu;-{VnzPHs+`@gcih#rI()zq|?{|{ftDFl4P+Px6T}a(9wQ_ z;#-B59Rm_OlC_D&9=Xo27&9Q_SaM$CinpzbX_KmnhzzgqhZ%8MMZwGHkL^b7>3BQp6q&z>epCN@F?MC(t>LPsX;W%u$s*truuvaOS+R zP7+dPH9dfSm}t*pvNH3iLp5fN-&m$@?etSMKL*KvNI*Zer{|}2E_`2<$(+>hGcCI8 zPl@+eZ_d&*ItVF_tolCA|~2IF??8PteN*vUAGD(LnOX;;^o&&8Y1yG+!F;0uh* z3+iv&cbLi@AUe;;i-Wx{hTBk>{hx)NLB7CF3cc4$^6a>j>yDdQKHYOYi=jzFMj2^t zMeNfRC;0`0`jIs+0gBknWG}Vo-=bb6+n~3LF~jFqbA{QB(s?CGzxFWDsr7LAShWh7El6d5$QQgW$*uwq8i`{}P-1oqP=iW(a+)LZ?FRN3; zTPtm=e(0m6Z+uHDOC|Tw&UE$IkL6r%ijm3bzH^v`nfk!ptOtnr(y2BrK-A}k8#A?g z2j7Td%#f{mi^}w!WgY*EB+Dkh!s$ZB4DrS_qx-okMrIB$DO9;2vOQz7(saZlry!a1 zHc9d;_wupwwbEaoVf^)xWI(R?P^8@D9XHRd?|JUpkJUl8l#c};?|C|N&8KK?woTEP zzE9%oA9xu@8aDVb{g1QhYZ&w8qibR}^3qTU9(;b)@bu64zRB1=gmc+O^iJZ;REqZd z*!Yin?`cqO;C{M8CoD4e*>ae1(It<4vJb>@>@$&(+mf{Y)1fhjRwvKg>g&&4`_GRJ zBhjPcZ@r|w-;+omrfVJTWnWDbksW%mM9V@Qv}BtHs-dINJ-1qTJv6;0VGDPpHVDoB zbu~@E``A4C70O@fHT05uiy>{va(&=%B({V7C|N2g^dF{T&s;9&SNbLgcOlD$@k-s|;_XRD65@0jKuc-d6%)@Jf_h|2kEB^{}wR9ymU z{u5Uu;2(%9MOV07f_$A^I}pdsE;n)9*eq)ZpY4gllQF|w%f{40!ai)3{I%${hp7jT zzLKznTLH4{(Gx+j=IFGDLQ*Eo^Oq(*syun z$J6V(-d%nH8sx@tBcui7O>`4f9SuF6R%sBonBK@d{bdoXOoD83JRTTpf@L(?T9s`E zPob*ey@e&yi@~OohP?xKqJ-=S!zKrMP~fCQU$}CndpkO2L=9Oy1sp|VX!P1X#WTdJ zbza!<$;|W7zKgz{j3CtFg?IzgnWX6`uq_ALdrL{tm^I93n9cxt*-W0(NE7WS!S2l= z(1@YoO$OQ#n_nBWn2V;WSlmbxvokKEfrYr+XiGl>S~0)EM|w>~a$bmqbmMVF(n%y$ zcCorZ4Rt#Ud>I?u*yTdRQBaP9bvYwb8cJvE1)wZ?v*cy~E|@Lx*_a_W2bzNEOz!M~ z!+BGF=CcIECSZ{XZ)OW>_MA;vO@XQ(g2_SHV7`#5wu!Z8cqFGR)9HnE5RIodHIlVk zX@ChMC6})6X=g*RqXAd7JJNmqGQ%KZIu7n>z(ynJnmkvAMCAe}i8CQ+p;nlwu5MBv zB9Dg1lh~X*Wh$^^K8DobXJ8*EDhgEbzPPE#yhq|`kGExgy=F-kaoKyw5=$rm9#$S> zl=oTb4fw)u1+!mu=8ZcJ9#beX6|vE$f!3JDTX9m zXnS!^4TXmbNQRoV3QQ4i<{aEKY=}X*Nf~Hc;O?mqYFUFMV3k_q8qhm@y%B*W=TXU> zFv*9_K#6)zH^8ADoWmMLVPgrcWm)nu7z#$+5wGF2pJp$l1zW~K8;S^ZmRGh)%=d1i z9sQimvfy02c@w8Wc(sb)>uKY;ZY%4&wKE*^!j`lf2DJtlD#~l=|k~A%-=BtYsnt$n(0+lq^ zNm=j3qsb8A$Vt&BXd7br@o*wrFKtOWe?387%5S&Q;?dN!!7`;boywts!Kv=#wye>h z{gyu=tJ4oLsruqaS(aQ0VyQOhT8BSQvs;HyuJ~hO>zSGycsgPqy522p;dZPusBb*A zD#RB5CG*)fR5?ual^2j4&KdgK%CH&1N8Kr>8WXjiDxqFYClvt`S zzj>_5Iz!SZoviYu|HU3C8YQVb2+D2asjTg%Kfgu9&v5YZF|s0ie%PW3;4lZakZ9){ z*$?$f5{qloE_;YwKDs(>ZrD8AZWs8AnM2}gpZg2p+$D8w#yh%wJ76*@I7%Cn1%qNMw8tH=r(Mq(6Th2cf&UiucI@tG4Qx zB@HL8xnA-zv8pZdW*VG_ECwI>Ypcq!oM}8%>c7%X<$-qPUn(8kGZzoZHmF1akC;_S zXIr2>?PzY8>l?yyC77ZYkorIHxq`;o%S1lUtM+6^TJ{M8(Lw9vfoxLZoqSFtl$5jb z8+9n4|Hyblv@d{l)hchtK3(+k-Z(kJ6rle@B>95eL-9h&5EB3WuRzS%DWj+bV2jkL z^^HRm6i2ZsxB49K2NxhObWkFmnq;MqW{_zp5N7zH6{$F=SL+*qP<4mQn+Y9EE7JjX z1_dhcx^mC3mn(in$JU9{isXyTZY@!t21A;b-c*t5@@7<$DucanYO=^x7g6FX*qel8 z*s+=h7seS}`H)M_nDsbWLawjQvCoK)AyFI~%B*^n;EJazhNoGiq+*)~Yjs!g7Ncv0 zmNT2|)=Uwl1zrK-Fg&16wT3l00wPG{EgUH&lL~dLJW#Tr5Hw#>n~6t?mRE4*!K4WJ zB_wFRZ7>uoo%EXOO{DEomA5cl>lq%FtGOsmG>M>X@~Se3xjdv6BoIFjuWE`YZA}5N z(Hea8k79?ODE1b$(L=IL9+qrMD396X`-m#i2r)Nk;Ea;_5ILVPDecDWMiKyoNFOB! z6v}IrXg=;z_FV07p>HCfN;mO0h=zF5bes@nM0Sq7P{K&5UABCjbZgmAxg*y1#LVY$ z_{IE}18-vn3Lv=59c%x&LP4_t#w6e=X2D{HM#c=5?fiVyu+**JToJrdeEc;8LTz`> z@ZqN_%35R)(rM^<=8AwrrO3@rUdK)*LXyyCgVX+qR1zjsibu+yxcWU^l5c_#E~;^*)dw}B4g#7P|cWZW)tBHxwc3&F|WMB+ikmtR~JPx=l_4;9i*O^wQB z!Gh2y5Ws{;$5~)|8JZ)}S$ttJG&~Co%K)!xF3cwAo5XWckcvVCO}uQUd&7-d+>w}G z1hiRhzJUpmon^nOIw^dEg|_8}=;~rQ+0e>nECWOqxkJiP2Ij`yt9)ZygF7SQ6VgWi zX7Bm16_OZ$1c`j)zX=}jLnq}&*T@}B?_i9B7p@D0n^+?a-pd$H@yrIou}@ea6y0$E z9W0)Utuxf4gBzX9SWWvI%b69xvB)$04v_Z8>Ao2|^d17eJEreEIl~0@y1Ns2n~I*b z`M$dKSH-c35N$YX(Z)IdC%%t(;H*?AMrquq$Rr?Gv6rvGNc-ZmmaaZ_>N|zMLHRPFFTK_I%MZ7@JLQfN>Vx z^1yASYx82#e&Es9!ou6&))EQp4C7bYm?6aDMDnTc4oWih*UFfI%(5xH>_;ot1qg6M z*$Z9X)*15O?3e6*?GHzq#Sh(;t=%%O_JBp481&>W_V#mwt%Qn zS-DA)?kbd3>lGC6Ouko&yQVw6$crSxXRHtv+}$uYDK&8(&EaNRRehNwmD43{oB{eu zuv+@h$vaddGz+;ia>WNdxnzGxUe-wpK+eXa0L{vPxr~2+>QY~$QS+^nciD!ljQO<^ z3@KVX$-aql_EV-0#$G}lJ{2cu3gPD&&YakjcG(#H$3!gY7{A6ku9rkpSOKV74wO3m zzKK-PlEOLzyE+=N`5-P_h@X;?D;8^@LEXVa#%g$&uk~SZe6m&4<-jF_P42sk8Q9Q@ z6-i4Wm=H{pMPe|gKB&~})pU~9=PnNpHVlK#7UEk#YU+$w#R2k-Sp!X~;h9#)89)UJ}t9J@kH60_jiwlm3S6G`x8&1P0WZG^?2o(VSb z!({Mmw8MT|8&{*66-9%7CVWkyKx{GsHxj#4(;N@Dk>w}u1K640w~OoDi8C6~yF zflK0UEv!V-PSM5;iDjB=h??j^KF=zjyePMa+f&_aMD~8s`1VjGoXC@&PfCyTzHe!EP5G=aWeqNv(JbP6csh9pwkfBQ0kc zJV5so5@@?D_4F-|)`*hi&B4k{fVRa$dQ#}Vxp{PGktrfroRzxc04sehz9@NfiOiC1 zBg5c3~VRA@m>?ylwbD)GpQNHwHe8$fm78 zv)Y|smq=pAl4rs7P%~aVOZse)DG>7Rd<$Z6Ar(zt*eD67$+bvzHjafBqR9@OI^lHx zrJ=nWbIr)hZaX_*pbvzHj}MliW&4Dqk*@sX`1DYAhTpHxMU!1mb-GTq4b9I5%;gQ& z$vVm(xr35#ly1EcVxJ&@XVG3wG6u7=eAuVSsQ?E%B=#4@DqjrzwOp5YIBR#_q2{Yh z`l#?d%&PiJr&KmauFp0`8#w>+IBH)N^TK(_J*+c)HXgr2hVI`?Ze0vYImxa*j1C7V zf=>$J{v9KTCs&(%(AzLAlkBPdr28h}C<=)DyMuh0_Tgsif6aWB1Em-9KSvY3{S@^U z*Dje%Qm*T$I$j)ieZV`ZOzw}RX;A}byf*U(4rg*`eMe`d`@9jUKEHNCwykcs@*8@F8=S}oU~_c(9ymtGsoOP<--Xvtk1Zb34Zp1%)1KDSxoOlkb0oiH_% z^jQnHEI53Pd%G&8i0c-pf*`rNw;}SalcS)ycu?f(YZWs)|9w%cr=1X|8*cUE`QDdE zJc8w}3`(rmSB)qE5F+-_JfC zCkOv$l;--!A_Kj#g>B^9nGv+456o;4{l;CEdkes$Ir?9g(ZMN6`jnK}h!cV@z&zSP zW5?m`iEVcx!TrLcEO(iu8 z#wd=F$)3)$hWB4ICH^*fbRFDweJ$SBm<7S6aX#9Gp2WLAoU;3NrcRn3q?*@IMXF9xV|?16TsiA~Zk zyGhE0sdyrL{M$rK(~fR9791HY@I>v<$4pErC5?lZw||X1_==Ys?2Pftp%w}i!V2Ln-&(dtuz2! zs6;kU{U^>5DBy|QhjXcd@IX{z5>*eritawW6-?4Q`GWUvCJh@ z$liqFq!Kn_)Q4z8w`T(iiVD#IsYcf6fgz%tML1LHR0e50(``#n%F2PQ#s_nKZ;^ zF(q-WZMX31kU|@#+xfbcX-$6-MdPPoBU{^l@u&8L|XuAl?Z#T~m1T=Mh8rPp-G)!#qSQDKzr5btd9#ZHALm<1?XhuUD`A*(ax zX`c*@np3xHRO*iPyN{{Qn*(jcrJtI{qu#vvHkGaR@zsumPfp(AykjSqJM@bz6XzY` z&p#{b+4l!UJeQt2rJMgXlYHdFX?fzEBXZ7RDBaeo-v!PR=DQU{TF+w4fNY=3lBAZq z;ygpQ8(w0}a6u}kKTQF(M1l;Lh(9K+Ae$7q{}}` z`baK&&2!wU|MkRlHQjJc68WtgW$0H<9Z7p}f<%h9viEHHpa-zK+rwGc?PFuslrRZTZTk9JRP8n&QHk0ZJwMUGn6q^&DRO zDqB+Q`cdG)@A7=(wc;PYf@G&kgVEKeAfX>PURMOZ?fRHwet;a<_d$uA1=xuCy5xC= z^k+6g)H+yLi*KQ6vlm{DWZ}kWh_h)c-WdCoc^5_?0*BCfAHpMB;CsBb-Ql}q|R88uZ znLa${jGPN{Y2PM5OrSX~?I)Yvod1$Gk}$|^dJEuHi!npU`^#|L6M1RAgbKM!4a4az z+0OXA@l`elQOa#ok&fWJW&urxeKgoD42`p0u{UWdjtk#W_}McY>y7>zPAJ5&r~QeaXL2$XC-IY|t7SrZL)ogq9@O}p zS&J>SNr{~7N_rQ~!$6BI9ZYHkxwEIx;p13Hh}iOqpCZdJVjOL;c`WX{_bHD$7r+7| z%wS?fZHqly5f@HG+9b28k)cq(lR?x-Flf#Sgk{Zhim0b7ze7P>78_4xo{dMH1Y)|R z0ee{Od3&5D6;EytA2#bTEG|3{CSiR|I*-#|gwIY32SbmS3 z+USj!3fhivsBNJL26T4@te+#H0OXo0Y|ENmJsC5w#zMsXj7X*t8uhFULqohe^Ma=lGZ45MrX@DT zudK|0@D#S2NTU3L_$|mR1i~!rLa1*HZaU&g?JZF(c@<9U>q5r9Y0D<`oJBxeZgKOH zd(0Yfp22t4+eN^}3~EAa&;b-<29EAAy|tqwQN1;)$qrJ>&tl;JT(zMV!}5^4hB1TV zGgdTa7bJ~YwUqS%iGkR>o|J{!SnHl2JFyUQT^|(CtduPU{rE&zZl`Ibq ze;f^M*h^?9SZ6>{NQftuhiGWBY@~lu)5Lv^@)i9hVY-9~aYHcJ1K9x%bK+G|^9+=q z$rVW#gzel83+^=T=1iSD^>`F{tCa4ltsqG1(=!*%1tVb?gs~2mTr95LwT{$%@|JPt zf`J2^G11G|N}jwek$pFqlWhI)i9S8&P#k}I;CI|u@~R|q-Q_smwwgh9Kv>3b_?h0% z#Bz4|Lr~OaA)J9Wh8L_e*mjJ`Av_qE41I$g{zm0W5N-PuJkhh{pCW~clQC?zIDI2E z;N*dj?C`7krqP6{MaJU$xlcw{vkJ09;&1(KPojq}&D^?%q;9G_D&&aFjv2zWQP20_ z1SR-5Y^h;TT++NRo{lSg-M*GfJ|QQuLH8^tt~u4ARJDTX-H5Q7`SgmGn(&HhF!?e#>r3_5;au$Z{d_|mn&)io8*OxsRCAw#v ze(Pmax4QA;aLf5_V7E}Xcm6`$aQ{HLD}5@Gf4wb{;bz>G zPLP+drw>n_W6f79tdSgK6`pgVjq``SN_`|}gc8RtLxr4LjjFZt-G(?*-Fd3@3`^t$ z*^paTRS%sSX%)r|;}=^KbqK3fFgSw;LfHC zxtYzp2a#=PXe^KoJ+f@LSuSc^h{w(|bUl$sl7F7NwGQLP(dKu8xDrgpPj*bd<@@W! zm#*pT%_uy!Ga|{F7b8fY^rV5?Cz)-i84d7MqcGTl*9=wKvpCwhKTh`f{~NDSGzx>+ zMl*yBP74k4Ym!Rgj7F2U97Ec!(RG)EmD(+POK;xtwaBj2ya^BQSIIDN;VI@7#QcC$W2kD-U6<|3*pQM3R{rU zL>qYV&PdT|+D+t{B^SU{)4YxYqH&No9FR%_3vvkY+wK~`2Xoe-H3(}j5_^;@x>mzI zp3O=0jmV%4zR-W{RU;QTLKJx><6hwr#gLIqBuwdr$IR0 zMpxX95nhm$dCr2G&5O5ZpF>JT_PhSL1I%6fWp_CU)zIdHNBpKVIMN^?zR`ox;3p-< z_?B_rURt2`3}^DAy0J4%5T*)BBzt^g2GDKHkn^+1$B7PFiMBP1!n@~JfJRVdOIAbn zZnPjTBl155Nn~RN3RM_xI8z221Ed$g@)hvnFHE(#XZQDFGZlY!+u#ovy;Q%H!UX(r%F z2d12^Gg%f_>yAJ>V-qu$g)DLu>lCr_JQ{*S(zI-y1JnajpU1YFSIFnkj<$sYcpz42 z#>0*yI0S13QfJIyJXC%( z(d6=vp55|XsdrU9LJMG$GJ4MLi9Q~%1&IT+(5&u1$V}a|JcW)Dy1$g^uVcpy@&#DUqMLLbfZU!(s+GKtXQ03m63NCj-U?Zxo_M?_uPtj4Mj$S{99v&ME~xLe0I!WbeJzOiSiRfP-{|gOaJi()SR4ppT;`e zRX(45w9i=m_1D{(Y1s3@bkqLZPhsvESDSm@JNXr#v6Up3LntaXW{`yIQ<{HJq||k7 zCcpB(5#z6;a&CG*6I`R^n7v7wEM4K|(hF+^>2C;oleVD2U&QVF|1r`XK4Z1BNlDvQ zpc=8^GgdXGdy)^v(*tYPD&q}pX)SXRE@I2Vz{F0^`!B_;WHQ5J``_wgZ{p&g^_R!j zmo_B6HiOn&I|+SFqV*%g;$K{&XFl?2*<7EBCpDUs)mK*4xzX`REC-)Bo!Bg`5;N`D z^Q~?Y@i=od46DW74M=>~X3+ybr)hgJiDj^XbJGUliF91m+qFb4DBj>*93}FuA;! zOC_476YSpfPS{^mEVGh<*3cASpRsZ}W#<`Y;r0U9g!uIMwDg^;zJlxHz=BSdJP zMy(@l1MPp?$~X^?g#JrwjMFD6sg_8OZ$~wYxQL|&B6vdzFXlaAMjIx_+O}h!^gM;j zyxG`eQ0BjCQNyU?@DxQ7^JHeonhi2zde9^Y3qBGxA0YJ@F~2TnybLo3_cX1UM&jE& z>a2zm=frB-kKrE!v6{>#O@8qxHrJ4yV-k;8q@v?pbKin9hdEHQdj1s}<9N5#oy3Pw z-kDzkxxB{`HI*^;9x5X0+O)|(LK93B~#P0?frg(xplhaPgy47!YvlH(cZvHbEzfZcg& zFUl{FY)`;Kv16{#rV47Mbyp^2lhAPghfIo4dLDQ(``JbQ1vp|6-2Rs>5V^Ff&2 zkU0R;N){@8lvu-4h6RO%+z@CX9UfTdgz@M}GpTJV__=3WvIswt% zsIC@n9fPX_q=@)LHo?{mPg$kRM9VF5Hv{KeR}rbsfxg+qg%m{3d9KS_TljuytTz2^jHGQj{^xw63ieuIy*-a|neWlE7mo$W`lGxTyfCu~ zV9ZZ^7R%>}L%0KeaA-lqvq%MHE%G$r5udk`@60d=9b_UJUjF|Zz8%G^rR^ZG)3)8V zl}Hp&jJiNeV7HC1#B&~^r*Q&Wp)zC6eA{`UExYF#V8F}}zKv42w;bCqt*6@41n2RmW2kC%qC(qh5~9Xw7r%C z+=YzWH^MxJe1nhrm0b0wIGhUZX)8vL}r1dXhY26NbK68 z4e4lrk(6jdmEOb1kUwtRwJI^oqM#`MAqIR?9d|H+qNPOz2%@3a=IKM|)6I+=-dwxx z?fj(ewdG(#q5)Tyg@_(N9x)ZJqAeZ_zq=I{MQN5!jlzz%7G>-OB*lcHvNAx&fMF@j zSNhTaN9@h{pc#3h0l-mv+6(7@he$U@iZOe;abX-uv%CrQ754(tg4`N!8aX=@8scgB zwja5DPwF0Wt`!YyVN{YEO#8~d*So#5vxudQKKt}giFVZ}w_F_I)fPFEf|J78J9`!s z&Daj~o=4fb=s#DmGO{Q|MaZK~r0v`+`StmpR)*Rv&v(bJ1??qq*pKmB>@{Z4+H$wF znnqsO!j0@(<5Q+}Rt=M!eY3j-llF8bL2lov%a?iUZ%d_xGW$pxv(}?5wzCt>z&*^$ z8%s)EY~iMNHoq4=Y3o`^2IKODIL_3)bUlvVZd5Xzqxjk#&gp!2q$eA8Q) z{7uUhBU9YuoJg~s53}1bOr3Vz(BFm_OQx;jF?l254|gUm+RQDrhajAtm;K9%6r~s4}q^Z#qk&MzMw4zy4z9 zzWQ98$8Km>p1SW{ zTt4+Q^swh+j(vQVg6N~%9d8-tFE42{!X^&6iWZdZ7!A+>{6l}4^f>3-KmG}(D3 zxl9~&>{E<;n*;K8?DHRAO2K{XxH3U{f9Z%z^zNiTxo6Nb0Z&9sYb4VVlL(KV?<3o= zo|Rx>JNbfV9-WbvRu4HDd|^1xOr!^I%Z7b(mB@RjrL}(sWwOVGB5>eP#PvjLAZre~ z?%eV=T;yy$^7>}K?5{p+a~fo_)j(m2R=AxOf3b;GjG7_L%tz-que5nH&uL}ceR zCm|jc)iL0j z!2x}0s2~g!d$d-h8+l1x&aocRJS zPl)y?Al3kiiQNbeO_bCdrk%;bL&34jYw$Af#Q>F%r$AbAl&O?*S* zrH8ceu0%Nw6k11A51WW-M=6@bx5Q^+xoxSh>N+;8(v4gBc)gkeq>oN+MIs1%LyAUCrW_&3X|f2~ zB2EVaUStKdfh3GMlGHGPI_ik%K!_V}Q1;`4Tks4GnNc^*4*?)fl!_^}w*p+1*v7Cs zi`YPe$+l~zRQXCcbuiPeUX72iu&UdMZ0chH?Ud>dGTQ^WD6kzvDo+$qI`1HOcW35i zE+^kpF2M+4p!`>E3e`*W`Er`MU)QwxN<`DJmT!B{hA?JmbGB$Qg=3feO{DSW)8q<$ z8QX4tjh+`xaTbocUh5=o5tN`-V*0CR##Ll;3ANQt-^L^ zONeXRBvb3JrsYY7V_EwxTh0N#_g?9AH&|)WXv=HTf!7>~Mj!TPPfPdv)1E40hIreR zYHjJt@cp|e9DfZ>wgSyo8d>`%X;GZ4WZ9U(z-XWTc~$h=Xqo%Yi3^o;TQ_a*5`2VU zg~8?!ig^E_sOs-2#Um4^I2T!UPoLp?I(xVawTl|O>*wPfX)UzQsb^7KU*Le-Bg{AO zg(uBVq}jS>TUu>wqj>%|M%z0n#onTS^IM7fnLwbuiZgd;vQdHLXjX3EyrlcU$11As zO71AD;ygVG1Agl8bvx@|E)IcvHZ_OKB)fkWhx#VHFHtAId;*=(HIY0rTH>P8iiOV( z{9QR2FP)Idh9}2e<32Xa#f5@T=Ox;W9LZOHAwvSm(jxgBjwa0;Ce~R z0cZAqM@He;CmH6SvqXN744cPFu)gQ{p<-b2#OaCr`V$OHGJf}5b7>-v-b@LH+x=f( zJ?J}c2~q8?4pNRUkaXYE<5KIGO0?6L8@Y#)_rdgmzvW|C(S~AC(zavRXRPK!D1JsZ zn=CoUaxRvKdXv*!%-rCHOOC5>hUi>Q=^42d``$|If>SfuOP#&lr8>Z#ge$nNZ&z~A zGQPRlHDk78$U~ROyf%*>lhJk`l$nxH~)KQ43+oM>25eRUrSx2@<~}aZ$e7NsTSsY=nu15-z2Q zj9Yn7!?x5H%3fNiLG3Pf z$kS4agQXFkJg5$l__pK=IpZ8IaN*(kEd@1MmzdHKQ-g+ob1AGv+-*KFgF&a`{~v2_ z11DKk9r~_)>U8z#rh(HvJwr1Nu)Ar-VgxA=%t%mn^)y3+XbKz@y{I*aI4U<86uhVi zyNY6L5KYS?akzSu0tvRnct?VH#F%&((Ki~BI}$X+pULg#BmTHNZbEqKBg)77uYFE+ z_ssOi+~4o5?%JPg@3r>Y-=Bwpwjy||>WHlIdUjWx2Gv2?qOy>nTYbhvB31{TGqll{ z5FxGyf}g=25efn^=iP&ENwNaV7JAS|5Mib!6=F3o!FdyPSOpSq(uf&?t|)1-clqJ9 zc1%oG!$Q^_OG)?nwH%UVYLj?PB6tWWf0`OUFKxAK(V$4m#%^KGU~tPJTwX*%j){5Q;QT z@3dIynEOoT9vK;{67Rxrq+&2KMyfEx!blOFWT65~Q1kS`GALFxBe$b=A?MpqxUy)( z)>T9%J-;r(3c8ZaVXDw8@1(F{q@nTF8si7dnFK1 z+!X*Ehu~|UI?mRI_ErW(KbQ1!evYytllWbs|?2huKBv8hPkP3$nF13Ivp%OkBBD(p{U) z%mXi{a+^LcIq-(XuL&sb5>UY{eghM=XN}m864A(a#W@_uhF*bMN@yZ)pp`r02$3_i zD)REtg}t)p?|2dXO)oaxmVaYS{flSYM4y*bURHz-yr%cHV$YS@I9&U@Dvs^l3|~jC z?+c04&sb>`Ruyw}Eu<~%GjQz_BCFHj)VfXg%+kVtwoS{#B-Bou!Rj9Nt5|l7F7zmAZ%r7ww20N8+oDTkwNh<(sO8@RsZD3 zyopo}7X!@~y^mte)_4l#M4K3Ecw6PvQM(vzS@WDO?i?+dXLv601EJx*Gwx_@b*x}# zCFygQx;3|aJC9-Z_)fn((i)4$qDCa2iyCsj*fs1ktPXVaz9PCCD~9 z0iOAq;i(vgO+#TWBJ6!6$7=6!QpC5r=)dhN?3s0D)4J(kcYaCA*|+jmPNp|moR?fBzfK5)AFQUm7w7BD z@`RXH0|&yO%@Li96e09JN6jBXM05qA<$OYjBs3 z#&;p1hTN@5=vRNaV}j*L&2*TvzD>bo;bWefo}bB?0AoUW#99nXK$P*Q9g`f|?#K%5 zijjB=6=W5lJ-!eWR^GcL8Ak#Qi?T*dPN>XkD4<@ldX9F?`;gbp2CW0xZVX+_Lv=PL zJg&U&9w7wB>1u2Yz@4fJHmHR%KQb@7g>v;rfxX3O2b+`76w@e6@iHI6PGy4Vsi(I7OdZY!viVnF=ko#9@%D zeGx_AGM|MkQ14a1s{iRSkj3cmtpWl{J{n*Ml#8|!+*~w>2NeOVltp@gv5Z-A zCvqgl8u`LvtYyr3W?IO{P-53r`2;#49r|#g4$B-rg*LRUIGu=6Ucf#D$L(dAz@ija z1HJ%Q5zk-VQfQNrn-&GMGm9*uiJj0~iS!6JPdP94T-uzZj*Q5G;Kq?QS~I6EEyvw6 z&r1E2kErA8^ErbjX0G`vUjd8qg;~YElV1SZm>jIkg@oqi#0E#Y8b*2YO{k5uQ(iB| z)8TXZ>BQMMB!wZ&0ZJYj)n~TJ>FQpokA9%ZJ_AcF_I*T@_KYe0*o1GrLrLM@*h-_< zi~W#{OV*2EcBF&)YGj<|2+OL3)AL2s(PrI@KUr)SQwz?xT6CXbK9&(1*vsTH-PYHo z{a;#_w_V+UOXybJKICaqD8e0mJ9w5?9WqxUIgH`uhRDg&JoW7UqpY=(JfP?ulIC|v zg|roimt+lQH|mJEiG-$(bWIv}ZOy z)08_+StdcN{IXpopUn0N`n-CxSDJU1a5$S35LZ^R;a|s&m3Q0!&wS{1!}sJ2&L(LafGnDu zY-gll#wMQ{lO8@}RmZV&^(&|SH76K3^?9l7xbRgnsMkI@n?ydW25_rS#psjtF1|*L z)d2tFciEG^$wm&d+;M@biB+|4q!lOciL74xbbB{2U^tvPgAAIx(r-xWx09{yb2z_F zPKFvbUGI@r{56KL>@!rEGnDy)f`^dK8KQwr(LG}?FxdOfQM@kpArsY1l*adLU;r|w zWnqIPL?{n(PSKxqDrM(jxNRVQB+d9L;?H~bG2WG38I_HP=-4Y-1w0ki)Cc{0vH^yU zH_B8LuZuFyCKWaRYU^qC#K`AloX=S8CAu`@lcH`vdmlTFX@+_q6$M+DFkTocf?Xx!nAE49snedLTRcWeX^F)@O=%b zjf$CYsAA{NwjzID#HcO>SgHcXN`-DYzMU0rBA(KU&fjXF^_CS6Qmu?yhoWPDhC!J4 zRkg2)r}^RUx}q}rr3(*!6%YG1mJeH?3%%gVU?Uq5!b2cD!?759l(@82UJN!^-2f%4 z-gPH}mBC7U5Bu66rCt+7f)-}H=1gj#HF;_WHM6~@WT^;juwhoB zDpr^m*{b>@sw@WY3!A4`hI~klNFx{OV$7w@bYzqOv8a81uXuZB>{7ZIh^M%6Qd`Y2 z@#c*;{YW|_vFA#(j+dHB(07z`P1VZaW3LEW7Off-Mr2Erg*;#67<|~U4V^M&R=h*0MYGFcLjMr<-d|K}z4c}XTY3VdFY0-aC=zNS_v z_E(DGbdc0LXezRRYE?9gK#NUq!ngUbmYuQ}z3;U$I7xi$E%MfKxKnpWe5OIBXg{4A z6jH~Jp_A+hR^GJ|ex6(KG~> z`K;#x&cPxYWAp)_;h8(w1h(T`&ipw)7_*jb;7*L@F7^R7X+-d;65k>RufU4oi0jo`H zD5_IsNZpFr^K6y6VH$Qv5{7m`H&?WG)msV7R%qeXj^Z8ZB%mq_5PX9*@Ja%l!`h#{ zJDQHun9=d}1>|K-1RG9&8@`_`WsD(GZ>2_$+)VqQdfF}u^^;HXHTr>?x&(5Q8##aW z@5y*F)mu`>n)|9`>(sOo*gm&jez^!Eo)sIcmipH3Y&`Gx3W;U%UdJR0NxTHj&TaTD z4m*!}kioY2O5raFQ64fX#&GZ1tp4uTDnq}3NOu0z#LX&W$j`u? z6&lZfzA(=pMOhYNYR-0AYBFCOKYP{Nrgck?!*HCR7#I(6h0uR?4a+5&kvqT45dFz> zWw>!$slpybOhH}+CV7y2`v7BrtzIS-cQ1mo-=3Pu$?7rgy_eHwJP+HOZ&w6AGGXOH zDf1%Gf3h;uOvc;Kp1`-eDzVsi8Y4eA)yTfRJ;pWGvz*U@tFoM@px_u;B~Lu=Wa=TF zEk;1HXE$?)&Q@eubxLAouy`|3OFL<)1wGk?fYOIOOMK70JFKF&0|#`Ko(<)kxNo%3@`Od6W=tp7bBIs zq$AV2LUB?wncT81Qt`WwE3?$l#vjUOgJ~t@Y_6Nz69Ps>HXI>k_pSnc`u|`QaHqBm zIk@AkxNYR{zR={+NB$KbaIvRlU4-TJO;K8D{8q@^$s{sz)m$6okus0jo+Vex%f^cR zeq8lg+8;Tm^-^@7A>QDTdJ>;5k3+I^Mu;GI_U!xz)gol2G^JStW#0#4q@6hj~E%RsL^ zgCy3A(!nARNh_#?p@u??IPXzQ4`3&8C2hW0nI}+;FnQGRmf464_{r2=?PjD(o1# z<)^zl3WJmMOw=4eTLQ-3siFjiIwtj)bpREnPnf$UyT-{;}8MFtjOnR1Si$ReG2DBa>q zCv||HiyqgqS1-;)29(uc$%$Kt zcy-9Z=2{dO^JUQw>?LAd1G+1Nbp_v`DRP4!1sswX6i(Jj=z0wG^)xgcb&|f5*<&LS z&YaA zm`kcw8N4uR$xp{PBoz4tBs)=4l=-hUZ` zzgzbBUXGv5^vZB%W*})u-}xjlvfY9g!fJ+8{1tH5A^N+A{bu-q2fcPW|>xQ?Qdmd88%j{jBjvJxskeact*y*x7!Rrg;?@LonM}RfbN! z8o-=^cb?9+9d&V>;O4Cc4!qqVjorGGif~6VGv;O^F+ZwLBzN2%`#W#ln4YLL!Sa*0 zP*nS#BW#1a>3W|aly~)^{8S#z}gg517huGX6z&6{@$#fvm*Wi8S~iwfx75b$uTV;{)F*TUmDn{OH%bwr~V) zYBEhTp#jyj?Yz^7|8jXOdVvLY4$2ih4kNjlB18PEc#e5U#+9XzMX^1SFnh^#bvg{7 z67=7?9mK?+ZmtXgG9Tw_z}?`+eVQ~pnNRvVJ(N*CcNWdOQjGh}u#HR^IC{!=(U;Ye zcsR2CvlT@!|21}RXU<^q%K<}9{PaRV`vyFIW$F<{@8OHhWzz+tiGKneUa2jKOw08wMT7fKUYl*z% zoY|?j2?N+dU$CS_f37Y%NUAzDtzH|ZE$de8jEm8x_??n}{WuIXga68k zr*&D35rz?FN)uQo|2cFUm{xIG#lxWZY7gD3!_YT|(uH__XmmilUGM&5MLE4JRth+O8hbvP@J#1$}AKujw?ed<4tlw zk(`cpjmE0Iu=qS~;@f&|69wwon9rC<1Y^K zPQ*&twPP0TIl3(#-{d6atAKu=*~7I?nS0b_W(uJRF=%MuSJWC{IDOC{c$^dL^Z`Ac%|IxcOEJPnmKkt3jt{v9K3m z>pVA)zUyc)G)Vl+UJPoHOgXPc*5e`*MfZkj8ylX?4yp*QLUJhSs70OB?mR z)^me_vuLL;mS&hUY>@we&!XN<&l6QFFOZsev|ou>{q(K;sUwB*0u05i4j+DP%b1A*7lsqU1JBod?(MeFW<{8{!tx!t zTdv}$?hoFTn7f9L^qol+&NICtpTCH2s_%%Zj|>yWPQ(G7{x=VHsOmD_GuiUCIvG1f z^LJ-Cn$a-#v0@&YNsq;}|AM%r6e7EXJg1rnVzaNCxm z$lSr+bGfH4OQP{xCm6U~dkhujCL8bBJ;;}0dQ^y#e1L3bOtR*PYhrfSsdJz0wQ~DS zVl2Ld9+ofoinjOz&sy8tM7ol|Fug+tb-Q%gj~Jom?0^WN$4=fGuXzDnIHW-)2HN-)MiaK!h=+3i!y}$K02y| zvnvp>=CRF8kiM#AdsT2F>3!4ASK8^+BGyAGYo}67AaWZM1FEw3>45m>QGMUC^--)E-&B%ZhYqQN}mRkro zz_?O3KAo=AA5T;8-L=LxPPNkuD9f5AqQ=phRx_EDc{HXSpia|8|hbTh;OOwnbw*aPEhEiCAUhYenFyAQbUA(3BW+X&V_(eqI z7z7S7vQu!u$Eh*lg04r;$vRDRo1fEiVp$z$g9$5f1=+fHD2p_0Y` zQBCH4B4-)M1qxajl*XZ8)oDP>VpC17E+hANVi}oVptBk&#ihn|${9uBSK((fj6PN? zmd^(5FceeBXSxxP8*V@cBy?s6gmu!8NL?ORv6o%4lVxgUG3wC-t_Fkwu>eOz+CETj z0CpY{#zZ3=ecL;AOMyZQg(*qtAbee~Q9PdSD0r_3mOp?&kW7U*)Ruz{Ok!5)dO;#G zDUoy7{eGOLsY3orE7O>PS4U6hhJ)2ebHHnjP^h&TU(G|9^Qg@>BpyY}xng>8H`5DC zKTQP&j8oBiHLe12&Trto?8PDB#2XFd1;=sxbEt-thqM(JyGu}`G#89^@s`pDWt)B( zjOsb9@x>f2<0r3#ONlbF6vx1U63+7mdEEmy>6y6bC!^FueTUbmk$zROpa&&*FIaQa zCe=8NpLukxf0|M?Yt^IcSFi#@JibifdOiE%Xuf>k;2n^f*X40Sk-X%ZK~oSLW|Fiq#IBl4~ofUK@Hq zTc)@#7^_4CNva~!Kv81hvuJ^#g7Mo`3=WR4E9s1{bfW>|6=9oEP5r9OgDw1bpU$vm z7=qh+CevppT-E%wHc6&gX5G)t50l2C&GSJIG^E4(jeAI{r6{^yS<;Gsk*_C=5(6lQ zbf$|ciFV_@mkr{u&!9-XlU|;4KCr3w6=1A%l@=4ge;j2W$?(UBG+vKkHungje+u2Y zjl{pYLDGk<@voPN$C;cD-YYA&GiR_`th9R<`Tjc7jxP0~pox21d|i~u!xEqFB>Pqv z(=sXnFQZ|48Fa=f4Owf)!;vWLB9~fXs$nQ62hAC9(^T*I^Tf=&>7AT0IVBQ%R88#H zHn25Z_fqq_;!FDXf&)Z0XiF%bcU#tTOJt2ntAApt_ZxY);5|}pWXyCzNzp*@nQfV? zH$!;l43hq84f_nt8OmOa-P0?!#zD7}ga3-kZD#vXZU@DZcatWZTy=bnLqb&EZ9NF- zFA}ju=+-%j-{XyGuVwV~OD)+>xS^wE1*2AgT|es^ap9sVY&mP<6Rxa=ZB2Ue!w8kFGy+QYf$sJ$8&AA_}- z=kxRZn_H(>af$o3ab&I&C5=mtQ~b!DO_cxlHz~*$QHbxRa$}Fu`$A-^Dd}ay-{m9} zXsv54vc0!ADJj{hEiv~@j)*ia1zRCowtO0X7)RIUI2LdZ6X>Dmii(sYEw85cwY{eq z@slhD+L0rhlKvjYN^p^Tcep{Dofw*k_WY- zQ%5*)UzfbMdT33%;`sWOj&>H!8LXaA4jfyU$-M(tZi1a>ag-sCJ%*K{GVG8uC|%2d z(*?WnK(dge&goq!CsKh)6_vhL9TigYl-8U+v~~LvQkr2XtHCG;j-RJU&Cm(z8=L_S z37z4bmkbQ9Ow-J&THisV+7R?$#dV^byRJtX`H18CYK++guI zkJMOvf_%fHkc9X8fbl$p2!l-{%7U0MFs^oJ(xgq#8WMZXS*o1|pt0JDoAm)PBgc;- zMhErLu8WCc+~?OAg3x;=)z`;a9I*aaLmUo#w&-GQ=q`;7OXCoPUKts6p=32)_R;kM zIs?qKiq&gs7jU|`hv;`SyJ$IbqX-HjvUSdiwQ(YY&KEg>r1pZ;&6hP!&F~WzO}ttF zuS#8&1Hr3R&yQIxG+R-s69slDa-k&MmRAPn6vlBHNloO{OD#4=iCD9l(jlE% z;5z0hKwPNJYQF`AvMkm^&G0gd5^*@J3Nw__hDso^c}e$TLZ%9KrY!tOj)GT3sdv;t zM==%1k&e{?A~`qcd39n3dXWn$@e|O|6~*dU9$$o61?1JLWGxPm)py4yFc8ncclIJ}+bMo27V9@dJSVd=8)?Lwxs*5R}V9OSN_p0d(V z*CV9LXPFKMew&R`O0?6=>z6WdNgfyHC50E97!S+t{G}dJr{GL|0Cw~030dB_!8MRo z?-hZGOM_?D;7`fYnrb%aib2qmoTI9E#fYIat>s^}hy6#CC%mUhvhi=95rF?79ThV+BxQ z6)65dG#3UAPKBro!c}~|ibZ*``8%xQot24|C=gutue9BN)^XM+Ld=R2b|@g0j-$9hYg`1qP>q(k!i;ex`Lv(X=F~LiQuV@ z?$tuutJ=!Yz+1&^`9ZIUQ28rdX#KsVrSrAG0T`~CDXJb;)vnwhz>mhJVVzDaV_Hd! zgaI?FD4hZZli-GB$_5$pUFk_3+kAtw}a;%;ow0y*XAfO-h- zAOaPuU8^)PHKD*^70BvDbR3i7In5(d05k$u>X_)TW4`F>kgAG<76bJS=|^2W;hX^H z4{wdF`ZlisjZtU(>}if^SV);?rF&XEmxQcIG02R~J#CFraY`bcr-Or6S1*6&qbT9Q zZH4!ff&mZXzs91+^|FClB)`m)5ipilI%&AN`B1OpwiRXM$(yNo=Y#*Tn1KrU-vy6- zL=$|jb7ro`S=W=YemiKp2rKB$l{k(u%mM{c1)61q?`Uywv7RT}Snq9caJKaSEiz>#LE61^Knli^;ni-b`1|I^iHkxP621U;BebY!OMBQxSWb zM~MID6KIX!5U1n!bBuyFEORNXOe!*5`{Z39?g&s~o8+`LmT?#8(pf8wTzTUyasJZkCE+vK%d2NjE1`W=-Qt3=?l<03`jYSA+4QnrL1t! z=4Y1@7evfu=Sk$gq3uK-N5l58w0fNLeOW1-`H;QT#G(6n)c3H6eAmkKFxL`pUvKmC zG*vJ0?u+SO?qo-!k@m5vM(urj<~2ILcts(oNYs!%D_bt2j=$_17plmVpP84b17?_0 zgD=rJzYiB!J^=hj*?w-})Om*$xqb2x*>5JM=KiqBX`z@Xa0IMe)-DIr5=x}AbtY*c zeR17P5Barv!n54Yk)QufxyPRspAmEIGr%|lrROm1IYG+}@K03t&hN@-Zd+09L=9!+ zk0le1WAtBtlF2L43p^N-on?x!j&?+i#J^7Ba2!LP=_%{dYJst`7IE!UxLqRgEV=35 zL|;0xj-X%nY5Ws?cW8o=nXC`p1~HxII?YWodtoBg0n6r2WaNbsFLE`byk~g!=$fmk z>@yg=z6{C&xZGIn--KaroR0-GLzMYs*8n3hY&KFChZc!duy8D<~>g1Q3R{ zZZnnu6HvE?GE~^D4lGGtu&N1vasF^br0jS_=YZ#d^>ZA@0C7-tv=%x|M$1Hw&Cqby z_W%B2P@xMpa~ccObSW|tFJ>Q!fRR&YvY?hvPbR5`I$O;C$Hx6H; z^uj=dY9EwW@YA7}r4c1(gR3~+5AK>3Z83N(&`z`97!$%_q6b|B+o@_+8m@4Hb{dyi z=DC;WCG|LbgF%~VE()-m(dtStU}^FkZ)B7Fd1x#;j)C_KS<{JGoE!}pC8y)2#Thjp z@L)qj&tuNVOxhu00#YSGFLxzvJdO;0=#0HZ(_FLUbydykl7z_y77eYn$~g>0TxwbX zvyGa9)!BJB#vipNRas*VskXA2$;i^+dSt3n?)v41qP0+VeMIahqH9_Zzk=hZ< ztImCqgyF+!M4VQGagqW{-pzms%U1OOq|_Hi)w~k72xi2IWV|F7r0Ychw2tToes=B2^uI6H0v46A5De0?yoBt+?SG}QAeubwD>X4zXlkAleE zh>E(|hgw#CJ}O4ulSlEZG35A4(0+~Y<&1Ok8<|c+uL^vM3Y_Tdj=0w`4ts`2DK(7i zHIrr^gUT)>j7sD_nsVjA9Xo!6V+d%Tiw}#4)g#=|=BTN$4VT}6>YbVubkiAmv0&k6 zJKrcsjwaFEfAc)Q4-v zV~xiBJ#pXHhGV;rYoE1m>Y6O237|6gg%qmG0wiv*6vCZ;-Pn8#R&kj@#B9h?|(iggL%GACY;rc*WQ z)i43`7<^YYdHBYXxoYEwhi&@9Ubf^1dSuv!j-5qtio#QToYYN6 zZ0hTrK?6Be3V!~aL``oAy^c?!cez%Yt>ML*jbb^DF%Kq=zK5umg!V-nMK7hNH~q3xqJy6TbzQzU0%b^$@;s4z8uIa3kr%UK;AO_fAe3{^)RuscWSA zRE*zz3r)j6(+f@0FgNuGH*r&sJ-ed1n-<6@? z>9x<;gJthL+qwn^D5CUdTbLENwrm*1`N<<`e?B46$PTBa^N~_){Q$Dw$Z-sR*GGkS z_#IP~Ejd4Fa~)kU3=PbQG(JzwI7F5gui-2aL5QrX$FwBo;)+dWN8fb+nK-Tr<2_fl z-4V%cQQeIZ`!X7k(lNVIu9*>tHSho7L!IUiNAmzbPGX*1KdcC0jRpW)s(APA0Y6yvSW*lKpQZ z!EYeF3iaa{URBk^8z;n;gO5X<$Sq{Hc&}zlPEDbY388i6B<^5a6*6kBk7QR?RKV0T z1*AcCxlq0FZQ>Z$cnYq<;6ab$DkQY;()|)r?zDpH_HgWJYDB!u64d9I4$TJ&g@ZcB zQHmg9l@SCy%vh)Hi7KlA$8B@w$ybin<=CdCdJHAnRBJc}rk7l;$B{S(UnS1l;y8we z*7PkBvxWs0T)HMWROgtp!d{J%+FWzQ+LQ(q4->phkWfXw$nn8s>YTT+MBN0ZPUY|* z-n$hihZ;r8X{y9kaq$Mrs*r!8hnF#Y2%DdX(FNKJ@(CH=SR6$-vrRU8oihlBT$}2f z%Xcx}!REN!nlCXj&=r@BLr9b`Zm%(+nkPfo!;Q+w-c**7`a&7R8c1b){4q_-q59Ti&QP;L_t&S!a7f|ij%g$ zKxbMYAzOJPb|$8}c`QZ6)DQ=;mDpr}&Fvphp z)}d8X7j!wwQoU7t4o800Qw~Bv3;n6U13t^cxbvmJt9K5p3O^mq=dx10CL+GJtQ4vQc9(k5(ee+l}DbC5)csk*Z0)Is<<{`HooL%NQjT1n; zpBnp}(Nz2<-{k>%x&<(ux8&ZtrqIjw=ohBsPS689XKX%(F6>KdW|MpgaYUOt>Ha3| z=`$2F&j3WKd`G@R$Jh;)#ZjsLB|N!^8LoZWqvU%$71P}$>*6h;_pmtrOEaZDLzXpV z#L(&S4r_&9=Qq)2B_?l%J-tO$3p(d>=tNk+qSK4&Jx=`7AiSVYbOq2vCKe2*-S;Ah z=)th2kH^yb&Ndm=YoG5un)h8U9%xA=Ggr8W7(lHG5v6(G8ZqCvDUx)~iod&T<;;&! zT%O?dAk7D_akQ_aD|_N*H8Skbu^+uhwb#fguNw{JskHqwhb*-O>;sg|G;`O`uq1VE z6s|8v>0ZiG`g{+??l<^Y;s?5AlPUQ*F$-v>-8|{2XgI!+4e-a#OU%Qck-U9BAl8E{ zm2_7Wo|jaqogT&8H>wc7oeZqohwdKVB0a4a8FFPTcUNu?fs-tXGAdpFWAt60{qMcP zt0jz5zLjU3l&B~pwU|chGi<=%GhaR#BwCH(%Ot9pGl+W^!^!G?8ja>UEA9LBZ0jmO z@vzU_Jt%v6O5TJ^rXMsD`bpwS>udy0E%XUdJiLuE_1I-0GK(6UbCO%wXLx@Y&R_Bl z$5&~C=gLZlO3O}(_a`w;XkSClUf&aGRUfH5E^!tyF{5bFD$5-8B5A_@KV-DWzk_S^ zu9n>KSkx_^%MXb`^wM9JQd@8t67o3Q?5Q&((!FaMzL1BzQ>iAv@@Qh z|H}R18~XMyw@p2tzLmKrn7k@K=>xY|e=@aY;KrCv1Ah5G0Q?yY7MX=wb#2nGRP51Y z)y4GF%=T1*s{`@(*Cn2$J<~k{#wEuh=*g4wkRjtcEi7+dXfd25erONh_X@-LcZi(I zQ!&M;a0P;nIUg;Mg=-U0xBC4NUeTxMxZ{qCDQ8`%*w9bt=?7`-Dv$L1m@>E@p{xp3 z`qRc~ZXVC>+=W@M?qweF{n9j3_i7liV{x4JxDe$zlJ@(C2AS5iv4*Tm%<#WeW88d1a0hOrvMB;~Ae zSS5?5Z%VN>KF3eH+@|WV?r8ap2);b0vMf$JTlZG zL`jw~gjXS2a!z4Yo~7cA6Sg|H2HF$_3!M>X*&j)2#GoW=97O7;9mm)O1jgAUdlCMm zEJy45Ew9sRQ5$>~FtS+AfLU*fx~z^1{DKECF)=4KFzAmYnZ+4}(^3$H$%XoOrz!z; z`eTNIF*tMuNxv`!Nk>bd=jLh1E&kT_&?8$?KCH6Tu&TMpg!#rUwREA&Prw-O2vXzx zu&+=dTyWFiu8X~#PRfv?p>3B@#p&jA$}5~Xg@_BPd(;P1{zDCsl5B0@o=h^BaEoG6EStwO zu95ZCL%d8Z)FVeUQ%A^@+Joo}H`|(1l3@?fiOwrTYQb@ZE1E5gJIm>N4cip*{rEgn znWO-~c69%GHaWHxbK8YLhng4>u&oexJmdGA&}-4|yk**SDE+xy2Sy{AY(~j`eLFwo z0?1>;rTYvIp3f%ei6lk04^{)K2p~o~St9bs&WMCL!}+wtx^3G#y$}J#R5<=&d}MUa z;J0Isn~ccA$*l#vLrs>|THR+*ut8<N#&OC$Aqil0KJQy4Avv~TCJ>-;yPx{zL zdg8FpaMU+Q>p8fvec$W7$O*G+IX zsTBD$+lc?h#C6;;t;XvR#i~TKtQ2zw#$Nz)25U~__RBP^XSxwr9%9`3yp`s)pAOt6 zKeY|l;i;JDn#k?xFlX4$sCD44T+Yv@+2O5lK8byXNLYfV%qmz_j714d?f&i{tvhhf ze`rYckD2_w)N7OWrii><6)K%KpJiq2DN@IO&qyP$b9Sq~ZOXqSSH!8?^9o6MUeaOj z+*^~L7tc-7!b_L?L4jKvnq)1xIB|Ep_Q0)Gv2j#bI{&aujk*JxA%jk_4$oN+rYUmj!U;S?(k z*C+jE18I9+r^KvP_KdHM8=kdXhSF=^FY@mf4GZsDI%=Z0>dcnNPjXMU{j?R^Dda-9 z1EJNm&SIGTkdL!J9IXo1K1aJA%juqnam}`J>fC1bFatQ+RfXAIL96TRKJt|J_(@$i zcH$Y2`x}`9?>UV{h0C3=yF^+~s0aKNm9)1|o-yS# z2iG|w_7j&f$Y>dtMuBygMo5r$awjD|<$a50bt=Z3N|~*OSQQx1l64hs9%U9pb{4{a#9it zAqWyU#Sc7Y!IMoU0IduybVAUJ| zy@8>m$|{ge!OJGrSB)ZOL{f}dVw%C=f}-(rG9c)TEH2PB-wc-1*xLv2oAD?TVo<|! z&Ft4DHpS~+{&1mI4wld>(<$?1IxFCg*X28o)9)5f^~LSQ|Krtd07%zUCA-;eyLD?y8Osw%A*NlJ z50QsER1MGnx@qHuNaCuIjv9EQK|C6uC7ft_P%|}p@yv{%9IHL2C3zV2&cXL}J-RNc zJ5Jfa^L+Nc0f!Fp1h_UKNaTWfMFra3oU*yWGCSgCX5F7nT-hHbS8*1>634_<^AW{j z9yyJUM-%a2snp;3gW%=o5tcJp^>~h7XULAKS-wIfz_heoC3$>2i8^o4lu7Z>Fp@i$ z2i0~@1>RC~HF}XmMOqRppRGZthqR7>$fX|deh%tYi+*45zcKWrG z^U?hizQc^!`lrj%U<{wD>;a5g0shq>>b_3UCa*Dy-uC44&P zkA6a|yR9tAC2Qj`a+;ddn`rm#fBO7rNSln@=tRS+q+BQzT7v+qZXZ8KWXD#Dr60R8WphtK6X z1$uSAN&L5I9!9Q^A3VwQpOKlE#TP9=S{gjg>3t3{0gvv9W&A6}gV-ZanMgNcB)Yt< zp7wo|=}#e|%@>nubQ(XuquUCxv=nKRW4r3H?%^nA- zx1AWvQ?H1l?7FrO?#y{s2W{FLc_n8BsfStgT9=1%Hwp8XAi-&U){m-20}&P73XKJD;^kvWP+H-zUIW0n{zq}|zX(oa9p zmsn8_2^!6=A7(;Hd3l>t2S8=KJXjS{@D6B{-T>-qolq%O2cHb(cy^k0v84gDDR#;{ zd$S>jhpXzGgH=uQ_*V1{*c`g#rASRHLMg|FXE#BZyqR@aC&-v7QJMu*&^`WE09C-Q z#TsN~;A`ZO^g56%9je-5Gr8srZdS>s?PF4uNAz?VR*I(=MZD+ z9d4F1=_G*j1=#eml9$+vy%JuP1oL02zSJ9-0gPZoCD#f<#}e*)qqiIif%BHk7VJ|B z=OIyF9h7RP#)5--;2olr$QK56T@EZB@d2KpA?UgUnqFVckHaUN>v&l8#KS?$G}KbU zcv#>GH3Gd%W+;46X4=yUAqQmCa2NvfTsM8*n~Gr&|5sl&ps+~)qorr~OYR~NSs&Ko&N zi%=*=UF2o;oQ(xLMph&YcE45C~Fq2cQ?jc>nEp~Ax9fIR@IEA*hxEb`JCx)rj~5~97fcY!3qhm$)CXS8A<;1$f(7|){Kl-2|H%6plgz*q^*hbgQF&IesK#>VXy*l zHz(*A%^AVt5amy45E?zU>^H*xRpCa9Ov(*SxE*ZY<6qTH;UNJR9IVLiHFho7HPy;p zUMQ^|;PT_xzW&DO5;;xXefe20r_&uL^6F77G>N$NI?nBm;ncVc_(-_NY(@6;QqZ>| z=gafW4Da=j!;{Lej@hBYd7_Fw4&D4KY+o2n8~%;LH&NAZ6@UoO7!uL+8|``X&*g6@tM=Y@-%VmsX1>tO%-x_e?J}nS+V#mN-7$^d6*ijko}go!O&VCv_qBG zu4zm9qbW*Iwq3+Zb_k?5R3 zE0xa%%5u@Qv1v#tWP{!sm_b zh2r$bC&Vdb@QcPvO;j>f?&5gr)Z0na)91Ugy4U9@aiP=&J_Yu;%;1@=mx@g+c@3z?3S`A`r z>d(lipZ;AbUHzUYv9II-)BP`xWZC)+9IAS%oJ&s0jyO4;Twt1ErTqq%+NAbKdUt=# z-}V1Ue9;%6`P?gP7%BQ6ye%w)ErgYNKC)GIymrmo?y@ZQYZpV!s`pOZ^04ViIfF@q zaya1IIhH0=zTm`(zB=&2i6l54bTkOTdqlq4lUa=JLf&FlX4vy6aD3$3|Ib?}QwZv~hy?9DfCMM5Zg*IvMtx zLE1)fBhG5B!*(fV&Ee&DH+lewMb_dTTTj5SZ!weAamT zXKul1hEJu;TqrIKD!Y;i5$24zxWkYXbXpX3?w5hjjxQ|N1&>3Ox;iDYrs2^YzXBWr z^nDERHUxgU&P(fwWO(FkD8`6fmN>l(Q&n4$cD)X4?Q8SX{4R2iLlv|oj4`B~+2Jz@ zub7Up;L2drAlFnASy9xI<=qN&CPu7AyOg8#U7BHN33vMO5FqB~;WQVMx-1K0x2foX zaEV*ZPAwBLaX5w(YLjtQhUp|!kG&nYYKZvdZ+KbGqlnlb2KC9O7`f>H)lx`3cMvpk zhYxxVEws@#4h1(|_a$`)<;1I(4+Vpab%8&NZaSe^WK{zIv5sarFd{i>md^WS(hmMDreb0^qN z*j0AC!YmQ*e}w!FTsy^v7FjRU?JI8#T*Z)q^W*?BZ;H8r}=kUKKhXplRi4;`|k zG(PuFulvGZ&V7IU?+R}m7lS4XJ{O!)TbL`VYfSSl!9(XmmjsiHgv8}$R31J4nsI-= zsq$j;f*nfyQ1COFR3M0eq0u~ei?Ka#)g=hvfLLI4PhN)>(3UV+$6#s?Z?%hec-v!1 zg`#gco*xKQG#5Z)lPei?_FvmS5Cir}dzKrT4H&>gGe0=##!BeRk5*(tc4 z$trVzkFdu5(op)K{GOG@?5*kleDM>1{XZVN`31OH3ap=bZPzyrj>&_c!hCdW*;uhgxhPXtsqX*F^>!zq4%o$YRqdjszzE^P_o;ibx_dXpV)3kQ-^6!)Z?ckIyu~-|$uC1nxZ_vtqU%TB)yxBxozVdvy>$tReiwTQH)VQ3F(i?`i@ttqC z`u&I3IXz{5&@Zj#FAuHI>V^`j?Y=Saw8ts4#t)_!*sHU`6Z27oS8GYecXDky*5hR$N0EI+T;%L zDNj*)HtiC(@q||8fBR`1@#t5GB6&KsHFu03)pvo@Ob0x-^Pb!0C~ag^1^&DH44xd# zS?;Ri?>(Jz$U5!R2WXKfoLd!R=4KLArs$rt0|EU*EB(d4Uiy!xK6S*ejtc}2Rg5Om zs(XnN&v&)NJ;}If>v6=<*KcLyXl?_)gEId~J~s`J8@}`H6WGANSWLLjP`a@#GjF1O z@ngr2y!F*@8F>4T&1H(Z6r|s@oN_rKmPb#IM(jXu91^+v=Qa1R*7}L#wV%mfCpwYq z*t_@AQ|-g;k{|Q?(E-iYQqilMucv<}&E^*djQ=^e7wi{JWcGh`y|g~GR-&ta+D`2= z<^nmEF6W60LL9z+!9Adxp=o2S z_Dickoj~=ItiQY8M9~ZHxBb<#kzGSapC4qP{WD_75?)C7ftcv>x90-o#WXVs%X3Gw zjsk^a(<75f=b@yo0Un^9EDfeQM;vzx>NLO`df2 zvXwyt(Mc&-6ac=FII=8L2*Ycx!ziwPc!q#-Q;o%8e)q9TM*4+x0THHK?1-$J)drhP^~=Z zlawf)?84OI-=f0@713ArknxzU1w&zgUfV)6z=6Y*!V#_H9jbRlVk#l57mK4FAc35f ze;U~PcQ5|rnKj8Ng{0N<0LUEJg-cR@N=FD~iH6~0Sk3s-N0-IJ#ek1-Am(HQvb5ck zZHg-+^KtLNPX^uIXu|&91#{m%ay%bn9zY3;9vKa%C5VW4O=&)nkix}OAqas2%7$GJ zKFTaylEP_N_*A>^dM>Y9giynqgYnecZsHg@2H~*!U2=LxS9uum8cmN6NEV|C)B5kS zp3NSL89ALs-r*$d&N^`sOkpd07IS zvO+Supt3a$Y)M4?CDN=7n{VW43He=BTtlT$+ji0_B_=}j*fV}^!-mc6@i%{C;+i3+ zScd??G(8Sk$C1;u>mYJZO*W9__@y4n%%Lb;Vf;TynR8kXwPvmkR=-{xg`I&+NRgP= zP;MG7i}C2uXAyOJhUa1g3@{z)GASS#M&*UEQBg73C5wuKYw4}(Ad?4yG({?Fg|*272L@$rJp;uzHI z(>)jFCss8VoHJ(BtTT|l!m$ANTe!WNk<8a`j_V!W8JFSsSz_|qjlRZ!-|{zj;~DFW$OcahC#f1Fkzta*V$&a=OnDAnY?d&I_5IBjG?XXOK$Pmyo}2 zlKdpVTU&Xq1e}$W>#R4kV)ZD+h-_l8CtH*K(F0p$P2)l_VHI*p$AO)jLo88=0c~yE z%Wa{G%MRyV#Y_$K$y_Kj<_u`kZ*^xJTA6(T{eMHXMAJHQDwk z{0v|`1vsk)hGZkVKafP(#YagP;O+d%F7ZbkHIla9Z%w6s^qNon zU;p}#n?F`LBNXK#>>|zU#3&vAKB?c%OP|Rv?G-LA`O=?V6Iu6m9_5&RUaa0INL2h( z2K)bU_CD}+RaK(@I_I9;oTQ;WNz)Skx)VYPP~oDYhz#tc0RmR%f@SO|$Sopv)bUpw zM4eGtNpB(iaSVw5s*ll2MPCIT6BXaX`8nflt@Aqmn6Wyjb$-qm=Z8Lr>5Ls7Adjc; z`>uWNP182X&F8n0yU+f!_FikRz4rfo_JQTZ-a+7O(#4fs?Hv4ni&yLZb>I1`pFg#C z!(Uze`k~j)A#s>BJHWg16?RtcyO2yiOip*5ENlB_tRfb(sB=rec8~KQ_vv{RwOU4# zafR}t^*}OZj#iMpkX0w%3r|npGMv>;=$5vX&of4Puw6#3-omi%`6zt(sF-dUb_jLq zeV6iXam7{o%QOSg7<8|qz`B=_=_g_ny4Oz~OLNJo8t{Xp>|tP~!k6c0JvN|Ln$V6L zI3j5ldD%}c+~3vpz|Y^^`OY(6`D2{(!wuqHjUG~NfM6|_j><=BUe4e!gI-LG$wC_O zMuD5%PFMjNdTVorPX9WnJyK|-y=TAtzhAok+i&l@EN@xnqvy`X_d^U853;aQX9Dft zoW_63NC$+oC`mDV*u?fk1an~*9cbJ7srNu&=3F(Zl{BN9DBWejkGna&KPZ5C&# zhTGM7hO*&hBJsfy$xO!yANkc!PnJKP{A!+D@Skf;#(B=1HhiOi=qdlSM3sce*b~H{ z(Iq^a5L4>$7~^V>PYfEcrF?9vuf6l?WBqHc2p;nrTvKJ#ypW#dBJ(y*GjVtZXG6_M zX0gt-#MATB1#&>p5dbFpzsLq2Cn^LEC`OrI#A<-&+37G0;yh2rhR+_u?M{^~q5DxN zny=(}3xgxvIk-&0#qKRtNLeyzvYJ=8$cIIAE)gB3gxFgjdDiKFd-kb!fB)yd{3)UH z!N@SaWvS?ueQ>$ga$fXNA6G>*Peo(TyueCYhF;MO$ixu@S5bOIK&EpB#Pd$YWbN3Pwzw?Lusv1C0xzb0=zO-Bpu^2 zQCLZs;F{2MoS0+e1&kc}8jMcC5MyPgrS@Um!QJ^t*RTdiCr+{e1*6mE($y0)*FURdorFH4%YB4W*}?xIVmvuNXulw;Q(S1H-F(7 zSg?8&979gTW6$IARC5@&4X`n085D(A{7KV36K|!SVh#SjiM!yF&sf<4d(5LOQKT_d zBJrN0VcZ5|iJD1SM>S#G1z2?kl~K9Rm7sQsmY0|aIT0+DHPwmfBszLGh5ZSz5wbyr4zf=S_W9qy70ut8lE9y7^>q zrIA{K!H2rQmJ|UMC{^M{0BXmILu+^}l8P-$EYqC7kOeE;kcBpHL;}=Jj`kU=5RlB| z0X#zU6;Kv5&JzII4}b+!43k$qc1D(~b8yV&Z3c(5 z^CRV`Zk#PQpE;mSyK7pkc)dBSkmSk1sSkYNL#yxk=fB)-(T{>!zzQ09R9M3UD&}vF!$A)@8#*-@ z__4e#0dgUzHEz}@d1H4V&rQ%3OJdf7JvNV7z_lpvvxu{nGB&krSSRf~Mua19t~i); z=x@hnUi$g)L-ou5_J1FpU2yD>RA|?XwA$E*A&kAnnJQ1JGe$DujI-Paf-G7j-a-qt zoMkmf)q}D{N{`((^8B+mB<6KARJdf_f}91}l0jgWG+{P@h%;+oG!HN=evY5CL3Dv+ zE%*>ruo|Lw7@_C2#QOO2t{#(o56W6XOV!%>kfe`xBZjO9KP>LLMnHp~{EBK4ONg5< zZ+Q}g-8v(yk|YW(V>9Q|EI>ROO!3?x{@7oi@ciF=?$^G%^#1vWNg;+MLT^O&Z5#6oQ8!t61f11q`n;QydOJ0W6SA6_B3ah@VPbjj+aaX5`j*FmOSP1Gxhx z)RT1^hEzg;VdNUoz_15#Es$e=4b@RxF3$*uPO;d6zQJQN|MA;@`}ryV_Pt-ckbBH; zjxYm+F*`*ynJG9mY8b!9+KrWsK~Nm=_9#@EftHavKj0@b(j77eRO1k~?pc^!gV~oG6omm%AeVelI zcIgS%{M$pH94?)?vpIFo(Pzz^Nc^DVt%agv9TMIz$VS-Po)me;1_xZX9-#K;8lDeK zB;wf3ac2!9AxTow>BKJ57^rAX`fE9qo?LM$~kbyvvPW; z%9zh$|5UMG^yRg?fBVwcKQQ*wXMb^YF+U>oWn|buu zNN(NkEKX%6Y8!9kPQ$+6=SHJP+cR0lB57c(RvoCE-G2AG`=4EPv7sIfS)Bb)bA{fs z9=SeTV4ZT3^24#`Mb>WLw*dm6Ehet6o)@}+K2o{cr$*%{U4T)ijWiK>{$X2!G=bz#;*R+DKXK2UZ@e4td7)I{5-f7T+qa4a9#0ZKl#0~R zdw-G_eJ8$6K#_ZAI-N|Ni_f(zrVO^rLg_Z+*fU;R;qbMtj+|_0>#EEQ##yGXkyG7= zl7k#M8}Hos2LJVm>o{-t{JJpS_eAQ1kj$KID@v5YbfKp((@E*q8w7QSFLmAf25^po zVr03e?{}85h!OHA?t#R{2-FYH5#auww?2O7YxbPB?ylL=J1Uuad_SZk^w~ASdX@By zooUyoekaPI_!)t=a)?Oyjv&vOMzqQwoicUK_doOR2cP}!#i9+*qaMTtEL~@oMny8; zl(gnTgLaUM`A6u(XXYZzZ?|au5k0UX1lG)D#F#vVVpLC1Ac9gl>@u;>Qs=wyj&Vni zHGeq|s*Y1BE}|W@aG(zkk?5SBEBChqQ~AVRT+YY-Pz2?q)n+^$XSTY!fBUN+fAsy| z`0qagZC)UCQ_nQE0}6G9Nr4fEujwFgo?STUu$cIPiV3dG!B~RLf47N1_EB3TR z^L8dtOCr2PWfK$|E^$79U_9$-c*=-9bQem<=UDo&=cQu4v9s0JdB6o3uv246dk+S` zB6Ja0tT-#Do%r{6{(SjAkDmGI##jc=IiQ?dK+72F;~ z-JmJuM&I9|5pTBi%DZrGsT}{0-~H;%TON4b*B5Ffq;?PE>gB~D3QiumpCON2x6Va% z#w={_r}x8oi5p@1W%9eu;gAPtK=&0B(TDoY2{h}OdrdJr^RKg89=UJpCFE>AP`Qy> zT4Ri^A4aObM#bu{iDGNlqKb)+df9+Be~nUGm_&broRz4v!|&@%wl`=tvF3TYUGYYe zv->3cGW0))Rr;?K%i#<={!}qGRS2lBa@aWU(#HJycetKz`8tWZoaggOcyEs=j$wKj zOTclq%im{ZGz*jy2v%C9kEu-UL<~>9oq{y)m1~`BfA3bB*>#lQJ$G&TU%&eLtJnPH zjz67^eT2n7Sd7!}px`7a`n_vY89j?q-!LxRVwu%-2-2&6qnUf4P`9WyXDI!0tLUaS z=_;y3?%-B3O-THW@#vcD?fwUC-P;-sfkikRm@};2eoZ#%r;+W=*Rq2Cf{g0LugQ|G zFD9r&j%|74)kLp5(Vj<7XEO0Qc+3xF)0f&mNWiYiMjPw*w6D8?B?vQx4?# z)kRnSJLU}8f9{X<54)Vj?|3R3^IQg5-i^4kanBWqD>|h5S`#VjIpi(b_*@b_zNe6n zqL5U%N!Jo6o%uV=t$(31axa-OdZq20D5bq9zvo6Oz1wu+ufMx(^q4i@|GyW^ffX=m zd2KtH`~F55Y_VxH{M;>Nm)_1ic@pIfC$)>8O+2WTNBgaAt3U20*_R*Tz9wh|NnClx z2dCU=fB(=qZ(XPqbc!#xZLh526JZyTmTzaya7Q+oj*UltC;EQ#n$QEo4?`WaD?Qi| z)u+hVb(hdf9U%XQ>7${|15-P*STEzn<^$_9t(=A!v*)5=ecX!nwdD56@igf_o|gAr z6b^Obo_05#tcmrydK6YzJjXHO8S3bvBixlXCy5J^%5`ooSv`Y;iW{gq{`XCn0VI^% zFHxMXj_B!^1`a+cvu7Ezcx>e;s>UxuO!tq|MLN7r=JyXdd40Fy05-oai3zCbKb(_$ zEWt$gPvgBC5|{sIBbOR`c`gn+-vvFxaV>vd$q5&E0yUgKyXsd^KA&b1xrb*jh-4Vix)a8Hoownv`$KQ)}*^) zN4Vht>Hig?SOiE_CoBbqH34EO1@m=uGj}h+bWI$x8FA1eC|tfrZfrIRSP~!bLZ~;- z32F|=E)M=nG2k{oK8xZcBaIjqy{$w(4oiUwZSMQc2qYC%8Ea(HJQsor4S$C=d8)Cv zW|BkU_+{&5F6v{BaRI;4R7#o$KL>IPAnPj5`v#)&^ys^@IUKYZ_eX^a3nU@~b#j{L zX;LT@t#FHw7hs?DCq)%4i4Pt^`<3-$lG+>~vXfHHC}g~&I7pr*8yJz5TwdgJA}neh zv$wwCqZ$pOMv=o|z=E;6GM8HJF|Vm?4KQA%LS!M_*scc~auG&%!9JDe*5qt5 z93sCVYn^vnJ3eaDu!_i(NQH4)4k5WG$;c2^LeHS+JZ{X!v^Wr(q#raE}GeGBAsGq$MQe)RC?wVZ;Li)|=z0 zbMuIo*qlLPP$JN6gjo_7B3R%;;PMx^jTX2L!zHNDbTlp;Jfz?3Zp4h2p+ed8Y&u|gQJpEs^YjRrY_YnYBiwO@zT|abQCk~b|pznK`HK-KseujYdxofKh?2y@Ot7-AU(L^@f#u)2CO*_Y}NAQsY zf%WSET9{IhrT&qr9xhH+53B z|3b%p6Zt>?7CM#(eWX8I?WC)g_{yd{5{1GtHT;ygsiS&kqVA@ZgKbN?D(1W_-)CUX zkdEzUm^*RJ#76m}W1@5~uOZc+;)rcX{ccj%TLZbVVR63W_&ECLDw%%8vjl8tkK^jj z$*)vg#P}5?+h_2GOkA-#(gbHtF65WVt3>>(R>qL0F}s~a>a1CyK=2nj<#`DRJ;dXJTF}As9 zXK($Jmvu(|$*!cY|Fm&=65R=cBQtgQe5`m)V(V+5WTBm`wDGvRI?; z{?2|G`cP7-zeLa2$Xlk@wK=I?B&jp%#5o+^J1l*_cX}-TlR=)6Y^S)KwW2$$y$B1g*mmTD@)-y3(zc&49(#V^W z3&%_H{DQ=52#VJ}>33$;1Z^l=cLw#PgNug54H!P862@v`3Fi&9GZ$kNmy0N-5{9#% zQ+Nlk@Fl}Am{tjAp&V?xAc24ufQqpb!Q5;v7eJ)%zfMs^vHr&MyO;45n+(F+{~?Me zOhV^i!8IG8(;8TQtfqDCfHk$VDFh~dPR7cK&hGsX<48Wn@iWf^dZfV0Ns0-_M-UUeXY=qPNpIbC}JOS->P^HF{Q8 zD~4nH3{(LF{C(zvwPEy08&xGJg!wrBG~hMD7kD7XZFxHuzEx$+dFHi}UT*@~gfex+ zUeTmBetmM6B@=`$Q{Ay6?K7w2A3 znvInEENG%QE{P*PHH?4)fin7((lR<&?C}*-tuT6JWGacB0hKDLrJF3tf{k{U2pY?} zsomn#xOAmZAR#K<4=<-`A(857{|B@&W2V5z8UaL)Q6i zxmNgubR8InT2aaAvzl>OL=a`nd`5z|vn;lRK02*tav+n2GiIkPVurp1CY&L$e$lLc z{opw^8!CwtQ5-5YreV-c)gn?eY;=`)a~uL=(4cSJalRz;NQc#z|KVk82Nr`GqrxL{ z75on~a=9Rnmlac2W>_Mxod^hRb-rlCa#$MI&0SsvAKZumL=PB-!+oKQ#54Vl9yVJ87@psZy^Xcbalf6E!_p6a3j*@E zH{J-J2jSB9$PVr5bZy=gW{iUlmCJoe?uw`2HD;fomYKBN3BMO`6~>62Gq)Xr>$55cyO}k zRz|vUCW*9ma?qdDZQxSw=^wQFaXPE`?;hek-v`=*%r3pwh1R^*zdFv63(3R5f)og1 zRNWff-OD>1pI*|-x1Y@QlIL4zFO{i|6X~5li0z&{3CmkJo8-GVxPOaE<$```+q67( zdATh{D4j^||8p;WA9qq81pS|nS2^d#V>)DVkkY*5^_uK{+nC#@h4|4wW5I@``u-@B z`fu1o7+Dp|{?Dmud`WU^^_P=UYo1Nwddaf?CpH}nzdMk3vu1kc$>MLnL89Rer?AX_ zpu=AKoHB$59%<`!S8ugt3QhWc zDQ?r4{x3+m+b6(gBkd7KL)LVfIP zUc@k+GwLChSsE~LonvwK_-IePYv10TFA_O?BG3kByKa#oTpM>Qr?6L< z78qo$l-jgJCHYhGJ-&ZjS6^9(5~c=D9&s-==f=XyVZxin21R--_S~X(#|xSAUM@4lUjWedHb%Q0CxUsP-f;4X~V1A z!zWcQNu1OmN*84N4A$VahR`$AP0?)i+SRGFHW*D97I_DOEWF3!EukAsdWIRmo7s^M zy~WRZhp+_=XB|od>MSO^hFz@&5TcdM@!n!soieV6D|sy*-U$ceiL9xk9I3NDl?gPmJ&{+1yg1A1R`9!wiIy-3hVc`@St4~iaD)T-dRhu7LN`inYJgsbZAojK zGxLV*j-q-!mjw7N2?jM{dBjj1zr^MY1`+v;i#~V;4~*tMLqF`n65#mDqF`ObaQ1em z^F#@!dK3x{DN4U}#V$ycD`&`0fsi4!j3q*K00u%oC9DIn=Biv3VkM z@C*-oV=h255ub}a@^u+Y>DM$5Uet_1f>9VO4snmikbQHB0}wRV-V>4Bq^htpipVNj z#;Zj3Opa_5rn?NMXk=+~t2|o3gmYjFXwXIPP&&muWpg=`#^Iomjp}7wHd7>xYKIQ< z-vW}kX(b?s;$W4GvbLS209BKF7cEB<66yeX14=g0>k$S`{8e&--{)Z&j51jhkmeW+ zzH*n*GEpd|PauF)kfnLH0%r(x4fgP61QW@|7JaypX^owT%N^dRd4lKU7W^#p_%9Bu zGLs4tu>HuqMiC*~OVhnjL?8IMG7t8;)-QzE^mlRARAIdO6lugBn?c~%8bAaTs>NYs z*{$@GS5r44J0wH)(|#t|5z{wT3y4B%MY)Jhn`8ozo?e?ZSc@mRYC?GpK?=`YimOuf^4o~y4XVniowsIN(r@-n6da3^whnWLB(mi+@%r4R za*lU4Y<)y#c{8+m!4=S@^<-q4o;qU{Ql9LVboAm{;@_M%jE6-lClvd6E|R@l8~)E7 z7Cyhj<;_b7^qCz(`Bo1D?{~x%*>kH*mG%v&iL<-1#);1dpE)ic%G8~gN<8{0H#YuN z{L0(1@{G-+ZXw6hJ#JF(<9!PbY6N^^*wpgZSB-{e{&`qD)||g?2QOL2-F^FH!cV`x ziQb4c--C4Ok1dyYd0A!ZrL9~muw3>%`BLN^XWJyxf^45|ld&h?%P7@f+;2(5uZrZH zeYZ<}qFr85RN-tAsO!2CHN9IDMtMp5?JskZzBv{@eJNw~lPT8&XhL~g&@*w3_{RFw zue@yEg&dRDNgl9?<2o(Dfn$uIAwzyF+gC(nbqiRxIosm3bar|t_>YA;Yp zH=)gIZz^OnwL3GrLnfX+(5OF)kyMn5&uhjL)5{~Ro|{SKAXj;O;cU8^5Bu3HSPE|c zFdgU+I|08t?EDzDbmSW@y%X%Kf8Z5XScbattSwZXy=%S{~YE2ohspele|l9 z=&JE%Hm2;V7~5wk`C_)ym|GOikJGjFW5=t}yLkg_BMoTnY`0N9%MOs}G_uUmG5d{4fP%jnw?$8>xoSg5wcG#s}x( zMni=uZO1SPIjW=U3@?6)6%n9uiQH2JF7XJ?e3@5=1_--m6+8?#S5|W@0@gV~#mM@A z#u~EpP{v`X7JPEU$T%~s8TTYEie)L#BP>2y%p90Jr=-Qi}u!~Hdyh1L=n77Fp zl-F(ZX7rGN=3*XYXW5}TN5cLRFc>#^9@;-cM#%`xkr_XhK3f>Foeswki9;gZClHKiuuoreaL`HhNYUdbawZT)@B zCeMzJITCN>;zIdXXcVnivr|tX*})}(U?%cdW}AvAaBV&dfc9(1vYODD4H^ZpEM#5k zu&zBUfuO2gLK|(x&q!P|R?|Wpi? z1Ufuu&}wi*UNO^A4oct{lR9hR#$7+Z`QQ{wZ(tvWVd**IWFsD9aZsj2=YqyVv_bZgOuw^r9ID3<>)%vb zssuqHf7W7?oU_!AfSDpIEN5xSytCSR2s~Ltx2YEXlL_gy07tk#Zo;Wd)Jq57iDvTB zPQ3TDpz{<0cbAQyIlg7tH#6yU42^*53)NlezV;5$KOu)R4HD4@7iuy?q}1Lc#i9Ald(I9`m|Mh1qN(6fRr`Z-GAxgd@_v4u>vL~fw! z)`>NP)b*YG;&-sPbRe$8-bNwgw_51~tR?>5@ zCao8r*dfn+Q^uzM{I*n1N$Ux7hS*QvmdW{V2_2&x-$kkM?<|2&({QCX)47zlX8k6m zEx+JwS~=m8y9=9nGQ5Q$IdKTFK6xx3yyRmKePrK1c&6*WlF3`)(=fUJN8NtxSC{x? zx9!M4_F!Zawdu@W(wI5;`c&R}9tnIuuHxScv{d?v#8X>V=|uHh(t0?m$+wrM#jzi4 zwXQyIqIkId7bkM#N#yO1hMD9Q@|CmFzie$Nv7s&m^k4gK6Zw>dujdZcQ#Y~I9fZE8 z=!=5`Yyhm_Z7AcmZ*zF)Z!Yrki;JXhORb|8e1SUysyCsyb6RkWf{eT=GcEGr(ZU?UCgzH?*cZumHPjJ@po z@lIp|np7*2sX3tML*&;J95$r{^nyl6)=r~22Wwty8plH)Y?kLBObuNY<%00<@eD~ij9c|*npuJN6?Cl4BEh(;Tj zS(|MwDr#K~-iVat^O@1fa) z3~G4J8U<`tw)^-R!Q6^M&o_&+fW-&@rZjBmA2h3BS{59?Cd?-1Wf0kW9|D4J5l1N? zmvSx}>GU^U%{0Q3<~r(c_*g+rVo!$<6w6r+??oSphEA#ul0zy9rma0!bT(F0A;q|n zF(ztch4~L75NZuugC;U^(AK&-xJr<%l{R`KN)H!lU8-pMeYJ5{&vBoY}!*Xp)+=to2lD`Ap}ff+!#tu30j#syCC-h3quT z;-Gb;SxrE)3DHPIvkOd^aRfMXGe|rH8T?d?gBDQnbucwxLCK=Ump24rS#lQQj6Uo0 zHZP(m)J&!WHjl+EZL7wSnu-fHdP2&en>67w1VfF$tdChH9WzJ^E z^~P!|h@BLkZYwiq&{P?*#?LdD+_FM8M`6w|C;DT{5O@_2Q>wj`o##q+`}A$KDV{mV zM_4Bhxo4=WjX485{@2H&`E^aIA#bVd5^u=A8OA-OQUk0

    F+!7H{)%(yd)T>X2(lSt-e>(A=$z%Pw6i8p zL~XF(RBU_^qTl=eHeNz|5TD0`oJV*EIz8vhY<1R|CKS(fZt&n%Exi)Q(7fr-^+ebD zL1dr7`Nv{r;pcKzYX|w5zBSK+YhX{D06!WfTKHX*;B}EWqt2W`D3Ml>k3^A!)R{B1 zzt8mb^f$_hV^@jumyE$6>_4M6&lWAMQzEmBMiT+PY*XRe7a#;fKec!CM zzk?5XEl*@^CG*~)1|zhZC2~G|`(BOrx(SibUzJV5i_ID0b!^jwIYVt3`x6i;{Xm+0rqea34G;)miMfq+5SXZU+QcGdSkJEXpQnRMdH`ph>u zn0P`I7gj#ZD^4TC|LE(ba!IdBsTz$>*b>i%uZi4Pqv6hZa7{i^eb@0CPm_{?75X`h zI5{SPK(4qfc(yjS*PQq_ipsO?YO5#KM_2WUfe_Qnfz@>ND)-BSJwABZRQZo|BC1=d zzbFG;(9W)J%YKbClMdl z`@0qLvBlF5q4$=wotk-pXgbI`$~P^|2_qF8;w?olpA;l7`Cnj@I+FcuHOvo1Fa`h z-tLtY+8kCGiR3XXSwBgObQpAvyF5r>!C?vxGfj zi~$J^LI|AXgp(aq68S&#AhR9l~B5!ZT+#x&K9Q zx`v!Z_7Jv%lZUlINgg0E&ms_0$T+JrES^Z}!uJD`YPXa|O^%C$55Y`6=tU}YeiAzq zQVbF0Y|@;_1!s;CQVf!`JDiXSxRr)`4(`!bH7`^>=$6?@$NEt1CIwSh=LzhN_gWZ0 z=j#Y9dDsB9LMzh|+IJkW$CykkBGm{UTu{I=?pbgaD(cPjMvSG4BC@pjjj@0QEe<{e zBLuT(;vKZtv4n=&x;iYTm*Z_pjP%HkPz9n=EessIsZ+u7B-{jH!HYtoVA@|wR_gXX zgN8(Dk8JeF6%&oagb;9%35m{62<8tYcg4_y*P(<<+fu~`$ z3)=m04D*(_&PI1yhKM;GztCuzgQ1f>TEH1cgnM(tv>p8li8}Kbz=yBVn-yO|rryyI zAYI-NW5hrU{+KfiV#X#}HisH9ELIb?_v4w48?J#D`H?;7%Kd#qIcf7>1Q-^{s&Sp* zi{YEW{Uu5>Kglkq3VMaq|YjUIH;wOy@B7v6Uc_|^3=VxC?^v-dJLD(?=q}R-Zm~ZAF*1oDv4$4(`dw* zC}TV%*?DItI;6l&c8E6>{7w#lHN@_8x=n4#>(wGLxbRK&ail1LTF_JV$yNu45pTH|KKo!`$19kyELZn`2>?tLo>-S zXTZ#+;#;NGVSCp{vRIy^dMybK(n8nPl5BPy_(c_Ye~r(nJ8S%bn^um?!8_wId0|X~ z$2%DNUA;_=m9WpD574>pLr<0j^@|d_(x@(Mx)uG&$n|{@4Ie413rXgw{KO^Vu{!?r zFB>T{XIKhoj?~zl@Yxvdp|&`#Ka2qX&f1_RWT%=f&Xw-g}Ne6z*bYF$EQwh6h* zm$pfO51`2?(vYYWlA}9?Z-Z9^Q!3$GO{2MEantzoIf{>aO#&rGB0E_(I}{LtkqBpV z$eNn7e;5O@HkfJ+4ixk-hoq5&2`|L~6!Q>F4K{AB9;e;>G;Vf_)S`In8VV`I&78zx868@`5^n~-H`<-*^|LK@l=v9 z85&b#nSfR>6OlORE=k=j~%}z0o{Hv;l^` zI1G|cu!dA3Z|ubtOFjrHXV*32$2@KKPc6eB=KMsB&_y3Q2oW$$%H9gk(h6i#F3!!# zf>4$*OFbkxq{u6ROs8v*?V2Rhb#6wq!pu`sQZp11E9Vrjp-6@4h+!{R1tK?X^Bi=; zw$xdZSL`otv#z{Lr`$SjWq*&u8 ze7!SFM0|uC^N)5}Sy_PbGZoci7nau`D=~S?AUlw!woK6;cnGQ4m#&&XzWJv!r--bw zISXJQ-k7Z;*rNF?3L4if+z?Cr)Hr6?CKaAiP>kTi)A0)q9)Ya6p^S{AqCtn1_)q;Sqr0vnf!3{1bL+_V)~-RBc!W`2`-$VM#cC>~Wa6 zI5=BJW6F_t3x*r2m8DUjdZ=;R1FObxou7dPR;=r`hTF(0KMGAPU~#CxSwgWoL$lt? zqIgSywPn(Bd0Kep0a#$5NyIilKy3vT5Flbg$h(EW*N}&j+3f6a;2MvRI;O)*t#1xw zZAd&z)=(R%<-TDo52~ea55UAe3pCt~wQ95$6LxRIlhsMUrhwR$_bG^hmIPVi!ZCN-j1F zXIOAF;*k+^VFq840B%h(ZjOi*s}()4+S+kbIU^FF8xN9hXghf;Rq&4rGId^#kei?| zw$CHExdDgl5$}zo*2ZVTtUHZ^^-%aiHrB7iSxNUW*4ZxAe8@11ozX{WTxsYM-q8eQ zGKM9bhpBLAs*Yo+;D*6;Oxmr2Inz2-&I=j`hXI}rwQ6`rd6+*8Wg$m_0LRZH;X_P} z;ONIE6o9#~g@;)y{QGhq%^EAk&rc?(xx+RwN_%Fw!?o4ONVe4wN0hb#KWt|Cv%SZycU&q%TaF!Z z`X|X{(M-RV{qaL~Xxb^EEx>&}=8DL+`&cX0TjE6aUJ{8dPS|Uohe1~t&5xDSFxlCA zX&x4FxtqzN1yo9f8-l2Oj`+OuZFPUA%EP4Nd&t6rVZcY|_tFLqwS8a28?bo{-h72l z{dTxqq2k}?6Db$q)Z+eYjxuW+C1Xl2NLYQqQu#?o;`-seZ{w&Rem|Src(#N(O^*N0 zXZ-eiUW!$<74(M7OfT;!@Y<(@ z0}z&hR$$lg?7kJ5S09q-`WK?qJ+wTM?bo5ELmXgk8&&PL41; z=sd%>fA;rTul#(>(fXvn_MSI*aWA#eGtB7&4^o!{Y-VNHAD3q!&Dbp-U*}_aR2#vq z9)DG9U}T);r)G>~UsI8fkMd5;3drpzS5lQfn2^DS;()$kD{L0Dr@o4L=s}TJDr6hU zEdFpSXlw=(?PO`y)wr2IsxTP1;7v>ehvvkrrn-A20|P0D4@;|0wxvT$Tv|o0?PB8P zLj&!DQK?Hkm5(Nc>c-_UeCqiwf^I83pt0O2ew6&)kjc>1 ziSxT`zu<@boji51^JMtl=B4gavO~TQu=)+763f{i8 zl#J9(Ac>{~IGrLuLsqaX1{(3oCmDK0B@7HT;G#ENVOaFD5xvwbu~R0Hi=%$p;FuOM zQd!%&6llL10-Pj8PZE4GGIVM>Y<2^0xr*w+9*l#Yo8B%LHOI2@KFlF1khx-57%)o% zYFRl*q~R*hSY4MJN&R4dk#tX~pus1uP6mj<9;u`&k2$@Q!viADt< z6w%1?DoQ@b8zVPY6kJO6SQ0o}oJ7%OJjfR7IOQV#7>eO=h$F{ZIHP($P_a4yKh@O? z2oA?uz%^S`MHOc_h|)6D$MCG4r?C~XNtbRLvS5~Zq1Bn3iY^5=fs}p1qv27{HPX7S zUQT%jB=~MTDY>YZ=E$5@32S1jX88)PI6PWFi0B;UT~m%@SSC##5e7{)%mZov2seF7 z8<%AUcVKAUnAtZlCk3h3SsvT+b;<_L{132I+9*WV8S>!#C}sb+%^8k@e1l;&t4ZMX zD6DxrE-^pH&s!x+I8JrkO6+a;lyTV&=%HF0iop~T^QKnIaA3E*_r>g#Yfqa@m^=27 zwvl^d!G+0#Sxlc|EDWLJ`)Lcj;>baLJRv&?oS|XzP2Yu|Div$Nc5Z_Lw@=sZh}bMY z4Sv_AiR`28u}k3--=^i-|1lEUx`VMh)dq8N0aU(r_N3IVQLa5qWP5^VOR(2IIf>D$ z?+0~nSCnm8p_#nvh_BW7rWewu70ztU(NVbPLLy!C>ru9Oh^uq4l;hm-FGjX1#2q^Zk>yes9bh^q7 z?M%$9P^^uRgEe6$#IC$a!10s+o+h>JKXl^RY88-Mg8wIYyz?Z{SM02%`kPUz#CaUo z`%W|&Xa9x1itnf1e&YW%n3c-*l{zOe2PBT$+15K=XP8zzU$W_$b+j?fG27zwz7uXM zJaC*({Kl1G&ft4?NL?Q*T9@3&0?!?cUrIU*+;k-C9F( z54pE<>i_E^##fuWxR(P0b}S`*ElthF4a4!sOTQ;QTxAr8T$!_-Ich_1D*p-T7tqV} zVlS85ONTccJHa(G-g=7`&^DYIH)?3rbA7yH5F%U^9h5D3$w&d%W7!dUYK$?DdC-GUG%Oq9bY(*6miSg-7-LExoxULE(0`syf>fj_0?T1B0|11xRVYU^Ek%D3J=-pibU?GQ}D2+DIP?_hH%k=I1Tb^ z(q4?@?Ff?vQaicoEei^A7Bynp653pFA%me6GC~C9D}i%yj<%!hcD|VaZ}DjpsPW~6E&dkbS!)uH#&WA?t`b`ad=SPjFGegja)O4Er4Y!l zc%+{igkCGpfDs;x8x=#$E0ghLF40=tQiaJIly9|WcAP+29LTmK9>+6-im?{ZscryYRnK8 z0wutz0eoVuM}a}eI%pRBCQ0Wsp{Rl#Bkj`24WN}q#9|tEi-R_TA;LRT>PN}cE*#1I zcqGI(s)@as8QJ!R)xUlG5QAhcFy>Uqo4=kjy3|peK|^EG>B7TGBxE4CPHp%} zf73#OG`$cn&H5~6;j$`igh#oUMfsGF+!M-%E-4Lnhl~~F62o)(&s#15KS_Nwm622% zvCTa{B#yi~KTI(@Fh=;%Ge~TXBZ1;&kU?R2vygPwGI>KJ{d@+MJ7lm?en@Q4rc!w# z&bYTCO^tIEU1zBiEH!@o0}T;RH0@C>u!OTASG5o>65zM*)RbnLS;>QAT7iY~w?4rQ z+}1|%}1c9^2$1S^| z1B`}}pG0jDSJHRNA0|z{7ok|Vr&FHp&Z7IcaW+4~&3LJDQY5-M8Ip7)k7|-)=*F<0 zRTnMXX6%)XULp31q@iYs|Q+ISz zcNwoduvU3tHW7UtM^DoqIH^Bj>9+!K{Nt1!7m&z#by_nDG%3Drit?n+PEqVSQ*_$( z*o0ia&zc3s8Gj~GY0p4ZCz>pOMt0hj^fG>-Qwv=b(kLt}CkNose<@BgyIwMT1R|eg zyRiB$OEHHvNMY(PJfFU8?~bcC5x{s~c72u{wt`f7M-BsttG|4!kCC6mZ(8AG|J5-U zo-hp@IXTWYK0WMhpMeDR@t2}(rmxrm>ZmL2i=0r-m#dZ|n@g8tTWMEWD<47bu+ zyak!eEr@>GXZR4rE?bv`>Md51rD^o+?i0P-dw~+x0)`Mr*L6M~vN=OxXhd)S_d&Uw zfnoFt+qZjdA${O)UW(jE-$3k(fq2?~kQVWjW3pn`i@xJJOP_s)`(E+oaT_cw4z*0C z*k{n)m-E&@wO`!edNy-*QT0y0g~n&YTS+TVVaDbRr*wJ_?e-%%<_z~-Lv7nLQEi#0 z8Y3eng)DTE;(8M$F@q_mgPM3pPd9T+92NuefQ8M} z!II4xB8KSl9U2L}sG7*<3%hmqD;fQ~P(bl_@nS|cXd<8mVybUfLf&H+5U_w@`wU6> zG?G~Y#Q8o$=HusIHqci;nGw^6y`<0oJ^FC*q4-;hk;bFA)$`*pcH53PDe=;JW@1|u zvcw;%G1nEeoDEeGaGMkV8lSf9jkLRTyyi*Nx8~2cJL&YNMjYNtEG~nH%g_f?g_E;r zXx}?yADxL3zVdFmg)VxA59ZMhnHj?)tA0Sm47$5|A1}yZ9dBrsc`pivY%xobqk*F} zNFpWzskdZ@_zmJ?G~B+Rtsa#P$7mdW>4Xh5pwyl9S)nWr_Ns(U!!xNdqQ^4eBp=U> z5mAq1*l8|wkN|rxvN5v??gfp;X}?f}Hp{764#Y!N86cFf4;&Vk&rQaPPmK+To&uIl z{K$A3hPo)8`SGFikOnVV;y9!X*epkiE`c=*+3gPdkYmJk2zNI02n!j5T8XhKDTyjS zS#6%iYlH=F*6L^u`kBz-M`=01|DMS@n2yCNV+)uxoyoy%=IIa~x$u*wH1xgfxix}$OyXyYs&-@2TDZwU z_o0OBM@336V!qwdrP**`R(udjj)-Z38sc|dq@D+t(dNml8>qu{gjz3w=Md4}&I&LB zB^h)qWO!b#%KiA@ri&>7%7g#|%M@d5T13X36btna0mZ!`M;5d&3^_U+hA@s}X?4Tz zimH*bv#P_AbKi_>l5q%49n^BMDh=%t;FQRa0yF5oZN6EJlT}j3Y?QsFj&wMiWKT1` zMOCz}ZPHH4n&^gbcz#B_-9{rLBjySaC^Q|j&#X-U@60*XhVh>gO@4*^(~08PFO^~f03LfBQIIru*40~+Z#qDtr)d_0N= z4sL<40^r=$c#dKwabbA^OYk%VR<}KdEh`OB^zX9bH~rjj$KKW9YS|5Q`3aau8v*5W z;+QieQa&dda#N1k;-z%BXQWpPbB3u%Mn;eMW-=wU%c~}l30`z(WzG-EH;6OSrZRIr zBK*j3&eYK~4*58tIDd`%zDNh;lM%b>T%%t(XK}-={xNCYRrDN~Kv2KeK#=d{>DIUberAPJeUbTGItA()#rv5FA*g>JtYNHAA5XJP$5@~dYwG{#i-^EZl(IbY5MIn;v270 ztbo=E>Xr76)aDE&RnbD?M#4UWT@8_4hTMvL>gma|((Jr1L{rpnfBDJ1N%{NhCad+^ zSb(@N&TibeO}OdMAEnMmQFbbEKegb%nsFKaav?2FoJ*hc2t=Y|ldi7wByx>7tLY8O z^z&#ZDx40EDAnobA}w8pXQ#EB7>S}yiEKhGTHH=aXIg9W5W>> zlQ~1}&n{;zdi}Wgr&!I|!I#&z>@TS9Si@@fUuZyUY1jwBrk^~Q>j>`P73fN)z7}_m z?xq+Df1CL1`SmKE*FHH+DKUxNKN?AO{0=U(c`@yuS=O1RmtN~N-vDVvt0rf3Kh3QF zvQEY>x6q8PrwQ#xw(0+q$>=zPYoh>E9w&eO7Y$4L%phlzPK(?nuc|R;h^u+{V&=Eh zM4ah8iA3B-lUDkuWZef6nHXZ$#*wYGCNB>q#ge%VfY82krQYkPMlbQ%qhrovWo$P` zf}SNXi1bNux~iQIZT&Ydn_eOjJJ-|%<_xM-s@})XkSA&r39o7<+l4FX%MqjhWuwD_ zR}0|ePc(ztbDg8cnay}?O6h4OAI39&rKmjK<6gyUpV$T`Ej1lu$&VH&nkrI05tphw zFkZ8LhC~Tn6iy^vx1u_#gN!cvjvp-4Kbx?jsTxnWk-k3^nK_Kg6SD7kU5yCrwa*v$ zZFyO9_xBLyQ8wNq34Y!k<2pCCMOS1)UYu)}<^CKBdBg2#bHOzn5yA*HrSVmzhWC6A7f>xEBSXf& z6nP3YBD6zT@nsAgV9KNM_Sz?|=oN@!po8fz;>gtEx_~5cldmz{dHOb_3SiZV%GVPd zMRBYqjhKCaUjnYByaezd+6hvUugNZ0+KGd-W{aElUqqdqO#-~I_SA>M)ggW_3x73w zR@p{KGHl_Cfpe6$%8+rk*$|B^AINmOLK9IpuS39uO6wL-3{;mV8N#rrEuc}Rq#kd! z!6&VrdD#gY6N~hl-UOt*jincOvV0|YCJq$$1bPxE(U1`%6h}rth}F;VYJNVPAc_$J zO<4jh%9HxrlIf5xge0zGcuNMb-3E_?mV=es%-d;^jqHB%z^fy;x9xzne|%z8ydZ-RA z6qP}Q1yvg5Y{@{tsgcgCXRa)?-E+YsI4{>x8rtxl(EQa( zQ|iE2-o&?Pci)E6)KbKFGd~00s}OGivvuJw(7Jm$1lB*)j4?Ef5@yVbU)`}g?n|Pc z+Q?`K`v-2Xa>uw-|D<~}Yw>YBb5>H8t296J(~Lgf)0w9oz~yYxuJDDaC;5_UQu3)E zFAvHS6o?+5OYS#?8V%!=`0t@2|3Q{GGNAF(j>s**q0xq7MJiLL;Tlgwu`8+Qa%f>c z0;bwUWs{Pa%xX8W zuVKRVPn;=H#exn7*P zd5OCHdER!@4wtJveBSFc&AMJ1;W`rn>}=8!K*fqJ2;qa=8F@+1xVS5DnooV}8B#V; zn!uHxLEmO4^%o%!mj*bRB8fiJo|M*~m36y6=OVY2eIwcSF_PR!GfZ5yxD-k#5r^IA z<*7e8!P%sZq|RtXH?-%&u+Xd;+Ct#|Ki1v`%(AMy^L_U|yQ+5;)!n;`YABG8RYie^ zM&XDb=}`l#iUJGm(E>q9g7Lr@gOEGZ63vi7&8ninf+0!akOVUsb7)(pW4KPoI6h1! z9~)wPdVH8%VvvwL&V6bO@e+sRGMFeaD);wad!PE~uBubc+_&ni&v(7+UGMt-+;|`W zbALOT+gElb{WBl5bb4fH$iA;;Sk&*nH7_QYUzXX=@U?c}bIT2z`@>%~Kky;TXFi)+ ze%Gk?#dFj0+!{g}e4MD=brrMO-=*B>>sjZ%jC1Lak}qSs7j5wBNWxuD`S{1PX7B0$-D6Vz^OCyZT9fO?mSWcgu&)Xbo=jSGjgBt z#GiMaf9J~d&)}qN*p&ZD--vyOo}t}u&EtrlX#G_>hW5`}Gfdz&n@s6>NypLGMlupS z2keB(5hB`!1 zbk>BG+-jJK`W}|>l(r0?;8C2J_jqLzHU=QX zIjUu2*6Fb8xKX zULXUHkqDRd z2OF#A3;X7ohyU4zU_(n{HfV7aZqS@OR181md0Vr-+7 zc8duo7_m|Oq)(#<7%Ae`^TCOyj(HC z3n6`Y8^)P4tBWk@H8WYlbA9ZXvAx0lmZ0l}Z-lkk0k#BR91Y~MMM4tBVs*Qo_{J)5!DyxjXq7A;rdg_v)v_Ki#d$Mgki4(GKw z+f!ue!&5VwFnE?H5%UE|_Hh%|Dm=odihhW)l$4a{JPG7mmJZSNO>tIockV})8=1AA z_Uw^5vP961st%*XniQ@*m3x_gP5*i?W53@xBe6e!`>Y+9Jf}k2N)32=emA9O@oLUL zB&i(Sdr)qC{tlM99?snSNX9_n9Lqk%Srd%yl(qQb7g-7|cPIYv1=-?*&tJ~#-#a1K?6IFu-aLK%lubQyh1u7ypNl<1AXh*Sr#;41hCriy7K^V-VbC6Z z{9e1`0P=PnE?s_ef02%U&smw>!JO{8O6uZA;G~G@#eXDG5A2(_E8g$R;gUH6j@cQm zPmTE2u!#5c&*j7IpP&$a?-F)3=!K`~WA_yWbMZ@D&F3f6^1W|m`mZCx zq>Ztu{pD^J{J%vhKGskRPwF3rvu!{ae#pmOHy!#*%Z}PF@ zsf%^IUGm&}Y{bzZ*#$SzwUo(Jc{ZbuZ(KsYyl|cm_c6zQ&uiiA-FH?}x7p*EIdb*l zDM17N38#`XCq|peT!y(P@KW`E-$Tp0Z+bAiGh2cS%6pj)7+WtEu4ZFk$j|8z|MQBh9nMaQl_6FHHER?la`!A|m-X$GDF) zyoL&i{bbCAc%!QE5eOYh_mq5At4c|EcI0nU`YRsoOFhfwdoxS-q+IL3eYE9V^!8^G zi(8PnT%q<4Ea81-kqhn1G5&FWlgRxRfcX zqSLBPwU(AvMx#cHb09iw({(7&0oS2X%9vKjav~r_7GFz6yP&M7OAfMgn}j%yh7UOC zmu4sxM3E*;ov{WlHXZf zUO~ep7-GS4mU$S|wM&~Kb zA#4B!HJo2S_wZggJmgFfn#R2sFic@Mzd&G4j(B?y6LY69c_oKTOveh*Z{smuVn3&f zLTIEc>m7+OYhH##5L@6k!7rATs;R~&f-<(WB~YTAvw7~lkUAPCWzsBu0UhvCTwCgT za9!&xw1WX{Xtk{UrrgV}a-STGg&)RC z7#M8l*=XmnYA4|@nC65XaHhU*UZt=o{UW!&EM@V$jS=bbGwgu zx=`{w=iysj-u~%i$*y8MK+O6lS(mAfm8!71nHc5-r7+*wZS7B=&CQN4vOOY%X-Z7z zCo$Ydj+Qzr_wa?Rr9fD`?_l&&yqLJaK{7jh!L;4@xkkqIg7M}fOXA9l4Nz6C41Kw_VC8tz*SHHZC!ZiQG zspVHedvwwlY!+mL>V1zTDGV{;ArLZer#&rXR z^M^KxV6F3P+-I0c=WOT^qFsDb7GB~8$LPTj{HGgcpTE)z-A(VHg=D_|pQeiR*yAKj zX*>E{sjTya3V8h|DfdShCFr4 z#lEqha(o#dwOMZ1zVz3!H~;pF)TD3juxvU>ZTY1;V`D8^5e~kkHY_r}UrvIL)|fL( z#hhKwLJWuQ^ z%dZbAle6~7U5?c;jE`_lXSOdkz3T{XVDYwmIy1Hjyq?@cb95GTKHSLc$OYjs+rMb` zlT{#p)+}t(Fd=nU9*C*vm_KE51DYE+=?he47&xCtET|SrVK0IxBLFW%-(Vebl(A9?65|`WrP&gh=DX-I%*IMF zm&C#*UsxkV4)G_6)&<&8j^I>KkJ2SW0>Av`3bzUKl{|>FiBO#+ zW6}MS?Ywd7EAbTz!~)@S6fs-s_z`k z%$9ZpbI@p&SS79%@X5|PU<|6Bu*yCmU=uQDZ~Y^YhFZU6h-vnmuFH)o|#3e6efYaY?6XG=IWE1&Fd`yR9>cG=9_&%iHd zlXyLoec}(^eSFe{ya9ZWDF<@~ZZ+ch4E;^#R#wKg+C4_c3sJtiqk=(8IbM?$SW_%cS@n{94~m(~vGOWrE|w{}!($hHZgXyEXJI z$CqB(AsM(Gug~nd=RawWP4V3%&L-Inqe*6Wvwh(3?geSzg=|gf4)o|a)!&jE%B6P?g?-Nx=bitYH)S}RiiCitD0}D0Tpm>#bvqq`;v}5$K!fO#@%Sp zkjddUK3^fHu9_NGwzX=Qw7mJ@c+`~C!u z@!TMf3D>0l=5*?_4-_-gb(8|^k%81L89MIgj z#%M%!l`Q=H49Xa@rAm^7bx0vZy7;UDG7@`9T3COn6oTr}$ho484$QZipKb^N*M;Z< zaj4jJ43z*G9Y3#s6wcLIK80=##|Xz7R>FISeTE>!Mc7)&IolliL!=JE1ivJ4vTCfY z53hw3OBbpkV+mbjGQrs-K`|b+UP@qrBopN}JQ`Itl~zN>0Ku(|Nn>RvPd*~2!xWC zs#MEiV348V1jmR`W|b#OQN*c5zmN=bKBqC{Psn`R5*t4L#PGk3hUk?bc zthgan=2NH*I%FpPnE6!_QSu7AOw=Rj^&~G-x-h%_t5|;3hns~yFx(a2=*+LC| zX5lpSl^LxqwNT9VVQ8*xXIDcVXJY7h9Zo*+TAw?p8B`;vyz7;%l=W7wi;vt98sISf z5tOO3gr&UvD5E5Kq0g;9pynq zEu!f5j&k)l$keu(wdIFc*rEX2NJtizu>$k$h55>KoZ3-xktB?^x?ZssidMJv>3aO{ z>uM_30?}@sdtoi+4`5cgT?>k26_nuhE~|c*>}X)DT0SSIIV?e>`Hxu}(4ht8P(_KLHrNu}tc&KadVq*wSA?NtTBLoQ6hc4!lDoG^LWY|E&vFR!` zp3s9puF8i!^dWF2)E>~Sk`Z;3sDc70&8}SuL3SchrW9is`4D-9pyS`wxd37G08#Y1 zsHt+2j$@mqnMMp%ge-e1%{7#<=$4vkd7NBq;sbJ6HO;+J6%*q;`;)g1ln}+dT1>jFO;TIyu*%`jNI}Da}j!0f6(iFcl^d zLeuMD3kIj~yjwxGw4-=rufQ{4#uW0aK?Nj_SbjZ*l;t2d202+?F*$`A0ZJ!8um_XG zIx}GZjN}P$9Al(g8F+tdP4j+S=%pVIdX|C9nCEIX3>W<|LDt`2^*u_;rfuOU&D!`Jt&jZf?Mm zXLs1({(vi>rPa_korY7ebF#96Z%IJgfrH3xh}yYKPjKhlbRF!7dF$w$&o_AEH+&RB zl{+~#kE+MPq0-TrCSyssq%XH?8tmD;g0TMw1LcxIdK>(3x#I}Yc@AR*A|jp__+>+1 z^Sbt#a_y6uE-vvnMsSz}*DX9I0dEB5i(JaO7FvM7YoA<-E1%rmNDlGZC$FmbKypNGpd9c%Nt3CEE(vz=-3{Ma+MT7@Yneg>vSV&n;zmE5 zdanlc>T8ht*A=P)_aPb#$@aRx&pE=KPWgSzsEaQmxisSmpWneNm?TGBY4iAjqTD0g zd+C_9Up>#F0{0zfJdbmXIL>kZKAvyy^Om#H?_b*OMt)}4dE8q378EU?v9hTHckt}z z9-ekP;_FcdKAS_}oySQnt(xN%7rT*rj+R_$qYvCnB4-=9_3w8I?XK_RP}?hrK@hg=#M^Y-eTz(td(y8XhE(|t!q%X5aj^X`YJXZh-R4^~f%n$t3EJXn` z9ln)Rg?jbMXQ^93uETV-Qigth9M|L+Nq`Z+D<1I`P!u$~8W)EFBR}LK@&GbyOYhWn znKuWup%MJ5MjKaIBn#&%^LloZ+Ldaz4Iu0u1ql56QK~`&FBLBm6d?p9M86uGeCPYv zZ6KV(aU!JbN?{npP%-9_6$FG*1IICNtf9Zuyq5t;;=qa$@l;?s6Tq3vz97TKaPLZz zJqVF6pK=o12^|?hu*?EPmBEykLKph7Qjt_kt3|SaN9s{}K=#=rR46yWm7v9sD4Qw@ zVzx>j*FJfMZGfUQgIn-i4A63ib0|F_gc`-QYeUk&a2jnq)Q5--Se2t}cUS^HzOgJ` zB1HYZ+5&Qr(Mzd%UYP`~N~_eiBm$wNS~^yMfCDZ9&%I2tB$|&?25Q%gJ}YR|OR@+c zIeMlD!7~=M@vEvbAEOP4bJds zL2*YE1sqc|#g;#UYnvaS@i^N&Bojk=Bq!SDK=j#K;k638S`}iD)%OIt6sBZc#E783 zvl>`VX*vR3B4)k!2Lq>Ii3WF7xQ2=t5Eb+~WtFD~mDu%7L5JY+CHdpgk9|&DLN)b{ zE|Jk_>Qsf|yZvIF_Tr74&UzZ7ySbS4HwC#_V<~Dbs`LzTq!}&imC@?6v+tXyla|lD z37bY7+U_u{YGO|UMTe(iIIXeUV=p)b671kBk;@~U+@2gu`6f&5?Sq}|%x-6RD#p#k z;~4&3V~ch@6SVAbzOVE8J)T`fMBxIFFq&zQ93Aj=#s_jQBfA7oZB&M%&vD8NP@*@k zK5qAu5*JJA$r~wY%o!l+vm_9kKp7GkUM}xW9cNisy$=otMke_L$@O^eo217+>2jWI z&p#LLc6C-}@iSKT*xA#u!i4|IWAzJvz1VJlW3040@{ICr@IAhS7K2b3!WXe@2>yd7 zr}kb7a{TJhqrBuftsMPPW)euj=5Fd^U--4~_R>d5jnikWlKo%K+fTpmROS(sHy#_$ z6OLoVT-z{;wT?IJv{9alp~CTn?8jc8@b-fFU_0_WdqduF*|`x}bB2Qp!`xQ8B1?6I zdtA=?)Y7)#(O+N+jC{*5UvP(!l=`*WY1wDn)4V?X-upJXE7O1M?x0ybT!s`n1ukj@k%cpK5=MCZAYl=ioj+ z{GE>dRi4b5*o|cr=I8p|(dQWDw>_?Vex6={$+Ks=;_f<=YoB-fH2ld9zJ&R?z=c%E zC~Oy+udGc6arM`ZIeTW;oV8EQvv4S}?D?@SVh`O@5tjc2H;i8m;v~E5hiQbT)h2k@Jxv)s1wj{7VVKNN%h=+7CLEezox0mC4_tIP6T}+7D0%tkbpWb ztJ*OmuVJs8qMe&zY_}+v?Lg!y5mlt_1G;Ng;R=aK@eB>Lt&Ghi6`Ux7c|0UCc#lK2 z0M@p^wM7xa6G5R{*7D@|Y>ST`O2}dZAPp?>Tp^?6=41d=HnszOL0ClMV{lo5loMfv z?U;bvXo{)k5!?CMN~9?#%&niC%@(PzD-NrN0w#Cco zL|)B(iIRmCOU!$Z*+VsLG-T;Qm5k7}q8AQ)iGBjgEeUAbFbS>-dXXnX0hz`USymJn zPslnnb&^#ggfs>huYHnMFXjRl@jMR`_ka*b;F*0n3La##{0pp3#7k+j+T%_d?V5(z z4+~68%(_k*usfW85b$Cu3n(E&K`dU;))wr7l2Q<4AZ<&5^hk{TZMm59a59LYxRD$w zU?9l3f;z9U-YV9c)8R|Shep8f0B%ui(~C=KOxfqT$lyy!=SA)a(pdYWK4)7$zX{-NdeI4f#m!`Egd_cF8=q~z}Gn1?BnK@*X*^h?Q`Q)mk=(V>M8a67 z>3#Vll$y^EraUjnWV4tc*=wNxQgvd=0$}Kea+~;SpCz9}B>DF|L%e!wBHvAcEH9t@ z#nj$$N+?=aS_sR(XwCb-le8xO=;XP+`yNTy7Xat)URtsaU6;y)UZ}b5*~_YOvv3J} zA74#4!hMgqO=IbL+?LNQ2(BL+mpI5pO)YOCyLLpc;_yd*B?EZKh(MAAsq&L_o3jzfb53yYN4Yr|9yRx`v;AUn7JTIB2uZj)p3KQ+;iA?e3jI+5jIc$e6 zWx@YTwy5VNCmv>SDc>!jY34rXDAPYNX7+|g(%JuP((iqP4_xk9AbfLX?%9tQ#wqhX z_W3pzp7%OgH)8IwFaNt^Egq?x`6mFNifGkTWv@-y7v z=%Wcw=CEiv|Gh}XJxpHk;WxYcUnOgviurohGY(3NWyNz8&W~jicJHgH5Fbm6rK9J? z;>yP)|5IvX&s}S&_6n3^fS!tRBg?b}Of78e*C}THq`dUQHaY)<9Vnhncy8%bXJi*q) z6=4obc?0q33W&dxsQ2AvDUO{-GHK3k-Z60v*X@VxFNV`>c87PkZVe$%8tt7NfW5_c z29KQ-_8fULk0o6*Y>69(ri5~2ERraH$p$X7JJM`qXVZ8prlRZ4-e#NRE^_8w;!k=S zvTlHfw+au1N>L)A$t&lC_OdWMhE_kFrIX`J7T41z?|`pgAI7t??`DYt%e3={O)vf{1$G)&o%;8Hj%r(It?_mg)8PJ=2M zI|^u;c`B5g6Wxpm^O)q>3!+>W#7c<#Kw=64T)EZ$;>Y5l14yrn@4GKZEDIKtz$|f*SVNzL*i^f{5hh%ins&EfwvQv zD*AQmHWau!_{<`jXBLuaHd$h8L`OF`hzWkjIxA}ZY`n4Jhg z%UA0F$AVJU_H$Yj;F1)3LLrFHxUw*r5Pp!V#neNER-^%x@cBH*SOE|Dnf3<4J|*V? ze~O(wA8NnNH6qVD3=HiaiZ*iwcA>46U9%@xive9ey4Pi4f^F=(5MAd+(P3NQyxo&G2%eu^ zPd1 z{}<1plzi$VoeUW||MZTA?J6d!U6q>BXuF_}TAJ<9?EuJOdZj+S^%wQTpK<9$2H zI-j73{T?pQjFoBo%w93zPo`R&Gjy`%;M2?oJFl=aByWv>W~1Q4n|+F91(LtEeBk>= z(=ugO(+#&r9_PwA>34Q4oobHmO`7fzD$q4|*FkPu3A$FsVtj@ON{?YaqENX^wyhyD zRVv~13{`y>t!d-%g`;mU2CRYoZ7s0WeG&#E^MuxyR`1S5OTeKAbYcuAFK~K;Zm^(%7snDh(OFS3+w zV#%dV)H$t`(ak!{6|fEOFy&GJPhOn>fjfNOg52rI6DI?Sqr`0sgy#YZ6~bm523t^` zz`QC;ge1@_h(q7-j12gD zd4J0$NTuhTOrYCBBFIE2DugG3$Js|`Zjgq`-;=LYrrlMxq5oDVtWJR{J#@=YJgm&g! zlT%$&F%b=?VWwO0-Q3VfW~#eut0*c$7G&?uB%key5Yu6mznqN$;x6OltSH8{=!wPj8##Z?`?nU@`yM|u(BH*>v=>{F(p8*TL4 zNO6vo6$N-Y=N?O?T}iepp{@>4^IT@&L^lr`QRkXVtQXTgUx zj++{XZzOcl!9P$m$vG#Z>d!)0Pgfo%z>&8y$?d+zVU}sfE9|EKYuW*k7&7(3Ak%xoY4R+OF{e?dKzGvD>c~ z!5-#ajrJnJZ;3=KrNU>W1=|!LlZ>$-JaCnT^7#huz@MJ^;qNCi;ozMy3BkRU!UH_S z9?I<3Q~T8pPknh^eJMn~c<`*joA&=$BlQZeVznYU z%xh7t_%_qX_Sr@Lj8kVcmgaY#<-^B60F`5JivyJymWDZ!>AW;YsvvUrkD8U^bbZnJ zxrT+$?y~UA0TL#ct-#@lYz%!#v@$U?^No|N|LTIp_G>StDqoqI$90h5yx`!ANfthN zQIS3TeMgrC#Av^m_Rfcpa`5p5E5o@wYQFGBqJ4(tl0Vx$X8GI4VkG`Cx@F)QYDv=A zH5&$Qp0o0=;fFbcKl=QzSAw^M!0Fl6-@Gi$2`i?p@A8}hG6iWQn}`!kCod6FYJng{ zsO^`ML0(;8NzZ%uVVUL78HFu9evY5JatB8hKym!+AG&)BSfBq1QG(Wy;XPz`h!&f^ zb8#WL#as5rmN;LzZK{T}VxekQ>Nt2lmz^g2WjMdq_=LsjtgHzh@zpr#U(t|#nf!A7 zYwB8?kH&5qP1ob}k>M751;gRutZBzSYP>w}s9uaLkG{OZNuSeqtj(4CoU;#dDgFbO zV?8@mXOoI|V(0~@r@nyz*MN)iqjOmql&$exXI#EW*1nLuVn2NSyVBAxVV&)BN!VWt zTL|Ijq;|n~4K|_iWp^wv0^W+x)&{?cHxog?@kap|)9~5TUDk4TdSNi&KhLi&PppK^ zF#PNR%yTH@Gc*Xw_slB_mIoZfj|WeYY*ji2DZTpwXnU5XLDn=V!)+9LnTwz zKw-R4O+r#cH){9zl9MDUb1wO9>j?<>$sY<;ZxZj$#daai;Y!HVk?8&>;5B{<%4`Jh zi_==Tk;>RP$$xVIvt{Jj3817Zha}t0A@DFgzOxJCM8AhQOzy-Du=y=D`DQ~Qpv88> zVVERPHGOU?30p$j6Q1M>NnCoS2yqH22OxeqC>Fs2_Q6C|-z>U{u+1+5J@!Jc$e3#6 zan3Lqsf0z>vT-Anim%3kN^Un2vBeje^We~3ED2d0yJ6iD0z%vzk#1F?x!rsm5m(tg zXY9O;D*C#n;o?wQ%uL7`Rw_T40l2RKdNFtVJoXAzA|>GT4RYEN*6!M8}w$wP{n$C0x=`4-zILj*6z2DXp}sC_uiA8}!w_R~?Qs za{*h$0fsF=3p~!PLGS}un1@;j^N2`{E9MpOGSw~t%Q)7c1~y>GNVSU&C{AJ5!WueZ ze2!25Eb?HsWg&f+4)Vi9_5X4C(T2oAyuAKWH@MKI&f?_K6B){hLBG zJTqs_*_S8rvc=#H19v7&CPMnc@luGm>lMN;q%Tw@Q$8asc?Dz6kjC?X#T?(D|3?2Y zd7VHl{9~3j+wbhyqrX$9Y?gC8_ERC8_O&!Q)c$uiV2w!y1=>+1=@Jy;xn_5gKKCju zIB}-qPtSDL{4;VPW_pFWsT%N!@ArvT>-%#So;Wqh7k(3Ys7|)ZMtXmmW$90y)4KmB zCNIZ{s{tSJs`FVW8B-{qok|UJhMswDspHFoZzF;4$ivKM&spqe&fpF{$5`!VriU4{ zIv-HMrR!%AwY3aCvuvpjT}UL)ka~sf2^S9rzIT^bMC8f{n0^Z`L`|c3j5d@ zPTKOVNokWqHhmg`|H88jHs1S@V0xNTzFR}$EbcQ1E2eGGCR2w%zT(kd-jiObO zt6P*H``^8s^8NUj*`s`9cJ#v;C)RgO@Y*Q-)6l_e6t_d~rOAnOZ-7o|n(ELCWNrl&#%yUT2-( zb7p@w*j4~nzLpv;C@<2GrU&FHN3nFi==OPbR2sB*_uHVKB~*)B$b$a2#yJBox?=yf z?d3ujqm{FH(fpV_sHZ6-)|N`1Wex2!tU#+BlQnN8iLeh;BY|$hyI4*82*!|m_Aj}5 zx!ka%Lkpcg%-C@09P10O%bk5M(a9#@;~GXE4wzIgB4qer$<+f{SmRN7Z$}-0sWj$* zuw}TZ4mKWCY#LB?wM)Xa+;gzvq2<)++If3g4CNU3k-@&IMv%@XtHhbFb%;%@5M*Ya z2TH^=jQH|+@vWe(7zQ(E#{XIfT}x_VW@YrBbzP%gE}%uHMH(VE&p?nmYZEFZM6EU` zpjXh1RC_8GyaZLSkDnR^0j=&#eu z_E>NE*ioOUgvHkECUcr6M5?~*=E$owOEieO*!^JC06^0?;qKE-!q5GAa%B$%bx9?B27^jGaQr?)OnPnuQ}}gjM;!STnR%}e+X7!< zAxW;{V$RL0VCHrZ1BF%I44)$p4s(!6djoA*_~E;q-KEUfC<>ydwyG=GrN#Pu|Z0&mx@AW?J=~=WClV^ zaAn>|EvjwL=;cru1fsV2Z3`4-s4!z@s_q3#B_}S4aa1yN@bwi2y#^x5*q>9%B6N%? z*Tc1CbIs*JA~T5rpp*h#?&U z2_Cm>e#K*j|Juc&mueMK44*Xb+iI^f`tnD=9l&j0+KEssRep0baOoH^gD95KN`m9yb zETm6S$(DZ6hQfyu1|HCiJ?7ghG`Ac%;j1;C`yglI_&=Nt9XrQZ{)0M0tZg)Xum(4h zWCh||GK@{3|0R;L38V{uPv$-Ua$a2eWwPTx>%0;{{cfMw*Ju(u|2C`-DXZck6my2x z<#za1Gl!-5n?L5P`9EO$7B)`g(hEEYO54Dz|7T)LPjDLLr%ooMTIg4WF)a%-Sh&!K z&L1g1n9T9K(RI)AZqhIF#og?ZDw2Hc%%rnv^47AzXRK`CXW{Q?!`f44vAy~$J`D$V z)N_WPza!@5L#M;kbM#0DDgAx76Uj4BAKRVzo>}b>%%i7g)s~cEzFNxp{FpQNM=vH* zYRQFp`2eEn`Hkrw@$BCw_Jv=0hqJ>R$Zsd6pVrEH4hl4s5Gtj4pn@KQ1{;Mt^FeDnA=VG+s9Hb zgvWk3lTIW_a@kHI`7ZgbIl~pqxR`JFsiEw*2`wW+{U$%cHvzgyy7YV(k%HdU{O-!Tb+hFUQDfw13SOFO^%1jn~pdxeG*8h>q`u{MZv~4$N ze*?ZeXSYaXPgt~)9I$@~9LpS-O%^XA3;QVeElPo)|3IIHMAiwM`?wVz znuw}6n`>~tGoAIh-3v8~5qUFZDV|NzdlC^^9SZv_QYpg(yCr=SNoQAVo=yzEJVu^e zPZRG8^POv)w+3191Wbkt!9IGYgE`hc*X=W`Aw%u0Y)m<1C_LyLnYIM4+GtZ&z2IK2 z>&vYzcMNfe)MUv$SEUxD=A~sBN*ny{V9+_Htrpt*X4 zB)+!LwQZrRt=+r=9JYkhf6v3hY&dpsvr1on|SGN>w2CEOFyHICKKYcAD$6qVZ+>JhkE4^7w$I4wB4cbiQN?;50;&orvWPRb z5?77jJUUTiiG=Qlf=}CBJ{iu-u?OTX3f+rJf6!w9F_@!;&>1~J8CAN3c;Pi03PBY! zvxaxYX(VY=3B+(xCPR!wLRf)U)h0qikonCzUd!4S4K5PrKsyIZQ|=up5r)KE5zk}R zF6*_9G4hU(alpxA(x79ea@vOKt2r2v@cGSD#dL~CApv7p(mL$(10(d;~?Fnyw)Ps3L_hbTyag#8>biu ze%F~XXE47r87%UNfe?5skzD4c-4*h;>@y&>!a3RI{HaURkt^5N-+Ro&G-}qLPI@?)gpFSLyD`Pw*zfcJ>(v&Spm5Nx3LV zp=PoCNooL7pV>mUqU~4GB)vCXlFte-7K;!*N$K^kCH1f2<(pHPGbrzZ%JZ`?n@gAO zVFBlFCo9~pDrpq_7XEq%A1VDXqDlHjd4Q5_pZAfMFJ892T=0NQOwzbt<$vm&>{YE_j=h6>(~s_nb^h4gJGlw~87_IMdC{vc}xi=H!wyP2i9tlDn* z*Kjs^m8Io7VEO7FuGOor280`z|ncFm0#{D5IQQj7Qg8}u6 zcQB7b;`v`%;tAs)i?yQQL&T-K^CHGmhjBdG$nCNHbYh>`lc5*psh)9_!8J^Dr{>)pi87^7&_D|n_F`(f{7PV7U;LNatDSjinQiW0!&e=W$GlYpA@ z!I`z5Yt6@+jFC?x{5Y-^Hl^+HziD~S6% zQ2;>>cSYBpwHt` zd9{qD4E!L6UJzKBUk5A#2m*^EM443ST!+!MsCXxy6b2AsVHGq0sSBFEJhTF3z$i>V zgwnv_hIV7KnJ1MATajMeN@cFwhhQ=3E)9Ix#h8 zprVr<^4uDh(ukNFdORi@B%UMu9KT3`MFJV4Ii^h(nxiTbvC#`+Btleiln?@GfImRd z&hiT~h`Qq^py3m06}Kv>lWPK6Ok0XUL4;CHqm1yYA#Jm-jycX$XbJ0}t`>{3v^NY8 z4#8`VQ9vLlL{^51R-~T7NQSGcS4alknxu#w>}+cYwq3>V>SN&GHNURgqL~ zNKkXi+Bw718O=cgYHv^^U{z%UgCbeu;^m^$nj(#mWAHKwHF~H}W;i(;UmG@n!f$pZ zB)Oso*VM8e|V2PLx>iSV^1QZFgWb6+NP!Lfu=JwvI$vg%t|T#VJU5LjbXY^<|*z zx|ts8tcoR|i{xYwrz_xOTIaIjRT;MOTZ1a*j)!o(!~d?mj>Ku%W1kV``4{Z&xr9X6`g&Dxd2R%&&`C?cvX(pjb@C5GURE0C)p14f@#RZ*n>|Ab;a z!S1M6{73+$;_4*;;jF+)nOc$GikA#+HGiUBL6ypNJRk=1^6f^uQUq}orgN*LWx|>6 zf_NQz;K%gTr?U>jd9w8YrwE7n6%^;7$mk{#hXXxt5cMLm;^4j1t`$y0LETUgk88V= zC^7}y0@}oof#^h82h_C$={c`k)3PcFP{={xpGXoP3vZA`5%+{P7#Tk=*5SBfOfHU} zdYE_=)<=t!+M|o=CD)YSYHs>&Se!=2$w-Gc0G1F1p^{s0B12@6nw0GW#F-$~=PsU6 zxPC^?kqrj}#8d>AO0U=nNk=TgPE=7yZyJca7ihs8xe`E2tFJR37RUlO6zam&s0g%( zJJ8v%w5o`XxI`Z6x`?SK#8vqfECM7B+YG<=D0Ia-h7I82U>0I^1k(v&o?l!~n%GdL zUN{wWLWiQ-#i|p;UNOSl7I?6hBIe2{EH3GD5fP%~z;VN8p|*8oM+Q|VmA3`yJz*ah zO|$VXlYz&XDFCa`U(MXSCXU5gfjLDIl~v?TOp;(^tM>QGJCzZMXy{{d^-?y1`IJ&o zFtzp5-nM$7@x5WK0&X*vh142#wS+90mYzli=Oi!=Z2<0Cn zNqPleYLE*&8qtNW^EY21_Lu`Vs)1-<7tq`muR#yHlyiwfQw zIv`fU_Ch`+A^r3;3xrdM<+sk_6-km2B`0q{oO>Bw&)J6JS)%>cn>dGZbLyN*nU+?Y zf5Xz|=LpDuQRw_a4elk(vPL3La<~(Wf!2bhK^OORIPCy{*Il zI9VNaxo;$&%`em0K%igq9Ry9f z+&HCYH52$;h1LPP;J?x}={QCN2y6$GiPm}(c_`xJY&x>FLm{1KV&)r-{PlcerF|Yf z!OcOK);W_1{tT`k8Km`9OQA*ScrshwJ%Dpx#q8XEV)G;?Ui-X~AkR}pB9u~n;?zHU zo7v(F!z7kg&HjRd;C62(6L{37{fmU?Ld(}uD?{Klj|FPPQ0RI_y}Y!xE;C4^*Gfhf zhez$GI+rIMlgv9PA{WaiVGuv;Hf?2yD6cB>nw;7m%TO1@uKd9!W$66M0Tof%SZ#B& zNM|WJYPi=jlsqL1>m(W1fAi&d}8OkQn`PG|i+_mop^eZNnre@cf*tNpA$3&qA zI3iFku7Ndy_zuY$j}we}lL>(_Ml+rsCv9#eeGzqSw_k-_Pt7B;2%*-sfE;C8Id7?w z$T4J?c-UQ)rR4Ah#1$L8gPhW$s?am|l%Y|cmeh0C@0BCsddaf&4C8tt1d>;Qla_R< zVOB{bH7L?}9AkAGIQ3dK>yO6|)Kd3?Y33m7K{KLiJg2rNp^;zbPhsjp)-?=GIi@7iKoZ3>F6sZa$`7mA#jAdv=R2#NtEl3t9>V#pb_Z}Rnhp~R93Xl zgTimaY(KHI5VYloc_Xoto^N;keBM_!e5Cexc7Gh9cE07sWzETZ+}hS`i)g=%-_eVx zt8c{c`n=i4oT1s-<*Oj2Zg*m2&d}f~H_aL1af~)k#T54bG3Os)i4aRzBB!>`yve*jgkuRhz=1w^(jV2omzzuhu_j7OC}b26vu|0xps z>k%zYLF?XXm~ks5;l3|2W6_);dzC!sF;ChparT71?1sU3=h-~CokN6fTt(A%jFL6^ z$c~mvahBu)KOoD$i;qnGXLJ7KqkQi7(=X>$%b!cri7z!0d)XDR{C5farMnFeL2k*MDgAuN`Oo~ARdNaOwxGBVCI^+)Q`|AGdRoa5A!_a9*G+{ zF!fF^N=IjtEI>N8oo%_mSRBsF+!HkJUuyNa&cX%ObozALeIph|Z)sF=(5+j5IfFHB z*y(I$G_je*bRk~H-TuU6?VRDfzA(+Vl$#csp6?C5+VRmYnp!1+$`8y2sS;KDjkt2{ z(?)McxJS8AaOjMDu(g~NVeX1#@&E@`$Ax>`5pI$3e5W<-_`P*qo!wgv{<#MeAA?q? ztzkLwN%#&px6v~kK`!mmTmNAHUG&cBaIx!U&c~wjNJVD(t!bB@?;053ZS%Ew%^9w+ z+k+(>a>&Zl;rdD>a;t;_glE`Sg##VOFr7u4Nu4jh7mDbdqwS-XSZRWSD@c)0DnF$>J+m@}A1TXTfFQ}ZoXKy!w= zSrG1Y@l$i7jEyQ0*pzorkr3 z9u6CVt6VE#VyVhb?P|owIElm9j9w zqJ4~T3_zBzsIRqbJ;j@mN zP;tY;V?lADox;1{oJWu2w>tM_-4yymGHXYa%r+e_mt5meKA`pUQ@WiJYATdO5=vR* zCGO^!m~00I!)8KO=uBG|O2|gwET&DH%oKc`Zr1EH!eS|n4pR>-ZU|m}!_f;2**s5q zZ^cW*HFLGD5QGfPA;yq|OT2lS4g3*`Z^HY5v3ifgF|Q1XD|iyJh()*NX)-n@tMoQ7 z1>BT@2z4$`OOGrv-nuUWz05h$-5SfW$7#`h;1GyJ0}t`Wh=bR)qp6De_m49 znZu(ieduoh?RbtQaSjPsjq2xxI3WdoXfU7@k}CX?lj8~?CIEp6Dk2!By{tbd5Dmas zEm$YDb4ihpB~h+lkb)r8_>A)dmnV(nCRY>lTSDZp+B_HoQBfuE?mY(m1TLx+0#fRt z;7NT~C@U*v71D+(Z3UE}BCDSc8BMRXu0$*=K0-nhpzXUNM{XQzOJk$W6PXf)J` zt;)VoOV*r{r+>Z{R=vc|3UoJ^a5e|Vv=y*=j^P|+LmN;agw)=%gM-7JvDDI?MZX)I z7h-z2F=b!4$U?Xs@qCq4zZm?Q$*66u@Qo*X!L!tS@?B;>SG4V6&TcVhaQ5~(V0m`M z^-p4d4^NM4pCO$+f7-%HWc-KxfZC@X;OK?99lU}?rc_^LQd8)EX=cQx1|!oRyW_VC zzs0w#{JCJA%eD=lro3+E^2FP%9j<2a#xipTmLG@GY-UAhRemEg3qQPf(C$6oRTLj( zu~TuB^X#agZw-vpy)Y;{#mI}EYnF)NFbXuXLOPao85+teoxqQ%;)|eU^r+$l`@d>ULhz zk@$fiMX0XxavN)$lozAlz0CY&pdUJeOEfPL?0w9deu{2w4D>))p|6JzFst^ua4Ukd z??k(W-=4;{=L}SxnPB#Q8;-==Hdzf+=5HXrT`$XA`?@Js??cTsd2$=_EE{w_w=cBg z-Sl$rlq1p}!0PM4-M($-IZOX;z-}x*;f~ss$>J$rPU>9z?(S%C18K{?J(rEnq~^5G zkQ~baN6~z(?K5Fmxb9wN@mag%yVz<$Dy&Y{hAFLp5xv%Z2OWxtIpWDZSe1 z4Eg+j%)JkoZB=zAxX!6l^-jH456*iQP#}MHJqj!V^(x3m6meHkXkpM?6cRLQ?z5E; zWYW)Q44oLWswkvTq9wtEBx+v`^!Ae>z02%!RB$@}`Dbe`o3)G|H@gX>9W)y(4w|e6oNauwq zmHEUsBf^=GdGrn1<~{>~B)BWZJq%%Ir*cU!uBN)?Sr>)_C~h+fCG89@F_uJ84-|cm z;W|tQd=O`)7P5&TB6Wu_Td_D7TEQyg#COrVWIBCWh)8YpbZ*vAX zGLF}?WpFg6m@@<^yVzjxo`QSHK5a47NnRqlh8ocphU?*1%{@ILlx|63%)2(hQ^` zo`9tdK6FRHWOM)}OHl)WzY`etr56P*DFXUgCxO6GB8O@8BBJ^A6wSO+rNz}KTkFGQ zE~^&~2j%vdsO0aLUceRcO3K2k(S4AFo>Scj_hLz~cxZ}>?`Yhf1k_pUh zPuqm%MgSJOSj|0txwuy;=M1r&i3C^oql?D!$iidCP^90(r*BV$qKzM6go|uO63H9) zL@oG*Z)8ID;ovZSj=w$6Il~k_9*I2MkCeF2;4ZZ0?xVb5f;mIt&WXd>q!TzI!xfh3 zjcA{vz>(nFy;K^ZECIRy$Z~I3&Hp=lu_olRIgiWKqyHFXt?eJd>$vZT@iND=(!_nF z&~fVnA&!TIFe@oNde(G&-%B!aK*)vrW#;wo#16)d-ylef$m1tuQ`wZ&8`rQL4HVgt!x=<_%vrhOU6|5B}~{O>+zeRHW~J1Md0I3DN6cjZHz^iPL@>?*u_)z^h{1e?Cv-v9qyY^K%;G zqgjc(_BSMId>-TU#aG0Qe}_{`65CM8lw?~HY(-Dx7)qO9xW&b|4K?Olv7P&BOgg`D zx!^khQ6wLR`Zr(aEWR)I84e={{{rt9ai75-$MBJ#vC2NRIYuIp9LydrcGRt8DbkDH zg|r5KU)xv2p?472aO40M5;4e@pT>N!aZqLyn<4V)L#S5%be&7iNE3Yy z1}(XX5LzW_Gi#9Z_>8de#`XasjDk!TTl;e#{lc20xRO5h?^Qfx4(O>b;eiXlTG(gU z>(CzKA48T_v5TeeLCDwV-C~Qk)Qkefsm>!WSnMXt+UFaBLR+;lM@0km60+9q$n=Vf zle;eS2k}i_m)ws`E~5R&ztJc;e!C7zA1x3{$0I4^gWL+hQf}!SWJ4JI$1K78g;X zi2>xeUUE}>XjLjM5IKar3cpd{Ti_Z+E=9aU>)?e5>P#sc5Uf}^^%PN!SL_{#GWoh> zPNsb1h*e5G228C-YU5lCubtg+?vUVd43eD1X3g<%v614oVE+)Ytc#c6w}nLdYJfy_ z*eImwBG#lNsT?ID)zanYh_*qo7s+A=2T~N|lVHj>vH?Y*(CKKvat3V4ykrH3T zrE@4a3#G{zj!!qjGW<b&o_Hp{gsuQmtXT|<;2=#2 z>djS_w8Dqd$>%8|bjWEO@)n`p2aOu_AOmp%Xz8#>K9Wj6DXB`ra57~R!AIw9< zDM=uR3*??2f;UsjGH87Sh?mlb3G`Dxj1O155eq4IKg+fC&CNhM%q-c+-?9uvoc?i< z0^VoH79YmY9RqqFy>&7yhZxV7gX=L`+p6fH46eJi+Wel$4FBa6OkwmKfc+_ATv_hENR;VxB5 zr)-!BvBW->^L+65^qtwM$8e5U4+BZa{yy09)KzrY4jfE0Y8+X(_ZapDW)G$9$Nn`E zdvO0jx$NDU_-|pDv@?4JpTbf^@Nl;t`X?jSd!IWsol&3e4Oo=Ix&7$gFJmNk$@3F! z$r9z>d;Z5j`V_kJC7k7+d3!3ywkL3|_o&=%1zB(&Xpty=cZ%=GUWs!~XW*)sSBomi zEm=AMJe$<~FX&M?XSnYjv2Q=MmZtL@%#8+35XmOu%U?uSPCc=bIn+7l@A;5DxQ0ew$Dwo`h9Vea^+K)jTN&M=SVSw=H-><hS(3dH;RL{qbi@^ud=~J@F(O3W`wHKH9SK2XWicLCn2JQJL?$oZ|`r zbIbeyl!mF{1nSji5_v`#m`twpZ+2Gg|B@D58rEMKNz*PoG3**2I@mgKt_Od}}Al+C{f zz31b5T;^X2)KOqDtXEjWfg5=Ra3bZ!zZr-*Um|Pvm75}8ftprhwERFt&V5uv2Wy`}7DCui{DZxe$D#6h=M zuo%}`Ho`@iHC1&YBb87k_>^rT_3;4%Hv<=1LE*!~RnkU&D(?V?y%)azFpgYqU0aY? z(PCWa2Sl+}Y>f;fe`rd@Q73VFfo!hBF($;$2v@0V%R_=)1qGiLlo1ANSUaMd!5ZUq zSdQivPbrTXC)$%;kPQJ3xe|^Dn-gs#%lZ}IG#1UXJh1VwyrmxeI z*uDD6&?6ym>Ja*Q*m+6l@Ksn{#WZ5mm8c%O8K)e{77SZW7KX=>JKQ8KpOCnRy4UM! z2+*c3(ST`%O4G(;PMv4B6O%81qZ=mY&^1LZdRS~|G>AU}vQ!7Bv12QoVJHMgEX$n`Uwn*v2NSBEfRqn0S%7+PHu9-O6+?&? zvK2v<#cu#tK{mX_Eg%RbV-@kR#sw%c0xK=+K;kV?ZaiU7LssYavO-xG&=6NT$FeCO zS_2xdFX@rKfdb1CNB=j$$GPd{7<9y<$H2KD8`DbBn;CHTCDd>44N)^XJT2&e1sOe) zcji?}6(&vQ?=qSnf%n&pjZZS~Fkp`3Ss%sfb)}24ug6*DilElJF>HLkdywAw{DQYMNoR|gCg4)Ov~4AlSRxK zcEV3$uHw&w+EB0e-hj7(^OvAY_^+W%c9PdHiUQMLFA)A?TfwETzcQAON~>K2h}DDA z1KZ=5*a4c>DV|+Bh6Fg`-vC-~@QGZ6N4C6f2}Tc41&!YU)z92+Yj4?2GB5Jmc$V;d z{=?ui5)}2zlgfDgUlMOUf#qFDm@K?8N}|SHsr>5Q3%a}#A*pt>1}mI_c?w4!*03cp zr5=)^3DAiO*{M)x+&l;!PJOVKhk^|XSW318cY06#g_&Oqo z0)|$W`$GavMED)QK8yTM##!^V=!qsikFB-EFSz-Y*_oN-3uij=a?N?s|K}wu@maUZ zWQ&-6XF;%!(=`S#2TFOr{wv^h777LX4A~r}$DXlc8VI->(j0z4GHLq^&3$`uAE<`h z2ZG_|*4J@p7bLoJ@pQ`jFnJ`#FtYLDIFvQ3gLQWyAi3te_+a}#M>3CdSNI0?wB-LZ zkw!eC#n&kGX&$F~AtXaI$OiCn5Si}lqoy3YGS2Ci`<*5_;ph0B)&#^wo-Ayr;Sow= zFQ3NXv> zmVHrX<{D&dQuBb2MY{A8__pZG*6ZnZ{Erl?6aY3(AOJDmZ}hO$x^yPZ4+cO~Hu68A(7Jonn1!S#d<@ivPvRq*|c$f0i97QA`VjYHOyr!VZ#CLfm$X{UTu zo3O;UReNKEATw>EP-cmnCyF&rAF=jQQ+%ilhrrvHL>wvuGDlO%pime6KB(GrF-s+< zU{j#sr=DB{$GG4@$RD6>CXBgOTslj;Hf-nPM@#%@Tca%_atoBvDoYuu6*hFt+bWT? ztA%KObsN2;hm5!?2O(hyXmt+X@&xp$aF|eu&KFrw6440Sd@H>pfMdWKz_;j83s4(O zQ<#IOGW?K4E!q&uz;mo=rES@Sc+C(n+_cn?0I0kJuUM@egx3~A)X?mcL?g$gevd>p zs;rNdNZ>;g%L&@?iL$Z>Ro1Yj)0yKF6cM@hG$H3!hL`RvBq3%1WDt?Xmd}%bEEK;D zxY)$-nYmRb3)|i;0oxB;iS%@&f^{n##X)lRnhIwmSsTv?g`TqqOIi_U&Vf>O2)kWc zc$dx(4!@4Pfi)8Ds(9WjT^+zo$8yut;#urv@Zw^;RHl^l!r$z2kG__LOfEzD19Rc3&db>7W4q7 z9PU|gUV|t$XLx&06wDdY);ThVIfL8#z*Hpub3GK}cr!g%i=IG7 z$OF|pg>MD+>(gqXBMpe*r{4nOMQ}ZI7WNq~Mi!1i(Woxsiujx}9Q>5GeTE3{>59pZ z7~=s6p;hl6z*#xwjdO;@8@`jSE}ac8{;dUS!Horwe;Nxy448XVMYH#bzwSFe%o+Av z7M8MBUkE`!f~9`~@{M#HqRL-hfIWt{N$e*Oj5&kHK7-eP!v4>~OF-1rO!2H)JTdX} z7})m*o?Yz*ze;nA~X`rx;j z@6nKMJ%*Ac-F=JRJ36vIl|;XUJ)B$4-xR};Q&ImFPJW8l0^FbCs{%)T6y@JSm-1R4 z$%#8AM2})hJA>O297qc(7U>Ld{j|nM??!px$)NYIZ{cRCw&=@|orjUm-OG4+8V%(& za%2E0MVhS7-jHWJ#rRC>E-cU%*A^3ZojRD#uH8{E_ zOI+a|YCQ@e^ujeP_~TKKU!pU3p8=oOI|T`Jz`JQIoc|00R!RP1CwK=s&0N>$zeC>R zf^5*4!Iz^#(?>5w4gMH#?*`MpaBmS1-IBGDXgsT&jZQe3JfX4Zskbl6yCOE&ey~L( z&QnRc3WGH`iFp(kS%lx;8A^O6{x0V*BOqg^*0$LJDUoEuuTVHB3?U_WJo>YA65jU} zQmF+6)0_j1CPVNAzkvkx04+UeE)WNg>-iEh)V4$dg;ps!hc~?NuvD$$W|a$7Rm7Fv zFa=2D8Y94Q6O5G5wPUr~)uWW;rELXH<9$vAlW2&00el$YJcOZNmMz?NrfZhO{p_Z= zMc_$fyo%B8oG@8+g|b6TR1XNX7wr$};fF_g;*@$){Kf$!;iX0L;yxVmB7-hKq7z9@ z)D(W`;N3bs<3KrQpkg&dz=4CLa2J?>ugsRDIv;#jN+NSpx50A{ernGE4xzySo&r#8 z09TrI@s(O!LWsvtk+YReGGZQPg3GHelcrC>uY62FzF-E<2Q^ z2V1h3+yFAXzl~;Fk`$B!tlA<>pg_IhXLM@-SrdNx)+3QH4P|>|EA1ky#n|a|M4?Ny zkOpp2f>LggS5`Th!|5H&KX+RXGnIwrqC6q@>o{ryQDgsq%T>L+3jZ? zAQm-qC(H_mvC#@nq@04#3q6Zw&TE9s`42jRor19_vB>!JeP2_`@BsOP={s@u!W-mml%;;~({l6f8L>=Czm$wUGL0ItOwR_T)=S<@VIs|*3K z*!(tBdw!;J@t3Az#W$1kk6yljg=EDSfcHny+%HXv|HNrjCq|!7!!UV+#P0A#;%@j4 zE@TRG2Fd;y{mA35#B;xmLh7VxgDqK1L4P zF*RUrP5rPGKl$b_6#d_AKO$Rm?>H!rd~O||)w&)}_HTn^2`yvh4+;`)MMx8pF(M#( zHy+nV<3hgs!8<<>=$GNi;1v&?FOmq0Qs+jG($x}5}o_IZ$W zo0{=>5ERYH()!+#$R9(OTbJOp!Pj05M^beJj5rvMlkf@%dIG&o&e`DANZ?(Q^IcK! z4m6%{Xpr_9E6iKb=k9%sTmAXX!@|8uY{Nl4Tdn>d5emoxU zhmCy()M`g53oYGBhHbWoFj}&~t_F68Q8*Ajf}Suk!;TX!m#`g(IUK1U+!)BQXt+>L zfR20^p+yahPiioO2Q_DII^6(PQH?#4-5qR_+$uodc;M%di@+9dUqu|`%=9H4j`W?J zfN&8^2SUD4TSL@ojL-{cGc@G|$77;jox89R_6CA)^WI|eS(PI78$3Qzxr9Aa7Q26V!Kd^*CM z!LpKDhuF=b3@FEt2dhkX(+%@E>>XB;MTta&;=ynkTum(?lNo8euC%-G>E-N>T#l$H4d z(&_N=9p|oGeOUFzcR*-~^mN2f7jvQbZKe}Wn)sxyEVG5}1j{ZJZBQz@LJ+G)15sM8 zlTx66MyBhH<$83kBv`geKn3LVvdqd%(LX*ok}_j!FnGW-YZ23dvO3f%+m2+xVBj!~ z#Aw_Y3cvvw(Y=Euv0h=sNv7M@80Pa=Z9G(}7$G&7^C63?u$B14K^#hkb$v_~h`}#Q zfLekBU%GwdjN~% za&XNbz*mLg?FT)LM56~yuu2G~9NruWu^VPN)(sCCykN-5mBx8XFwpGM^hJvGXo+%= zl*}l0*=-%un`-MMW4L2zF9sclKrO0-#crCT&~=WUr2|lg!yqAA4(`!|!v>PDIacWa zLSikvoG)xSOq}O|IF#%|o%I-x!Gi#4I}UT)o!4$W1m8et)k0E*2Q$Rj5!u890{QT) zMA{}Mz`T_}EUF346$hMUuOt}HZfz*Iw?k2PMe%pT+u=B6>gb{v?SUc+;N3%XdZ_-+ z0#~$>;PY6?MWB_;K`cn{SuFDhCk5h}Ch4yioB2zlnIwvjmxD!`HuH1Rjrh7Wil0DX z_>Y2SGsXiu-SRHpjipr$!B>3} z%Mj>6wm*QZRPfo#<@9vt&9}-5#yi>nVNG2Ae#Hl>46m|vmQ>{$B7&5~wDk{?Y-Nyd z)}`XU6RG^^6_Vb2b1eRo=YpW+6s=``^(Qvgdl&>ZFg;!5#oD4T-XrbV^YIY-@SE$7v5l{j~fmzlqr%>QzbY$CNWjM$s<#I zG`|50u+8l&!Tq!YlC-h+qsc_NlujHzYe-{Y?pyaqBG1KoUix}fu)}*dr@-LNk58Nr z?o%@}a_NlC8F(B+K`p#qGWQc{#sZl8uQ<#Kdn8y{zXi+acRfdNKM#%`d^k}qttOsv znMr^<4C62u+S2NuU5MK?iL)!kSCs=mpn(tZk#QaR`0=+BYC?I+_<#L_#HrxW|sp#IFH57R2G}B z6pwkA-*-R}(r_?j{`5EB#g29`nnx7{cz|~y> zrOf}1g$Q`^`N1B?^l|}KNbvA+`E|;xVmuZt4-^Fu7`SP#%Re?Tfu+@`EvONTptK=z(G_aIbsJLbT6GC=W9Coo5fF0XjBu`;0t3{A6mdb1j9$T>$uU}|n^s1v(mVtYnm{@SJI49NDZ>SF|2K(kk z9N#_kRw$UsQAQ3x5@S|Ga}x2fOlW-smGID=sLpt$3;VPw&R@sqrqbE$9PiQBXG_x( zx6Y66?37!GS(?@Zl^)TTICF=(h&h9{sc(!Cc!b+C?2C})$aBQ$FL)nqv-Nxsjc*SS zArff@figfNygwA+@r9J1v66nrF|vnG!&v2XlrHBCIF2zkP*+<<&EpuwlR;D#e%1zaIF143gus!e2O;zUy_HwRU{EaC=FbVBdT^N0CF@t8RL1MIk$dmH2&!vmG88|2Jl6YK2N&MR)F&{7^|L6W<+E*5 zwzZ8GavL`AIcMD?&Dzh8*5q`wwDjf)@uVzD(tRH8 z!)IYAb$TTJ=%*y&y_4hcCzDX2VE-2845_OyXYCI|Il~~sQnX$r#XT>ZS4YQ%FTt4b z&DhoWOdQFlaIfgGJEle7h~Ywc90L#At}3DO?m0Pl99Yfg+j2kZadWbPj)gbA1g2y> z3j9QS2Ggz#8?O#sc;1`|4oI%5A~&aaI+pWYWZ2L_?~dFZl57h{I00OtecU8S9wb|=L`Yh z<+e>ZBJ7`Ng)K5it5Ie&3@;j_VFmO>;wzpQtFaydN=Y0Mgz>cm;zf(n#v=zk5tG;f zm;|xHg_KtrY?VL@QFhP|LfC<~DzHjQD^%t1W$_*J4u=$gzerk`Gr*A+-K`&(x`Iln zKK|BYZ;I2XR&2nJgo&1d#s#`5x_1CRK1*CnT0GMiujTYjc@!Q8Rgnq{Wjv@+Vigm) z&#Cbq@aXpRivh_(=a>A#{1@#Ckf&P=A8dSfi1@dNiz=ep5uyDk?wE}em_~=&Hcsrm4 zE1K|DE={QUksQmbp*> zR7jRcx``oHyM@UWlw>NR@++pU79Vt;Wn9EdtQ|8{W(O@4~3!xY5WK-OvG!S4mg>5?{d~S>Q*FB!l*@=AhA2Blk>w{mwvG%Pe64}_qbavyX#;84uVDZ*p zQEBa+h#fPTq5xNsWB*BVpSVflIm`?F5nNLL1?$YMquRXi<$SqEWEsC0pRrP06*D@y zHmEK4c-I#9yC-_+Zzs0m5AAPj?^Q9|n-J#nCncKV2(^D;ud}=Atp$O{P-r6*gsGBh z<>CX0gUw}or~k+G=;f~1q~_XUxkV5_Xm@^n3HZ8tXZ|V-1{3475j#)Mh(QRD7Q3;Aq7SEGe z7rS=$=U7YlB2cU6K|vU_#qYSfJ4)e05%a)Xe3_wml#4CX6c9tm%H`i4WHM-Z)&(ElhF!{RA*^jNvHz?kbq{sdxqggQRTx`65j=a5hZKa4$~lN-6yHNKz?&lTB|sBg z#;L&)N|nmq0k|rr%mCDlzXW_*$Qy)q5heGXBI?90xkrmw6tB=EU-sNw03(ZB1r2m~ zhETC0tw_b0i{0Newpe03I6e3$;Akb?U~tsPAu}5TM*EmEFxh7S{EQXA3_9xIG;$7! zUI`>B8`qTI=+ChB{0rm^Zpj408-Ly7?r_B=j^W-msm! z#E}a5oL}Y62|9qy*(pTDytK-hCrJmGcR<5kiW=Wep(RvEytU`#9ht;g~C0BSvkHK2Fv&!d=w>XXT@;?vf~O1JeiKkPfZ_-=BM*hS=x{iY@1hQe(ef`zT-}` z-4CMWosL`q##$nmSCwfQfDdb^d}6OCSn zU#T_UIh(|w>n>#A0MO!Ae!P_`t)(AY0{Q7s=_5G9ehb!zPMSbXf1|5MMmfPtg&bMO z+VAzVF5}sx_FpYU(VZt{Y5Gp+P~-9`TaUWa?H3|RfAn(em2~~FSKw8T%S7EIC>!}i zj4m>E$xEGUeH4-*hZ7!qi2ofAtpk`FZoFF^_8B7i*mD_1f)PNP%l`XxWXyO~%#kPG zFUm+PL`MgN|H_*n&+m$^-Tg|;?OyDC>pQqbXdM0)pM)&0>b1{^nAK~;NXRtDwx#$H zNSgoIxrIu9CsSR+VDphnFckSB+W&)iFZVdB<((gZGC<3?rL2z5YhNDuX%7kpzxGXD zwjPm0ADxu^u0OHVNHiQ4ex=|DN&O8!b|CVzm?3-vGr9QmlQ2}k2yNxiAPeJ*UwA>THm}< zvd3=5-9AU~oP1y^b`PCVZVv~FVWv%!l@xgGGjg!0xTexkm33KCz*#_fRgC=BkDy1& z=cD}klQa1g#{9>=iE+C}MC>y-2YO*#Pe%b0S0QxomJ`ew+VT&;HqQg*`6&FaMxo3~ z(tft`;^Id-3e2Rv7YUrD5`PfYT@TCl?9>J8r?xPmlUvqunBXqW<@ZF1olWvDgG48Q zx)sqV+$bPTia7)8pNsU>dRKfJR_xw&UU%fpRfzBv(0qC#!p$=HCNIyhjRhx(R&=;v zX545}UKJzn!Bd?hsrGEzn!E}QZ1i3w8<6;2lhshFtK~z{D~j3$<=9Y#nIpj*68A;L zGSmQrYoa9-@*?{jpN3@I!4`r&NnG(OvxRxRt>IfEZIGhI%e+I3&8p;0;*JnSoEU8% z2}LdX@yLPO;cp!1OT`X$Bsd?)+zRB0+aZ4rNu%!Z7HpiMUF**TRoB)xH(A9_m4uI3 z=E>uSa%sUD4q64f;A(Og$8OUzPKvMF*_oGiB6tb~&WLm%$|d!`I;9D2WS|tyqO<~+ z;U?z{1qDlCdOZ(QmMRuQ+bCzGzUdOy%toz>S7aj{4t+IaBYJ?mu**qk=|wLH1wwf& z^hlk|tt60j@Zs3L^N z*eLSdhr8Y=CBk&Fw>s9_OE%EYczQtQCvoe!@i5B2DobSZm*u9%W)5_ZdcS7x#oAs<6kXz)j3x42E6;_e9zx89HO+1U+X_LCDpeSmP(rL#{mRvA{p@!;(JqUT58zGRk%TNxXxt zTVI9o=Tj-K7!YEpynR=MkLdAw$rJ$z1MDlTw1-2QMlZxXk5}e zjbHJ~Ji`-lFG7W(V08+t@QEmX#)S4za0o3^v&s7Ew;<{MlcWVn(`f#T%wZac1xbMA z2KqRGH1Y2-XVB$)ahjCZ`$I54GD(fJ!5nB~Pbb+OSzuc3cjrUi=8nknQkgmw zicq{=(NThm6GvBv^tVf%$>qclfl zU??&gv`}q_a&}@PZbtbWFizy>rdDSg_Mq%glpSA| z#T|nFa$;FOtfn7*)(#Onar?UVLYgO^@5?Yxt>U#Y8!bWC+wkYziH^~mmfV7^@2&gU z3Q)#OX9w(7_=K zlM`0jQGf|)x1tdtKEPNwq!$?i%J_-*w zHVMU#il~&qIX59kDNIbMN6wNM@eDcKXsEG6#8K2C339^{=k5WT?q6Eoky#upI_u!f zQ%oJ|0ljW)dQ_+vmab4I;VX*S=L`vLkgg%%L>$h;I%j%;Z$`b|1T{^C%xB3-*ht99 zft83g?1c#3B!V7K2a~O2AYu^cq{p0LT7602N=L8GssIwSXp9XCO4dQz238>}`IxUp z5n@?@^qzhO5Y$W&MpUC2EVVJ=xt!@>C&>>}5fs@;Wk&1UesQawemc9He>Pzj4Wg8J7>=6H9Y zHFAfwG++3yDe*5zLOJJ{;p*vb`U=tOrcP)>z5xv71I%`^(A}Jp_{no~ zBWXV$Rd@Tqc#X|sC}%Jq&rs#@`ZpmtLGxB%0N2Tz;lN$-1Sc0;&EZf3*Gn2sCN;c~ z>!WStuK-^+&#`!nBS(UQ0@t?V^`CpOko)*D z_89hL@jVx2HlWM4)}?j)S5KAWcwQu+Q*A5+PY9hfm`CVFbhn z+www`K>D8I*-&O7=k*(wg}`CyA=Dt|?cN;wUdemKIR=={=okiQZt@tOY_{0~!Yn=q z@%X+hbsvuY2hAx)%}f;IZalZQZwn3H^2P*^ELZ^$9eZk^qv!4iFjMTtebmS_0^YJ=lefC`OTX+HAx>4nJCfaK|pTY?KM5v$g znsq*!IfYkypMk=4lBH)5@L-TIG%VeCaf!+Sh1{Eyz1o67rtGHj^YQkXH06|6kBj9 ztqe5y5iHo76D2`?H77dx3i$qJ+Qj-XqjzNMxNJMY;wd&AO9uU9DZ_@_{HW1D=;(1E z$$$XID&({X^%K5u(Xz@5TXClv{nF~IOAG2YicJC{V<^>UI#sCDp158-Lh^ab5@nyr zVE`WGip-QZH{um>f_H$~5^3Yl28k8PoFxEnT`{FQugG@gh_;xB?-M5>4!fw#wWE92 z(DKSSR>H0}@+Gnat<|j(H^RowBPsGgrm}bwlR)=RX+`h=SY49T7izYFSc(`P9aFZ9 za=#WHz5zV&%uir!X10l^mHHYcIN8a$8n${RXGS9i_%f_nI)>RZb8=fJis`G1yuw(S zbOphK>&}+FLjZpSM_ysk$ow!S&EcW?EqnZ+%p6f=>J~hX;R2`KD`O1iMNLQl<3N3a%Gd!n z?!b&d=$8t%piA@=n8&ZgHY#TbRv4E%3}%VOcrQS)M23Sj_Ifsckwti{X9 zXFX;lthY`kJm|h5iRLfBoZ*i=rdCP17C9LMkOaO;tLgVJry)7`+X(BVpsEIGM)E_@U<|a{MOn`U}huuz9douFc}x z@BC49{$b3wFlX>!cI3_Tcy|Rwb0$b_eu#jW>-b}J9Jb((;e5y>_bhDAz%y;&U|E3W zp2VxXmixD7(kcU3lgEOsAD)u+u0$}ET2;oieTHJI1XD<37XsjP^d3g;%V}RwDUiw# ztLk`HL>{a91($4n6w3z;}vSlee{c5cP5(bY-$D8Hmo z`LQV*Ds-4H4o`rgPHrH(u$kdQU#d`^!8QxG)MC|b6@g~m2(w$BdT8s^c2h959spRF zd#2!#Np=k$43x_ec15+bk1E={1Yho}c%$Gmkib&X<0HQ?SRM3kdPjgmIB2^->09bT zb><9O6h|3sN3crIFdM0uM%v^B)!Q~h8KGiYIm!^&ZnP%r(Ok%nhJ!s-TwmijhO-r- zuY+_V$t{9U&wUXbjlf_0p+tQfD@+XZ6S+batWr(+#FXMr4)LOR57c{xkAO9XV>lo3 zF@Y@b>%|HrIaUxCg_Q$?OFkUReHWnQg-LQq1RkelY$r~#2`9!dM?oQUu?#dDgA(V9 zBJ2w2c;@gG)s7Fc?trQ=c7zN^pa$TbUOd+#41>WW@P^2Y=4EEq7OvoaA%Z|u^pmhL zCmt>|f-A6!rj?ftMlVLDHGGjx=yJ)|(BnDnqgo{?bI_n?od)ye=pgJ&7HyjH3$q^7;-y`PRWC|khaHallh_gq+Phix5gIDS3J9Oxmn?d zIXbZ`D($E9Ds(rFW*6}-eY3>J-L7H%{JqIy`woW>Svg$WzDMJn_idng9?JRT|H^G- zsWkA$L&C?gKA51h-Cpw|FTgthw=Oj`6qgYVF!Z_Maa=pXfaO3O)x8*O>hM6a6ufW8 zBkNv%KxP+^%GL5{)Ch@ShOpeW#(<}8GAnP!vhgEj%f7fsrOv#1l-H4P7uoyX`S(cU zv`*MVm-d@8to=mjAbf{#xXTFNm*KJdU3AgEbGGCg7e-q+ID%0-4&*CuA6vLduznG` zi92wmkj)uhjp11s`=K~E*fO9z!*vr1B1oy>7zMNlymF zK0|}G$%aeITv5zq?zAbB}wAi43v;N8uftu zFSYHL3RBG)0ym}AU+I=Ngc?a%9o%_%6}N=#lYQv~*?AqexyH~*Erw%VeiJlu(^}^B zv!XU;WL=S{+ zRar~RXI`_1WS|#TJmraerV!yZ4)nwhQbJGI^W_o|1v0UXE1r`zlt2#f4|^1tX^1D)DA+ zLxG8?2T2S$S#uOW@iY)pV33q?SE8$cNa^8%zq4dP1s?Udc;Wyd?+-PSPHHNo;?awE ziz+B+M)mk(GNYh{`%z>Dh3nZ%#A-VXv#=a)S3#km_lU8uk@y3xcEJk^OxSIMI4E|R zRf#O1=+Fw90hTUEJn0~xW=Iwgx0aFYvp5j6r4#1fU~gXP3)$fHxQ=M%Z6qKOnS^Lv z`imJAnApRXy{_QSf&z4rHkmhKOO;x(frK0&P?Nz0c)S#g{Qz$Fy9m#pbL_Jv?Fb#< zBo?&zS*Fo|uKfmRB#*(KuXP^7Pbb0jA|QY{6ByJ_D*4R74W#9#o{TgEY=^)RW&`b- z`q-y<0~dB4>wYBiypRYxyILYKmN*%JZ)N%2B@#ZWRV9KHvu*Gao`?#`y6_n*=8eDw zKMMdUKGB7{WJS7?Rgxq(fGm%;B9Od?(G0TE(WUOHV9U_qHg)>{AB0T*Gp9EwksWw_ zCW2I2?}-fVEQie!&D0i4&p5X4Dn|Cg)k3Oc)s?*DQk*d`?;K2}YYIQgrudD7im)7D z^@*W6;>@K>CJxT1{1G%WH+0XKpiVy`-F4GO`CRt z2317u^ngQ<0Xd8Vc1eitpxhaKrX-3Qu8Tb6xeK+!69bc%*qsrq5|qIMZI)XXu!|_0 z3FdBmH_#garPtV=E#`lw#$jCjR@cO#TPsepRzYOiRhZURd*S;v!!=uq-&8>7&mQ6$(k>Tc^Ewha0V{R3yrLh+MS3gS^Vy4v>i*u899ODi=oK+H# zx^(SiRYVyDQFQnu%rM`{GyySw>@sYPv&sSjmrpBR%>3k39M{iMGuCL}#WkHos-;y# z^o;z_nPD|R=>f3ucseS1=&9tXsWgx@|3H)!gzDV<=6~C7=OS8Uvh_4F#bB-jjs=$5 zZyb=u>u`8C&8OG=EeG)dZ;amc+PS2C)0`~(x9mxhVrkWq<&lLmAG^|$<@J)bVmeN5 zk=Yt&v7Q~1*J9t}7}p@{`1jLquRSn#_VIl)sIr9$(zUeOQ`XfHZd3<=(l9m9VaG9G zhodHNFxd_KDw0Oma(=l$_yERK)f(qhoQ4p}b->^oZf5OQ;l8T3sl8H~=r7~wV`#Q% zi%YAiyA<(H6g-&hjhrurWIY3(hpFRY5kAz4L0F!YfS$>VPodu95BU{afz7d#ShqUh zJI;Zwc+w_Tm+v6BxWMFP2i%*ih2L0ERVt;Q4Wa|(gVS}RNr2^sZgAb+0X{NfPAxh- z3inLcOr3r{E1W%maq0&Y1a%lHNbKPx6Ld8&N5BleHUknK=rG{K-C!wL!Nh>%P*Dt; zEkI`_d7WsmyP$R*-JM$ySA2Yd=t_1l$9^HJ0Xg9UQ(v!!N`(--nd2I zFz2vr*8nOck>C!Wj1&M4v*%=8AA)TX9FT*0JBkBaLn9$ol2nA8b#QKw6I+M4@Z_|E za0SK}_He6-s-ra$(@NO{WWqZOt5a0O$XjqD>!mWQz~CsRGhJBSq4KK8yXXdacy69Y z9x-vU_n#SiC8n}d9CcwVPoos+5-C*#6}AMCC~KuyQVs(W<|P5k*`PQ20*=mx5as-_ z24!Waq53(aI4{vvj0!U_S&Pz7^}b|Q*sfAXs|+c=(^`6WZzl`r=GjX`_)#G~^2P=F z1}5X>tra-jx>%G$kv}f@k)j%#e}+{ zo{R2~(Y~tYkyP<37G>eOd<_ObYqwAa%&tsDR~>yCYb5?E6u|G{gfKehL_UP1sMyY9 z>IETZ+X!F+iZjO%PD*$=87CZWlt_2xoOE5_)W@`}7oNIj_K5o2( zp~fzcV;~y`@yvf#ArBG>=@rRlazcx=dXhD4D}1BD7bJbG$w%wQG4Rx9mC-0X!O)un zn4J_qkKb5;t72k#?WNK@_PcSy*FI(H3dK-(0^Cv0*WZR=67z$RWlo0w%im?#XF#$q z#!3c;#5#{5XUZYoI1sI2Xxq6e<{Um5z>7z?Es=r;J_Qv5?#8RI<^H?nO~*-P0w0;H z%^4EAlDg_&%V#Y_>3!&5-h{W;;#_c-9r~q5$aV4+TXt29 z-v`WzkWTw$LHac3JsD?Pi^*_iOmDHA1)>3_%VQgEUmkScp#rZi$luj8e{yW9s&+)^ zcq4)BX*mn8j2MJs!&IdzzpnKqlmu?E3Z%ijvF_R7wu5Ju2@CS5GCOEOIqyUd#pJ1S z530znh#Jk2YUCA9G?-3s>(7@bB(S{qO9;IMmyM}vh>itZj42$zE$3}1P=8c$uEC~mVb zPO+{Ua|Y*?sXQtuJ)Ybk7Lqp6?(k6ER*0&B{hLqkaeRaD*Z@Kk=EDWE8Jl zWeu&MQ3mz1wM4c`M|U+K7A*T_R?8@*?V0%4&=T<=PBufVJdKJ}Iz!`ryaF{%O1e|p z-Z;+P$LfNSWDlU#^?>7Q$1?>~kTL@Wiyhhs4<3Y$)sYSnL>r!Y>IaYDY1!MmKbdI(e5km$QDFcFL77j0e`4AO_r)Zk`-)9L{r~FfF$p7^5-og;=*bnJrFN z*TkuwkT2jMG12d?TjnBpd+76Oq~kdM5X~vS&E#Y!-ky|OOFnOgzdFL*kC&}FGN4)& zy)iB$%k26IgcP^QSnMe*&oT|Ak93X>s{i;kV|CP^gg!m3n`brK`Uji@dDF`zx&_)_ zcmxY}_r|)ajnnMd(40GQuBC$OUBaB<)iWzOhw}?3P;qPHH}D$(bAC!}&hSoe$yRF8 z91}&$!ih*dGBI(A^4rp0@unLCT8=E4w~>G;s2u({)@8oO_vhh>8O4^-Vf*cK!$`c+ z9FT0r9zP{%_7uNh10?bmIXF5?-f;V=e@&8(Bz8$+HNbrl){2b@NEJWIZt2rK5Ec;v zLOJy0njHEtCc>LPb(?J82=N&=z80aI-$uTkK$S1%3=IT$NRb@>g{x!FW{^mjpBtf_&@kVok)8ZkzwhC{sn8EQNPjyTF z6=?6nM7Vv!-WH=}8XZ`W3;3x1HT+HxSHV_%bf1s8$idO=el+C8agvLz#a}M2Vq}iq zIm1omRN=(`-c4xQ>p|`CPU7p)S=U5>qFD*k(R($pk^xJBfQ6Refbu)QxC`b*U#;su zUWA}~EnJ~?1nvc@v@G4yGt5q~5aA$4>`?tcm{u|MzDREeD(pgNfoH);r0r%|H4Sec zs2`S$9Pk@4zQ|H>uN+k?D57Kd_+d+aPklq|4Zq<^CzY@-u8Kqmf-PZ+UB}C@h6|M9bmNGPmsirFQ07{{( z=?7F{`kGHI#|5I;TgA9iXf7@foVm=XRok;DRQ#D4%^z_Mdi|`){qSUE}yB&hPA#Kf>_7Zlu>I+=6oQGA3s|?HKg=Y*z%6=q+DXR5QYu|&%l>t zY14S!^$L9V2Tj!h*?7Y%!Tr-yVNn6=OQiJG4K@E3pWLx6fD=m?lVd178Z?p9KZwHr zUbsqJSgkDC9P8fI7#+y+ddYImVDbnGa6G_$G_`#O#z9oas_E&8UtVl)aH@hVf;t3L zhn6s$w(L5FGuiY#&Pe7?u^zApD3xx#vIl~hQfBHNdQ4|@i~qbu&XAOIIpCB5R-xz!O7E>F3@PGf6W_v?RS6iq z?gY0JH3U<1)Lt%|*ua-AKzaYk;%rzi(#Dsoq}92S{zSnj%n?0X>6Jj4Y?#^WMZO>y zXkCPl4H)x_#dQ;bSG$ApzPc*r$aykRUPem--=P}fI{sWg*)?bAp*NAj(Xf$|`@K*p6s)L6o>lxuIksWAsoZT*$ z*RM~FC%o?t?~|Esf`Pu6?UK=H&P;ju3Ap*ujv$#QD++@H9sY8Vx>$oA6*0#E$M++` zhrGL}A3`hXLO=i)5`j!S!Bl}(t|1PLvL(?Dfv2-7KNmR&0ZbiS-Qx#m2U7V=*6Y;W z?m~ARr0+O);mW&ceYbi-$Js@(d(RxAl=FX!y$CZm*ALdHm7bMmF-s`3d`p=2gB{ORKbY5C#ND z{Z2&;Ag<6mOS{DQ#09CTD*n2lNU6_bZnKN7pJ%ln@)_g8jlCN5TUdRV?Oy+NCcYNE zR8T#0s8RNzJ_Nh3H+`581+hc_)~YEC_*y;2 zy>8t-`~X&UbYRB&+q!@QgMr|FFqu*$Q1JizHH`D zUXj6PDEnq$I@L+262|INg{Nw`oWDCLqZds1s5bD0-N)K(5+#dP>F8&BBKvYKuXWEm z#X(x7Q9*Yls`;u)`u)`$EmNx4*aIM7n`IzukF|t8a^kB#LVpT+3NglodQu=Cki|(u%YE~*ONPJ-fk3q(GFm6fq<))r! zs}og^6jqpwoR5q}y1XO`>zM!#EqeUMsx=D2T>u3~{tv6Z8feWu zuCt4o8#mSvx&sL7nbh{7cD0=L2_A^jpb1wHz`Hq+_8A0N$<`Z}_Gi+s1~^`hOLgw3 z#sipCtg8sroe@{%UD1r|FkZ|7MO0Q64@Vt*J%9CpUAe8^7$;@C7L6Ymbk600WvL64 z^;F??Wfr<>?~de4svQ9p&z&J9hN=3}vwNGx;PCVKu^1x!c7lmNcK6s5-$%_#!jMxd z`yQ$;Z>!5U+Ec4MK2YxsNtW}4#%LZ;Lu(8wIW&N%92gG>9)b2%4WV+_Cj7t{U1!n< zQjHfdxay4;e;Ad227O+ohQQbjG4-Acm_fl*dvfN7UF+kz)$ZUCzQh{=3sTKBq$w2PKUj;e8v}S} z`asZ52zRJ=0S>fVrGj=5uOjv0>2@>-il4`?3n;p2kjCzdp(h5Jh^(6V&uKuFp8Rt@ z?VQQo+Nr9&or39+lW_}JEFA-E=b2W>*Z`6dXl1y^_y7&LNq3?6Y1u8b%F{}|OyLryooeq#v>40)SSoAb%H=~u_CmWC z5&WIb4}P^g_9y%w0^7Hm`G0QFlnHnmLxFYUe;6n$Qgtr2uVg<+k-wiN+)ub2W$j2c zI+eb4*y1w&5O}x~vpIxp>>yY1yP-RdY2BB&)wDXNQKH7NcTD))urv6{81;8&st~lw zLlqk8%%Re+od;lvDs52iD8w>swCzfgmD2Id?5c+gf_Io$)*597L&a)!f}fcS=TnE| zd1Z|H+neqp6x>tm^qJ24u{IRSoWLvxi}KAd58ehBGUnuUC8BQHJ`+ z4=cc-rqrAk$qbr96YGoL&hNaesqQd&um zswkW_q$2C2D{39AO0%^!@@UCt&15$EpZv44Br(g~vvG@mcJpA&u*?mqV`N{ze457V z>Kv?iB%kr>J&;8ff9coDn(g+CWL(^ik1&FEvGn`0AC?Ol0_@B z-#@DvU5B@35?Ya;@UZryj1h(!F%}%s)Ww@ZOODC~I;Bxt&cN$F_-Ix;z6ql`3y<}r z7WiR7?h={t$)lM4%*dV-s3AxlFPVoLHY!E%n-in}x?EZs&80fkj#@y5L_&O%|A5E$ zcP_^j97T<_L`LyvxEB22bQBsVM`FvENDCPYsncpBw~P?UtYAvo#HNLy(jzXKWo~hIPlx(ULtZCD?ODIzC&VzlT zq5JD3(#@^NTQ_o~&-#;sO3ki%m?Cu`RqA8~wWa6bL8U74MjycASJgCx5l)f!R;!wK zy$&^0Cbu8^{2eEsbH%Ll%I_H@Gx_|)C85FXkt5y)CmHko^e_3CwE}YZ51tvz5B-dd zNP$2tg7Jl?e@W(^etKSx{`>clLZ*PU4SPhh=bll(-NMfh4z@+vI`@vQC@lo&x1K(y zWE!=;_jI@|q)5dO9SYQ5**bSmg;2y7BwH7(!^0s^@dJhB1(8jT9ZXHql4&YmKhCp9 zwJ1fhmKWw$@oUklXCI>9A?*)7JJy%{G;_;TY6wO_=E_t`ihuyqRQ}(w5x% z)CpF$$uwhS!>iIqj>~>l4qVtTXEw=K5+~92)8}m247Tx4PhTl_J^i$u@JVupccCPI z>gkiC2Jsj#gW%&xt`(pt&QeVltd_v}jX?E2~w3Jb6h zS~TXXm6yY`VodUaDdf6d^N!-kB@@h5dVnb1@AcD~SBt3;Sc9s-_FPR630Kj1@Y%6q zVGTJl5kPF`f~EaMR&_DSA3S|PVP4A>_`>GGw?E44^xk9dr(b*h^5?_6#ncSUm@9fE za+)UgxRG9gF;`1Pe+&ohlpPykKuv&HC1xBuY} zHmaV_XVa5C)4NeL6i|S=2Sd`pQzFAC{zpZaqZ_J}FHZJUmWIjTJg4wP1IyB*^M12R z8xAIA*=0;hq)4h9)=N@Vb*o9@pG}Vrrj385fctG#9mm|7>jZ*gN3k)L0@t?Ajg;G{*Kny zEX!Yg>mCj-7rl$2!>c&Jv)!>aJ9nn8IP}-+?6&^@gy$tyk^%87ig#~tN&fM``-}TK zVGe^S49ef{S@cQ+tkGm(m_v9~DkAZeWNfKOn(0`vUxxHaJY~XU;HHhHHI)W(rfZ{F zN1qzfdESg+v%%k8Ho(@M8K1;8emasGfNhL_F*du{_d50jf&13awE*f3*#gMi7`rs#6&C+NWS8ru`F@S#s>gNpzApKJ-x)?Y*yEqXjI9k}45D44Z*jreeI1;FtI67I_*%C0a z(lOF85eT_hSQ`^CaxxPzFwrwG(laqIvJogt5y)6Ln-GW^TR8vN0ssNP{x}p>U=bE& zVGv_t7Ulo|24MbyDAd>l#n=^ughd%;G?kSt%xn#;2~-U1x&I$r`2WT!0gwPFemZ^w zet^Gx|5uLxr}``5*ni z+StVDX8?NU22SR5h8DIz2w`VOui|<}1vK10%cZ1GEdW5We0@|uP+O|9(L5O^fiR-(17qMPlr>sEZx5k9<+ zIwM06ULde*BqzdW*!S$-7YD$9RRd+0g7^mXCz>$XOIkyOQicKnnP+TFnx>hx1qf>} zc>~RCt^}JvRLU0PPCN$ef%;6`hC>t_QmX=BfIJU;`|rd!wreD!Z|LIg96T#u2UD)p zy&3-&h>?K`34hRs^v32Rx(UudCd>**A^05EIi34M;?MRXxQlc$+ZyG|=ilndNoQTn z+nJj-0a-*=>M^k{txBffeT-yPQ1yGDhMr9^w=2O1@+C zY{BF!VThH;Nwv+kZ_V!P_X=!ChljB+0X^OnW4s_@J}!Z7_! zUfC16X7Hh|$|EH`4LI}!p(SpNkh#b&7zhi+a(}_n9(D1fzi^{-7(H_OSzI943H-vp zy>EU&?Qru!*U|aZ=~A65ah=25$vjWPt$~794dW^KN5iCp;6h6%nFzdLW9q+vgx%KN zXIPf20v}X=N@2xTsM;JLE>vXfrAaNZVad!ut-1G{T_`i7?Mp4;tU&6zukR$*`=TLfK*u z8{B8*?be9v)K`fK4;lXf45Jm`I1)xb9815vuGf-rB>1{ zZX)MSBCJxno5{35VXh=%Sc=e>&6P9RpbxcZ#L(Vp6k(!PD$rI62?^36`oYpd%1ADg z7}{-U)n0UY_)B2JeWu?UfUnYtmJ#NOmOyWjpS;n)@T6?<4D}|LcK(tC_Eae8?yM<% zij_f&ICQoLaKUvZo8fnvHw*rJ4(J@*=!WR>umKn7ezZ@Mu}gvMF8>1_P6n{^1}h%i zTL4FbH-9cl8M0rynWqw9$b)`;9$Y(wH5-I$H}aUNoPFsgpa(}5Wk$+b%9eLpK2NHq z2Lr~8S-Ak{Xbe_nG+z8C9C?KO$ps>(LTh|Fm|7nH8`DyscS(%cI82#QUew>2Rw=_E z58~BBUtOS#J6X)s(83&`{-EW5n{@B6+(9NUq(dI2+D#*m{2_ zYo7hGKi4-19xt+Z@JV~i4NBwO9z2-TU-_vj{mpC?0) z46l;!+kk=Xjbc~je+yvOHOlyvwq|e=PZ|v_qP^cz@1@$o&iE93I_@UV23b0J)c9j_ zp@F&;V)|rGExkTBNuuM6(po=5R;A8)9bGt>pyB%876iohA#p-1WGRb-!^}f4m>$SP zu~}V*?gVr0J%T{0s>7S}u9(9vJCCP_Z#!59GA|}$EN!zhq@tA zk0j67QhTSlj0csRskEz(jE6trOrk93o$}}w&pNO2sGG9i?W(kS$pZRK{zaT!oYUwj zW*JqENDFS+`P0yo)(&YB66;Ymz^}niTQmO1@dQ+ z+Bb&oy>sH`o;l=GAHn+Pf1M{4PK#|E-}I^i#;2uefV@rTI!LtYMK&PM%yH|b!e^yV zqenRyLG^{mN+44P{DN8~kR&Rpo+)ual~mC3Su4SP&L$E(s)XOePSQr)WN>EYZ)N77 z`g2e%OAlS*OGg#Fp799!t9k6-d)4Qs7qyx_k2 zm}|o}4Gl2t#^>jnc8S4lMPE&jVXL?ibaT4E>8dSwKK*hU9i~15ga6Jm2 ziz@>rV`q*mRzNovGRL@mB>W_DB|Musw6_}l!C3=S&4=K!*D7bPDc8zpW=(@r)jT^k zyVD`+CdeHIU4iazc4cgEZ?CYrbi}E_#R7RWO`9j-bT?9HR*;Q(#zFd(Xx`DVl_Vw; z#IEN-jIm;ecIgJCOqGj+ftkFtQ{20XNkH z029{^DifA>M^N@+Xy`~;m}qgD@>G&`G0-_J2WA+>rI%E?{q5Jf{iaQ?DSnGN$kbuR zCqxl=V(u3k7vtKj)J}tGjHjICR-NY5NAV<-KMPj)g9+K82G*39^7-8;I?hzJZ?uHv zK`%Ei%Pz23Nhd>H4+cU8i;p9hY%6IefUF^PF8@6=1uEQr^-udXrfCP1csq1!@61HT z#)%sRrrv$N=MFN!SFdfmP=n7=-Jz<@TH~|Wu1u})L5F3Ajb#=}<^m?7g?8bS{h2>`lCX_ zrl;R*HmhaB^)jd;Z_LEi0Ls#a!uSn^rol@jmsyFclMc4a_qzh{^>L2WimG;X4%MKj zFE%mD78ff0?)Q8dPh*dqC7{t(RYdUGqZ2^PhB@n21b{iOdCeyo|JmIvU2y@C#`lTq^-kyrm>t?f*HW~!KdkIxaaSS$3Z;%bCOMm71 zi=ZFiaKIYn7}ms31WEp11gVSs6LA{STiDb8Tv7vOMk59j16FziYiGTm&w8c?hICGL z_C^-Args0XH}0C_2FD$*UclqRm9ViQUqTy-JY~X#`AAAv0uQr?p(2sBlTbViJUN~G zmk;1goO=WaB(x)gva1Zz1+;)$A-1)%-+TRhKXDW86bm1oMD-J(|M0vD>%rGS|K-R2 z<$KW1^p10A2(UUdu3Wy!Ivqn2>W6#YNzKJcg?A|pT%Y=s0&?rV{v55gwlB}i&c(#V z?g#A2*ZHNky}>&55Ue)PX%Q*l=>X!(^Y3F%HW+*k{bHVgVvDv2Qvgv_R`e}Z=R8a| z5TFjrPw*}u>_3-H#n07G7L`7m334-Xax*d8HawSv3LS8%FRc380mo-2iw^l7-WF1a-BU#)j#P0mAYc2hdoko8^? zwg<+8fSGuJ3v0b2t%Nc@2zfgrUxoh_j`!RjD+ zN9HF)-jrQ1_7;28Upp@~_lNocW5Goj;9CQ$%!7{xgHLFfDh4^wDONXBGqxcE{ePR- zSNU7m&1sAUs@vvsqblgF&lm9NrXxl&-7-Vp% zM4?<0rcW{8-n9Ha2Ep#p5{_nmVC~6NTYI_EvLZH-xzdPuN3av8=*w^h$S$s?t-At| zD0t?ANwR>}N%RvGL9{d+V7~$eVf#1|9QjRUpLbr+isRqJ5xCnMk<@WOHH_3x0L8I| zAGBxf%$dUfY?=#6sXbz}N{MSxuR#&ni7ctgM-efv+r<7}R+b3mS)P}9H*8u}I1dv; z9+bbCyBTww`C$=~*^dw+%;t`Xx3e zR`@*l%R;%cu`I-=rnrTKnrp++f6u9(=&kTUe z29elVBmxWnhQ7v27x{}gzGE}gkoOCKw(yaEHH*)(<(#tPqqTB#0(xjJ#cTsm177|# zwK=&s*JPU%h{TBqfyefD3BQ(LdxT4gdrf;!lDtPY%Sz#?Gt-u((mKyPU+(5;t{uM( zsqTLK%h%zXDHArFG2cZ2>~$V3e_9N-`CAFC5t5*3?hDhVYHHB|d~qi+GtjM}QT2R> zbtkUuAfXiW-tvoO+D0cqlDG#Hqcj7wgEJ zAUjaV0nN=)W;PKsz+hjzv(%;FS2b zgdK_Xr5k;!?fIB!;05(|ZmjVPcxtVm|Q4VtP{z2HYTkV;1vK*j#=961`{y+4K^%1Z4y` zHy~ne!HB>i{U96eog+kINckA#W=)zXFv-qO6hu-aE?Afz$8&F1JZHMbP+UhenuaC% zHe$2Bj?t~3hwR=`!9Ag_56(_n+KJQ7^;rZb_3W6hPN0>NytWP=7yT=Rk z+K5%Z&Ql|0US#LsKGkg-^fsb8>lsqF0EH*4Vk*#Rf6X67il>wsOg*Ck-f`{SBl8;s zZ13M%L$2n8cQ9S1;O zMjmwVznJF_egHD@laS+8B!b@2Y9nW>@{NBsyo#w3K8riE4~wQUB#$& z=AxG|NC@1JVcBJW9lDCq?M_55<&e6}A@|Jl?TbW8X`0)dn-KOpQ3gT!^0wZKoSTB3 z3sS;;>-S-+>wcAHC&>XBl3S*qVA5~TMy~Jq&fT~=AbhY1fIG*GZrK5RzX0pqZR|zv z;(sjkD<7IMFn{j!umQ~UQ1$QRuD=W@J}w};KP}<=4yZ5Ows5X9d3XKd4*7;&B2)=g z!0lAPRqE`z>a(GyhA+>!i<6>?o!0WWLFke9VR}%%emknz=QF{>JcR|jGv~pVqxbyG z-^~0K^L)R65`RA)8y=RG3egZjQ2DR2;+d2{{cDV8L<{HG0N;RiZD#Z%oA$cKvDzeu zp-t=n6JUu8+%V7nMSfLt5x+H zLrx;|ALvwp2G9QusU}+nx*E5TyG;467*uTrn@jmB8B~=WFBVUxmzsB1Twx!f15!hx zojzmuS{%I zS#w4SlqSViD=3$Pk#l9r2LrB=ix~>Tij-o*Rckn%jXPj07%s#b#Hxg>QIxfho&#Aq zF&>a&VL{G9WW`_@0x=Vb7A4Juqh511AHBs;JV+)BxhTooM9CHe22{s-Yz5nL0jcJh zC^?=dqijfLyTx8a^pq4i5e?4KUKXh{*9#PNA%1Z7SSTTWNC=xf7C$M*6*(dG9c~Ys zg)W|e)s_`K!B*~EB6^t0uSUr){Qzok4enudr4~EE9?)hK+R0qC|u-^UVZdeS&j9SsxHR$r|!wW*hVV85yG}i=U*+*snwI z63;1!ovi&QCPK>L=9@A1TM$3Ukhu>*@Z!Q=f(boLy`CovKO~howb7v$(rZ_MJQo*Z z%5|tfo|=3}=&ojX!b_)8hYKK8xVm|lzU(3#is87w?#4wW>aoaCO#|)n`%(fm5;1hH z1ZWm9x;mi&2zEs_0zmBl$^zXb_C0L`aAY$gX)#R8=?<=fFAeL) zknTty0~^^P6!rdyfe+f0&!%|-4EGWEbH8@UNAUe9)C!)S0n}RBK|8(ili~;-4`^3n zIl!tx0|ZZ7l_#-oc$yEi`mVRzx>@9kRgGCv?VVM_=*6r(B{yq`{RAP6pL`nzJ5Ha~ z>c@^}=?G1CPF-7lsH9tIwYK^bAC*m8L(d zGq6}wBIVbgx3v3|Iuxs8GWo{HDos~SmxS6r@rnJ?;_(Xc8wlA>X%!IhdA)}kado{4 z6RJ18H}ynDJ^~x`IiJHs9zw>gbf$djVQ@!zw%l&XsrjhF^P~H@mj=tJ`=xAJdWX|J zHyb6N)m{!RxDfyjt#>pV9hqbpqZUEP>pr1o1TDi_$ zqJ;$XzsO8GGStxnYF8r%w)RlI&|UNc>f!yxRs74H31hs_f)lOi0;q=jD8QbfCru?Y z_mV9`Ci6RAnzHNfcck9||3{`0_Y+iT!ijv1otS#}t2t9jZM@1;Bi?oCX%YL$v#Hao z;cDiNTx%oYPX-!2(IQu-%J&+KSursk_c?rK3f53KAy&Ha&jAi*j8|?=$epz#W9mEd zpn@M$jy$=#`oO8L9Joq-bF|_#=a}NjM|Z$w+L+l`9~dDpx!&uN5wtj z%T+-SWnZz@QDz9$e?OT+%&>;7A+dIFyZX#%M?OP2 zw(;U=R0Qq6PZ|~x)s7!`Bx+uU%_DR6-l}vG(bbMc8p+k(sgZE4yY3@8_8f0duuf_1 zm)b`__SGlJWKWaf2V9P>QwDn|wWg8&!obJJOX)Q+i!i$**-y8j3(xp+r;JH2rf|_& zsd}-I2V$;$X$+z7hH&TAqv6AFXQ+p{f)c9wt!2QR$k^PRy6oR_W98l5B8Z9ysVLZKQ?5Iyt3pF<) z8D}TdC|$%Sk<)wSG2Pzj{DEDEgp5n4c@=`GB;_Y%X<8)7wIV;_O<$T8i)xv8DoMr3 z>W`RQE0sz}ZfaQYqk>5Pr&9Q*BKQ%LYn4+Il;<3n9uHM|w0+MoQu}dXo~i~q`P0AVmlSGU z0cC9m?v?`uQGMG;J$}5#VJdmN21>YSOvpiHL=juEhIP#cRcN?qxu>C`B}F}j9Ok;9 z;jPd6;mOI%;mHds8QKt~B>l|)OlGDG4VyMv7F*-0xu|QzqG8YoyQ&;5TSz8T^Xe|9 z(votdES|n9XY*hI= zG;ITta`qw-a)xCITKeoim6+V4QlyM|MY@(T^YD2w+FDtlR=KE}VMU^raS@c|e}HGX zs8~gwnq_=6Rh|s3B^Ar&3eLS1N7;}(fS^dD2umb(3l?Quq-y-f*77WZn$B-2X*@IY zG1#!b5z9ziD5}l*!s<28Bta1nx}aFrVcX;Aq6Qk2(nwbB(WrBem*Bo=)Onq32)@vl za@tgf4N{`N@Hq%=QItI zIco02H4N73nLcBjNZevgkk1}9_S8_+r`lb)Q`R3-pQ(Rx*4*ShXEW{zeq-S|?;6ef z>}%{;kZPMZf#SZA@wj2P7H@WJ<@I(gU#{^r{x*(qvuma)a)4uIciC&3JHlM=?;E|% z!sQ`>vX2vEu%Ee)Gtshon1|heCSVg&r`?&bGZ@+Si5T2%5^Hl<_q^9{bK>aC@L8XE zn<$#mv)B>oy0p1QP;JO9ic|&ntAt}B{JZ;d*$B+DxSPZN zs85XavDNW$gTGTw>VaP-6^) ziP7xIdpbB<*Ks=7%t$SZ<=lzAbvgq;xUmsTeke;)cwB$Qp4Nz?MHXvbtS!asFCfH9 z!tpj@4XK_CBr|4*uS|7OY#t(Uy8Y>)t1Ao{&9UsIZpn>x_&{*=;O5$Wdn04b#Cuv% z7ENQZW^lnC=AnAw6ujZ^vAn7}b9ku;0kho~gj=F|tw38Q&vT4D`>UZ;+TYpWO-)QZ-UrM*dG>C99FV8VK$V(X z?Z@xq$^pHE-HA<9WPyet!cU4H&yNC|QhUuieVmXwrtlMcE&gOZpzcM6N`xq|^U!si zjq@aTSB~f+08MSjG20R={)CFlaL+`8=y|1ZZSAupHz{rBV>5ZvD-I`I}k8vqdTzogvn zUjP6s*e;yyM;J&HManp_KnSH@DH^IP?-c=J@Mg z_W9yNcEojLd(Z*@>($iwVaM0=-p}{@T#ql(R)6NIq{VcGm;a(|dGhNx^OS~RB>%hQ ze#(J7JoohNs+xNT^ZR}(_pSO1?Ci|@E4I~++h-(W>*19i9IyPp72PIX(FlItU zT7m$93t#Ar)BE#xy|0Fu@`MjZ;^wSx-RI2psFTuuDC@7)UN`=ax7(E+I=-&&%X{v( z;I6FIp8J8E4_`Z3;P?B^7jh4?PBaDIJFgS^UDc1s#2j|l!qzu`;HTk!|GI}Ufa zI9IY$4XCkWw{ka#?=2)hMpm(ihhqtvqF}tYKAH32GT;xBmFHl(uoWcw3?Ey9vDVK1 zV>=H`RgqJzDqun-0XU*zTNQ**eD~V{kMDin?{Yq8jwtMhd+LuzvOSjKu=17;ETWUdp50b&Ki$C*#MOVDc?8m?r%1#Aov$@M1r{X2@QpW<;d9- z{!*01;T`5I=}M9SstT5d-}b|O`D7=LOv&th@OsQd&HOGEHp9LWFaJYiO=X`fNLB?m4xBCWFy84 zc_cs7LvXYAA@n7{08u7n8hYOed@S$GQMO_Rn3@Pa+rsa$j%gCo(5d=*knZ z6IFw!RRgt45y}cLv$tcVknKTYeV$Rqz~ogFft*XC4J~|0Px3F=rjrY1fRxu_ClR3y z?lkQ1N5gZQ=BQBM&Nd<|ds72mJ|E@>Qhgc$Cf7J&$QyjEZrb9X@%{30Bly3)RQ6azyaA}p-NL{)U$IpmME-qL3h1k ztPbYnD9Z64jPg_0U=uBTMo%oJmKFwUgPJmXU&_jgWJ>R7PcAq++y!K_gC_8`ZsHt3 z`VJgu&Uk3(@n{HL_|o)Aa4X}75wAc7UAAkA3}4cI5Rl*>3HBej`OaB)qww+$>ci&V#gTPq3RqhMkHU%1h&@0mHU)QWbxxT&^w}V#I_L3}803N{B0OX-nY1swi*t?&PhMO*mTA7u3 zDAQI=EnCfLwI@%xUJW=iEiIPKtopl;1Kf9$oS|Yr;6R2pAK!vsjURKI>lr0&YMSOR zmtBwS82T29+Sd0s=BSW88$-_3;=&!yr`5r?d9Tf-$^aICh$#j-BS@#)aE@`T~oi8liO8kCLO z$0nRO4B(cATv@2UB{yd!Km2fB%Tp?~zseH>FGrgiVLO8pC~P@5Jl#XJ%e<&!MdVGt z743K*9Qyr}%wHjJOve#cG^0mFJaZV+rl56Vad=R?b=y^9=?Ge*MRG4L(sMDkxe~=u z{SASFS`WiSUC<)jXwM*pW^tE>w7MhN8Aouj zOR=q6=7AlB0en&NOPgmwyYw3TBn!uKL+5AJ1|v5^uID3TkGx?ga%gfE8@E3wHV3Ge z=MW=CXHEHMEJ|#lSv9xT1wG@=+ltYzqR44Bs1-9TR10M?h0B;E3uV|W6?$b#dK%E9 zE=us~<=fq_hdcBo$|Y*)S|*mw!#~R+TBS;9T($j&UXrQtCaQ%BnaX7hl7$NFX3NVg zRklwvtYnKtt{`J9mmsk%wRhzj1!&>5Lprta|v%V5gV4t=-jYpk>|Yal*U8p^{KK;NU1^7z`gfj z$-GJ)$G%X>f1aLQs(#hzb6u@}LeV3KYcTWjf4T469E8P1RD?bLg-bc>2`|5jpU}=Z z?;*&)aYMLl{Ou$*RTn;U#{`}jygGL4#p%W%T{4q!%53+&coW990H8MysEs|O%Q((z zRuv+dok|dSV^SmU)gN60D0D`I>rNhckkQXji*&Ec!&}JOG8-V(~WhVTj=cpvGNP2JsH`w!_@ufX;#_c=vFTG zk#h@wf8llPBvE4@PH4|7gIm|9CaL1st;IGgXbgYYSy70xa~AR&xGRn&hwVsrJ!hjC z{ZohbqRrbUCaq(YT&bWrq!g9brXWc}%sjoU>($_D{PdPWO)!xECs z_S=P3#zCv|D@$isy;+o@BVsRS9HhpUL$8Ti^tbl3;n$8V_*BZ+8c&DA{mH(`UG>r2 zT!!nK(`k0fxD$}_7`o!1{sqp0^=BoLr!K){YboqAMmGP*&_&BW3KAHK4A~2bCmS%w>(~Hr z5;{7UQ_#;6G}gl`gQ*>Ud4~CNd$}*i4!cOnJ9cV0y&HNubJ01}Q@pgURg8qwsaK?jwC!Uw z!KoAVHN?#%6#k6obPx#Cb^p^nfp5hI;2Kacw{9FA(Z&;+F9Mowf^VT^pe{RcXNd2c zF)ujCXy2RU7jHAV;?qk{#N%-g@7o}l=vMu9Kp(7)o?p(E$3pdkbv#l9JPFblXSj8~ zr(Z6Ai1x|G`ULTBER5|ws9lTvaZvR>$lTN#XL~Xeo>0>QaoEZZk-4X?-`w@yBXBD0 z+_E<7hqUO&7yu!)i*4S`pNzZWc3g~E z*8Vc(x;_t26!u|ipxZyR_Vhz*sd4}p|Iu3P53Qw0{i8LCf3!C7|3Pcmi{bBiYs-T- zwCr_Z_*hMYctwKSFLLIsh{Xp)Qa99dAFq*|(<$gR)}8Qs2Wl9d&FDiOohWrmZgvH7KQJVz(&l8`jxfiENRk&*+`C`Xc z>tIM*Q^?wnR0NmiE7-vmqT`965v+C*avhSFs|MicRpGtY{lA|*6b%(A1P#cVyZB_P zy5Z%$$IpQgmC6>^+tGjDI@03=&Rl{`1G-)fCH^|&L=uX~=0XT|`-_L+?R*r#`}>aD zWdO@>!;9sPvfdHzX=blehm{d{1GGH$0AnS$l_I5{W149FLFiMgrEp?vbehacDl~3c zmYALNsH9=GfD3F(1*sKKVua0kh(1b@FfJA=Pf3(QPT!qzzC*k$sf+c(kDQY5f_51x zB_lVA{goPfi(1OTXJi6yh)JT3Qiz4JSjJy7_Peog#wKRJ^`Vfg&5u7 z${qAMCBe>|d1Ugl$+dvM%Q668!)S;5Try;Af~Zt-yqFRywV*saHNiGai%QaDdi>9N z`S0v?oU*{h-XXE2ct#vdar2bpkYNerN-Kd~nCY%~kOQjHXnE4+{EUz?Y*Tra7ix2u zk!m543};%Z5<1vPD>*z>3GP3h!x%79Khc&Nj&T0SzvtvFW-=^dp6HiQ7w2k-pX>! zESWF;O9zcv>l5W!X-&IP3Lx+APt%UQ-K1n4K(guP@iKQ3Ca4a5@Eh6gzWpb5HT=i^ zpzv3C9ZhLzVXa3Qp9&6016`uuR0+&LY&W)9UTLko{?}@}bvX2`3DOJI9&I-^4$YA3 z5Aj-_i=AW;(ZB9G;F4jDj0H;FhKeP*9O^B(4R1-1tbd-|Krpp@k4mD^jdYAQKs0iW z?v^H;*j`TY6X}vGK;dBSXSU`sIEcZw!@-G7slyW*c-oR0In^)^3rz-!*TkRVE!U*h zozQh?bD+7S=itGS-(EM}Yrxo!INbIkJH@51{6JSefJqB1(k+_M`Vw~jmf7!jeaZ-A z#INoH8FFvKP!dRa@=%{UI0nMa%;by#Y>YX#SG>mjKcYJ4G>CA zGcWwbTiuLXr1b}wy+OqiwDCY9J4lcuZ&YZ;3^8*hEeep0jkH_4_c z#*~>GyW9BQu2IF7e263|740S9d*fm=O02zbLE}oS*Lh8reor3|H$;HaW&cK^Dmrg?#aFA+6PBIW$Z59bdM4u5=hL zlPLK;W-9u7mw3XVs^{s4ZC6|}eJ%n0VU;hV90OmHQH|ku%18o_TZ6sOf-8A-1U3z? zW1+29)k9r$2e5HTOMVHP5+nGkmK|coOWmXwfP%-XXvF7V>(awW^K(PAw1Zi8Myn0% zyDo}%N{@84hC{_9P*zR&OR$NM4n4NT1V^46Hte&|gmwKj1x+@U6xhcb>#}Qj>tGY% ztsY0i`IhMr&$5zDHf&2woW6#9CHwSAOwQG(pWd#H-D)0k8cd6%3@I>=BE-W9`C@hJ z$uN&TKBD2I!nBTIRw1Sv#%1_t6IL{sXV5>lmcf{UCX-4EOfy$74W=cM9`pR~2IDf= zeTg54MX#Mmg9ejII%GT{zacM3dBEtO^7F9ZA-8k%LJPQlKKMrXnMgGC#h(BLX9r&{tsy8mP5&VIEbkX|%2A|Gd{9`Sw zLLLcfwf&IgeBU&tu+#XzLs>8CyXdf}pC4d5gNsXF56M_hBp3cvuwhbJxebJN;?X-a z7An5hSE1J(4eqE2cHMojP#}NW+TXjFgZ`5oGd;E!614uBJL`GEkvLs@{QdYf@O|z& z^IiG=l}f^lmjM1akAf<=Pc@0Uwjgp9IR+_Y%eRtye0;ohFON>jIt(vPR(h*mLR9|t zyWGWTou;-pHNk^!+)4^b=GR-QhJmftx`aW(Qtn?<3eqXqkOmF})F5UO)>z;j|6tx; z%2L4uEcEs|27cS;l?1JQ&Mzqz6G_C#;i{^`w)7Mk&F-$Uu69eta6J!)u#Y-LlPo_j zdTEmf6S-a{&Kz_T#RC{s;)lgb;j|t7A>+#l;!mkv86Os$iVQ-iWXfS`Ib>T7Sr*V! zEhG`AFird9E}i~jQsNjaoW2K9tntN6HPvfzD8U*;L{dXoEtpEjXX}KGbd|%N}T|YPG2u`kOp^cH}`M( z0{mPFDwdT`GxfY9y)mMvCPAW$Ps0+sjd?n@L?cyDj49M5Mb#C1Hg1{#23xILjZ9k9 z9drN~t-L zY`|$WE;AJG+I~f*Eil5CwxIcNX|xPXob_6qqO!wZ(0qU2Nd(^}7(yl!V+2OuQ5Yq& zq4mH7unhVU`!H#B+l`O}Q(xq*`fnco52^w!0VkdUU7qJ@s0-R8)9h;wZNq-ix)38x zIx(7~GOlg6>#VpMoo!qLB4_KQG~T^XQ6h6MntbK2HGSpc*x_rJ?OU3rufmmGz`ec zq0DRAsC_G}!yywv%p<>O-Oe_Kq+P6N#ZeY5hZ<4y^lRGf|D0wwq=oN}aX+y|UgDp{ zm^V-t#IlN`9NDlP3BNXfDd?NqRvp_67i&KUq`%|kSSNw>EjqQVS5({wTTIp28pObi z_|#l0!SJ@&+G5tf?|rm#Ic;{EC~>$S_3rsvKuJRbRS=6oSA)B64@kc8_29NbbYsdq zK5LS2EvwKAy-DeJM5gyk2f5mlw?ld60#?4p{2>9fJBID*7|9mh^^IG!^}qG?`RW9f z;?I7q&cSGH0!BZ^Kf4f>)s3c1>w;5ekW2&0KP@5fVR{PX-QF7&QZ|G@58$n5lzJY! z+dz8E;h|ySf4yCS!a#D;y@;v~bl;)^o9eZrXG1#OQL|4(V=hLHF}oCHw;<)(@$K{P zS?<)?UhTIYuLUnz%t^#CM_A{xcZ)SRXN)1|*G~Cu&1Q#9l;Q5nE`yrZ#-uI}K@^u6 z3chjd-G$eUTapPx{JnH>{iZn2f@?Dtsb6T3{tZzLPT7G=@3dHa>iEPzG#ld-QR*#0 zMPE**JJ*?mZ3fM3>Pm0fjU-LL#~1JK?Z{|5fPPJcYxrBOh(J3aG)sA%9;Ie`Ly6ki z^W=4T;41W2nE5=FC5*Fa{71ZdVlZdp5$)V-n%sn5+yS0xu)Q3CTH3_b;p%eBZiz0P z*)rzl=OPr|6dU{wG{go&nE9a=yX|@2I)h67{SAg(?o@qiny|Eox5su4<0jxGHRk43 zCD!KEIo4*RI%^wxt(E;wZ$-b)&VIkFCmJnViwDC7UluJ}?fm!kNZvPcf%x6dMd_Bs17<=CVRlv+J7pp35usj(-qaFc&ODw z6{T^ACq&!M9U8dwwMdaS-DY)0py?)Wy&c5Zix~Hlbf6XWW)qjz?0s7MX{6Y-!Br;u zykfVB#jbJCMz^SFB@bJ=JUF~}Z1B4deVRL^434Mno>t^4@T^+_{h3?uuVOK%Di{qb zh%7c`B~w+GAeKLUs2%ojT3zzYlk}_v_Av61eGX*)jMzBxUnY)KkT7J=^gHdgJ?BVv z3_%8xoppE+Lk=(f+f+N<|F^sK7C3Q+?A?pnd{v!ozNDap`kV1mkRd5WyCI}p>C4Idb#+tN zje!NV8@bBLo#gK68F|WQhEw7v(z?zp(CVT91amo_joB#ezMyAxNwB`|&61Ti?*0bj zFT(n8mk63ocax1RKBIJSn-{buNv4{X%oY2ERO*q?TiTrVJ=dYc;XFgtnnEr}a?Dlqjy9WU5ka=#rt>9ARQ9 zwgk0OsmJON+hjjwuJZ}SR_O33y%sf$T4T{mwU6iF@UA-7lA(IVNXCBk{`CC&GA6f` zQ${Rh^v16_pXh&AySJi5F{L|Ch_>&c%q{QBlj(faH^%C|2X^_95fr~7oAc8Nbfvq@ zpV|XRc8=m~px1(Xe_2tz?g+B=p3d~eGee%OMuhs7!xEAVA+Xx8usAyvMgEyEJn`ER zpF|TpnJRLZrlcTPk*nNX4O*OE#@69tvrt~F*~5(99m~i(w&QXqNhv&6{PqU!Eo`Rr zv2FkMUhr8U%<%Walb1}H_UqYO5|mfs5MCmY^A%2Ml!$cE8rc``$cK~pFYv|w*~#vh z{1y2&5kVkWEbK-X0iH^8l(}qR^igw3!d?v(<~tJBlYZicir_Q(PdNDOhTnif{~}Bw zc4c!3O8hI=Ismzn^(PXD;G#179*#%V&KddPOCf>u@4QMhwPK_Wh%(kb`FQoKnIFV& z55*K&%`P;P#*u*MA<76#`(TN{A}3x=lsc4hXsS8!f&27- zb}!Z}7#Uf73??;ZPb3VZKB~;2XVGQ-Zu|Pd*=VR$8#<4_ewT2*s--&LJCdaP#50Ql zIH@{QP#!6SdR zbW`Z7#@p@P^Oa&3*y=0RWj0ty09%{nK^4g0VTGY(!4Q`Flv-B;`AgI_vXU_?vAkcbH0O2^gO8SKF$@u446d5P6<&^6TNpKvj>+ri^ zIBv@c1l4yBewHroF7DD_i&IzRMJ4d>V8i8)qmGYL)l2lm$&)6#r z2saUhKkqStFfanPkwrB|`Vwr$r@9ox2T+qP}n?)^OP`1X>NOyuzI^G6Hw9{2xt)N!Pb2O?2c^37HRVuLG#nt8tlK@0*8Lf;Ut zodZlWRY?iy4hd6nM~5&nu6pb@wD>NIJ&*_f8>+ok6KW!}5;5}0{RV#Z7$L2C=LD5! zm&%jGNM!Mex8SFta1qO*iIrVJ#fJ7RKeo&W}rFd@vG%&Ck!XD94uYBq5@h}0*_qtJ151o&R0etP$qlRL&jru+QBA^u@G7l z~2Qel58|#9F6(zzRq0v!EgE4oovAv+%D*N$i>0K(p zv6GNc5MPp;8g_bM3h6pfdLy|IA|LL?$eiAvBCvjmcrnNZ(e6g)tt9gIXRLZ}J5`Gg?gU z@KfZl51?6IJYFLE{C-q>){L3Wpfs*{`q$Mm43_?e=shzwnls=u!s{;ia9)c4a0JU| z7TiRb$hlP&k1JlEYsn=>EklT$o+G~vl=1?rWozruYE8jTiOq_LSJD>7AJNz27xTXO zy(fVFS9R5dSbHE8C$q*_=NDWLXj(}!-s(wWFZ{9&T#4VPlPG~d3kfH)b_RFS>xH3E zyc^MWd9(!eB{U3@+v06VJjPD(1|^Ocp~i`bm_?`BTW9Y$18Cu_O>ThQ7EqwzaLY(^ z7H{ssJCK+WDrPTpicOqPAF-Ep zBncmd=j`v09Jk<0#?v)1BYX&!!RNBQNV1khy2>9}mf_D`3cg|plLjTDxrVKw%Z=VG=DhC;-5raZ8J{iEZH5n2ZzomfNNAC1bqeM;d=!@CP|CLionAb z&N6-rLd{vlNNduM5~~!7t}($5+hf96Dz0j5r!drGLv%jIQ9Xk_7oDT8MV{Q{ z&oNWziMJ(p#ev_)(f*Pf08mQ+-sVd+o3QU)3!k{Ha@SU!Sy!XXQO54A50ate}? z<%*>Yi1~GC4vMtgQOg!uWol*EdB2%9I#+z+j4)GqqLL9IBd&Qc&Rei#)#w9?-9_{Iy}?`@sWC)a;e_RXLs)^mWwy&w`8=2eE>mQz5mw zn?~}7$Q1=8Q1tk8im5lte-vk4=1<${K@gLoA9w0@8UtaLF}2dyeupl37y8C(gE_H| z9LavTn1i406?g5Takd9qvSOS>zR@WOnw-)t5cQYnAw?=W;qQAf-+vxD7*f5y?4L2n zK|L#1L1#ag4&_hVMPg@F;vl|ZW2~|(s`SiisR*g)DWqeUf>SGyxAO89P$AL>6~ZCt zj@g6eRPXbV`2243A1_}p8cG~Rp1>2pp~Fhyj%35qIfFUFJWGnhN(YO_xk{qcmn*Kd z={h=yZTSea0haImwJx7SHzG>l?LFyt*BT;uUeXa*07aL+As5JubPY0a7(pd2i6%*l zo2%M=0cyXhXuHqZg<#Z{CRNF+E+Ga9N<%FFvp{PqN%fl51=^g*ym9dC0Qk8rkV zn_(+ngd}4icr1d^av0}>e5F$!7*DPv$%f0QR3cQn!Dh(!UOdPbr5i&Xf05wq-+cQ* z7$XDd#vzobEpiD;xeMn7(l0`U*>IPJXXv?b7y8;P?R@ZoT}Yh|yRB}w>1)S#*F<8D z7-XkAI5^4+sf0H9U%0Kf zj>geP{ov^=?}<#H3-icNkgBTbz`?+`T7IgWNxFoz{HWkMt%n-!#P|eKBTjqY!d@RY z1m50q>f*Q}=KQJGm(m|k&_uwFKLq>juoM~lHN4)8w?BIo!L!^b-k@oEB@iSq{KfGM z;yKsqd8L+_%BC)Li2yOUs~$AfdFs%@pcs+2BO@;jTJAh6=AP%l$E=M! z`3tmst)^B4Mlyjnb4+LGI;j6Zd9&r%gv8n;H3~&j&`we%$jkWO5hZ(rzAn5(+9j?6 zUt#(jyo(>*l2=|xAa#cUB?BlXO+vO|Ur0QUeq3hO2h}ap_MUE0By}#YL(y8|=;?fS z`JYGAFd()UK(aD!xI>$dWVx=LiIO)LQ3T%8kLZ5v?ll3-BLEI!eyjHsh%GO~-s(IP zbU*BD|Nx&?7e^_;E_=Bd*GNT-f_uw zRbv@`d>}2@Zx@YH^JM`*u@FMZ5T}BH>y#>58JFfj`MMwm_GP$&_{m`2rvEDuqV;Qz_oJq zo3a^wm{b1s=q1GAd(9#(3?vSg2+O?(`?puE6wI!+gN^v_2E0XDKA~Uuw6cbg% zw5ZG3bQw6Zb~-sds<0&j%YeTVqf&a0gCfit>F4L2)u@Xi^poNj7osjZFaX#)1>dJHz z)Tt8;75ImixDIN>M6InC9PaLE0+bu@(Xx*mR{KVd$SfX(wSf1Eq7jg}Aaw~DZ>_~P zy-vJY;E>qvC|&NRzwconnl2;KK9m#BW`pyc)@<8R;kZ6|9_s##yOtp3`E($pBNo?a zvyI_I^i(MJ#mc$Vtoh#J*tIRgJ4JZ+&VRnqMIf8A|9kI_keZ={Q287oQ=n4ws6-g; zY9&B=|4xwZ4w6*xLPR7S!tcdom*s`&kb_Lh`V(k>42wEdX3TeDMK!ED~!)qIXP&gJ{-wpkV< zM>H;a@98+{-Se4m!9`R)1ey@3QFnW}i`0la_uXiOV& zO$f{&2C~gT5F!rwO{oS5H67<>1aCf6*bRnGdK>Upb+^|VAq?R4Fl7-?=WiFLj~vZF zH+OhzhQ4QvZl8~a(81AjiPj9QhgQ0QLzY||_ZKhFfwD&|w~pSflcEQW#YpV4=5Q1P z$ql`BwxI`_7MxlgE2G7**90BJ50Ht8%1pnI0c_1Fddl19X_%A@BNADxV3|OA?mpHC~Q({m<+H)RdfaY z{UMgETT}lYF3+iD-y=0Jv>$+vV?Y<%kTar=YSABgiXgJ-^J765-B7z9>I+GS2?;`C zn}(=i--Cd`2`4hK9{`x0+7HO^?1f~a3}11wgl;;^V78C{+SVX=eRALq`sP8%A*}uw z#JwMhw4Sl1iOy5A(G+bEiImPG8jCFdZTCbutg|U4$@El7wVqMEJmkD88T$3?$Fhy5 zjq)c}`05FLKDN+-NL4ZRszp=24A9Z_=UW`EGyDS#v@0Bz;!FY44@&wRd30$uM_PHo~!k8m72o#2M5 zKLx}C^`ery7I>DPU%x!WvHpBDyJLmq`3XXZZS(o7Ae>0hgCXTjhn}Th#Mw0pQjUP6aNA0byncSVbr-Q@x@vO%0scrP0I3;`>Qqlh{c)wF2(=K zH+R6P0y_WkU=ae7hk4aixd-6m1v#RN3+gPM55IlYn>9L>hqI3O)3QZ|S$As#72Rf}%}%j(;A*tYQAzq#P3wZ&xIU zseS;1ZMQ4Xj$iVyZmEg)rxW-UZgeYJ`VWoHL$hSs$WG>32Q=mknfLmQy>)zyqE^|&tG|LtVrMZpcC`f2MecI_mWol72 zmA2ChY2ipG(G^kYmWGQYt7PlQArqs$>2&5D-+S%0t1M$G!AEiqe_7SIt#Q!rrPC&M z?VPpTYMXV_x^bG}6R)~y>|AD598Rb0xQyK-X$jeup4NXRJ(UCcJ>P&i4n0~doi52* zKG1sU;}#hom-6yNbQ5H$X*y6<099%!ruo_;C&x#M*u zePvHJB_xlOI|SG=pU7WqCJSXNeUpP0TDZ9=gA0qgC?|P&u;LUp#fo)#W>w2Cy6!ua zY!=rLqznkNbK^m=VaR$@9Jsx<`u$t-s=#4*=z7fR!)ADB*qD`ftJdCidWi3krb*6mIK+I9L0c(f9ogC6RK zGI;h!%$y}kL)yw3GZ<&6zp1hbzr2Qp!zix%22hA)mp%%;*aus|*_2w7>|$i7RYq+U zx)qHfs(9|TOgmbKQ|pi>@1PUaCBk;&x=*tY9M9Bk%o1r)M8xVxv+C5<(vqa<$YaGE zsk}BeU@npE9|)PSi4iFpaRQUo)H`RvQVle!iXS+NqOk_%x8={b>5Z3p--4 zu!g+o&}cT9zERij+aqRo90L&VJ7-P@il!ME4xM`sejPq z^x;)lafD{P@B8ZP-x(P@k{%6=p-dX_#x=OAP?q_+?7&CcmG}#6x#=Q6J7js`C<&6^!7);l-pNr{ig8dQ z@}OE1(q$a6P;^-5y)^BrMYG-_*JS(7RRPG^<6mL&FzrX^lc{(1=oFY5;FicdYTXwz zwV8v3rPWDE5KElZ!FBxVOtJ`@;fxgx|CiyoZ=~MIKr*;s>nwzu0&1UQ(M(!R`L_hK zi2V1?0I?Y5v`2+;(^~DT-w?c%Huk{eSy9QasG4;%}K=LJ^Z(TCVMoYh=O_y`9;Hn@s&? zoyHuz%&v$cvIDlfk_dG%Udbrx057DXMSPBsMOt3pqY*>(VI1aB$V6Ufh8_%&v;yrU zjx?jNV-AeFGz@(a>9jeCyh<>HUjny4DMEREA?+tDeJ_wizm@=bD3LhRat8O9B~hDP zafFLqM9e!Szo2x{!B&960bC6`X#7Yjb-I6osnHB^44W~F=Raw7z=)!ks;~~LYl$z7 zYe`k?n-`mcl8nVl`i$|?p}M-5jeNnM4r1^uyz)`>78(CQddgLBN;AL841;i#40n!n zeu$!wYMH@gtQue&vp8qYbh!3V5pU&$4m!5gTo@=<1{-->R&J(nr>ASn2C+Y85v%$_ zh`ET~$KBw+9NLh}WZ0$lm+Dh}TE4d0+0)mqv^@IAEFCPtqEFq#$(OcTGPK62G2y~m zrm0oive)Mp_!Em5G>{ZE#>}q?IOM!^hti&LG5^(5)z^@oo5sJ)_RN`FFDQYa&1{wI ztNKwNACm^0zog{)m5JY8nha|)=~s!NXyBApbF+`j3u;@(Bz7cYYr$%=18QehV9!H3 zM*%Fc1D$w?kPEfm18Pj+=il3q12r*OmgE)SqGKu75(3aqSad&_Q0CSVGZcdhJtFPzF|!12ffxCQL3lk z9T5gKB+V=$W_gr!L*I4dupyIoMeS~#Fi3rVWI0~!qGv&c$ccF2ghE(yj12Ywhe83L znA7?G$j{14_}j)xyWREPsc=F6oX|F#xW}b`5uz3vk{IdnDfi%u>@(78guihSn$%_X zBo^|n(&i!A6@~@t?Moe#G-Rf2V!Q)AVT6e4wz54e&oDlfmo7EpnBGihxRM_)gkSO}YJ@Pao2Pql12ic&*C@dG7 zs}nYIZ*T4~#Sg%Qu5H0C%^@JkZR%MJ)iL*^Fg!A(-b)bR=YPCXl_4+%>CwL<)Ag#I z>JFq|XpZJ^lf^`xKtwzg+KW6@vit)G`Ae~R5iJ1?Md;iLPA>>6B1PgT14JbRIE63M z>TIyVd`FG3kE@0Mz-N!e*%(Qp#F_1ps0hP=P~=}8y*Q#_e}R^WVlxT(2@6sQ_Z0=ToZY zKt?ZBj#XXOrN+W2ltmE`uTV~-NN_Jl?(=tu+j1o^1ouHeH$@6kb*pRMqN;Vh|mDK4+OB|NV zh+_zGqRbM0bDZiu*X=uiBj)0U5{!mH$zwKfWW~FKl@BfPpNY4neNuZ2!YaM~?tg(U zNp)H*?cJ}-Nb)M*rX-v@nK2ssQ=Y$HV%VCvT(9yQNc~5WC|o328}b2>p8Zah@8PXT z*#;DmPETA(j#z`HBF?CRj&sAx4a{4wDa{ET^FaZVVmE{}{~|>8HhN?3y@6M=j`2WgN0=-lf^SJt6@!Vx3a>KksjdoE=cFpj10@+8`6=($ z(i|kAE+6_Z2Sisx{Wn231P=eD2|IgX~j>igBEY9BlUk_{mw@;3z`cRPTgf}-qW3D8*lKhH{cBDN}E^_d%HKXOJ!L<{Z2 ziN7Z$QY#-++k|t^!Q0{$^(0C_RBFt#SN+2v1ZpK1396FGO6oabLAyo;PikdSRZFcP4~SVDWup_k;gOZ*myX`QI%_L2K^ zO0Y&xVLBGKIZBAcmYA~oG9hVVjp~v43YJ1W%!sm8_=p3NZDv<;1~H}Xxq%_*qC{Hs z!ZH}6tzZH4bQGkJ2E&X6_XiG%i6pCnm`Z;vzn=N61TrcEy6%T&JYTIWGCm&=Q5k~# z(hbFv{v|~e%1=~IMO<<#kR(d&ooOS)QcWn@YBRf*nvgj#lfIM3(Q!U8WVOx*4QePR z-=N9?+ftshV&Wg2(<|^)Gsm;f=^IJPR12*E7^-shxO6>v`;_|ztlUWAiDtQj5%oY; zMe%7)$`E8|o$qEpg%6nPsxt0jDt~@LVUr%40HC0r8lOEnUnCTp`#1`7LpN$*TauaBD`bZj%R{ow&6G+c-^?C-x zB9`#%l7s5N$o2&hPIY%l)Z>=if7HKFiRp<-mTHzWz~;6T1<2BJCfw~?O`0gH5c8eY zFQiliQ6?)?6k`2G>!Lq->}^r3c!9>^b%&<`f3wV8u=r>E8LZo)*?yqN+htt4I~gWs~M+d--9- zm7pk+>kk*(BeezBes5JRYhW2%6DddF;On7Q=be3GwmDh%x*cr4L! z8J9|lvPSYno>{!RsZOzx>Joi`=u@zqRk26$SM8+!l-*<|~fEJ&n zmcP(aLb09zKh_1+LURq*kP*Y~Aqrl~Pm z80Mc>ZJjNRzgPXqb^@m*uQ}M`8KZ=lX7g>7wet)-xB4>Gx(p#x2zD1>nvMR7{xJl? z>{5t_$zqoo66BnF%75&?{ZNdyADw`6oGE2;5v-EHsAVO3JCP>)8?TLKd6w8ETnqC4 zSpyvmL1QRQ1{8&|85(aqicUxrPEsk9sFh^C?A+yk&PRjORl2_>+r?5HOiQ7vI8~87 zWyOFqhx5g}o`%_V2!Vbp+}uuW$Y*Ic5$-uhD8AIl`tLivED{YqUxwk*yS_y0XZ`W_ zZK@R9XCz*?N)fD;U4t$BB{)2z5xiveh()nhjI&*9Pl3zW{9q77Yqo-9 z(&h;HfAUnR0ePzFqNAIDJXP;WZ7Gk>NdmtG&ipRnMf}Clyxuf69m5V=iHD=wu z(=j#A?hN|ll+B$n?%hNyzU%7MnjWL+Bk; z)Z7FPvYv^C60d}Vq(4`V^F{zRPy1IK4W&C*%dv>=pTAYwbXSPsr9*_sMysEO)4Rh; z5_eYd_};S4X+V&OK$}tqVs`n61ZaJUwhxBj!ZNDD)8t)0CZNqrPy}0UfCz}+x|rKB z7MuGkdvb*I71UiY%rb+w;czGL>Mb1vyI&mi$nW|hd&(uuAkW+==*4;bT7$~lr{b6y zI`*7u`*3{3ampslU4aEuc0FH2YB>jJ$cXkz= zqUi)yMnL?-%XAmgK@Q0!eJboY*9tYE3oW%P5a+}czv&vnfdy~NCLp}-dQJ7jT? z`EDk!XV9iYPGXzcT7At$%?RwpdO6Xv%A81Guz-MT`p%|Tu@zWPm{|hFuN*ap&>+us z@lsJgv*ts+D1F?h? z>~~W!{Nv;&nZ0iQ*(qXC5I(1WnVlWkeq;pDMcgK1OAnxm;j*`R?!aE!%m$l9lgs#d zB&Vm0{*r2fCeFJOr8uk+eBVG z8wC~GK)meNOf-!nZb{TrP|^(5l!;NO%5xrc923rZ&w6TMY`vDA7iC=1?_dp$Zj5!N z#?BAl5A2Z4GK=-T=OOEEx;IMx^u)QGW(X_WU$P%D-1=Ac>CT;bTdW#b5Zi7cNjQKQ zJpg>-vWO45^Sn|^tpk@SMw%(+aBw`;SagEUa)T-He1l=w$#Y_$TFqctgUqEeM?7ie zv1gzP#`O2H!6lh7b@e%?aMFCE3aJY`&-m#Yh-r65LY1@FC}Ue)L=|`v6C^D zcC(5`qwHr-T`Ow{jXLXcQSKU5x}{=Ity2~Jlc5^t@>7n{sTNL^nTzzXhNqZSv{TjN zac|~)>k{uVoaFHuegbtS6`+I?H9pl!Z&hrQ}~fjQusJHDq$k5M2@n2_#gw?EGtGCOC7NG)zTy5G3dGBRa%9&nu(d zK++fW>VfgK`QQ>9+oUVL1w2B<9C+oN*f0)$Zvwkk6TWG)647Fc-@_se#bjQk_J#}GT%Y#nv4%>vTqMXYBIj@(P@Xf`iu|A=0S(M z>x}sBq0(q|nXk28J&sq+Si;Q116v^Sr1Dp*1cLV?irE`EGvB?DdJ6hj(fx3*3N%}KDXAt<|aDZlj=#}aI z9aT5lzt?zpWR%X1dwf(^46>vumnBiy6wtIWT!_LQG6gV{dOw#52tc1M?&<(1Ou&rb zYjWUY|Bhh2`P)I}YruZ^l-f-(C6v!_3K{F=mT3)hyj$1Wz$?i&`*7Rz^;!MvbK94q z82Z2Vm#083<9xe^)J*_2fK&eZtQiZJdAMy0RHB;Y`wFqI6X4B~;9>bsLI$Ab-ye2CI`y~D z{36YG_w>IlDC(G5%fZIGv!}^`k>kbgS<6o11diGB8?ane& z`}!REW%&Ax0~rN~v4)@@&;pWVynEYD1hC2G2MHKOkpu?d06To&zdcApi~=h9O90_v zxluDl{2RS$Cm=L{sfsWS`mmBxwy!TItlHBjXB(e0d_^fSYk=`~wKl56=zBNyJ8wE{ zgCT8C-F5T$u)*qcI&=)h%wI~mB=7G>lkM-qzKeHR8Frru*)BfUj=Y2S2dXtCJjd&2 z;{F5U*m>f^In*FYqZ2F~z$f}Zu{ z0L)DMUU~k9bN@vsj4ycPZi{lr@AxW_!G%IU_sP+G7;`bT=@z^rme@?Y%WVl=lspX>exA1J%s?i#3-)ntE=ZFsp^=HEg%t@Nj%sK+V}{QOXPZTnSv zL5K%;RkgGN6vXl-Tuj;B-h!q8P`vMVUQm+o2j zHMc)XxO_V7?fBPvJwo8pT{RhGWGA~LNzx5t4|%6)6;SAp^3hUqFxO)_xS+>06YLhn zH~BfPl2Vc?7qI65LJJjs?!iYrUX-Kw3()!pht0649s|NSmuKdcgM16f_${$CqGAgn z-<>qLqgCi7?d20y=z6NKHRa%HzlTeAYs_#T{8~0VhnP@=*P0Rj5+u9YQVQJsZYoMBH>6-KMuCGv$gy<;n+&P`i~8; zODaF$A4r?DhZaRI8F~Q)zFjJ(b_CEq5_Fgr#SHKr27Dq)0L=Q){;7lJ9swLEbE+K& z!!8#1Pd}sqM#<33aLS(lPxk!II9L+L)iX3iJ2{Od@8o0lb}+_VPv`XIAS?!yRp$7D z7C?Nep@{1&s7+kz^e2cj5=QpFt@Kz z*74!0R$`vLMHzOgMX&;ewf}F1aWk+2Z88v!_zP56NcV6fZQlg%;dTxV6&My*^UPn?* zql(eEQXR6cWTR~m@h^HSS8iWJ{M^s@C9SZq68pael%!|HjU6m>d&mC`N4=~HetXr_ zQ9)zCneZ7?;-%nzmphChhHN=F`Hv1`$S-OpK}nM!Y9^5Jh#r%m;#4$zuYxSEX@o^K zC!R{+Vw;s*k0MQ+QcX?Q65g&r7Ea0Ospb0n#|y7dgyZudO>ok7uggw%mmZ&YrYa6t ztBXH8Tzb<8E7?ZR8F)2(NW?BZS$H+JcY!n*WdBywgFZb9^&_mXp<{9BZL`~5{P7O> z#B}ND;vruJe*a5_4cG)+QGkJR+Y0dekok}QcwqbusQ8cSh1Nf+7l*hte1oS?bP!k!k@a?V}i1l=j%8Cv`_aD?)T}d3A5?iSF zsPJ}yFl#v^Ru#sEmZ(c>v@O1nPjO&;@ZQ6qlZm`Higw7b#JX+xzY0wUhhygMH;z zDY(9C=t4B_I2wU2aAkDm#5vOtlQ=6-ACqp`a_&138IsnTqfQd4FPo&^s^$Z_?z6Y*0@Zy`;o%sr~VQR4q6QJqwOa~;ipDL@u@Prl`^7>&VrHLuoLzt z>4=WQ<)Tcc*m~F=81SF5=4M5?segHE|H_oqpj4Sp;GuXp{QVwEkH>`2=|vWkmy~k7 z&THqR-<)(}o8KhUxy@;_qH4^PcU7jit)j5|h+S|K(3Fb3&Ko)RqC;0akc#cb7LjRC z?^NO-dx$O?b6htl^TJS`$`eYVG%f2uFT-tErBLSBD%qnOd6yunTVB`qTcxINcc=6( zeLRX>6|nHuwr3&ZToJ4xNo>Lq}# z#-V$aAvbl1ypn9=P*<)pjGRs-Y!LXdm%F8cFtVvr=t*6$G?&81DJ}Qwn9Rb+YI>zB zLGQ-FJ!`-sdE|Ed4YQlchwkT0lMw*+%2UkCnqC@j$+q`ReU%+c^d~Q%$?SN7BwY+K*`5#=Yv13PcY~&fwqabtnspB&qUUE`jyU^hHPIRsnM~JX1NB%2$=Z zz-RbX*JnLu{ADJI`gM^s_%=$3wa+v}XDkHUS}NQmyKNh{^VGk}S8YKGAqB@8(mU0x z_@jza9=Fa_D1q|FYa&yu-mh8#;-`^IU4J>^y^)V=ONHG5HnntMW@ND>d0_Rf^`A{UE&Vio+I(!os7NHVG^mFgj@Y;FqYzbSryNA zqgD1$l<`)it6bN&F`VOQ25rdNL73GRyjn4IXZ@&BF{y;fH7dHHtu%SV|g5VA|SM+2w%`j#Z-BJ1P6`ecm5sH{$8 z+2HQte!i}W74XuF!8G@|QAdbfEUQ@dywq#K@p)y#0zGO(%I?T^XNOTzksMR$(Vd|* zUvSnKB;3)DcfrTjy)O%Ywo?RbS_NpCS=O_CDVgDidEm|bVQTw7@QB`M&GS(Zo7UMR zROCB6Q4gsM?Quw8WjMKWK>S86|3Jf_B;4iyC_{>IGc5p_{jE9~ z=npNDnvRYZ3d%#H6U0nxY0}pdm^*NPvN!_5A*SO;OnheS&~uQ};CP0n9(d4tM|sib z@hL@_qLkp{)AFavDaMNRuNTmK5W#$few7Fcjie zx#1|GA_E#6CCq{7q6`%VF1TEZTqThyS5Hvhrb2^75DCwkjIZr5Ot{U0^oJCo1UHXt zYgxsPvLi+6m|lDID`-)yQ-q3RsuLpJm7~R@>Fyy3H_jIO-R$t)N7dIg_m7PrJxI@Ip{B)Nu_6F&L#Sx(4>tl#q?IU0(a=@H} z3`5CyO+tI)F7~TxC0OwpLK#hlqM6o2Ebovxm!vGz=#k=+KNTE1Y8oaL9MuBXni%{2 z7gGi<=ok_QD<_M?A%_ba_0x#Q@zy(<|IEpWy#Z4M7^Xg8@O2Z(j&LzL8QQv-Nc(9m zrC$D(d6BA%*a9d3v&RpV@&purEWr2!7KT7Tcct@{@&oP6>pK_^MVTmGs;^tBJb+bT zMBd$QH%%#}c@y|um)A?`V3h+2KMaNYyv{IM3l-bQ6wu+v&HYqI1Q1RUz5C-&mCl>f zS(If7p?DswZ3<&wke%trbN_KX)sr@T30Re=lb5o$kI+w53@_&ytleo)aS;0F>jj8 z#4X#KLTCgghtkm~RqqvZ^3qISIQ>5E%(eNb2bs+g(Zg|dVO+oyZp~&mCz6<-jx%v7 z(*w^7;Z2!dMmPqUzCFU>+nDMVwbc*j_Yw`y(gZJ0D=xk>>83=Dxui~G9sbOYKmO}% z*o1N1r_65K<1*R8geYe`Y>l3o4c>HD3V7@lPgJ%Xa4M^9>rGvW$58~C998WBcx8!X zxox)YCmL42zH{vZqK;jeo#leM8ylIhCo(zZ#R()beLJo<*t_7f+OE~+=iTxdoRb7* z8-L9)mDvB_&Se5ao+QXkGe=We8xRiU6(b&#pt(E?BJJir`^)bn@7noWST%#PaFkUN z!kK7%45>Ov+r8Xk`h|X}9{X^1lhTtB*VEv7>GlQ-0^@v($(91uF54<%TnmUcy8(M>`oGA~zAH zVWuV>O5Hy@l4$;6_&YNyHOr%}uPv_1DYksPGW7A_jh;i+M}%EhuCQ2=@IzncM3J?V zGV*U_{d1u#U=^o%6QtBg&^faE7}$ZE2(849ommua@?5d8k46*LZLnyL$0`Y3i01(0 z1HmI(Q_~W(J1le$@-iK4Wu;F$KOft{Uq`~O!e)OlMqpEW5`JF1EAgX3hSY_Q0nzLu zI=M7SdFhmPF;TMY<1(u7>S*~?C@;0+#85+pkdfut`J3btKoSe4ygFbdJqUY@;X3}I zgp&QqCfc9nY3+LHV|en=RyD5_6GMUSsPGNTr%%*lWx*~LL$Pb3KDZb{hC5K8Q|aAO zqC&g9NNK_mS|XEe_a^-D1_p`5Fy2=B2G!rwp^L%&mv&RaVJ<{aQg&Xt6Mr#2&JryO z9k42!GR`<5!+0t$ba%&q?!-{Zxfj-IkQ5wPu)!FLJ={&Q=C{C!^!rq;RrBHr`{jH8 zj^?vU`*LF@*E?p&2#`AxR&cG}_7Ziwig~84+I4hraI$j$&QeqQ@~7xMKW$XV9~QV^ z$K80cvsm=3Spao{r}oA_2I*iUS9iB61zD6Gy+FEXMHBACDl^g^69TNB8``73`Y-Qb z3&sa&#sJpg3o0Ulu*I!SVZmPLb@iUOlkvA+uee#%V85up5!qZ^I`JJlo+OHB&kZwS zDHTphzR#?KA$?$f(g3JHhF7>aaY*#9Utm(osQ$uJFbZ4MeKtNzf@2kdO)-S2tfv)0G@4tDiY3AoAI%74mtOYDSKv-O4jZ#QF2HIK5<18%8HdPX?)=E( z&g)nj*_$fc5ceNtRi3VPIx@#i06Ez!IUXZE6q_lKC|KLAoou@F{443^=SI(t9T=&1 zQ!b_$@IJB?BKPYeEBx?7F3*vV6qscC?gsshQ~$Z#;GO33WJ6}epWcBZ&+eFh-%%Lu z%gQ=%tll0VI4BF@pc9WNiRm~aW5$Ved-SmmEY6cp`mtR+n2o`AjoQ4xR^rJTJdF0J zvlQt_q;WuuB#4hG*!zSJ_}*c~^y4=avMOxjWqf$)8F{}bJqr#eJ6hlTfOExi|9DB# zD$P+mZxOCSg!DFIPj_g?Hfnu}Sa2`#JI}m1?QgAeRfo z%_6-t$#@`D6ciYwz_loyg5u}&7^pE}oH~UXrSPWsfgaj#u@7nQTf*#?xNzn%KmJTv z?eomw!EsQQ>Xw$VCTH^1AjiMX&hVON8uzG4JDoSf-w{!@$(zO3xWutsv6Z}1v1g%Y$!vST zWj|;rTv3y+35dKmU|pKH>@FZeuj^5ra=|IH(s=d`gRM78y`3v zNtv}}u=i>`g$_y|ral%dCNtr9Kc)}-0>;{Dsr}EyNc-=^7!UjJ#AsyXz^Lowpl@Pg zZm4T&Y(w||KJeeBO6^U>dAzRpFn%mb&OzanO( zFd=*bwRHKT_C@V5-2Km^)u8Q^-<$2cxanRRwq7(W7c7e(HTKW1Bj>lL&&H!g$S3Y^ zVoXr|W9bnxN`piHcm;P2MQ6t_kAnr}_(KJUQV#ePu4}8u!_O)>O{%QH#mz*Rpm^Qt zKK!x3zq_^wBiQA_WtCm=PTB)@SM-`?|GqqbuHMGcJ)4YwLGV1;nepzK8iaBOW0Ree ze1dz2vf9%U-bKYPRJBi~wcn+jOwuX3cAS^GwRK6KfLhF)1k)6l<5qVU-OZl3J+?H= zq3DKis3M&p5432ig2LaK7THGf(CktmUcCw_n`>W1=yD=@bdpx7GQvl#1u>#Cwkig1 z^`#v>ew;c@eTXFBxlqNK=%Q|J|GToKfPqV)2i+gl_M_UPX~hPo&U~$GMR#d{9&CxFJr-wDYiG0tre=(_cIr4qAVHsf0NEwuLUoz0p8l!n9R|0)O!_6bI!zLyHjNQo4!P$E zcz^epysD;~TNug{#FQgAg_F9y@Qxxv~TL;xxL0G|b zXQZG4F06MZ95{HFhWfeTQVeaW6V{<-Qu4NGZ;}7O+B-$p)^zRKv7Hrj#kRR(W5u>@ z+qRP#+qRvo*fv*eCx4#jeZTKN*sblOeNuC@npM?$8wb_zR-@N_(Xd{8HZfv_T+&`# zL+eFzBa-v>X5`j?_2Yt(KnuK|;KTm>^o)=Q!t>vu2(S|N+amvgd>lMQ5gx}MB)Dg@ z-%gu%Wl60;-tgzz;bUvVe8p^6hHOi1;I91H#rfU6N^MzMmqmKimZE;?asN-RNzc&A7HK9oz}f;V>4-tcUZroHl%pbO+t@RD3kj?D&eGr}kQ2 zsRg8?uhCXOleBlUxy}KudF^E7B)E$OOc8gHa-{ahJOrOMz6tlU=(37*-D0BkslsWK zHCp?-f$D5Knt&@MwsnWEI`Z+z%xL*sS%mZV2X5o-N_6ZunEdJ(qB>4Mga9*|^GwAL z2B7crEveM|t>&0=TGv5=={-yUORbemw`?Gs)bB`UPamTRaF&WcArEW$q;!ZAR3>=sg2Xb|^R zMzRz=b4?MN$620dW}vKfaqcT_M$r#UglB_vyDatM8AdCBoSi7?U%f6P^mJO@~megzbHYunvCuhrg)Ln*}%k7)kou*d>T6)D>sa6uIZ~16) zPAU+q7G_G7=tm+bS-<{{XKc3D8HJpE2;Saa6h`>gBbh(#grPDlJdh1;%|G}c%hoKP zX$j>=iCGt#?RawMlcZKv= zjB6L2Kw)i*f{KT4LS)>Qd{iq&ANFIXt)|tNd1L6v;di5@WrM(Ba#uz;RiG!+-wY&} zD^=`6LBapFJo}6A8Tmz)f;k;G9#2x?ZwYbXCn5KTWNM|@3F3V|e?%sb1 zJsJks>a4j~v)SmR*gWUUN*O7?sI;CBD9R5`-0>TEjq<&Z;y^olo}HT0E7y& zHq#R-vvx`LPPiat_TBv9M`NJ`SpD~Ydv?={pol$c+PkUJ9liBUy0;59Jmue7l%3`V z`{8Kx)W+V^_V&tfjX4=URX3VXj*rL$YnWH>UXfr+UZF3)>pj!7SM)qu8`_09+>tkd ztTy+H9J7NYfQC?j`DH)?@OE9AyUS9oAEGaBj^zmD4-iW5VdhyJktJl}mL!WzB?Uy; zjnor^oKzV*2jeCMrt`_=XW@sjhDf=?mnXw>c3NV2Y?q4Ho=}}$jwV`;O#*EiPmYQ+ zw_S47o$o&rY( zr4bs{3?x(2kZT30R6Wv5NyL3&WXgYxBDSs8{7AtWPO{H?PNW1=j>M6O5c8lzgpb=N z7~4cj!blzVDPk(Q0u@lGpE#aELd7lG7^5qn286}wod{MrksNVy?4&qo;-$X~yfIt{ zQpN+grB#sW09YacV@NEaUD(XtlexZMW4A`TOHMLJcyEwZ_!L$3*sYLN!v}|{;#GGj zt_7-uJ!XitP1uS6*>vKNHVD2o3-I1%B6?0X3cpGI-pXfmXWY0a+}WU4p|- zO_j*OvL*PrOnrA@?=G^9uaB~dgd@WjX{I)B>$0K3P8_r)( z&e3RVPRVUgshyd$`#a?it>lGN`ibvef-0)T@6RbiCd%m&NIfDDiSo&Ngbd+97>5zc zrKx5W^!$fFQ`C4!W{{{op_UGP20*3Yw15)-4{^}#=!ht;A>~f8`TY^i`8Z)3L-hLt zFp#Yk2l$?+Fq0sG-?ON1Ut5>CRD!Mr<5R&V#PHrcSRD%b?NtJOgD^cVky4@Js#H5bs zUo9PM8Fv$+%#n5znVOOUIP0{+No}y}u8fG(acFq6K09GP)~%x+$wv~Mm4P4GZi*D7 zvMJRx@f5T(uGL$5<_6OAJ{!)^rvA=^^`Rqns<$hW^FuniOkLibj_-BLM-mxnhfZ2d zH#1R}T%AbiX(Q!SYISLX>}ZL!!RD03q@#SL{;v~7QI&;8LsQ_|wV+${Z3Y%vCpPS? zbS`b(MeW7NRlSx{whoIUDo{{&CT}i()vb*7)p@Pd`zp$gorEsbD+!O(`4!q>ZfGl} z#>=_0n@}ASbNB3#rohl-$X5J)>sGieXaaU|j^5GT#>vds(cF>#e+YFB z#-`T#c0g`f7uwdgIBm$EI=Nr|46i?dX{xetJeg0$Wwa$P9H!v;5L z+ETnkzC2AsHngjCh&au?Vq!&sGB8$A)jlUTl|*%Uqm&RTP+unluj_Z0_v& z==UZ>sjFHCUU``^W{j`qaJXlhw23!j&#aeG`%39N+EEVy+hL5ln3x<#?BC{E)F(@) zvaRSJBxAu#T~qD*KJD?_)8*i87w%2s`-qEdx%>dxCI$`xb_ZWi-KKY$dmSg@*NX>? zjA>zd4CXR@-*%kU7>g#eV~0%(KYrEK)dG``K1y@DON5R06mbCUGi^o{duQ;CR7iqP z3%M+DP)r|^*`iJknxqd6nCE9DYkY{mN1p!C216N^1%rNB07Bmkz981*9A(pXpM~^% zgf&|LLi!FSZK^|`qlv;W7Kh|}UQuKb;2F|4&V<_hh$eo%Y*gPsO)tF&CY8hbzPv08 zjnn<7l=(Vg+>up6#tY@2TNX+n@CT?B$g1M?T!^d6?xigCYMui24ljMC*E>_qrBL%d z%r0sS9`hm#d)S5*d|fCLv?Jcj(x=ofHH=W-#-T44;;XZzT&ZgQ-lO8n5okr*0mxzn z8kEh(hKqCc?XuqQ8<2Vqy5P=^nwP5SX`JSH7FJMrc~Le-uF4OsF_I9mYWaE?EY0$a z*jhSpVjq8W5dsWJQUicLXdAjtp0&)>LQRLQgn)54q|yF_ zk0Q%y_+^r3+)&~sd?Z$|adR7+`o48LLK1vl`gmi;ivR7@Rjp3>fZ$zwtJ6J;mF>o{ z1{)&|Ae;F{oS!vBW2TSYZxJ6LKz2+cxo;6XAl~+JvcGdcv_f=9u^P_vXxD><)M=#= zsbu^+nneGkot=6^gUYik-lO%>VcM9wsFg%1 zg<#=V7@!nRLU$2r0ni{%Ylq4|VtyQyeYy8Rg;L7q80>DG>q4>?pYgNJ=OzruL}vOj`sy~w{D1*S-GZv4C;JbXe;cp zdA^l9jY|(BeHIKj@8O076DbcG(kff1g~oNBTAV-_g*9#%6bKa8FS;Kd}Cf@vnac8T+7eeWpv28Su9?j_^+2iZ9yt;vE?6E)`(8Z%Ji_Glpx?1H2d zi889fDZ`FW40A6bULi(To-YHT`wSvdkE?HU?W>`1YTrZ0jj`|ZUEdCqJcEReQ3!3{ z#)wE-9)mF2hFqBuC-T&OQAU~^^bk30o+XwH`qt4Wm9Cv=jVn8{qYtsZJQ0(>sAv9`FQNRS-O0lzN5)||`eYjfo|Kf# zf@_MNaGt8wRb~huuz`YjlzO-+#n~%7%!{D{!^RNwSiPn**Qs^ll9`M@UL!VwCpLt6 zSf5BVF7}_XkvC_byDeRjMj=hJM|!n@wLUZq>k zZu$!dA}n$7#-K6MWzQCnQY&n1JlW;$&N3phVk+Llv;~-T zB`!z-xK7e?Kd`2wqhM(W-Rg`TZ49i47?J8XY;2gv;q9d4?&lSP88|LIEcR{KXCCl-t{MI?RknuVq@lbt8mGj4A9RcqBHBo zj$)%CTGZU!7_ob>qYx6V(X_UMIAeUi0O*5(!RUvuBneg1ek&;tqB`QoxJbjTX`;b^p6}b@{B-&5RYq-@EFDW)!^1|)wY)J} z%DH>5&;Q_Kp00=`yIvxOmffWaA>p>0q8n*L&)ngMy_e`(zP^d=lPkX^qZBOL(!DN2 zjqfs+l8C)qX=$)O#+!RyMols?`2W>)+gVTB4t8U?y#qM%NxS*1YEFR$mpEp1fGnIY zY+9Km*kYw@O@Gx`XntlJGr>2l%Xj=wqjBl46;g_2(URwa^M5qk5Cy?&)}@;v|7k7? z^6vjT^W(!F)1rlD!A9uf5qN-k!KO#&LWP8D!AfY7?Z1s>8>9ntzDen7NQU+Q(fHs* zp<6WPnc)1li4B?i@65-i5&hEDdie%;)^$j@Y59hajSTC?8(nze$D#Tsep~8IvH$2C zl7Ds1NB#dz=NK6qIGdW=nAmc_7O)m2!)%jU!^AecayJe$|m z$CEiTbcqCJE9?E#V}5%pNKXG?V%y5k)7Rtk=wPcGc4N%e_LIRTnjPruVEOC$W+btj zVB&(p+%rH%Zpzok^H#_gix!mJ9XWgAq9if=N~HM=urgnU)2Ha^vgh#x2J+7J@irFA zUk#+21@PtI<>%)8a`O26Ol^qm>GbY+(E!qGNN4UtlzV?mY~$CS%DNtWEDjqkuYz}0LS6QQrpaJ>g2-6*uEr9Ya);4n*z;z*XFq`Jqc?|| zcsAxd{p*^ESTi*#ziC%G*=U92c;m7cP;L6+s()Nh zNr0_uY~H77e$ZYE?DNY{pDT#KN{UnMgrJJz+2?h6uRGo_2xa*Ww3q)@pJ%OFq72r_ zI5ajhYT~`Kj?xeIyE6x2k(?nMN(Ivf-N_3{Ps+nH#J46Xw=PUo2J~Dhb``j+8tL>=KXbk^H25 zI%{Q~pEvJud@O>+3{C@NMdaEj{o5@}!lyG8xnz%RqhS^q3Xjx=bFdw`dF7>#Fr@@!*YoRnCSGjcq zIWo|RMBguVLNW*PKk0ZR)=TrJ_reaxji1MS)sh< z^^*CNTyX#`XYx_)970K%cnE9-SJ#;3FYq0d13lq^iGEL>$=U%GL=j1^VHcR_{n6N; z{;>!QOQe#UDaTzTc){RA(Al}wzfojwUn!f{bX~-DPmIWn;3s&Et zsWNi_Fbx*$ z`X6=fJ}Wn#i#9~e4^Qz6wrVw=FZo_#(qc}U7+!X0_+(@gti$FOxc)G&w2{~7Vkyym zW0%KC9~fw2&^PXvry`lq_7Qftk8s%L*`)KRhD^UYyt!+8Eqe*JsFgOj>xN;(BfN>G zcvMWeJ8oYU$q^q5J~@AGZ@c0f!P3p`<5*p2<8>4jp?QLpU8)LFu}zx`a4M{ZJitbQ z%OX#{(LNsiGBhjwS!(m7=AT1H5IE5)btOxDbB4iLjK~vt?$}4wWkem1ILjkst(kOg zd*WSr)Eg)jyRO^!lj-8(O!yey92s4DcHxSE6L04*rw}|;DRwaP#ICYTv=Ib5(LEBt zz6CSU-Q2_?&!U4M|K^_Ek;ha^uMUe)^1`3>jnB)e)Vuh7{qpw96-DOSu9@Q1bulft z+ef{e(6P+k=qx&iQ;$(nwdB@k@ITgrMLr?pL#Dn+zw_#xB>-O6d?)yq6NPdcTkIBp=f)B(w zH=MrT1@{!e`ep%?+&3Y+jNJv)juWKN3RIQHWIM|aw>0M$-Lbbb={83lIw@5ft5h3h zWJdP?CRHfb&!HMSj_zV_|NL7ayN|c1CV$YIq+ANTT&h*z5WQwZ)+V}{_d8H9xt$z6 z&ax1{TH(XYqC}2&?32F5rTPa(IQ?$`?MB&^DBdedbwPy!l7zSkE~5)vrHMs zw9?@z$5N^Kcjg4iaw#{9h4R%`DYCL@ci8tHc<7OQ_Rj(j7V$dT)ODiZK$n}I+x-FD zS`7}9{4=pvRlAJiXI+-B|NA zfBc39`}fFzT3P<^9MZDEGK8o3+i6fA#=kh7I_t&U4vedSdfH2ktTk;hQ3d4`-J~<1 zKQN%OcEKT^&h!yFypmBp9OIm1|0msy@X8CzW}8WCnsNAGLf=r9ajG68;1FU(qpB-X zxk8w${gw+(n;QH$E$c(NAj$U+H5jFi@MR9(T)nI5H_BJc_WTeE`Sw-zSt!PmQJpl~ zUIiv!yB>oM6pHB<@K^!;)U3{++C^>rqW3_i7@48N~Vf`G}uIN1Kk^u~Z( z9(U8sNYhmL(;Y;dDVguCMuYnULTr!kcQw#IdayDy_)HZe8J=)@`}jD8BniwJI+Cf{GtoCSktV=!7F=|eLBR0D?9oa@?_#r*6 z?Oq_P4-yWR`1ItcmrZ=>uk(de`A3qm;Wb9A=3m*xJs!=BFrOfmk>FdJO7=Q60z6lP z2>qi0myx}XnRvf^uqW;seo699pO5lK1+%8r(&+Vb5ngOH1K?>uBRVqit`LFv|}pXpevMNDt2xwx@Lu&<4}^DXL<=h(i%>6 z|ExJd$@CD>tz2GhDY4hgm;itaLA#G-*SS4Q5|w0ThoJ{|gD}j!-8HNA zmBBKm2vzfGmGeU}kbu06Y0t}o+hATI8jt(8r`1zPXA2cGE$3n=v8yH8$Sx|$0BbVy zh4_KYrr~UxUTc)!?BPK~RG4B#Q*0k@aG%S~P*Ny*LVo9g&j|#AyQw$hzX4V` z4~8SGc1J$fB*@@5(iEZHt{2lg%M*-5{Ivwxus2XFT0x2rKrSsy2p(e<43U}1J$BlJ zUzJ5RL4r^JXS7=(Bk) z_!l+R1fBPU%M-+{dCabRqWyU;2K$I({I3mVrzVO<+0(T(sW|z{0{7iq!dwF};YBzB zjbmF2lM#lH9K>}AQ}#_*$?oPy7TFe@yE!)Y9FDxlntJpZd6It*t!;c=kN>=k0vqq~ zp2YC7-n=KtRL)$7MGCw>f~R#tZ;YN2rB;V(1t^C{>eg!IwshVrjd+IPOWn9_xrwxk zLwa?vVt}T3m*ZJ4{IG5Nf0{S-nH&cGn>dB#CS9fFdpH}gps_iHGl#`4+lNUqGUz)C z2?9xnMY=?^oW-1Pf7Cs?g9S;qN8q^_^<_gS$I^68{y~gvfPy)LJ(^``q9(|EP7(u6 zF92FFDb8n5w3)tn(qfwVG%eoCR6K)5nM0EX3!2sL5(6jL6gip5PX zEajZ$DHNk=>M&4Gl;;xOp+;e4bSGms^Rc-itJB*egS7f=D!Fu2wH2E7PcJVDvGFyIywSGf0DES=K}E~WrP?x*4-HzMKOC(Um^Q0!ZA*V8u%I^ zOtsbE&R&Dv#QCOMF}LzY7?pq3UfbWr5CS&N49X4LP~fDn@M~(2_$D%VME?ru{T;vI zkiX}T;a}OY9Y2~qaKR2P(aZ5q7-?dH1g1gi`|@|*9l86aV)O3I7UQxs4uAIT+^8em zd-x@i((;h<2HbzTnR@zuil>|qn~lRq4UQ4_#*hH(;@L)so=b9!4rlQdx!)CYz^@<6n?gn+--A;gBCq*+7Byi|avk&B6# z6Oxoy@Q946_{l^ywg4lu@9xlL6FvVU=pX*D9dB*-Vk03-_`u4{6}qAs3aa&>g}L-;bW0 z2O=5kI^Ag)=9l8ZS~`UzMU-it> zDt=d&Trin0GSiF#J%&r&=1{SxpC!8_v%6 zdcmtNF?!fy={t~)X_EcW1W4X?oz6wmjAXh{S=T;JL>HUatYCOhU6(7 z)BCYFJC&(J+WQBGRb!AREb~%!!l-1Zx-}u}5XrH_30a zsxG%ca7!=(Jrf|EMt7wWBnmU-yP#8y#a z{r?7I-E!$ptMonaML<#qHhEdl`XE8WH7fDnNjT&1HNk5dQ{QX5&<1@+k?FqQB;PSW z`rUik_8nfc0N8h=E^B(<|G~0C{To(uy2ST##{X{gO11xN^b@I7!LR=t2HT-AyG-O_ zcJr(g#EZiET+!qAYw6~;p;elG7=X2`S3COgANKI$4?%6vJg`nLw1qOq7B3F(`vJ46 z0!PW#-Q4VVIV1k8*7?L()9(|#r?2dsoDYZ3$B$wLdQS1oGIy>JzTxk ziY1*=uC0TMw`uR5&=YnGb*Nu5&hh4?k~)4;5nyi&olj9wPnVV<`#R5oANm3nyHVxs zY^5a;U>qezEW>VmY8G)LIa7oqkV-4jrb4oct&hB+uT!?OW`R5c1-a8rLHzLO{@ceL z!6}4vtm~$T)Z!I+*^d?fTg}H%{0u27E%%}R&vNxQ{F0C}K0sURE+t6!AMtmztLmIo z+&ffvG?k4-Se69o#RwQH!12Fpg}Xh$1i>SZP@q;hej8NZ(VbqLrw$V7x{#|4lpF9U z3de1rI;h(+m$bvn>~e4gN1FY(d2d9p5@`YV+gSp_%R!s$!tPC6k@M2-l?3x0lqody zAW@&7E474NUkAU*)4)BTQ6Y+bbK`X@TkDmyxP5n%GqBt`I88%NhOeB5u9o9Bu(*%S zd+TwZQzDLKY~Ck!$VsMsIk?r>tmHuX3IInstJJ`P%&RKHA)t#r_i`#0#dWteMgF5Ly?4sJA$ds_8rhrPnpZGk@_mkq!{MmUWZy3@o3dQ} z<2rV=$WxEFZnLDAww!CvgMqotmhR*T&+Xq|> zd_H5fFVOI`e}{DOnDMzm$aU`bTW~EppZh0~SHC8S6@Aufyx~js;r6y`{OZXpd@*{l zE$`3$*#gvdzz?-+;wn1>PxNp8@V?XUSGMTp+EY0#YFS=N`}s+CSdR9Vfl*0W9Q8E9 z)w-VrQbWlEq9|*O#Lu&g2)`-z!IAGmD;b(b zY>6zuEUV=fBCj@A^ArWm*c0!l!4jq*eDT~R7@50H z=WBoQ#H#v~!gbsr@D)WNyN^YEX6gtPWFy2zFwgUDSpZX~vh@^kUOxyrRb1DS-Pv_% zs*F!cFE@A}<9Ji^0%&;UdaqVFGF;<{J+WRuR=wCvT?;4}K3i}?ZjI_Tc&=6)*3%A} z1|eH9gRh}1myn}Ad(#6YmxKM!@U+4Cd=dP3A9_yhrRVIJhV9<8tQRh}9I7{|cd}1O z{Nw`)xALoPG2orKXRjbBXsqD#~Ksbs8&^}#G^yT2~SpvkS$CYdnycMC2Kd(*?buTDTq>jfvTlfX9j)wjnKt!^FIBXcOG zCS8Y7)l%~UOS{fN*bo$4?1;WqzCIshU3yu2w#x>Qk9KR$+u46JhD?35Yh*tw5F=kh29F=c>483E)9-U4Cn9c8*wJJX_6AfwgHX2ydbOYp8C6W zohVsKH+I>HiHKL@4s!>Ld3$Kjztv63&F+s4O#b>fmn16#du{4%G4OD>b~(4sQ>4;6 zZ=JXcr)!AuaQFRq&X8iy*o04Y#G-LurbM;!U3Q8ouEg~=;}HA3Wn#$pE&Hco;3lI# zluWdyGWi%Hdq)zZBfxkbHWW0KWn9vl(U(yE#GiV|O~Mb&7@nz&MQys8^0TY&U0O%h z2bz9r+N2h{o&FMZrZJ2E0GjqZDO9by2r@pH?pv%uA@QJ7r9Zk)u05qaE-r;@{|PuC zI-vqxy2cAWh47rfag&+mj!b`(lQ;k(jsmRaSg_ts|mOS5ID$|X;5i3v=l9EV8s)xAfAV6k1iAvVo#iGkUbFJ zkU?A8&~xSA)n%#Fl8o?HrVW7W--I=t>twZ5(YB`NP^Zh7T>6-N`#z zaIxF0OFQeA5Lv{v&IVR@4_RX;s}W5@F&22(I{32ibOi5K&in0-(ja1c+nekHJo(f1 zFJ)aJns^$tG1p|`FD}zkcIsgRCH{6oyXNt0ipBtGB~!6K-w5@kkPLn0kHJ^WnNDbV zb5UcKLD-2jt737!Uf&4Ko?e#YE&>LctNS54lZ`6c0+YCiO@|5>+*GQe3!3etXi|li z_KjqA%(bO!|K_?^6X>WV4&5f%h#L-5wxQ>@Ny{yp4!}3}(NM9kgH@}9Kk;>mMQw4? z#CIrjDU4b>-`U+ic6y#$Z>j#_f|c8{Pd%s5=eDz=N73G22mnKevblT)TrUmTnmtJzgN@p$SM8ATzHNQED0 z|4nlDcR5GlYHspkXZPvC@6Ri$mu`8>rFC()g}EwP5u@@6Ll$j~U?-aaKUzB_!c7EA zf8-(@B-p~LB~l9@Go01s=*9AC;trepZm-GpNF*BY^7#F`9>}ezUh^-Dn3Q*EyQxMY z{-_A|--oIr()Y!Gdd7~5)|FZI&FOW317-zpxLp>{%gQpS{+(x7m9Ok!N4zx$0LYZ$0bdO)`Er!P{V=~_hS7s)sHa#q z3jkL_R%MFV2Vc}6rU{~lDkbPbs9?)2Y+Cj2b+NQchdXh;Hm$84LQ#BT|#%B@mChc#z zH8K*bcgM%)Ly`G(gKW4~Q|_3<+4@ob`pEJ75*nTT5^_pcY9x~<`5Q$nX!QJzV*dT# z!|=`)RPqM?3Td0;3U2$?#|;24{4R7wXL!r6J$aSt!{PgM5?$(itA}9!{H-G=&G0s8 zU(ZKr=+A(*my#PW6i!tjQA#Y2?X(#AkmAa-{djXVL!p#Mxq+P;s(C9c(N8Uj=A{0B zOM~x==HO*BOE_?Nnm^FAm#?ElpC@(HOl@Va#Vdd9|L*DX-?q0JS6kV#wVm3|LXsim zlQAijJhrtJww_T82`D>_$nE~QOA}BhVNYXkmA2Na5E4=rE220Xl9C6rTwkY)Y;`}E z$Bi)*C>8LM;=O|TweUdKa!%~@ig$It3Gi_ZlFhl6-QGM+{JRutDE4P3E}58-4PH@x zG4T#npdCFVp9VP8`{LjnEZc%T1l+^7uyp)-Zf=5FJyr%x3Tu~qK=Um26AC-BzFec+ zi?%{V4(Ln@6M921nqdP1HP$=5`$fAic{;FW`7q-(V7lKo ziW22t1jAcV(i^a`>||NEIm+cljyNpd$RoV6l;Hv|5Bs+&4|C~It?sp6FUEh;c|M-0 zQIu*1Qg3Q!w}>gp81IESs82lkW>=hq0F(E57K884@l6lLO^@wZPay%tG{uh@-6VbM z(yjhu?>+|Ofx+ThoAQ)0IHW(n5{;61SmHGqm~y3*=lbfMC^fHDT8EwrkWW38*^GNZ zNh0fkCnQp;qk(H#LCVD#h*q8zAY6KPnn;jmH22h&5A)17pQKJlL5WToUx7GkS%uvhC^NZ*8$D0Wi;z-T+y`xCyf8iNX4nhN* zD1CnICj12XRqR4pFhO25QVcOrK)k;&f(gpE`NJ=AoyN!tRw(Od9(ie!sI`$sF^vv< zGXL;u_eG!HBO3Aq&7WtQZKG`}vSiM1B#?0^qm|BpZtRTqVzMeYXbAA5475lHD3f=| zB##t2O`+A!+571wD3j1iUBy`$=ASw+SO(rDO6xMEK zRK;$=uOm^ZOFyQJ`hM5nT}lR3BNtXObt%y4TVsuJ{BF!tdz4YKNq5PhQa4fxwv>sN zpYI6>X0;M&n^SW60fxPD{l?%ZIGIO;66 zz`i{Mi76iCD8$(NG-H}-@A{JWzfb1zLTvRGL)2}5p8BcaoDmM?v*8=C8_rwfUss&{ zZq5l^oAJv|G7JStd>KNrVxHT2EAJVA)$6!V4VC&vtxC-~T$MOf~tUR#GOc>5&4 zRGgXi4!~C^TL$phkgOY*kBxEVQ_A0)^lkTo%hPqnKWWlx~%wQ%A-F<002=pt=ehMDkSnxB0UC%J-H zIGm(~6YYt$_rE9C4J&evx3%ydV;z{1OZp76s~k@aaEojpSCPKfuG^F@(C#H4rqSck zXUek}1db-=5iY0_q$IYkvRHz#eZYn$>?3qD!8E*Y(woY^6+sql6X5=dFc&AP(rMsN zEafYeYdBnv^(K91J@Oo4Z83R3zM#Fl8nv_W*A0$QQ-IHAzoZee={WE1rEUuOB2jZEaV>0k(HBz8zXgJ{;U z)pu_~mr8t33MSpzw8FWuNDPV-jL`#`SEkcfDCED*=!0lXjmhezb~6)N{p|{|$X+XX zcbQMW&FE9!NlD|53m1WwGI^_<;9rdx{A|L4&G*MVOsr`RA(CMXH$a7^0@~s#$$SZA zW^_^L^4Fk_vH3)>o4>)t@iBsil0d;SX+@G42abt?7U%(E)R`a<==N-VO!|+n2ZscP z;3{2CH0WdvZoz5^El%HV^d^KFIpJ6;xzqbsuIaRvGz+cYZuCIvG9a~Pt>u^#A>>TJ z;na;;jOf26;6TP^lo%9d+hak0*5@X+I!sY(!JmrxVS*lxW2hm(9p)JQ25M^2XR8TH z2p~EF=_I!d|5?FeAml$(EIgbw>>7TN7)CcszB9fPI*e9|^lI6p&d|72A0$I@U<7?R z%P7{ZngAXXk1~QiBPCJ;#BI+rsO`S+6USOa8_Yz7pA8^M{CW6|3Ff1W!T)m}nrLt<|3ouFVFdN2v)Px+m`E7Vx_y7Ue=xzGdHE za1DsP4b*C;9y?i77)=U2u= zx}f@Dk^IivMT}~-2KkVx@HJ>ktw%d+b0Y&Bmlf}c=R~=60&KnsEfvaf5d6`2H8U%u z3Jo0swj0}^L2jp>JEaU%E5aBdAz|)(?GKL*O?6E#&jYWF_y79QbN=f`uOEe-ZB75} zM-QYQ@H<_XHhhEqUo390(PotK__gL16MYfG7Y*j2B4)$8Yub z40!|wCt@1@@=pEFOe?4O<)&i&D$-wA87_UJO=Z-@PE-%VC; z4DOa$UgpG06mmkFQ6z z-75kAr<(yE7dh(o9d5#fOZ_#lp4*<6?sFb9Z<{Aqo!rr<6Q`pr10}*VRo2E^pTzyi z`&$n%Wjwd~)H$Jf0}&k$FLF!n+SU(#C(v8-jq5=K++QGjQ6_P|v9!0nAJ2x;506!} zEIHnfB>Fw%Mca{(^=>4th=)^k8@xr4$KPP$mQ_vRf2twV*Jl=S#4sf%1~-J5WsDfb zrWtBeOUx~HnM_sidyV3|-5EKnQhD>OoOZ7MDNBkFty7&iggItQUCsU|bXhXw9;sDR_9A(u7}fKEqvm_9(l1rBuBhX1xs?z_sV_y)qTeWH+9sCABkXOdgNF8OKk2Nu`{lf z)Sr4sr;85 zvfl_j6_+nHO5Ohk-a4JPU9QYu7r9rg2F9p&KyOgs@SAbl#(r8^w66mE0~YfsKvr=n zNf5x{MpZ*g<1q*-+gD+OqwQ3V)6U9moP-u4!pa<@kO3h(K}|s zQz8-Ef#V}V#xTVhmkAE-Wh{(BqD?<=s-dJaieCoCTx-`&9Z{H0C&oAXiwPgk_2jDD zp32PLFc)s8KK5hXaYm`rjQ>B{-Z8rJp!*t(osMnW?AW$#qmzz0ww)W>>DV?pcG9uU zj?KB<&+~uZdDoixG;6-ps`}NgI#qR(i#q4*{iE*Z;)d$}%(rr>(GETl&is_0kn$1b z?+HD*u~*AidzhY03_f>cH`aN~uN%&<(gc2MUSVeiP$U!zOQ_2ybtSYs(dJjqo zg3NeIvWbB1?itdaKcf&OSs2e6+tYN4M|^Nxy;yTn4i4u#7Zx{Fcn@GdUTWuZDz>h@ zGE@V;&i72$mC#u=b65sw{IuEtd*X`R6HI| z2WN!*dH4NzcsnQC#19nQRTzEvwP}|A$EJB>I(=u9o-aLws-bbt@TiTRFSWRBPFs{w zmMoh;XQDq*yL}K>(ZZU{fiJySJ~0{yOJd8Jm}8xyrEF*WP!tul%Lep;en!Rw*?NH@yglaGq2;z*h=+Mzt7 z0}C5}Cb+xPw!^UJi)@o=sPFlLEB#wPSyeQOL-k|M5DM)mIZau<9zE6^QQB-yjU8)s zfYNy8ZwMV|gI}4pz$e|({(aXF&0-ZX6_d?aOb{n7^q{!>cs9(MLBlDcIm#*RB8EJX z_h?pPc&@7z0|Pa~slPO9HqZRk8qJmSr2xHfDCqM^A+IYrtHbSSv<0K&3M0!{y*|?i_09zM3$z&SnNOliN(gNyN2y#@{uby z_{QTWQ{Dj`A?071pSqjwv(Pd_Jo_-%4Zw#^@wwmKfES^AhmQt@kNL&kiGF4=E-uDJr+JDF@Sx@r4%r0li#zPtZwaHSqy zroOHffy^(*x#UTHJ^FBFmqyLjVeQMoqD88pa-XSa6TzyLPPNYSs{Z7(U%HVB=6C)M zV}l_ngVoLQo(F8_jn>2QoSw8NRmqPpzSK7TK@hbpJfz+bf4*Y8KWCpMpu2ccy3hAH zL3w(3Wi;`7j&`-BcsfIJ%G@_7)N}Nid?kVL>?(Gm{#Aci6l|u(@ws)xlN>#Ac`D{R z9PX_`bpuQ0R6}~B=rO`Cv430ysSe#egNCRjnd_~l4iPzOlnlOm1)*M?zgz>4Z5C(+ z;nIG3KV%v{p{7QVWI~G#6{5r`6C-#^ox`lF}Z-t72lDvY%krp5P|$d7%j*KW1V9LOs7;iuhKqn-#Ju;?Cixh#27j1oP6qhWJ@&` zf+z5enpUbm^vnhETZ3vDU46AS;3eCJ?JBG7vMq5dQKAC+yXN7eA!UD3t=vb6A7Hwy zugyG5+x_k^v#WN>>W*5VLY_0Ds(-Q3o@Yvokm3CJI~S%5n?xb`-<+6iw47xsl95Sz zSjg-ggnAMZ1pN2c9^*#pSQ(yqw6q{#J`4bRL1W(rLu{3`J*2Ko8{l0PUcZ0^73rDz zYviFFYc%wws?pX(;M~&EkEi4(5WbLXO z+3Fy+z&G!)oeUzhaHfPcajL_iPCkr=v(K$x=ril6R%m)D+@z5E*wh^l{Nns@vvT)cenPkZ=o_6Z(CSrm!Apz#pK@e5O)}r@| zr*!4se81`R#%gO0@;q)!2>FW6@1+LHQ-)0roGP`JL-^Iv{{B*@u32LSQAOf0FHJkk zr^PN_!B4V4*K&dS9ga=TE}Rqe(8(wlN`oN2qEduUE^1G`6a-DI4OqwOEo_GQv7DL0 zhwfRP71l->;2~i=Yc5=0R9B6_RE4L{Vs4uU{DDV-ttyLtm*Kex5J*1cg}5nUY)rjTT7-=6!~Xo9u4mi<=)uRDPd3u(((NsR8!)9$&n(?%@{;%yr`)rt zEE=PjL>8T4v_TEH=&4A6ZtM(35owu0MucEZ&BdcQu>{-ckUd@(O% z(pgF?!JYmbCBngeN50*XYxU1+INN9DE{>wn$dq+D>MV4}denXyUKK4*6a7q&dNq7d z5MJNV+}hU_iWY6V{IHhviVVD{(d-DsJyYvx&i;D_EY7Sl=EPlVHNej*vEM^=`y#iW z6z!##bL?P?qm6EJibUC$7_F0ZrB3*6Wk=OgmGl1URYkG_m`dq!SW(7mp~qA}A%oOK5?Gij zbZ)R38zk65gvIJ9${0*cFGlw6?RLX)P>xR8p*liOI@{oaYn{I9Y2?^yrQ`z%RY%)e zQX60_=iw{DakVp_qJCQLS{+#}lo1=XMoaGg74dCZ3niFEO6!ZI<}rTcNsLGqIA6YL z6Ps(=i=mU4%HUUdV7*D!=}g*1o{Ktkj13zjGHt)Vp1`Tvn7nd5x5D8jaaNK7xU4YK zqdM?^6+|hrT~7gwlpKt%z^({#&@1CbqhqvavERxpnmRF<2j?OPT>XQXq^@umXzsR#`8BH05pLMjG!dnj2zHu++AF<53A+l!AN# znZV)eR12+_YmP@SW>zux=0+WbmO%2o3z@$0c)JvTyVSj5I)NT@#&0S?*n?v8-o!!x z#^KtPgGm2%sK9?yF5AzKSdT?a0!enMrPm+B?8NwX{ca`pVvsD4a|JS5Us|gnhCD+;Pypw>t11nuvb{$qoGNDTBKyH! zd8@d*X0|pOP6T=yLsFoY2i)Gt%8uT_#N@s&W$QK#xnDc1@>zs@-mfXnrd(FQ`kFMo{l7FU~a? z>`r4AAEn7!i6gJ$T)i-3n7LpqB*oSIeLUk`~iK3D{L{w+(#j@e#Ht}U<;*C zJgvP=EX}OJa>uR=V6Oi#h9f@YVQ<5wPyvegN--e{8`ON(RwIax_9o}Cz057Dt|&2v zsU^NS%g&JFgz6OsmJAqXswCPMjE#YCRF;KzWd8BYlsYncD~6y?S_YkR7RMSU4&auA zu1_C;()NWKE6yQ&duk%pvnge2#+y+9K+6!7gqQeg)E5w+@mS&KNntt*L~|T9<Te&*@9n z?(^#J|)9T;k3q>knVNO0Q5D`@KnCuVb7Gq8x=Ohf3*B>JR#d z{lXogCqVslv$>loEv5^Hjir{XybpcB|Dzja`Ukm#@pTx@tYp685A5y$bv%o%kRos$ z`^q5ns8#pyjcEB651^8-Kbdz>W}NgTujwEd@21>>zowehQ{fR%Z@Ldw}Vi zUv?AuC-wk!jB-m5^YQM2Me5J*C;d_hKrvrNi&v{X*zextZCu@*dYNfF^kn=P*=nK^ zI3p-V+p(+5*U@2Z%_o_rvW4&!`4io{%L#RwI<*{V!l(eW$RMb9wE;|(Ec4Tf@L zMgGOkrAxrpeXMUr)Q6nP87q|+@ZkQ1YpH-6up2k~GeS^AFl%ChlxasN!GT=AwJ&-& zCuN~{Nms?Is<)DgjUYQt?B<)0{^okW(cLRHmXzO3h?Wl4bID?=9^3hw*hw7BFfe*H zyoKA#WDsHtV{MXs71G;e0;uLoiB>LwKg0c3&6hTbb#AfTqUuVcCIJK$AmYtjD-Gd?J_>cIlW~rKOa+Qf6?2FYvQ+ePWS< zdvE)mJAPyeGN~}B)@#R)bFyo(aqPc`hwtOM12*^=oSM$=Q}?9fZ6yun0L8d%X*im&9Q z*M+#}5YT)UbFR`|cxlmOKLDsB&T}>(XOlS^?NC0f*g{;t`Wvs!_!~G=Zl364SS|uc zEc^7NG!g~lDIw#CdP&P;9IJO!i;T~Ja=s_j>?IIN%fZ^^F2Vv_G({F9X`S^nMn))> zX!K|nHHrowEE+}&vco3EtWlcb6dtlmg}ydW1^4M`#*i<3AHJz8^+O^tyzbv2;}^(5 zqCzVoff?4MXz{Hg<2a_Ezb1&|5#%AsFsfd;kxAisBIu4f`xoMUA;L%7(wS=UjdTR- zQU%BHEN*3}X{b#Yd%fyYuWIMKE2WXvY4y;GM3B92jgz^V~^t@Hxm@_RHgAw_K& zWS4_Q6jHVc37q%6D73e+X}(v2cB$RL)uIg#)CrS1Wd6VUPYOCC)2lM^3b`4hewkSw zLuI&HHJICeIfqTJ#WEXI z5xN9NtLN-!f3jzc|ET^U?S?Y5Oq?k=y0$LWBZFPCo`_1?r+Y{zdy*eW6v23VtnppyIx)}@U1vkhpuoy_vr58Yg zlJ>hqg4SOg*M4YNlKlpRksibGNktynzgyu$?H39O%g|9d`%ZjQ48nz)6Z4BkSdT#LCY;P|HpcHwI(Q>@v zM`l_ux-?MBR|BtpbGRIvi_b{8Gm^zBFLcxZBRXPko(ls0um_G#j%O@fxGOE92^|0O z$8N)NVaf?>RAs(k%LC!AVj{T9s2g*rSvZV|V7xw^&CIY;Ss_G{k|Au1K5I29ie_-k zo1r&uU>BT5G%boI^`;|x4SNK43JAw{ZqM4JL{Y&(4avPZ$X$XzHn8Bqdhk&MCIOyj zx}&C8M-I0gBtNOg8!XGdv4%)W%dn}tq!dZZ@zd+WD_SQM3YrzA&-0}u2)2;@nDe&S znDJ~3qOp)6%=x)UJp@p7Sk%zqcBa+5&QGLtT%SetN?ggUAoVJ`NQEqaoL12M?c6=E zmGh6Z;d)b6bFJ(Z^YC~xjgLo+ZBd7(_AC8Oxe`Ma97gJUwH|n++HY@n;rOag*aHQMfJ$@-5Vu%mSvN*DFlwx}+&#KKlR z8hhG(ujYdE*y6TBrq(0yV22w~@yO=?bMN1(rCaktk%95+vO<)c{XEU8okS|@Tz;cc z%FUk*mGJ~$GczC^tNXC!Fg*ng#PejfB6T4B?CTbo*DQj(3X_BLYX`64l;qu};6~j~ zN`o*hVx_C@i3S9}AgOWj6oLWcjnlGc2I+hO`5Whb#K@nyE; zyM0SFOGF9fIAHVbAg=azo4C*5zoajy|3#UwVs!26HyB;p;z46f7jN!xkU8<;(&_0u zhiqVd7k5GGVgb^vfXnHhLIITX#kCIKN$$Z%k(i2wy9}#z=QjaMD#LP{RX)fW0kSKc zw0cG2^L(#BxnYcpmCswKr?j>a0eqGAbrsxrQ#Y&n-xLaISW5j7Bm+PS1-*Y13OGss zrBHAtD=L37yZ$oxR z3`U*##Ty`f087u{-MK~&8#$Y7G$Pr#ow_}vCagKJMplS}gfTzTA@+3Ych)X}ZQH+3 zR(5iz_)E#9ZTC4W!_nwZ4%n=xv#%%R=aaMkdq^5w*dV;E2$>&?YrrE~96* zYj=}MZ1BpEoFDm?@^+;XH8saWD3^VNvCyHkdgDMbUoZ#Ha3J!~}CBJV!p!Q*f##L)3H!*6?^jUd3jN816wi-;y)bktiqVSXRd-uj@&+9 z^-a1JvM#xBq+z7M>*9Ie?*2wZ0p~AU%6;CtGUzvQohbODi5i%20g3RW9#^Ea}kUy7M4-F2<R(eUNAt z6&@0&P1MB>p$;lGTKasbOm9DOIs6Te_$+an5Q77-i=*}?ruragDpF9hjaZqDFIidz zlLSO#ze6uLebhF@>V9%(y~vuR9$vez8bMk6k85#Tzv~yU&WZoAQ)il{)PpaVID65g znLIRs07yGcPsftAFLV%hgUT=;WD#n$Kzfvji*phsB_>HXHd;P+sZqZl{Ukc}yBU7V zDbVXWM<}|~j@|Ri-Q-9a*X|?}VPzP#-`=3Ul_+18+D5-mG1l&(Cb+l56bxH$ zNN^W926Ig-q~o&fZoP{& zKMQR4!T?w<2ax(6!b_oNqy{s|ST5!>Ix$Qiy-{t=QuH1yOU>Wa%hGJ=FhvX|n*eUJsdeSE4z#~pg-0#=uPKc4ypsuI}Ghu(kQK$-tL zD?5Hak35T$AHMjIzigYyiFu9&g2pHh1YyBp0<%?7b;yv0pcwCO67*H6;F^}K(kr^Feeq{ z{;Lu~m4qb5y+-dgEfTwEnW|62E|=K-ZPYGTiikm4C{7>a3hE8^w2liUwJZI|LXa}1to zbXxYNhdSurU_%uZ_rK$M2%AqE8$F~yI1D?Js?&$r^~K(H+RF~{;t&A~eJ zKdZngCl|&48J^B-!Zm!02VxSmfRowp0XN~m6b4-9TGRp%M?jN3CVN9f515`%Ix2qz zLjE-Nxl4aw+8`SMfQB5{5`kD=OjiSd-~gc_!rtEl_~5|!H~Ch?zgPN*dXx#46nFq) z;Kxlxi&sGF6C!0Eihs{*Q;4e*6u56)4NM?F0jdqmz6|W(-y}CrkHC#^|KZLIbAW@t zwoGY=0egGG5g!`ABx+$4VCtD3zr4M9?R@*VygU=``=7?5FmcMwDg3D znV;`$r+8)WA0+EHEO5GOX+H+)(NUni|0HuKrfxZOv>*IM_!#*5HE8PSss*NQO=Fn@ z!3=eIOGalsDQx}!tOA$JrHchL0Bi*<+IZ)S0x{wyz{y%-=z!HOeBh$&ndE@DA4PJs zOg(eZ{XSyy!cq6Q@4(Qt5>`Fw<{_D zW|T8+tf8+TCz8kYJ7tDo4Mklj)|P|C7nw<3mCh%DN67Xa_^aL5jruzG>vuQS5ezF? z3~HwCPtT~!9zm1qcZYB69uqZPJ&x}Mt3XZP$nuEdN3Tfhh;sU4V4OXmSQckoh)%*+ z|01K@f@~^)lIC4ktUP9NcG(-Mv4EL%xZRr%LTh@Hme$HpbJBZ~)qJ4Q^G2x?%#8b+ z_k2 z>>)ytGP@qQ!=S&%?ICe$UDkWBw=rlw5@>{yE&2F?pmX>GHGOB!KowFNuafRy=3e)* z|GYnwX_v+2(Qq*Xh$%qb$YD$Cir%yFB8=<{B?BmA#Juu(kt7*KAN45Q1Gs9xt-n$k zeUWRuVCJmDnq_s|cWqV}r-k~~))iqqsk6)C7M(Gc3QlGQHd$$R5-ChyIV5AW+dlwU zMT7;u#g!xchxA}Lf?j+{N#I>=(C> z?WZ~Y3Tjm6od>6sd|DmhpBb>h<(U4JOu6TA+;2SAxT@8ffS(vC5)JH-`JoHF?E?qB zFB3VeK^2OyEQ7Vd?l0Rpp9(tqCnSY4IK=`?elRi&^$#W$G%Qp*9-v$uH z6X2W2)8AG=RwuG=gU}uuuC9`O(iVO1f3ngiE;i^Lr!G;qf52XKKs@P#*_XzDztRW0 z%&@4za$L^nALfXn?~%;|UwpUydNc7qz>KOWAoh(B^e+QJdCVJQ0A>UQ5N5A;-2t&W zP6Dj{QGa;W2O}tZ9=k;4)*{bM&!0*rIQ#+I2>e?HmP$eYwPyj?qL^W=ZZG8Vpw)Wi z0~0yy`vF@iv#vP`*-=_$CBSf>t2mHD>*(NIw(RUDbfQwS$TJzO$zlE*UOtnh=_d?( zws7^LZuufojm@d&^&fckyO*D2=!@irW$%olnp5Q|2NSh(l7OD1VWs6GOT_wZX16?CDCeU#Eeo%ELWFr0y4uVtpBQTpVY;kL7E!jsQV~1BIhYdNQ>x zi^*cXB-cK*#{7^M>k7L`gOC5y#X9a;0%Va?x2LvrUNNi(C`RVeb~#DKfFpc;$#E{w z%XKN0bToiR_s2qJk`ZjwCyXy^DW6aThusRoXRd!yfBK1 zo4eh+e{<&-G?;emG-xLXY@p+m*T!%`6g2GVGns{5%6h^TG@PDZy1l(;s`r?hUh2VC zeDmfPG~BX?V@Or>?as-qIXXJS8v%~k(wTaCegU!$ym|WoSqF~JfL|eiPl2oh(@QPF z5YNEARU=>@kaghSoGt0nzTMsbVI64v%Q|qMRpS>i@W++tc3NI>&1WL_gs=4IWhaC~ zo4#t4HTtbBMBBU%pky*RI+QJ*vYk%wEIbvV{DR}H{=5C^oSMvLY|S}`aZ;hajzkxj zo+grhYjMI?K}nD)yL{Y~8)N*cJ!39B$4jgn9QP&scT9C!J!5k&ia9wFg}M8RufmmG z*H_Nf$?(5EQai+<^0MtZ-8KkbL;^A|D;m=^L3-te#si&A{!PRbe?JDl89xKD`PgA zx+~^t%Ly8`Eovj{82iOD*!mMIUbJ=-FLow^`h9E(F;Y!Z#@K~{ z9fi!p8ocdLIb&@r>L zOidtFZi!8tprN?u58gYf9))_Zn9-$gQi=`L;1k!EPyF{Dv%sK;iLW^QCy&dniVANC zT+8GrF*_FSdrP^C)1169I2$LpyB5PLXkM*ZTYaZU&CaJk$|rlB54(>Pd=+u42!9b| zY(+KYI?H@-x-C_0pB1LcnvG^I5ZwE1Cv|^I6}xeuKFx8cj{> z;Ijretae_=tNF+FY#q)Uf>=6n)qBlP9=`#0?uNc6J;4~1DR1}%mh=(XV#r!Yg3d&I9>%=uyYq4|t)RUCqhKiEOgI<8BqU)iK%D$;OpL^A5xWmHVvG z1~=?>q|Nnf)HV|Ec+&Cg9Jv1w;SPe+DhfAE1N7CBg74D~80LFElKPZUe|oXhc{`#| z2}pV3R35n+LAv5uK(H{K>f^lO7ZC-281KA1TWu}|SS0uOM8eT63J>F!BAQKY-1KGe z-u~fx#op=gMET~mI1GUI3-mrfT6yI`4*pLvuHr`^77Dc+Jcj3&A*6fbB#1hMp*UCL zU>qf=t)DtqKETXb(~rD&J@~+%Gub+ReS&#;sIie|pWf4UYmtRkK?xQkDW!<=9#*)O zWwJdV!#!erLRU;)JWU#X1)m)PYjyc}oUx8?epH`a+LKvEhn`;|N@d&auon^E_&&9edN;MW1D%gmZUfTHc2uQ@m zws>vZ^Yi=Typ@BPFl_b*HF)|1Ts+StlqOz`Nb_MwG9+?gPLfMx?v<@a4&BwLjz($V zi<&5>G@%J?LL;hDlF}#D~*?a6Bv=9Cn~A&aSk#^#NNW$cH}Yy|BjL+B^i zCgExAnCT~n*tT6%pU_Zd3#$?C?EK7-;`AJ&cxY2f^)yhNkk!YFvq3*6^QUmTEjH=7xoX_U{RQ5$O_aPY!wn1V<47Dj7fhIZ0h{y%1^nskz%=-)6$Z`knA3e zil0Pb(VHM($FRRo2U0UpD__R{#>u3n11&=q@9J{ZDk?w#4}+i|V^-q$rtIsf8P3M+ zE+^@4pvfErI_)M`c$m-4-dKk;d;ud#n>itKq{R*I!U)O z;l-1dKOKa^vOgP?!gBk5>&!|&YjhG@sCgPsHVgd#L?#0enZd9-uufJ?CU8FAW%nx# zw!Un;z$hk6=BsM7FbIyRfL(lgy})vW0BYZTP!;BFng3q+cDjjxU9BohZbnBA$>G2< zi5yF=4O_j-Td?w&>UCm!z#ckJNn;Y?4D;Bi>$4Fy-v0HqP>?PwZszc*)zAo8M|gu+ zB`wU(_g+neaCIkX>;iT5KX0b_+{6ll76O9sq$XTIub)fW)OK3X4@TKh>STB-mK~F6 z7!$A_rYDUW?aqjp)q5Nl$$0x_B_gyp+I#^{sAW+fJA~ShmvZXrxxQ8zgC~?cBFxi@ z;GZI;arV^ZAunTlTDpHyJRmpRJemmXrNTa0p?Tx5LCpG+U@y;OdYJnS22UTROfY++ zm4zfSwD9&n@f#Cd}#=>Lk^orWBGIMZVXHSVm#gi&%zZvi^Wq z?W{eeXCPoPOYv;Zs*p)MZ3U=uejA}@L)hWhSeC03)!43_71el(z8;_@okrcQAO{SK zD6vFp&DI#h+^9dI$A<0uBNJ6zzsr?=iKAjQF^!{UCFSNWaR=$PQ68iPOkrx8{ZgW+ z9~p!2vP(#YZ9X>P2+`mH)0%A%gnJt>iN;`24`;3aBHhGI29Nn5wP)c$NvEDuHbrf! zO}`Sa9OH;%;%}bM8j6V%ZjMM-?{9(dT<0>xV%!jdnqF#wK*s9AX$q47%BuSm56Y~2 z9AC#I6Nacw<+c(}0cTX-*P8gmvbFrNMhJ1t5l&;=}8eI1<4dEh-Vg+YK=j zRY*06ZKn-hVE596KG1TbA)vI_XuNz!#z!_aW%17io!l|z0}8K@@Ih@uhh5U{mSeV? zCR;Su5`f>)lJH{gt_--+|7r+1UB*y5Vb+0+Yjax;3pt`SDnrgN#R;@AZmY*$7Infq zn4L?7{Ed@97eS8Z{@f-|yKowR2{wiuOQ(1WiZS&lTy1dRZK#Nk;&MQG>SbMRAmyVd zsmWB*4efYPzw5QF!T8&tfUF$dmWeHs4&81GJcR>FQ)96__1hM7v(57Z>po%7?+jx? zy|FIzK-0czojD((?J@I>N6>1GRUZdIHHJ$Aw<&d+oNmnwIxHn74#jefn>F|@k%)&b zl|E!VQ;>0VXH)-iTqjcy{da``)&jjcGanicUa<4X9ZomJe4Ue*8;K|7?IqPt-`o%{ zZ~8FF)iE-jQo>KdW$59R^89j43sdyH^85P-P{Au)f1e^E7mQwkIXXjVB?TJwvJJyI zq-wl7<7*B#@-Tg*+L~9SZc-yGu!n8juA(`(=`(~943NoCnTH{^$Q|ZiO>q9q62p-| zj69QHe{Z%@XTfhi{{3yp24n!;hZbr8*Pa&F zYv_3H3$xs85&u5^442$&0ReNlc-RAIB|N6;oh3AJb5JTT2#eScx9dUlNg9&*VxUx0 zQ3QjmO|D+OxTTvA9bU`|hs_!FD9OMOy8Q}h7)N_OIJ)V_9HFvFgyT8hC$5otbnH3T zJ9Ys^adfrLHUVH}D>0T@+SxFIqB==D zxA-AU{bk-V*^al@_h?aJ0!)Twq(+gO$=HhuOhjrBt)0d-F0Kr|#q)P85DaYiL#!a< zucj$T!S_WvBs3Gy^N}PhDb1^WIJb2TCQ8viC){R!d6rhz7HUn$V}P0Pv1-7CT?a2K z42==Ntnu^8DiDL|=xDuy$Qy!aeabJyN)i z&|sB8Ms7IbA=(eIwme5{)%LkA3!c{0WdZCm{#IZRQ}JENmYZD-rnMg@Uqma62$mMI zeHS)w!(`BX`zNwi@h$&gkvjL3svPd#Nw!a7`&&Rpm+w%1-WR^e1}v-OM6SUN&FegQ z0U@^|zeBl!4E;~N_{vy66lAC{ek_Q>c|$R%ig81ju-P4^{fLDPCWv2$=UDRt$ER4X z$c2T55TTQkOo9D}`GzwJgRX+cy5>)+a7_&8-;>s!$9kd^ z1#R51`d55C;f0Kt6lVfc9~5RHkJ{h|7L#AM*gRxk=U=@82S5l8Q!c@^`)HWXn{609 z7+c}KJo7bDDjV?w*9t<~AQW@77+fd+X1G5rE@o`kyS-z#8b7ZAW& zM6hmY7ZB(ZPYZ=06HtXknkm|jgl|f0OvIhy-*2F>0tRn>B>D_8U~poJz@7v+%ouKQ z**3l$sSp100BQ;^b{;f@AHNJ8&%vxLahKK)S4>{a8LkeFNnx*@q9p1uUnfx-20`$! zVly15FKT3p^aKYQ%a4qNCpY9T_4PnWAV(P( z#s|F}5C8z=RY1r+xY`c(jxyF_#In)r!3*#9NW;znwO?E%RK|9U5X+K6+atrW{7RuI z&y>UKgIRN0VVMmU64_nFL1g@K6t-@)yUx3D)Q*iw zx`b#}C7)ocddmmgs7$yI1>1Y#%hJl^3ehkj(L+nih??`}s^HS!eovl@*H)}yj$ob# zMduW*AaYqKWUTxKGA^AA$N9k0OXL(-+z9LCLe7zuK@;_}pCqF`4@po}6;gGOj8pDr z+bYb-<%?%3YD1-zFlOb(#}#1HX}J?l8}>5-Zi9GdvvNA znTHNGo!?ypmD%elI-e;PH1%t+2I{wDVjY@<3Sn-Rpp$kj zj@K)jszwLULAJ?ARikgylC;hFd`D=-5OFHr{yPM@4LI7#kuG?D49y$e+D)1PF9& zir4$PoGjvWAfCZ*Geqn*4C1RK6+4=46q{D3b22RK=vY~q1^R|ybqY6{+^@y~+=071 z$yW=#Frax^=j3=jn&Smgr-SesTmYS-EJPF;m}V_ z;}GPH7<{PbGoNLDGfN=c!F2Ug{vMD{9?0zJS<38BB0Nh&V)I zajVPt;eAHPxFDo>CgDRZXi7^|%{DM?HkIfhv?N^!pzLc1zGcM)Ogm5}z#HT6{C;U0 zPXNaei`Q8b>N9gip@LU0ml7BNcIl zd0}`WB6W`l{)OxE3`eZ;)D3kY`kPzju^Y+_mbvKMo**(>4!zby^4Cr>!2RVF*DS1` z(AtOwEuI4g2{QfH(biIlA8NJjv2y!a(12=cRY6MK%eZutT=L`^E)OG=Bt#ul8K(=2 zwu0bdUX7{aFOzcN3v!&rF0-Z@Q$17n;BN3G-T}SK`%mxfUNHJ=8SF3S$=@uAMr=a( z&$~cYOsx*Ar3teB{8VdNnyh>xsT`djA^>}+fJ|_0OU4_M6jb`71#C|2&4vTw2 zQ6X$sJ(_yRyMEqM?A<`(%mKkjT0geldAr&`#|DrtnS4E715kI}1v`B{$AOw`M4LIEP7jaZ z6i`^(0si?FhM%vm-flMwup-zQTbo(AIbC1BcSZ=hc7<(iMo)gk(T>E@woE}Jf0j0wUy*<~V)t#h z)BEKx4d6t_ti==qd464a>AAgakbYaeE=f;)a>MO;|6F^m-;{7N1AhHJ6g=iUG-hOG z_)5Walf{GBYNsCO*cFp{YORM)ZuWINxuq#NrTRwn{V}s52|h6zhP7&OMGUq)uu%n8q=a58&>!|JB|Z(DGr1itVE#lpvCIvJ5)3XA)W*>y4ykbspdtNMsQ1hUND5h(!}Miu}P7t<9-Sa zNhoFl8UPFXPHbk8aXQJ~M^7Wkm}M9+H)F(j@a^jRHsa&2a+%6p4V9pU?`ita!Ik&Z zHW3b{cFyC$&snnr>}o|Vj@Zd-1$mn&}dr;HGhjt>t*I{ zoPZd!EEW~Aua*$(8LlP91MZe~lB8*tsO-^RLqdDYmcSR%PlA{X+lrnxI!GduI3z?u zGjKb9cG-~<7ZLHW0A&P*6E_MD4oIF4W>IwA%B+AJ5M@#ftQg?VTT@$PfFSIZurLG| z{S2tJ^2LpfGAa$obBWE+01YpO5=C>jA?9RTxVI?J=M+CE&u0uB(+~}#0n`ljnqb=! zGt#pm!$=rcq0Crq;)XL=R+A8~u5&b_!g(3ht5kpc5qh2RHF>p%Er>nA2xz6n+arwBJR}( zEVC14!hG4Q4cNB&z>S07s}5+D+Qg-005m}L7d+eWF-BJmx!?x=lo;sewxOnv%^fP} zZ?z_-4NM&(?&r2nvQ~bwQiFtH#0`Nz%2%#6`hpuCxt+@ro`;NK3}&>669&7N6_7^V z{kM{lPY}GDo{+~Ji&M@YXb2@#&}NQJ8K?;bGWXS-kNf<~HyHW@QXvk;+mZXj1d<2Q zgLo3g;4vc6P)Y!AsECQXDTu`gPNtRI+(HYp)>~DJjow$~O0XHq2o?+_7!w465d5L- zy>Md$)(rF^e==BO1a<(zkjP%Rky@sav{B`;6&SWIXvW*1hCh{W1c#B7p&F4bT6gKH zk(tBwch*(C8r;?1wn-SPyX;C-t3O`aD9gtk+7!z?TwnW*W?R0>7@hoJ8Z#^2>Y)~1 zXbVDtis}wiUNCIzz!ok&e#JH~{l5tN#^_3asNdSQZBK36wx_mj+qP{^?U~v(rkJVi z_MZOVdGCk&<#w$kdnbGU_D=FV>zpP#IlpaF7k-J% zzfjy7u@%nE-@lQa?~j4-a;HcC{HZUd6;b7mZwgF@+Hp_e1Y9O^=m=aFEPVj-lRRkz zoWxjCm(8Sq4+lx^){Fw0CaYgz$3tTRO#>fz?qQnWV5P4J=OB zP-@p9Boz!wPEo2MAtVJ1T1t>Q!677BR)}t(1PM-#iu!66EC~cr0c!y08(3luPYX0L zHm8I4gN8fbhfN63xX2ubfk;7scWtQRaP1Ni-LAY9F^1nk3k<{$1#PI{Ee!fJ@Ge;G zh@hRjnjqi{M+Q5C$uu)?7zfB^EbtB^Ed-Fwd^)fJ8wmyopbP#qIL&#p@6ZHh_Kjc= zjj5VJAUj-Nt!NAo0q2@jc$QbT{mf9ibq2&>cFFZ{AV11AHq(C4cPqo~#_2WEWWaZF zfxY?ZB7>Q|+SYadw9`SyuyL*h3T;Yfha3V^>;YUar$~93GL_BX`Q64Z$LMh&3R!VO z-x&!QSTur9(px)}>WRLF3u`29>F)LgS2g-t@>MB%PjW*cdM}|%E;{fkTPC`(!CNXi zu|Y@TP;xZ42nBo&SL85g?=f)v`f7v!&?7`Ik4SK_OkT?U@1UzFj zX9yN&k^~HJv4Vws&&sVtSf2_>S;K6ryQNCAs9%VrTSM{F&MWl==|OG0U-tYE#s8ft-- zRE88IJckyv2bCp^#mc7=5kh7TSW?%M2!!Pk+&Wg2uw|&cKW@700|@ECeetvk`M|l0VGM#sDcO`E&)Xu!3~A2M07+^ zRGJA)tzbRg{C^ z@q(+tg%lRSD6&#fW0AyU(F_+Us)8HJsx9SI(J+HCo2r@oHThd*@!N=+4jUnAoCXMn zioQuLse&Hh+&&GEilSbT@=HoOOsc4FrJxjA1`L{1XyxuzN(KzFOl;*<0~$6MqEsri znz)J?67lsSHW<1>p^zf9Dh>#SSS2-&Oun{?I`HO2n}aRk#3wePXI}>6%8PC`N@g#1 zTmalAE~F5JU23r)sQa`~!8Pumgo=J&S0vRlcAkWiRXukk#WxCGG8zX3f*;jPI(H5Q z{rKKQioYTF(I^}Q2*FUBdk|wFH_stCNav3PIC;$&iSW=H*^m%mcBr8ShHdb`4hC-4 z3PA~aYmow>Hcg>~hHbe;i9jBSf;z$5$&o>!Hw&TWahr{lz$140pah2jt3LylXLh2( zL+m_NLe$=bY8A37%h_eBI`E$6CG(~U9MUw9k+X4HwolKsa;! z!2^=9H1Qa6g28uDG&Lhyzz8saWQ>g+e>gB;tXoR5V}QdRe>>GLz@g`WuT97w@RhJVuNemZl%My~ zjcgtIbnIcLYR0DyJr)jdE7f3>PCZz<0AiTjvB%0%O1B>1;=QrMH(kb>g;`xj5R9Sw zDkExLMm+SPdm5!VT}C+Mp?eUy=;?b9T0!R?L0!5P*bp(N9%)t96<86`kU}CkhyNiih(K0gAx0c}tj_0j>(P=%@2i-;2*q^3L0!@x`rve#r>XnQD}Y<*HS7eu zbn7=mPaJ!03Z?eFmJ&SbG@`H_dMJ#+xmT(~$entWb)i;t;0z;9L)8S2y^Nk*>M+9; z_PmTnMe8sj5#;u~0&Rs4y!MJvJM=xk0d4xgsi$Hq0moi}zBUo3;L8vP zUg@{78bWb%vHa?z&Gct|!Ep66AS5*1puMiE+;+JwFSYs;R<1hbwZUnwvml^95vYK8 zpgy!dKD8mc1hkh29t=+VrQdpAi(MODi(7pMVf@>Z@^v!J5o(q%97BF1_U#~?Wg`+> zI$$_BZ)x5h&On>!=fDOJ z$SwD?hW|MBHnXRUve3nmahM{10EY`lpcNIBSP<)A zpnfA8M=6H*214N^Nu*c=DdZ>%mPjQZP2vPJDrsz;^JHPHk%FRRlZ!`QwTdwJq0|K5H0x`-S*Kagi6j3LRmPivFL$cp=MR}3#Jg5-wiiE2NAKn%}H6uGi# zl!}BwCY}Tg3P6Yxi6gJ=f7Qsxk<0`AuNVM<8-Vct+C$)qvXDn}l#C{c1N4W~5iKwS zB)-XUI1pUHH+Edc7+O@{0*nHNN>OPbk*PvZ#DYQ=Pf8fJgzNmoUVzk|y^U#cOtV7Wzo>m@-!v$fOQgFf^ zj>CIm&V$jU+8&NIxcYe3;h4X&_C^}VuJ%_&0ab+hcz_>){be>7%?n4~%~=MZarVTj z=$N}BCgz7=fk6NSa>Slk8z+E>P9BP81>*l7F>L^fJOBY(pKL;9D4Gm{ty|I|2GB zC`vGrSdIhQTn^QK2!`05YjH%c8QR=R1j$QSQADpDfQ%-2=~NQk5k*Uc9FRcv_4=>% z|6@)xpFr%c6#yU)kd7fqI;{M%^kouCNi@GW;z$(PR~yZ-3Rf)0N*uWyM`^gSkZNC* zBZ_k=nnWJpgbJ#XC;=FuSk63vj-?_|!@w3BHvOX%0t5^opoXbPbnpPg$e5BS4$wcb zS^xqi0O9|!H%u*oJQYbIFQpXXT7WGE285#|s+fl*24)H`iVPelkA@61TO3jF13=IK z+Mxtzl|&@09N!cFvV;pl8T{_K^US|NdRxGuA?qmBfWeq2{X_M6-kqTG#WBy zsTgwNZIKwVl93bObykQWQN{x3h6cuy1nmP}7t*K*Zd81+le{QPXoV5cNuFnxZ;qyN zqR9KjOo`GKqR3+A`uk|Ju_R>2dizWkN+CZj)6>4vgJn7im%j`j!swl3%wy%oY z@H`#bxgI!rPWnq=>Cl?981Gj2p1}d{vG?ab2#pWji>asPLttx>N z(|6z#au1EP3q-H=kAm`3L@)7oAq3lFL?(hjd%=okiP0TB!5gTjg~c=L!@|C zo*^Q(@eUQI$Oy4sA$T}bq*sUoZfIGc*5oAC4>6T(O@obM{mARyeI1>BzhwS|0+;c3F(ZN302{p044(hTb22+y<2+w8R= z#J><@0~zvKa{JX%V-ESt%dP)e?Y=G8Ck%ZJ0g9vONCtoCN*y<+6jS$ zaFr0l4^0>7Pa7UhYv_4*uX-@~350+8Yj@5tPEYfc@T62Ze$4;rl3VX4 zzH@&<4$gqi9q`fQrMI?V$&)u_a*^h;xBT+k91GNU z;K;>q#7Il(+p@x0mED;hP%^-yEpI0EN7;v~O7QKwjvMWffUO75ja=w+XvP5qr(Uh# z5GWHjbAK$9f*v?CJ_9fw7s^p)MWv!14_gQF@7*)fi^PQ1kvPWwhdmB*JsLyZyZ`O& zP22E3+6ez`KvT$a)e>yvHVY~0tmQ~eI_lh36%Ba>8B29BOaQUK3Qph32%cR+`XsT%6ja1wSkPse=?{^zx61f(9QZy-FyK@BrjorRfX$~ z`p4qpR8Can2Yo58RC=iw)_L77U>_Ak3ick>s-Y%YIJLj4+zwYWHq9EwDlzt3jE;1} zx9NqWkv#5&ZVej0(Ha$H*o8Ta5g)E|JhWf3=wRq31I1bKud?`6inmNRp{4Q+*o z83;M0y3VR48Zh0RQuOklXvBDOjV`8n0q$f9M|_VSmq_d_uyQcWr_YW)AV=Jtx~iZT zUt%6AW|GDfqM35o=dEWJ$MQE>25UxlO;}Q#QfSuC`eUgc#nj~@Csi!t8_eDjG+#Jy zNE@mxTxPPo8rAnRd)-kdq|(#^*hB)Tg1!0AI6-~-!^B{&`4s(as!=m-#Sf91G@CH9 zL!zuwEn+4!ni?+Cx+2OeGg^tr$#zAo2JC2Lr=uDlIQwNvTkN(p&-{=hJ-8YKE6lp{ z^hf`nqHD&zy5+{3Mdonf-84$vok0np`%UDeVH2j&q0nWAO(n+Ge~OorYvU!yWguRvWC22t_kqcXF6|6pjD^YvT^yKp{Nqn44xU9!k*^ zOgj`=7K5zSf;GPsU#<^*f12#?d)tiw1{<7aQc+bHh_NyGR-1GRx{5scUX1&)c$3Tm z%l7hU3wG-a-xLuQ888_{xmK%q3fhJ;`CbCiu7{>`E5p%;d0SeXrjevd=M80BZ^>kI zMHxy1$Ra&N@N?;wI#x4@h=?^C&4kKit7X!2?5ct!`Cb^&Y658OR0}=J$v7k>dM$=T zlBK9$taCR7xx8dWOL5?};w{-lmyz#H1cSiZ z6|B0Huw_1#a;fdT=5AeoqD@>y12`KycUXAz?neH)eKB4;ERmNl#`oMLf;pPu0H*K7 z82`VPxL$J<;`Ai)q&xz0vthCT!?MCBl)w`LrzeXa)0<@x$@4&1+27#2SF>MXXPvqdfk|#nhQYeC>b>}xr{b#>}oiM&_9zD z+Opn^vg^w^X~zs*6K>&mS~~Tli^sf-DD!$8Lk}ye_=Wz!)6zmr;?z$(C!>Cl_pKvK7okpTCu35Q8giw^UG^v>CD?jdfTEdMdVuYmxLxMGv>*%7 zL3!fblo%JmS$U%Et8`PIDElfsm1WYuN+0Fw@Up~-2I~+t%xxI#053ue=1b@(0UtW5 zTAg4YVwEnE6PPkLv8z&<^D0B@G>BKpCe2E{XopngzDUuDFFb)Xti zoJ!FiFv|*@rS;-5Ax3Q%y17&AD$urWO*ee%>3Y>)R+8j*e~l~|HWP#^TnC#dU=G}h z^^sZGaIsPJ+8)fUqFC6B$*O(Kj^spwFzSypbED)Ut93hQEz>yI7DAQQ7Yn%d;f2*>BsR5-leR)qD@uq=aaEfoPPUG73dYAf^jG z*k)$BP^s3*GCr@M7h%SBLbddgHDLcNJQLq zW)j7UOhVp%T%lh;{eCawwsXKU3(vG+hedx1Cw^HAs4ER#Gy~(%ALD)M{ z5=$^6O@zAT&ContiUtppo}#txwZS|RM7er!xgj!%oK!XuO-`$s6J0SeXLJ5@hd`2S zN@b2LFqFx-<50$r=-L#{MfpuKN%$F^Q|h^pHd5;Odr8fcQyX@1019;_h)*m^k*Mg9 zQOOp%RFs;JZ(jEYYkgP}sRk=~{7o-YrEaJVo47ExWFUv)_9%U-myGK75?KssY4R>4 zO&YOp<)O$jQAsJNuJyoz4#>?BnB73+jGR|_Sf#rpZkV)gz~br_yYMK0*8=&c1oeOTm)A|O#rbwM14Cy_&D zoFM7zFC%UJCGm+&dFdzvIR%E@^5}tgdqjb`;qZQB1n zhGS(y`(E?=PHh-(p+C1j*0MYWJ^{aO`YRmw)%eQMgTFx_M-QIS|C1U-w+|3=^O0|! zaXx18FyCvyxV1LXC4Y6@Caq9@yEDURUk5o94?3hR4o+;1p zrZaK6nthV9eD%Fr=;3C6GUw3ZT$h`%`1-VmM~-b`!H3^boJGibm_%ck>q59)v6hVX zUgZ?g{o&02C|TtLSxKPvjc2l3>aowiDQ;c8=H~O|`FMHAygZfY0K*y4bIGZf{)qOt zz-yRq>irZYu){d-;(y``6_k~G$q`zU*ZkInn%pe zEj!+cy!NYKyFcEd@9R)MP<;Q2wF2J(rb?$qP`86p?TnYDmz9q29y7xHlbQyFd-E!> ze@{H0WA)sN5$Cq?6#nGc*&l89oPF(C4R=e+RHMH6`uNZXuy+BW|5-o39(TO(V6o4~ zXH|a`-MA7pO~%Vv<9q!1X)lDWgsTfTeQ^otj;OYh&*vh?=fVWT#>+YiyRJn4Gsit7 z++~OBSFT~teg@~*HX9Ai_BDUyhjW?2^lkHP_sd^Y{`}2!IgdT(4L#qC81?*xTnvQW z;5%!1N?5sy)A7vd^m@!dOwVGP`s3#K+sMb2;SPhD^y2jPs;6b=VC$W{scIhAG*tKH z57tcosT~D;M#gBG6ka+^GLF-54ySy%qF+F$Mw;%gbWe@AAMGC}pBq{b3Y=0Oe&;M4Z`*-&(Hd{`O==l9g}>rCyZy^+xKdRXvIi@Ctt$9^VW2z%;0jwb3bnDKGTj@ zD``uC={YpeYcyaz|}) z&k|o+^VLPL5VdC3oF6R5r%o*uVYJ_>H(c(fa_!36mq{%3bxp4IS;8*&V<9S(|7u@Y`V3ud&?Wf1F zZCjYck$pdrQ((deGL_oAO_z0x)9&_u9YLl^;1((>{kk^bCoeO?_IQALDPrE{M>rb^ zk6U4oJ4Ut}lhdEckjsc$?ikHs@Gj{*!{UWaLwtOPW4VO4G8Son?@bIf3}^n0G}FGa zIavfEJLA-iMA~gb7-nIsKgoCN`IF>NcL98ERC>@-^3@;L^ALP_>t2yWA1Ot?>o*RR zVy+neoLEBZZp$n`{fEyc;AMSHD7?akf~Nzt`+RJI$DMStCd8s4m7dP9fy(iPT2~jl=YT1 z7iFiq{FXn#qFtkbcuQ#0@uOqRyj2V1D2Hx(%t}{2ZOt2*WNtjuE;`Olo>rBx2X z^6#yi-okN4zJF5^7lz4z7dAo6p%)oWR865nkfO+d*Mw_mqy!uN=ydtTDWN67Q}V(^ zr4jq_BgoL@)35{+;nT4T(5CJp<(^pT7WJ%oy#pO;QdGyU&D|TFDDr?PytyN_iH=xT zB_6g7JYu3dtC>Vx(h1Ps@qG!+h;@%$yjG-qQ2B6AFnto#pY+Th<%~#Mn@yAGZvhKi zb6t%Bj1-?Sr}{BweVV`Y)jk*#|7!ULdQ$wi%CoIAy;&W|BFDK9!Xii0P&bxIkz+ad zFH^f7{l_RfZ*eY^$cz8Xq+nZ$VUe>c!#2+Kt`t$8zBtE`yZ~$|99x4>m=aQgkecy#NP?=pR%x0*Nm6?O zEG0&rLRpbHfg(xq4bxN`MS7B#bB5O2^qp*yX>Su{n!eJn`)3Dvj?Um$rhIKQ*+HIq zKl;Bsz|;0GPdmr~o;u3_JUvbWc)FPc@U(**;ORfrFHgT90G_s&v~`fraa)rk&_$ZA zxdW~Mlwg~Fk!+PlkGqcmud)OQW$fj(Ha0~!m3}ha_*k*`Vvu+ncYhnVZRGH%JC^E}(bQ074CSO-klmw{D5(i{XP9tsO+*D&7=&nwH;Tq^b z{~E5Mwhr`A*!nCjjB@cNx~S3(_g=9^6F)p-d;@A)JXU@^HkMkQs;mC zlHNaNk5Vt-f&-!6m5AYI4MGk$s_uXpDmT3EGe%QX_-Bmh&#djY z<|WBQ$|R+BDr*zimFaY%(nPE=;to!pV?2~;;=Qk|a>SbWIKeRG5HBeT;X8l_jPCz5 zCILp5iwrQjfJ(sViYNm{H=L{%>o@^0y3@qea-{zYQTe%x_n(KvUmh}ri?-Zp7viB5 zdMu3+BS)!+;w1?WR3(WhZ&ogi5hIUlp5mb>kS24H5$J|GNm4AJnibU zgOGcNG*4h1Ujm@L2g!fOCMybE8UGy*6 z@scrtecfM`^zCLzFJ54SHd;lLQ>>&;iM2e!Aqwg%lLX9RdBoQo{^J32h?WSL!}18g z9Lghq%^?Y34pl?}b66gcQil9bb#c)j05Q0v+Q-8sRswkLGSLz}O4V2>OROmY&;+QLiocZ{X^c(T)(HZ(7OE*41L(ts?q=fB9-dzv*Hw?N79|qWgi4s!McRitd z>lSbr2X0Y#zcL?yXN&_H%cTFy13V*t0(izau%gPMA5@=d)(w`g9+SRXT|ve;kY0xT zPjzL{|LP0E{vIIz!Z3iz&|_6M2HReGu<|>4r22rwR~YwM*;jgLQ0)~=mq#V2A!bLS zcK_E6WM6n61bFev@*$=-w>DkQp~67<9E~3n+c%v;^lE?$z%`To~_RDy5`sFYybD?KCnKQUK>2dNe??umg{xeHW-W#=RZIjsn0o& zKm59zE~f>yw?0!^`eJ^?zl|7;Ye?#aPO6OJi3R45c1GslJO-ae59IQ7zqY?`TW8#$Fm~GahCB+n?ZV=%xHV{z9PZ^W?o*L+^c>fY9zNdf?aIbN`2f z8(a4qe)Zqy%j0y8mEgT!fkyOV#FBewhp$y@GQvCxyLRAYe}FqcF*_3GH){% zaQtl8D#W6Uvj=C(n3~p3uV&1`Vk!NHsSUsTQ#AFsJr~bC8%%Rtx?h5O+<5J^doM)1 zjJzzoKQ*)9d&-?dvOcB9j#rOfDzo{f!|=vjsafH!%j}c}u`(#j$qDT zR-l8usWjffrqE&AcF!6etzI9UM2_rQ`V;t=25qzxv~3UR>Fp0Y9--QYm9w>jctKEA zC*Zx3<+VaJkbI_BeDm|oIz-~OL7y#dC1N6YbqE5HjssSpk?rj-x)P22er^~cWJZzj!-Hn|l1XCGH`=I^FQAfkm z)WXwrG#m*;hg4@%i~*%ZsNrl#0#jF)1EHNQ1)Nv9@uqWHPa|M(<^MpWo$1vIlL^>T zun3bS7{m>e-GedY*N+l7wumeyk4v*crw@g+_wow+xIJ2+j2!f%yWTGcTD0E`^Qt2_ zYAh2-TkEwv^YC@%t9a`_wrEV8BcTiDh0`=?EZSEEy>0{^nIo}Ucynrvo8ioW;+PKK z@Mwxhv&)~^4Fx&(g?-=kyD~(qwg)|z$snplGZeUK zJ_yC1cGA-m1KnbPXfGH7q1iMtQV?qi^F96ad1xh9zIsn;l){i!hluaPis94wh3;K z>YRHSdAEbZiO|A>dLMiBT+#;NKsvYjGyS!mCU4si@7Ah){pa7OzVXsSk>)-(Y~C|f zUNb*)eDFK3pDDa=?tPl^cw!&&mSkrctx*TXm~tYtUhb7x6tA|kpIC&RK6@trJ{ypT zwO_`3N``POE$7B_98PgHQA|FF281h5nT*!I zPh?OQ-*WNH4*WiR?Z369yTiN9!N#HA z;9`wfH?wW9%-fNU$$Q$qJC-undjM4y!@2z~w7>OJQT!t2QreozVAuqNXGNP^V{2)0 zwY+D}xGYAdVJp*;de=sHdt-*fy0GgQJcxqRM+6p(=e9DsGYb~nRVjngC2tm&y)alE zvaNYprxsyU^LdLED`nkD;lbti^!heqZYGa`>-n|u!Dp(;pWyVL!t#EH96~rWZ-dtp zWkMpek)Rbo!)fLADUP}P<_zj9tUJWs|XkdfyZQZkL5^e zSDs8)?#1|=PZ|bW_fO4ZiTQ%Rjb?`Qna_q2ZyvsHTUVxzA@_|OTu&e9F>k*+HbEkO zb~@#sGj1ck_E+T3?BARm&oSOUL|!*R(nc_Ju~-5@OA@^DKA3(N=&ib*63P9)o0Pcl zo=rb&fNX%8W+}hvKODRbMR&nqt`{f3|<&+nqq*0_*>*;fhTG4DmghXk3u%4 zcXs~CCFmL1ulAlP76nbI{a|C-#N|XLTt{0Qb~&bOQGU=#J1`M&GYKA0@Da@i2G`jb z{8*0admpP;t(FP7s|?ENaqAR4^+dfVSz{J^@3Zv*_$n#yTVk-%Jq%6FW-29U>T(aJ zd_+{9zJ7M?TqU~YW5??zJU(1y+7zRD?N~s#!b`P_J9 zUALSib0(~J*ulMhl01dyrw zIaX7PJjm(T+ds+YOED2ZK_ojx<1)}7R7Ipi!64+E!n;s`q+oGKoWd3kAwW5efkvWM z7|fVFB@`7_p@1bkpY6gaQDwL=jk=u}D}qSy$OoH@G=K||08lzx*ABrK7j zq*3QKCY)KLa;oTXv?0lsK{=AC?R?IREDLQe=HpYZzQvk>jSk&w|a5y*O z)3LpslKnmirJ$@>xvRdlNqTm^wxOX(|L~x8$-+V>9SF(dhv?D^a{D3hBT6 z#s(Qdi=kgP@ih!f_tdIgUv z`ul>O2m5!$9O4)x5tDY$&!yQpuR{NP<&<%^lh8}U@ujQ%b9{1KsP4{-Nx^lSJ z3vvs*;D;^LBvzXpn;mbBi5TBUX%GLEw##Ac<_X5!5G||joN}gQ`$I05Hk-DyaZUCQ zI+dL#!OI=!eBj;RaNQ2&)1C^exdYByEEP7Oat(p=(~iF~10FigCziO6S}BEMI-Hgt ze3~zw49M)N+|Avt^yaBh2+?rGl)#}usjH&sOQF+}2!O6MnQ$nXs-+N#vFvfk=UFQ*tT3mcS9l7n%7t-Y_2pq?0-p0NdVz8W9K=px_JEj#&ckjeiN*L0gtLY z$=mdIH73hgymUq|1pRSX@*p!puHrAn-NBUT05V~z951vBdr}#e)Sp|pyEBM9^;Kgl zqi+JcElIrLCEb6>4PbHQRAS2BEFnDmMKc$s7`h5tEGcxrb);43O7H%FyGD3qnxWvI z;`4qh2rVX*Q`gbheD@Nck8Hk}fiH zikT|IFuN_DX8sWGOES;YL$_g&`9j5$o2GW}vONrT*sl7Uh$l1|dw`u+w z{a8GSpBbdRYLR8kdZ@q}IXAQbuOt7R?)X=>-OaBHdzI}$%A!vJ`mJNkU(3vlBRQhz zp;PtLM;b4~MF0OUX0D`YfapC>eIID#h z1}>Kp)r;Fmw!0SKXoU$4XtC%`aZ`@;^A;=HGWTq*Omu*mvn5ewOIj9euQ3XJ2W_V@ zQ0(!-Y>9&>+xpuK?{W#g!$yxCjpT+ZUQ8yNv%5_n<6c_Y+e!H&@g6)H-0;r2=k6x& zb`^B}ytVXZz0R^&bThMdf5*A<%@_Y}zC?gPF}?VD=fkXBi?a1<*XpT^iO~9hL+`~& z^?X)`kvw!rj2#$O{`_0yKt_~WGSx+i6?AT%#@!Khjfz*0K2Z>waF{JSgbjizk&+@z-r^o$SUSHMwAAFu~ z`b^;$i$iCVptq*<;&r!-SYu3Www=Zp-dy1*{P$rb)3th$b0tr;cCW#;3vj?q{gxR5VVB+pC&N1xUiJyc4L#Df zdrVNDy4SgBe5&nM#$Cs9XP(6o3yF2q$PxD0Z;ZfR{j?(=qFtMjBe0*1Y3x?eg7Iw| zMlVlj@Xfml|D4kO>my!uY^yI`tZERdn)jXp%diyLesf@h$LtPeIUUDr@X9Kbi^U{SYHv~~-LyJWkuItqf6gGThzqhPkeWRD3Xtr&J zhQ$N;+BKQ@md*RYSq^%C=>VeMQnSwfT73r7W-93xra7jeuCVlU`G;RFy<&6kWg9aO zlsnO*^^)aLif-!gs4dWk!mHLHD%Xy88+o5?o21AvjY;hIV3%3RHMJJsWPyEh>$ZwV zWf~2r8eAAEH;t%SQxmgw*U#M-zYsxv*KajjOFSrLm(xgHRBLtnc9fq!4G5?6m*w4P zlIQzO`q=b$VsY-X$=PdWyrx{y`fzeE#K+gu+`5ehJ?;NA$IsQx=@#JZZT{v)tbVKiQV}Bs@#&)|$YX&;|l#1p4|r1qTAMbYT2$WBuL5$>#r-&YpHI z7N*XY&i`A(U}9?QYHn#~W)I|%bFRH%x50_z%Q)-5i{rb>FWQC**(2z2m>cjZWoL^2^$ zxvfT}`U31cn7?_dA2?evGzGAk{&;u=2$;ZWYnmltMSve7xHG3rYb4T=2m$F9WH3ij z32Qi3g05YaNSSJZ@L<_44h}BeAJTpgR-9q7w>KCR*44*9(B35A#o_FyyG$h1nM82K{KOg3h zwBj9VOYxvFQ*RAP>lyNs%{s32^LE+pY?!i|FL>o8Il;fqVmXr-t}(0cjAw%L>M29} z=3;6eDUGA#A=A~=Zo{56Mm7UuJ-1JlwR}wJ?ye!?>jq(xN-njRKVFpm??)< z?hxtech7IG4ubC^3kg~r>h81cxu+AEKF)r-uBkj*Ly|-pQ(47tKYwzM{3Zp;oWu!b zP^GXR5yR3RpwNGlt|`pnZPZ21MOkr8%_PZYxn`(beezJ^(O{*ZeJcjGg=XyeDk#*1 z;#zN?Jc{m8Wp^XFHRYS6e-595r#S0m#3b6E^qXEN=q+Mka#+M6A(;rH5F4|ABvC9y z421xj`T0$f=YHEK^c9zk=~ z=>o(k&kqYEK@&aMpB(9K(>TvIUEj9&K`)GdZb5i6#(k(=E)vK?U(k0yz(%mK9AW{MXcdo^H_kH)f&pv0LbMCz#?(ck=tnrMPW6ozj z<3Gln&srp-6jDXrVzCm-i0PEU>C_x0*IJ3_=__MNFF0{U8O;b9tlpfEsFt#VCCOa8 zx52%Ws_hb-QQkJV{Osa`)UOF{?h4K~2{FqhOP=+y)wgjC<4DeRdTL@juD+c4y6!ES z*3oD9tvm-u4Ci2u_Wfy?LYQ|}u))Z1<;{WpN#Q~s#p$uOTa+ao%UH!YFP;g~VtnrV zU|~kfOg@pGv#l|FK73W*uS#H;fmneksl1ois4BZymrw69> zv<>+ChZqhCSMp!emG@6MB~LzdVDgEH{wff&^es-_Cguj8mgZqR#hYMpiAPwBaaq1h zaso-O3Doh|0_#7sSPuuWyWZEryvZ||l~NM5w>9WzmTYU6XSpz>AHX58*-a`QU9dK< zwsxy%$fC}ro2=k?-aDLIzjNpQO~1%%$+8o1t!+QbG*wttqyoIevpCZCUO(fcLEjmQ z8guibNspf=y(-8^P|( zCH1fOG*sWKm-Nf@M#E<)hw){f@zs9dj;7yyI z_b7S>oB9C`Mr?$iZ-zA=_q?yOcgQ$C9ULQ}vAK4A_SClT(=Z{%CcbBYi?b8~mip=kF`rZH0ck@ETCh_}ND4GDp`!vshTJ$;V`8!esO=dUeb{l0Y zAzxZw@yM2=lAnwG`dY(FnEEK~xZtZ?+7`Cq4P!IR_@|~GQbgy|q3I$G<9?5Brhn$y zuf0N~Djh0!t0GwYl?#J9n)dKH0~JjVj%2=Y-3Z0Ogl+A}uM@3>U*!=e^M*t?_B!Gp z$uYedLp)iWY+31g(+YOOSzbmP`=T{a&C#_;I-JeTKK>p+|4GTA4}*sHkt~1w!yheu zw4-6T!fKrREOM;W)q;uUMVa>n+~f0Ho9zl~2*1I0TQWYiFK7IEVn)LxJjRcWy@)DC z#<1vL;Tow^3>lMqly6Uj$t&KGAAU>m{&zOdNF8Hq2F>I};WusqU*m(P8VQX8$%@Y3 z&^+qCH>1-X0=_QAMwj8uc023fa5u=IhdWblACpou;~`)@$#$mE5DRT>$P#pB|pQK zxOp%&kLvX-=^kryu=-1ZhxMLk=LhZ!xjlxs)%IsvixeWwYU~6@Q(Q-(lbEuB{nJ%t zZ)yYYJ}D$7kT(td`eI&a_sP>I?wb&nyqp-jBi!G{OL^IIO1wk)GvhCa)=Gp&Fl=qa zezckE$r9O}Rm(;v)xIuKQu4#!{1i8ABz}t~I7Qd!5hS{FyTXovg}OZ#JVm~@d?}Ozr1C%vm0BSk`o_P_q`bTiSFV(i%q#H=E_`~{NTn6H=6C4 zxO?P!hmzd2IUaMFjf$9s?4hE-kRtN5T_Ziq>j7gOU1&n6-A8H|?`kD1I29A62O+uQ zx>Ta6^nSEs2k6na$mQ=RzQ-pa#4}mRP<_lz$X}>Md}F%6^WH5%YVLG!&5xLgHkS^|DmN!IbO-=zJYD3GMaMRCK>b$0z6O<0@n0V3$_|pSSwbgm);ZbEGt1qb1 zBe0_LZjWk)z5HA|-&H5cw~Q7)T-1`2&lwzTs+UvjSczfb>Tt);p_sXJENbGpLaZLH zLZopzPvQdq%$)Yd_kyyo4ow9|Dl&2F-cj=|zXI$BE!L)+e0m-%zy8UtlT+dNu(CW< zXf=bB?}+lYu+-hA`RdJewu&W|vPL%?^Xb)E(}f-j z{;0K7dz>xjmCMN_BmeBh6FrOPSJfy`m7-CNyqKIEEp-Xm`I-AEZZcz3C@FA?%C?sG zqdYb*f0cP|R=@Gou(A-#L^9LEeD=+#{YY0GQ*1sCUaUy&TZf$GDDH!=;bu>B7Oq^u zSBp59Avh1dV2-BfeRTKETFlMiA4WMz_vt(bN51fpj{kVfO{|LbP}I@vL^|SLx-5$E z^oT=eG~Tqp)?i8=j%?{r@&`f`20HWskAu5cSzzy+c*#FI+SVWx3$tHv9;?~Bes8TD zJ+SeF%p@UtObv(9yqklTCDr60G}Pv3NWhEZtz|d#CO7IZpU4%tGPJfM1D`w8>iu@T zeb4$FqO4r*T*)U%;|3)pN`{W3?KC*?vCwM=-EEMb0k&teAwy&;)U?D@_L z_WKO(50pN6?lm@JF+49zRw}Gy^coyK- z8rdW?@c6=gj8%vSbXtB~m*d6O38s;g7rwc2BW9aXSCGZacb3L(`m^$v6f4|W{houv zDQF*zbb88Rw0s((-hF5H7De1wcjaDZ%AR+OtOGRsiBoc2FB3SwFYT(_X0@MKW|z)4 zOmfc2D)4J-Ho_}uj${;I(0`+xzsdJ3DCO)%{L|ZEw00$W2DSi8{vN~R5XYaj5{_;n?=B=6wpn5&7NMzZ)+eE#hk!;92miHv9J=z20aS6yA1 z9&h9}Pp^`E<9_>9Q+wV0q4F(C_a5xZZT`w0RKBuYng&9w=_gn!x0gw%L|=8(hDslZ zOvKAX{Ipx+U)f@~JHeq;;A*NE|?Ex&G zb6Ixf4>7P0IXR74xH2pv?8G|^D(`*vq$fV34gb;oIzFa;FIKsAZyYt2^)=(LiQ9=x zNZ-%V&?mW6Qu#gi3uKNeXV?GO}oq zxmgtA+BZmi#l^6bZ$73$l+@(SOf z+l$s6Ov@@e^mt0tW>~5oX%H(*y_B~3hE&HGV zT6BRqKlzTO-;2R7O8vZ5+8S`wE=oB4#pChx-`k3B8@}_ph%OUhm(~po=WbMQZshbc z$}8|?rJt~imOMDzAc~q?WKFfW`=KdK-H;IKps*TNwk~sYWBr5Twz$hz_a{q~X+sOE zJp~*c*A#X?%1-+P_EA(W-G3|C8yOJql^>L!wMccs;CNL_4gX^KcdXA$eQHEdTxssq z@yf?Qvdol-H0MR-2igQDS1cLMu)a^+OS8C}HfSK$BQnTv_}o}=WxOon7URX&YNhuq z%^s_+Vs~h$Z=^kD`A+W4lwrkizfdu(TaZzY;KfoInV%xz@IeaS^Q0o#@~7DM^~!FR zc2S2I@V{ksehrq zto3>lJr2IOMlzY)p}zi5TOebSkozw4^2-7iji6Xr7UGD!AhXYzOsq7YUY&Hk3CaEF zu-1dAQ;9yqU29;TxM3wM*i&A8uH@K4l-Iy+VKb8bj+5k?N%!ICXGmQ-402F-fN7nX zynz1&QWqHuIlDh@*Q}@M7#%&ko164H;WZ7-Y2(w?v~~=!AKXOl3NXxAzKdbm7iqg^ z7#?2-JmdKeue@%~mU|U))6z(BwJx)seo3)ld@IPptWbf|bjxVqp98KY4 z56#UP*ZrBCB^R%=Edx=#JmHI?W3hT}1wD1)7_tPZYW%g{^oz5UizV9g{oeHY-^Ulf zN4x7SPaGwaVd~Q-akTj{g9UokOM@vM;-}>^t?kUM+`;vSv(<^4A3Sqr>tSX?)1)tN zoKK{|c1D8xw_^(0%G1}6&Qww)X$HTzubIqDb1V;T44>5-*S2gLNY;)2J{WcGG#1u- zCv=hMD7@}%=ep}Ds_A{|p*dq%am=4HYn4x0azLG*Rv!X!P9S^Reeoth zpSJ$&M9cYX(A3qF|IRsjn#dk@UCQ~ZM>W5(Gb6U7PS5iaoa=s%>~sbQTg^JVEM&d& z-2Wcnup98BEr2lFYt2ve%$cOXTbc6P=xo*T>Z)GFDKR&8NiE(&`{F)f&7sWn#jE*! zrJA2C>eIc>31eB5w_@ILVIO9NeqFohvlulwx=M9+uUd+}UTMuHDqcpU-tDuK_x$3X zhnv>>!9;^vxU~-AVBY2W>k+r8e_X`wrcmIk9GN^Gxo2C)t=6; ztxG1IS$>h8t`^sN!K~ZryGa*VM(37tc#`uKSiI+tW*%!@aGhIvlYLcNe}d2O9U3lj zywwj8UqtuXt({z&`E)@haT1xfVY^LUb?iV|{!UWwI3U4CZ2G}*TLbs#c)`fRJG>JU z&e`SGqs6y)X`X%Hcbk)HW7k=DhP{s;=Xz70t%-z>&io>5vkiRD_KNawdtz(k@CT>+ zQNVKggw_OB;hrzzt30g{E-;bOnjI9;I^lF^^WxUCODbx=UvL z$x33qFFIFMFMfHa-sxJNzSv7SKkhZfDsernKRZ^vc+Je4P=0Z$cfN1!E%PMy{Osaq zfhi5(UL06o>~VX0IedLzFjl<$#6H0oCM;ZHg~>ZGD=F-~d{Vx#AobHd?43eay(#!V zbM-HYYTtIAjP5Saf=5h#a#fnY>Qt_}qbXhLsEXNh7yY*cUw+@&mFKfr8ynUMT3s>R z-yvfG2bRTDm_PP5E*iEDBD6M--)#$L5OQXlq+DEhzk9rK4ez3lO~1WXwXIsO++v!0 zRc>QV;cWed^B!+1VeVN}CE;p6iCgVD`0ci%A`cl_gR{1CPglD%uN8X^YR(kCj*U** zBhvI?>%EO`solk|E?fNGKd;vOuJlasay>cyy7N{lr}cs{k-tclbdMmUHX-SJeU{7# ze=1Y=xV1LCxHnpETU|9K-tQ5SN1NA5UbbtA*06m_V)QMK(9T92^QNx9w>*mRteW++*)7~z>~txc@X()Z z+BzxkKi88?peke0)`b@LdbKrq@Q(lfQEvIL(Dn1_fs=R&)Vsyawfq?Wh{CGg!qB$7 zX8SO~_@UQqfL@&n&0!VPd&$&IEWW3ngGT6nNM?dwmFvS@EN7vfg9#FK<40bjkhy`3aZ*M81B@ltXNkJ|68YUWn8kE%3J!kC56 zFl&-w&vVuec*{qFk7L$GgO`4pl%8)n?IlZ{wdtKN{WPdv*F7(OnR`)P{#<|9`<$?# zU<3$R2%j8Vi6?l)Id!hgl+M=K?WgMqiN-sWpW!n|L2bvI3-!u9>JqsLxVg7S+6rjT zI?HJ%LZ=rB=C-TO2Xv%r$~-r|&K6CVIi7s&epjy=GkscLrQkZuHSDof^|NJI>Y1dt z*JF(k+oQzdo8xD`jRw$OE^9n&x5yfeke^0trVI_;#17R{Q+20pTU-!#HOm1=x-Dk9 z?Y(qcrgU55blWKTh3t^lXOyrUUW(@y0$d3%<6xbp6eJ;;YK{NokMVvH6)aP&fBk?j zUjAOX*;9c(|B39MOzW>s>rY7QcTelrO6wO(>p%F|zx1*FQwSF`ET0L+#RSV|gmE## z@)=-U46yuL>D^PeC%TfLl4arG(|A`>xY`xV<%jJi+mX<}{t?>Q83)$^8~z98RSqQn zbm8*4(Vn~pFsPJJ`NWLhnGIab=-2Y^p88xGCl)1SpEY2z{S@Be3@;lHG3Iy923Dc~ zzc^0pnf%UcAkqOufG2<-(OsZ!2}FREFF>R$PHY(PCjg(awsEp6$sCvf{Ni?fLw;v- zU_Rv@t_z}WhP9O#N#jZs!z$XhJ3GC$o$uDtYUx4HYkOVHhNPlMt zx~%*Z9btS_QW@K&oazzlAY7GPfUojU4A%KD)SV(GiSbB)OH6Z{o=Z*`wk7dHl3DI3 zB%*M0itk}lv3;A!)y^LL1$yGrgW7euVu7Z8R#vU@x1Nv6tZtm9;>|E~z&IsvIzsP= zfkqhK2*MkFc%vnX)1j{{_<3{zhaAM|&SeTzV>piK2gI8x^K8?*l%8JIk!B z!J6SMkb<;0UIm%Bn#ZIijB94s4bAshTaR_=)$AuqWy3{Nr{4e*@#DwPk?#< zT14Z}w?3afIc2OT407o*mooU6mjW*A!UDKRnHxZcv|bf1_EqXq40a}dY3Ik@wTRj< zJlF}6UIaAUu1oKwT|Wt=!v3XzOE8Z>J5mM@DKjWW$g5ei19uUB3u!0+(k}iwlKz0y zvFW+AYj_9g@8YFEU~<}>#DG}5OnHB18yW+?SPJs^TGzmKeRCtz^<{eI;9eHL&+pS- zCIrN8zA-ZG0+--4Dxl#y@)nmm6xm3f#7lwNFrfmj>JcK(lEMnp}7Tg*P1VX44FQ4cD5KdG9@335jtyT~LL^4Ck&Uq--Iz$49MEtDC{khY z4+U(5K(UL0u+S}}Oc>mL{S&xdd)Z67DmX|x?3Z>`aFH}OQl}YdmtPL4fRD7B!XqSt zlv%x$34W&=tg0JKsO#4|-Os%+?qE>lY)%{3m^CcP=l3qx)95f+tMR)qhsNeZJkn}n zzn1N0{UR~me?m4wCH@KLFM2Y2WE@T`Si#dljRwvJg=0xK{|RYC(y#yT3Lw?-{v~Tb znCBn+g6sMLPe4a-ZWka+00{-;Xi`Pu{#Uv!kJ>|2^7RKb@4ofG<|S{52?w4Ac1_>J zJGeEYU<9G}rhWg#r)!$5Ynrrcnxt#`#-?eYr?eAQvm~7ungMMX^=aXz`m~#X6j@K! zyN#v&jZv%0W5aUijehRwgI(1l@A1KuLJvG-t&zW7=RwZNQm)uYT5^h7GKyLfidtd{9aRdQhw&jDs|EwBF9ud053DK;tjZ3oiVm#u4Xm;a z^u>lp6awH8qSf;Ek4k(E0F6(eaFLM%AW2#p6U$2gWO(>ocdmAtY#W)nv@2=|*|Q`Z zImmzfBH?RA~$bx)N%8Jvxc9RgT$o!+kiw$n5o+8bDN%(^Tj*>!A#Jjfw_^ zmfMX;YI`TpSi>6&cmshq-!Rmd&ou*qx-Cf5y^Ykn4Ir01qA(skdnP29eqt2^V&bt{}MAi#K|d0-7cXE(X-Hi0L*fd~rN9q$ zD^pUW%8X88dWQcNK2Xd~?oA?dqFojD|Sw%Q@>e!CR7CLw1pa*4Dm-;q%4 zj)dZ&ZjctFT&4v=guLUp?R~M}5n2Q(bcVIxSLr$`-K|DfzOR~H`v*H69; z0?2Vd=8M_hSqqSvICk4Y=NpP~p?#l3+2VQE$?Dn>QCv0e&Gmb5q?J9Fyk&tJSIr$; z%^6$G4&Kul>%VsDvnc|{Rtz|{9=;sUt2!|C;!0GdJ*wY1w30Z5a{T7Y8dXxE|k%vCr=(uyvc<(i|~f(D`=j=n-F-@3>u-Ye3UW$0v(UykZ2jcb&0Y8 z-;!I61z>y*k(aQW{?1qq(gCg=xRI-*<56>gzz+2Kh)S|-5f=o&h3>+T8GP6b9&82| zHiHA3xeCi?fpM{@$QwKGnmKTqIovjLU@~){H*=sib09Z!ATcx5M*`+MPC3H|NWj!V zg5j@Aj!9jW+N*K0bZ$fJdE?@wk9pSeY17PE zT0pk*KSk^R6s`YLw1#y5Ptp3<8utIgqBZBh;LiC3%&TrP7q?!ydTE(#Y9>#i-eHt* zXR^iuegcV-l!`VCxM5sF@u;2j0p!)3J1i*L)}-gVmCJ5JnI-s4<}>0~L|*;^LwZZsFZ z2X-e18xjwW3Z%3r+70Sxbl$~K&kav&PfT`;B?lXyp7aZP&9Th2q%=pQh+iZmUvL(j z`xDb8&k%4CjIOU*O4vH@r7Q>U>?sI0th{8W5^@~7#Sg{A(xUgtgHi(ujTfp#hcb3j zj_;pmJ2v!^J@%yq&shBE%hP?^;DF=YUv2BtjLpVBt&7*+7@uEf*?f@5s2Z}GXlB=% zh-IxQEfRda$KzE&2e~6wNZ!_i_KCXvFSTrtp?2=FTyCb$lTCI`m7WtCEcG6~vTq#_ zQq5j_bumbMqF`6z@J+~<*Cicy1{ZTpmUEj#au^e%dg7QNB>WJjR?XXU9B{d)u0-RI z5{|hpi99w)TpWMbph-|x_qN%yJBIn#M*ktDQg@~l#lP$(-Q=Xp)ZUY`n5-UA(xpv! zKQ>F{x@yAIV76WB|4oW=`m%?>*euv}RZ7pMWo2#BQLXPL@+Mo|gH!zfkG9WiLUepDc7= z+|ouhr-S^zP0b~%Bj*2=>xAsQ8SYVOb|UX6I!@Oh>2*~tq&tuFlgq+fCYp{cbKrM_ zwa|0&jnS+VP>m>Q05p%P7VzgkV+p=kz%--nmA+{hY#o7<%?CcoZ}t+mW`tt-;a(7p zqPvk^>%mACDub^khJ5UO4!Xpv;+9bOEtA(KTm_4p*kxku1U^fF8>kYvS0Lb?Wk?AN z-ZA9Dj?{21iHsC};0-l5Xw}ANEm}9Z{n;w|d}ydSr1It?gYJhJt7&WZ98|huEl`=% z<+$(h>rVH>WpTcZsZVfF&@aDLC+445l75F~H&6!tEw7%Lxq-a)l~pxq0-WpAF5lTz zhGC`6v6#>d^1G*|o*AFlAwj(Cg;6H-8fL8{&*S4`)t;lKMlEk!7U&3v%YVq#=V_zxd}a5+?vH`( zueG{U+A1px-S4s%#+Syq?GEqoTiZIi*7uN83Pmt|H7O=ca77;rAS!D<)KDFryYJP^Hr!`m;^rcK&Aar^qfgl9o9{ z#jHwH%W8Zi_beKZ^_yg>bPWG8-c8C!VNB>a#e}SVOCfiTTd-~zwfp#PIzD~Yqcop1 zN6!AyM3G+b)CWzcC$QWf0+p8eoAP|^9QmKMUF=<2k?QC;4tE%4sFRCOF*W&8>5%FH zf2Y3eh9Z{ej*?=^S&z+rU40K0!!uuf4f$8;YtA@d+sVclQ^Q?Q5_ zLq0YmV29(e{zq&tzV~d9pbDC>!t|hl%JiV*6-3O0uY1hb{Oxq(e|rr6Loz7K5*`^5 z4*`mZ1!HoV?PV}XZ4|d;*e!**zd!^I{ADvrq%4>pQy$ex@SHB3mLY<(z}QD$c|PI- zpxUl!l#eYCn`QZ*v$Tbb9Wd947xQKo$k<`tH8=udNA+c3dt{hg7VR=IfqddA_Da7r z4~`)5VJJ2$k14tA&~p+IbLKxb)==Iz!GrY4mk}?;+9Oi zrBTRfAXzqJ56a3zg2^Wkt`x;BmypiCah*__H)BUS&(<}l4xB$mMqZLK>+0}CKdQ6J z&+X*4cTyElMT7*DTCwlKql&yXK8OW85z2&th^SI=voGC8pV`gSjYtOsju#+s_{N#G z=7_ye=?Z*+DuMHDRi*z5@AK05Sfb}>Za2c(Q9neOfw&PwZcm@uf?>%n`lI1_d^V+7 z5U&M~)MVgc|B2F*;oj?p>V|G*(NsBV$4XC#`PbP{%CxFQO9p=L+v2vaJ&D+uS?&yVfhrO4QcdKhD5{|5Vb-PR!CWqHB>n?0U^S2jb=I1h|Th_d1Te^Rp zOghZyB-awv6epa|ovu5h{?7KUI3Llu5QulK&xdcBnC{^8^|&?Gojj)z>q&TB4$dI@ zA7e?lGU~`ajN>xyY&VVA?6dP{8bE7VOI))+zb;riiPmdZ1zxVub%-dy zxbkpilFF(yk)t}r?DNJ;6!pxtE=wz)c(I-cc$4pI-y={HL_C5|SL_E%OF< zo+@>2Z#aC}j$W~R{80Dj^P1F}$CcQq-@GDHzo*RZ?D<^dTh?J3E1^qqClx1*i#a`@ zW0-j|;O8UFJg%NxGgg32z8e}&gMq;pl&-A1KI#|ZBBe-(h8Ab^!1br$_&$(UK}dh1 zUfB$)r!eZm&miwSEn(d0O+L!uZJknyFDjLKFmS$UR!?ErmG_an^Q44vqc`~g&Qypm z`Y81v{Crap&McNPyyH!}^xKP|1W@@>auA-K86gebU*uipA!<2ZtG}0~C$adKSGaR{ z+-?5KGwyI|zl4t6^A;!6_1T@B3UL_Jt?QWbILB?H9o#i?w=# z7ap}0mGM1o3ACzh{EWhfwX+kW@xKyaTPTF#)ZZJOeJmnc6Uhxv8~luDU4D3epZLhj z(mH1KgKiw3#zVM5$gIniw~R$Ragx|dihFzZ#IthK%S({|+=98O=uj~Kyk+T(??@aa zV0FZ|Tes-&#RpM~=60K2^rralPqQ>m(e#o8=N&3mP+zJPHoyDAy*sSQ@G#Jw=GsUP z$IpxC>+{a`%SQW+P-{oqp!)u?z%o|yep1R&Cu?*nTW@nhOti#?nU>bA=}DBfnr-XC zxjV4$`T=7u_1*9bzpPVR6Tpo_UlG%r#S$?=?~^{&19uLJ;&HJtiK38)nyOP+U5<~L ze{k<>0*Zo1sBtewgBH6ce`%eG3-L@~Z|P>7v`YjB`3pV1nyc2$D_V!jbTx|VczFdk zXI=}>mvFf=Uz=gX^=~D^vu>hve2=QdEf9VnyP#taCt;}ONt3mfJl1u2KU7@Oxp?)p za4FkInp(?A>#kZ4kzhgHUowpAj{Q1pDkrMzs(Gn}N7+v**ELZd9zI$HlAd5bFKvhT+NVoRt$_#p1CwWm9p2me-*uE6TjyFgrGz_qEkLVLO zJYACcXZKS($ct(=L0T6z1Wa-TdS{6LaeTns^-Q!cEeAF8XA ziQ+d<953;)VZMV|@lypKaLkwngHPQm5> zJJ3*x#I={nW#KLp9Y|cm*(;4|9*jn!QDkfuC&0CRl}-e%DM24<-HsbnuL8K1tDldJ zWIG_is#)Cf_HJng60De(%^n10f&Wv7sC-y?Rkf7FE!U7>734bcc;4&*60DTE2BQI3 zAEWf0Pn&^DYFYjeP>Y zU@IuP+w33e1JgMuL>mO5;oIL=brRxZ1-qW1nz8 z1!o%bE4>g(FNa<-MFG>0KRO>G$ZMF778#q&$>cUd>Gupy>3cS+4UkdQaswxp*-%DE zshBJq`zL)bnL2<;LRHI-WZs}+Qfcf@Kr$r&lbEXZ`4i%j_(~j~FXr-!IVSt+U@BsB zP&BekA|1@-cZQy}gaD=>V5Xbw%Oja7CN~buOh!xMV|kyW@?}Cw?)Ltdso9TtAWI;j zGfXHgF^X`qlgJ6wY|+NNADgUlT_Qod7hbpgKca3)Y$TK-CYcc$;S>%X2+fC(@Uq8& z+c#LE_5qT2R(Us!YffD~eSlKWpaTe~yZl3Gutzi-ny3|9ccE za>?BQOODa4?1#z(;)dgZ$)O+rsDp3^EC3wkUefClS{2E|aAzOsyOo8ljyE0TGK013 zgEm<5=5Fs_EZIop!0&l#!#= zyYX#HKVEydt}O{jSR0j7A##X2#*mM;&q^12Rmd!0Z%M8euvlIHjqaW{D7 z%Z7NgrF;E>G_y(bGanjE4E|Ti_<3+oz|$&$bHxNrg7O7?hKZh|y4|?e1;%gtH&YF{ z?^nlLkt4(!EP>ta6++IHKy0!lkljVj6@_WUA=2wWP5pc{BpYE0OhDs4l%c-_hMWNW zObBIAvL$i?OnD?G@9#qw;2tltN&Bsh%YT4aAaA<4a_0y6oVyS z?)IvC2@fJ}$V+E{8^Y{oR+)%NZ{9Y^SZp{1!naJC@A)KSq^gueCt5zLOnUY)qynoi z0_BmqVyFIA9vMm4z_WUWpN*jNnp2qT@gsmQwUx=$+o%fEXv*t%Lbxb5UArFLCN# zu3oR;h^*T6nCPQ~#MB|-t9-MIgt(SHfq6#0G&dE)PMK9bzuaLs48N-69YJ@hG86l$ zo4hDa`H{83^-^Z$*^>`|Oi=DSjA0x*3-{Oy7J@W8@ySr?hwEQF__@VBkw|pfj$!#q z(U+R1UAV#2ULMLyTzZvR@v!07GKO(aEaB~-F)YmXbBv?XiA3I=N3CV7_uV+B2F>k3 zksCPn+qnIgF`NZDJ?X!3cFOXPt*z)qctel(uGlWI;kxvd19f#ut9u6ut7j(lQW zdje4Ql9l)N19?|Z93Nck%EOn@4!RY-a(!JFy(40K^A z7OQySp*`O@lYn8sjP9XZU)#@oXgLsTfua8->r>7kqmR#s7phWSJ{Vk5B8eY5h|Yu)d%oLg3p6IiB@c@;M)YhZ;dT;2g64^Gz<>2-Upippoq zuuAzy`pacuv6D^C&g=-y!s+QZM$XPaHKz0}VEeS~DfX<`+vea=*aoGx5UdiLPLAZ| z@tHIqydn)R%WD`f%ZEnsGH?-;h80<2HkCQ*<%E#i8mGQ-uw*a%lGpjdxGS&|jdRgl z>s7Yp^bnh&yf(2Zz1k#>xaCH?IywKmuQaaaggTv>mUC$b2)F1j?)R6W$xWUxTLH}n zsGOw%)g;h4EA8HO1NG~ps1)~mg~CzU@*$v85KzZ3NM6BXCfqKjDV@Y501Xf`fn7Ff zbPzLBA(W#faJvXaG>J(7Tdg4gAf(;Oh!9a64CR>*)<6kN8Xmhkx&XkaaF7_=mmdm{6#7}G9!91zu!B829L~$ULMK0m60MMGolxY zfs!xMy(7q8hQgBbFx|?WB;XT9lIp(?@Dil&P--kO)g8A0h0(5^{<@(Mf1s-G?qA zEn%*WE2To%k!qWADk__qIXr!gcuw+g!4S%tO zbe`!Wf|R3*E3XbChAo z#{}Z3Bxov=Rhh#}Az*J#Ho7cI`HFo(+5>W1&Q$+WdCohpM!xuS88>J6;1p9tExtyd zmCdPn1Mv6ygp8JwQ{TxQijKE{#G_7s&m^}>#rN!6U?<9$c=sp~{PeA*|3Ql6Om~)E z=CqMt>&doLzySB)_UW!W_!+vrpTpDZnwd0`lea|j=%zhXO%+AmMoI$nI3|)}6WEtDM4%MQ0&Ay3XSoz!McvTJirH{Q7wxmgg5rTu2>4H+8Qy=R`_U34F zj>2(HQK229QOA^cmb8lBP@$?kd(#ZrvAiq|W5*U(@Azz8HK{9n-V!2u)H1Sv$rr3; zGZ`}DE=yD((031sO9{z%tEpHMApR2DkZI8($)klY+k0t9byQu>s(+gL{ygBKy z3xSb?TtvyY`TNUjs)j=h{?QWP=X2D;z0vD-D3iop+P#-WV2|Dd;OINvJ@hdLc;V-> zQt*cXW|O!|oG>1V1PnJJ@Z`b^wttHn>D3!V6m!(TnT1iLGa$2U+J}Otd=c*2zd~tB zsp*ozi%q9g57~#2-oA0*8G{?XR-`ha2A;7hkd$(OG2F_8q)Ye8BS-XaQ71NdI`D#O z3>xyftJTFfZbK7MeZtGFRCu}dPbohn4|T9aCKclOJ&OxoI74S3vRGb{ja|ZKIqEEbv6ANR+{XKkFWucYzvZXqd9w;=q3 z*DWAKA@3BjZka<)=c~1G*;EKFh}3Tp6_1b^8Hm(~k_Qc00rkNvpnusCaysEf*Vh9m zR0pgNczL9WD39de_31bM3cW0m*%0&hZutD=@}eF!2u+^ zdWt#kgP$Q@d1S!U{rJ%U$64HGMRI%m)MmE$jMNXBCk#&__?E9r2YnHY3TMe2?H0Uk zn2!>vF{Ax({O( z#V?8{j*}d`#;96`Snc_Mn{BRX(18PmY2xV_wvLR(#z(4Edz->4-6z>2Jx^R5%SAnn z_nJze;vPy1<8GxIKebm27tY)E=e{1k<^Idt5$#KrgxjkV;$F9DbSFGZM}re`);wyC zcOAs5#g909B*m6Fxwv+m?--&R73=#bAENSEH&ECTBo^J~)8x6weCl?Nuffpsn!hZ& z*Y}y{VbDD|8=N>6-8-Hd`%oX`0e>^$W7CXqhPzH&edD9qr=-f%-y|KS$@teXZmuVE z_-AR=oLq-XjrXHHaxmuiyKA_a(qfONfAvA zCf{ZL5u!rOqx#{_=Ozla5D*vF4g7#P93cN2Eb|@ zdbRlk8xb+||6~jvVLqd4@;K6+4xwH?f=JJb?va4E6fhe^wTe?qnEt{=bPRva)}{Y8 zWDp4c?1nuFN`hz@Cg@9*x(^X&l z3kS&It~>pFB_0AA%^=92K4d<3fe%UlxS0=&uxxn!N9)}^N9Kt3iSD~*rE1abUdumlo2 zge9CoWMYSK{%I^Cu>%`%h-m=maG6UYA{0p`GMBt`9_-Ov=8^~(-dyIAf5f^bcvi{~ znl2?E2+9MnLxTeGm2cGWS?yH@vB3hq%VO;N8Ks{#EU1Ej4HOMV7G@n<8~a*vWa>GpTWg!x{oqOSfc2L1+Wx*;2rEbu5Oq8s(|-ddH3}v zBl<7O-fzYq2xewSGiBzs=0Z6|MALr?W-=QOZPQN1>m+F!di@(QMOSyKt2&{@!>xAW z_Zj%TgYx6@{1GjlQShq_&bt9m&vwrSZGLjs*JyCCO#j?lf`YfP&e9X$Z)4es$5}~s z1elhO8tl!e^aYPYJ*q`(YmR7p`oDPoek@*7S}kz4Q(Zx>=bg|~-D&Uq>bX#ll!xXS zsgK@1A^2H?jTHI4?a_nP^=;fOPSlCJQXaTx?C+@BRD37Fi`b_0e#xS~PILjvWW`HH zrS0~nyk8%$&KV5y4z@rqzxY(;ZM*kt(bLNSbG5On_ST)G%Gt&#&$?Dzszvbt%HHSZ z!UTyJ6@PpuD$N)_dp_~=ocIDxa<0l?b>13BR?gb*jeEk!N+{s#QYy3Zo$bY^anY4D zSo(_bJyQ!d3E|u zpm5ke9bL%PE~B3Vbu@;}lL~IvuC-z$v_+&;W&-Ku_dvR6Zg@UXV&%DENTr2<;caiy zkH5#CcnLPgYRU6Q??Pl+QzRCi%Y{_x2^e1WCT09RP7G%z+~belfymUQNX!DJ<}QR| zx;NZmw)eTZT*&wj$SM?^Df+*_mD1A0=QVF6OvW_@k1u8X z$)*+wiSrF&Vt>nLcq?UWlJs3E32vOk`$xR1bFSO{$3qdv63X<#8}M(%W!=lkLMbv@ zvC=o8xJB?;)jCVpEVxg?7JWP73RlGeH~-EAn|`v`6>(>q-n@qtMZtKn+XQIS)Y{HD z5%Rf==q9y(4Q|-wGwUCT654&*cWfSdT_nqG%$&B&D8-)&?(6Z=*ZuFeZOFq&PPweN z9;p2O(t7BI-Ke|s-M#jUjA2hu5%sK0;eyz&P;1M+*e3?iS?6n;rv!C7T9g9IAvY(_ zsC%}~%aVzXtk3GSouumHi7!Z=eAhS~P`{Y?=@sEl34QQ08wMEOY?cPVcyQc~-6O!)JcOrmJ=~u> zo&CT;R5mzOY=r*G_kVPnj|D)F1e`khj{lg(OFNv#1Jz0nI99~qZyc|S+A)BuD;{#7 z#6I)&^JM2{u`nPF6c#xG_VBqG0uW%)Oqt+LdaVnf;N zp@BUO!cNc7$K01r7aH~^Hv}N^ z);++D@iW8PIJLe6@>=01QG6yx@4lGG%UGD~k0?I_8}CvhapaguaYYX7Ac-$I<>qDA z!pY4&y2yUqx_Mr7ILm5!0h>9`Q3AZSD)02vQ(?EG0yg8WZCZ;`tCyI4)zLuSIkGyprIeCeqS{B8=Fmv+8|`1*IP z;>TUuq2*UP;VsbuMFjj*AQwuiF}|q|u;1h;g_k`6Q(dS!YWO1<6r`)eqiZ%6(|Dq;Ve94=9pM)t^9HFIouY(Pk;bBj}P#3DaG{e%>!Tc&p1!?ALNwK27 zF_1*`-joZyt}(ud?ESy4#;88xY-9nSP~tKMv8zr-3gyLbBcr;ur3}=CaB`u@xqlZM z1w&(}obE7(i!zuPr#E!Smlwx_>AeWl9A_;o?$66*22=mvQ~NB{jw}v8C5;=k&rrXZl!fDh(%=qv96bF#hFCC?sla=1z4H7n#)pDw1@0nv7pQH| z;rG92jH3vnhtCe~ql9t&k%gS`M1`AzK3HB1l=%b3Q7}-m$brHwqINnc`4UzhE}z2m zpz{23VL?D$8#i)jaP{@bJi<+pLqpcl#{IvjT}KX$jzS6eo{d~wUbXQ1=DdPp z7D@gQR2+pO6Md05Y(tljl9~v}QFK$M4n5aiC|qaPhE9f}2uENTw2AQ)_CaEuaD>QLGWSH;?iP?r0O?#Fd2265jktn!C=;u$0QPfeh;C3;V$c^u z9Un^LyjeEV`mI|KsIKp4HnMa7@z(8Ven@(dqFrX6A~)+JzvhPYKagS<94X2okmAID zLyDGgq{xm$ifeyCiY^~R>8e%~BMyw?l2UkZyB$J>?iaC9+XiDhDy2*-n{THml5uF0 zMJF`^p;PDqxsi_88Z|+`XOx#61?}X@*8r0IV96faNKWTciS167k*MqZ03zCpHyl*b zOm4u%;gUTOK-?m+jmrwePC)D^?kT`pLncDPv7qyus)Sl3j}k0MaqD+f2ImW4d*)mj zDg-6Lx*NBI@`D!;xCnraPq=bK9kPHi1b}5B3hllyjD|44)Der)N<3eaNP7P$xJP|u znac#jhJnka5^K5AK80W74W*?UG#p|G|{BG5>k z3NyNZPL4q_d{GHK%T)3g*FqFx0UT=>1;73j4{0JAcfII?x&~y+?g5+EBsW1&0K!B~ zjz{R!kHC^0+(fM5YeCbFgX>w`dW}y}o_FEd71oHT#$bRCM z^^^n4k@G!|q0#&1H>hRi$4nYiGzxu3F*^d8Jki?-e){ zFZU=&dF1WsOI@_Zk)9OGag)U)Ttp}5>dmM;X7nE=7FvMsS?eX$eK4iGR`L-?RS#|! z2CWH>M;`N=VFq~H_aA7fVtp{3{-Hp^xzHx;fj#<|!UTu7qz&GmE0V)RXj-aedGeP7 z%GqCO{wTSIHTt8}iV;yWFMI5xCZipwN(`VP`z!Z!D0bkawMJ$KiKSLjQ`aP!nXzhQ zaT^FQz)<-)P|_f8x|*gC%{~(%jN>z6Tb6FB*2f?RfcaZWiBY`BqHKN@y|zz&sLUyE zN2k3?Y(z38Ni6RreLOYcf74xJW)MzK&2p*ebDXJnC1h|Qi(Rjg%bke5aNUA!^f=HI zRK-DIF4C&JQ=?G{W>^Vjag%ehpKaWp`S;EAKwaB&TyA2$GWZ+{T3v&|WewRTvkJf@ z;4_33N=VG~$Vv-P9Wl1s^`ieCz!szJ}j-Efs5j%DH5;}^CY|7;rswu3nyZo7u4Ldjh- zJ!7Qpqy+_g7L2Nu7q%mtY_rp4g!h{9?K4|0=V!L_3JQ@0PeA@>n*z`TvItEg3MHgw zdW=X-R|*OSfF|IP;GIzf#)DC4LmKayWx_Sqrhqh_P**P#7;l9%o`O*ox3nM}X*`B9 z#HcKs8EL%4&$bBAvX{9}cZ+h%$aNaJr56wCwTD=v(W{2a~$r$_Jb4Y_oMuOwL zp+CFK^la#H8aISQ6}Z8PfLwI@Kg_ivwTLKigYo%mt{19@xc0Ed+h;bNlsBEC;TMUJ z@Ik?YQ+1*cW59vIg@Av_U72)4PxKvICWucxgKDAh`ZaW#VCCoo>bQR{a23Tst1K4x zW4kig;KGOk&o^1{k5}d>os6^QUft zx0iL!N#NTc$!jZC2JK3NG{&oHjvBgUcQ*|Y`yP*A!Nc2|;EjxgmF7Q#mK(g8Nq+Op z35Z}H66kyW3a<;#969Z1Y0U+G&{ZfQ&>+%)hvl$5%G}b@p>_wGpNs<=uSL?8Z-FdN zEbczt+J_DrUMh|wl4$sXeob7SHGAk(QpX&f9-J&XSpSe}ms|{NcaGza?eKQXnvh^v z!_1`DP+Ty7P$WZxji(^tS`Y*15cUJxJe3=9SrMmixzsu`tTjwtvhsqrl0%FtqGZ4t zzm>X0iORN4?rSziA09utwf;;R-hGCna>WWj2MMKz^A*J{HsrS-*MLQQ)g}UkpVFQ$ zT(@_?TWYB%=o9j02STQti{~)2mbJyI71QwQFdtDG1KAgfCJNut8b792* z^%1}q`Qb5^;1L%IuKrnW6*GGo5unz{*r7sPtOr)9|fp2EM&{T1B_ z4$C3NgL{Yr`?6Eo6dZ#AsEQ6E8?TxC!uw-Y|DRF6e^8X7bfGAj7hC^k`V^A-fxyOV zOOU_jKtv?MR(M3DFgzj>(d13AcmbAEz|GGE9|RGRfCnOp>MPCIA{-D#fe5DbxQML; zdjB0w|3w!4rX9IU;H@HfUtEg`c!KzyqHw?IZU*s?V9`h(?At;w{7Z4L1~gQdzxQ$k ztQmoTNcb8Mi12WGYXq(i^!xcC`tV9OZL@Ss=z&)?Y-_0kjfVWc!*Kb%;c5Jrh}jW8RJ4l&12Q;gl&kKCcdV? zVOp8{u3#!6O2L8U-LU1k&he&}QxkE!cD}6!_^j)K zBdblF1MdVUPVM;;)DljJ3=;3`5D`ZnRL13_0z4>8dx8?pqZz=9*)@DKnkkAZ)J5R@ za@KW&A0(;+2KC}=4k z?!DCelhJj#+C;{TWU;6D(+iQ%ul%KD&gJhO5SB;+5Y2qgM1^1%yW`X{uU zgF{Pjq0)dp+>pHU9H9!tTK!1FqXFafzlkyNziCH+AS!Hrhx_sT4ok|2I12DQ0k+mY zAh`O`^iOR5zh)63V#qHAO5sH~83N9cN_KN!gp|#h&FrK0S`p@7c_y8vEMP@->u{RJ7n>XxlSv#h_U{9OD{RtUVNPx1YNsxh$L$= z3GW&Ja0l++!RiTn{cHE7AUHjgK+r>E5CU}$3JCuW6GzS}Whfx{+y7UXB!)EySk45$ zyC5S>i}qY99uPsWaCThVRAs{WaG-7|>iej^554gN=F#W2oyVkd^6fX|6yS>>x8r4w zNI|TF(Ls=DXHHiZn-Ki&47^ln^i)-Gl{sp4YapxgQ1m~OH^_|E3w<9-B+=~wca zkFfA(fwQ#rrhL&hMW02a?}+e!7EQx}Sh0`HJuHgSqTjX1Uj~otzwJnL-WC+fN88n0 zP!Epl8iQ(X2x`n?;8`U!1S~QZlVM7sjs5YGr=Eh#@|Iow773OZ(t~Ns;MZLu`Uc*? z_P)kC-$McKe@Zh?p4Ww(Nw&Yizm!Mw(qO}~EZ7kmX%ia$=Z-|DvG%msc#{}Yy*?9BzunFgZk_ah8jU^Gwaj>SXerqowKdoZ~8##o#&wR@TQSvSHcc_n;}HLu7a2j$-XM!b#ZH`U7cri>V!0(m>(y7OVVsw8Ww%PRCc|P|LmJ*OKSwcvRNVO4_b2Dnxg$ z(eG#b`ttkwU^kR7cv-6wCSF_UepwxXeSC6jck|J~lJiRI>D{!?u{N1z0x^AG2jtw9 z3aoh^aNu6|?oTh3%Po4klu(9xAdXHvb{rXPXG-{>`wn^nf7zk}UBK|u%aV4O9vgT$ zl>_3`3L&V46C#?`?^VrhetqiHt(&vsrK@%8{$c|?(>A}?c}9Ai8+A?Nze4q{{`7T; zEZt9~g{kN`RlnEFwcD(o0Lv4Ljl+RZis7w0&Ml>26@s3v1j>)K?}9mbkPTKS#QfJ` zc#F1fs@H>w3%fHUrf1_h-HU9B7EZft%E^ z+Uqz@03Q-eBk-Zb2>^`hcerL=gDr|8>m4&Nb2>s-Rs(S{^89^;p@_p(e_T~RPc7qo zOT`23s_S-1`>!afa20LyE%iX2E%$)T6q8By<+F+W^>h&dD4CiSoqyuaC$T8 z#j_CZc4__Hc(v(L+oW9u;D`O~Zf|Q>H%u=%7`s$&(pFthl!kDiP7Pj(z{zGMhU39P z1_U0={5YtHz=P%C2t3&Ic-0S%2M@2dCt#!V)PtYUDE<*UC8nlQ7jY#(^IMO-@fbX- z?0!6JYfk1HYfj}%FqVOXGc5K)EH5~3E8qhh2&$ouN)BUj6L8l=Z<;=0$FnY>lvdxYSUY*8?2&IFezP=_rGL(xAr;#iUuFoqnSQOzbW&%3l zfoB&H#lK;`f5$x_Z(#pv;0cHW{+;7ZCV`!Kz?U_8<%D~FF;0d1wkyEf`ur2jr&@6l zDfvqKkH}<%-~PABVkgou>q>U(dnEir?hp45oUK7?&npc>2JOKc#Hdt*X(Sk?F}