From e76c6a033f0e129f5a85ac75e3888d9ec4e9cecf Mon Sep 17 00:00:00 2001 From: Rui Azevedo Date: Thu, 4 Jun 2020 00:02:47 +0000 Subject: [PATCH] examples organize --- .gitignore | 3 - classes.odg | Bin 15859 -> 0 bytes examples/ESP32/platformio.ini | 9 ++ .../I2C/I2C/I2C.ino | 0 .../PCF8574/PCF8574.ino | 0 .../LiquidCrystal/LiquidCrystal.ino | 0 examples/SDCard/platformio.ini | 11 +++ examples/SSD1306Ascii/platformio.ini | 3 +- .../Button_Navigation/Button_Navigation.ino | 0 .../Button_Navigation/config.h | 0 .../platformio.ini | 41 +++++++++ examples/SdFat/platformio.ini | 19 +++++ examples/Serial/ansiSerial/.gitignore | 4 - examples/Serial/ansiSerial/.travis.yml | 65 -------------- examples/Serial/serialio/.gitignore | 4 - examples/Serial/serialio/.travis.yml | 65 -------------- examples/U8GLib/platformio.ini | 1 - examples/adafruitGfx/lcdMono/.gitignore | 4 - examples/adafruitGfx/lcdMono/.travis.yml | 65 -------------- examples/adafruitGfx/tft/.gitignore | 4 - examples/adafruitGfx/tft/.travis.yml | 65 -------------- .../MCUFRIEND/MCUFRIEND.ino | 0 .../include/README | 0 examples/adafruitGfx_MCUFRIEND/platformio.ini | 10 +++ .../test/README | 0 ...ArduinoMenu_LilyGo_TTGO_T-display_demo.ino | 0 .../bmp.h | 0 .../TFT_eSPI/TFT_eSPI.ino | 0 .../lcdMono/lcdMono.ino | 0 .../platformio.ini | 0 .../tft => adafruitGfx_tft}/platformio.ini | 0 .../tft => adafruitGfx_tft}/tft/tft.ino | 0 .../tft_interrupt.ino | 0 .../ansiSerial/ansiSerial/ansiSerial.ino | 0 .../ansiSerial/ansiSerial/boxTable.hpp | 0 .../{Serial => }/ansiSerial/platformio.ini | 0 examples/dynamic/platformio.ini | 17 ++++ examples/esp8266/WebMenu/.gitignore | 4 - examples/esp8266/WebMenu/.travis.yml | 65 -------------- examples/esp8266/WebMenu/include/README | 39 --------- .../EscControl/EscControl.ino | 0 .../EscControl/data/1.5/bootstrap.xslt | 0 .../EscControl/data/1.5/device.xslt | 0 .../EscControl/data/1.5/index.html | 0 .../EscControl/data/1.5/menu.css | 0 .../EscControl/data/1.5/menu.xslt | 0 .../EscControl/data/1.5/r-site.js | 0 .../EscControl/data/bootstrap-slider.min.css | 0 .../EscControl/data/bootstrap-slider.min.js | 0 .../EscControl/data/img/icon.png | Bin .../EscControl/data/img/logo.png | Bin .../include/README | 0 examples/esp8266_EscControl/platformio.ini | 11 +++ .../test/README | 0 .../WebMenu/WebMenu.ino | 0 .../WebMenu/data/1.5/bootstrap.xslt | 0 .../WebMenu/data/1.5/device.xslt | 0 .../WebMenu/data/1.5/index.html | 0 .../WebMenu/data/1.5/menu.css | 0 .../WebMenu/data/1.5/menu.xslt | 0 .../WebMenu/data/1.5/r-site.js | 0 .../WebMenu/data/bootstrap-slider.min.css | 0 .../WebMenu/data/bootstrap-slider.min.js | 0 .../WebMenu/data/img/icon.png | Bin .../WebMenu/data/img/logo.png | Bin .../include/README | 0 examples/esp8266_WebMenu/platformio.ini | 8 ++ .../WebMenu => esp8266_WebMenu}/test/README | 0 examples/fullIdle/platformio.ini | 71 ++++++++++++++++ examples/handlers/platformio.ini | 80 ++++++++++++++++++ examples/lolin32/platformio.ini | 9 ++ examples/plugin_decimalsField/platformio.ini | 28 ++++++ .../plugin_decimalsField.ino} | 0 examples/plugins/platformio.ini | 4 +- .../{Serial => }/serialio/include/readme.txt | 0 examples/{Serial => }/serialio/platformio.ini | 0 .../serialio/serialio/serialio.ino | 0 examples/targetSel/platformio.ini | 27 ++++++ 78 files changed, 344 insertions(+), 392 deletions(-) delete mode 100644 classes.odg create mode 100644 examples/ESP32/platformio.ini rename examples/{LCDs/Malpartida => LCD_Malpartida}/I2C/I2C/I2C.ino (100%) rename examples/{LCDs/PCF8574 => LCD_PCF8574}/PCF8574/PCF8574.ino (100%) rename examples/{LCDs => }/LiquidCrystal/LiquidCrystal/LiquidCrystal.ino (100%) create mode 100644 examples/SDCard/platformio.ini rename examples/{SSD1306Ascii => SSD1306Ascii_Button_Navigation}/Button_Navigation/Button_Navigation.ino (100%) rename examples/{SSD1306Ascii => SSD1306Ascii_Button_Navigation}/Button_Navigation/config.h (100%) create mode 100644 examples/SSD1306Ascii_Button_Navigation/platformio.ini create mode 100644 examples/SdFat/platformio.ini delete mode 100644 examples/Serial/ansiSerial/.gitignore delete mode 100644 examples/Serial/ansiSerial/.travis.yml delete mode 100644 examples/Serial/serialio/.gitignore delete mode 100644 examples/Serial/serialio/.travis.yml delete mode 100644 examples/adafruitGfx/lcdMono/.gitignore delete mode 100644 examples/adafruitGfx/lcdMono/.travis.yml delete mode 100644 examples/adafruitGfx/tft/.gitignore delete mode 100644 examples/adafruitGfx/tft/.travis.yml rename examples/{adafruitGfx/MCUFRIEND => adafruitGfx_MCUFRIEND}/MCUFRIEND/MCUFRIEND.ino (100%) rename examples/{adafruitGfx/MCUFRIEND => adafruitGfx_MCUFRIEND}/include/README (100%) create mode 100644 examples/adafruitGfx_MCUFRIEND/platformio.ini rename examples/{adafruitGfx/MCUFRIEND => adafruitGfx_MCUFRIEND}/test/README (100%) rename examples/{adafruitGfx/eTFT => adafruitGfx_eTFT}/TFT_eSPI/ArduinoMenu_LilyGo_TTGO_T-display_demo/ArduinoMenu_LilyGo_TTGO_T-display_demo.ino (100%) rename examples/{adafruitGfx/eTFT => adafruitGfx_eTFT}/TFT_eSPI/ArduinoMenu_LilyGo_TTGO_T-display_demo/bmp.h (100%) rename examples/{adafruitGfx/eTFT => adafruitGfx_eTFT}/TFT_eSPI/TFT_eSPI.ino (100%) rename examples/{adafruitGfx/lcdMono => adafruitGfx_lcdMono}/lcdMono/lcdMono.ino (100%) rename examples/{adafruitGfx/lcdMono => adafruitGfx_lcdMono}/platformio.ini (100%) rename examples/{adafruitGfx/tft => adafruitGfx_tft}/platformio.ini (100%) rename examples/{adafruitGfx/tft => adafruitGfx_tft}/tft/tft.ino (100%) rename examples/{adafruitGfx/tft_interrupt => adafruitGfx_tft_interrupt}/tft_interrupt.ino (100%) rename examples/{Serial => }/ansiSerial/ansiSerial/ansiSerial.ino (100%) rename examples/{Serial => }/ansiSerial/ansiSerial/boxTable.hpp (100%) rename examples/{Serial => }/ansiSerial/platformio.ini (100%) create mode 100644 examples/dynamic/platformio.ini delete mode 100644 examples/esp8266/WebMenu/.gitignore delete mode 100644 examples/esp8266/WebMenu/.travis.yml delete mode 100644 examples/esp8266/WebMenu/include/README rename examples/{esp8266/EscControl => esp8266_EscControl}/EscControl/EscControl.ino (100%) rename examples/{esp8266/EscControl => esp8266_EscControl}/EscControl/data/1.5/bootstrap.xslt (100%) rename examples/{esp8266/EscControl => esp8266_EscControl}/EscControl/data/1.5/device.xslt (100%) rename examples/{esp8266/EscControl => esp8266_EscControl}/EscControl/data/1.5/index.html (100%) rename examples/{esp8266/EscControl => esp8266_EscControl}/EscControl/data/1.5/menu.css (100%) rename examples/{esp8266/EscControl => esp8266_EscControl}/EscControl/data/1.5/menu.xslt (100%) rename examples/{esp8266/EscControl => esp8266_EscControl}/EscControl/data/1.5/r-site.js (100%) rename examples/{esp8266/EscControl => esp8266_EscControl}/EscControl/data/bootstrap-slider.min.css (100%) rename examples/{esp8266/EscControl => esp8266_EscControl}/EscControl/data/bootstrap-slider.min.js (100%) rename examples/{esp8266/EscControl => esp8266_EscControl}/EscControl/data/img/icon.png (100%) rename examples/{esp8266/EscControl => esp8266_EscControl}/EscControl/data/img/logo.png (100%) rename examples/{adafruitGfx/eTFT => esp8266_EscControl}/include/README (100%) create mode 100644 examples/esp8266_EscControl/platformio.ini rename examples/{esp8266/EscControl => esp8266_EscControl}/test/README (100%) rename examples/{esp8266/WebMenu => esp8266_WebMenu}/WebMenu/WebMenu.ino (100%) rename examples/{esp8266/WebMenu => esp8266_WebMenu}/WebMenu/data/1.5/bootstrap.xslt (100%) rename examples/{esp8266/WebMenu => esp8266_WebMenu}/WebMenu/data/1.5/device.xslt (100%) rename examples/{esp8266/WebMenu => esp8266_WebMenu}/WebMenu/data/1.5/index.html (100%) rename examples/{esp8266/WebMenu => esp8266_WebMenu}/WebMenu/data/1.5/menu.css (100%) rename examples/{esp8266/WebMenu => esp8266_WebMenu}/WebMenu/data/1.5/menu.xslt (100%) rename examples/{esp8266/WebMenu => esp8266_WebMenu}/WebMenu/data/1.5/r-site.js (100%) rename examples/{esp8266/WebMenu => esp8266_WebMenu}/WebMenu/data/bootstrap-slider.min.css (100%) rename examples/{esp8266/WebMenu => esp8266_WebMenu}/WebMenu/data/bootstrap-slider.min.js (100%) rename examples/{esp8266/WebMenu => esp8266_WebMenu}/WebMenu/data/img/icon.png (100%) rename examples/{esp8266/WebMenu => esp8266_WebMenu}/WebMenu/data/img/logo.png (100%) rename examples/{esp8266/EscControl => esp8266_WebMenu}/include/README (100%) create mode 100644 examples/esp8266_WebMenu/platformio.ini rename examples/{esp8266/WebMenu => esp8266_WebMenu}/test/README (100%) create mode 100644 examples/fullIdle/platformio.ini create mode 100644 examples/handlers/platformio.ini create mode 100644 examples/lolin32/platformio.ini create mode 100644 examples/plugin_decimalsField/platformio.ini rename examples/{plugins/decimalsField/decimalsField.ino => plugin_decimalsField/plugin_decimalsField/plugin_decimalsField.ino} (100%) rename examples/{Serial => }/serialio/include/readme.txt (100%) rename examples/{Serial => }/serialio/platformio.ini (100%) rename examples/{Serial => }/serialio/serialio/serialio.ino (100%) create mode 100644 examples/targetSel/platformio.ini diff --git a/.gitignore b/.gitignore index 1493b921..3f417932 100644 --- a/.gitignore +++ b/.gitignore @@ -8,12 +8,9 @@ src/main.cpp lib .travis.yml !/.travis.yml -platformio.ini /TODO.txt -/classes.odg .gitignore !/.gitignore -*.odg examples/clickEncoder examples/screenNav /dev diff --git a/classes.odg b/classes.odg deleted file mode 100644 index 2eb0cb9a96189683a6b245adb986bc56b7fc2219..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15859 zcmd73Wpv!wwl!+Ujwxo2nVFdxV`gS%X2;CT%*;$NL(I%vX2zJ=&*^*nb)WP4obi4C z-m0N3sZ?v0_S(|gQ$usfN`QbO0|7w*0WlKmeai-+`AG=`1oV6P_zK9%%*x2o&DKcI z*4EO@K+n<4#+ugI+K|RZ&%w-r#>Upj+R(*`-YxCGA*cjX7kEIOQ#v zWF1(P+&L5-*pxlERh_uhJw#Qs1r!Vgl*~odjfB)K#Wak>waf+79R#%8#dPdt46KFq zyd?DyJO2oQ>(}Go2Sd$=Yajo&4XXtM^}gEHz${O*SC-NkI!#! zZyy)K`}_N+iEs5lKwz}uLi~!ZD`zW_3K*j3;G0a5gyQN3`YW6bSOjxofyiSCO#?al z`AYd<3~BTvDWG$ZY5G&>7U>yuoXrl@vuwy|pdg6g{V&N{e3*n@o1$NiUH}>s+)?bf z&d&Ge>sw2!aP(39FwAqZg8$HX!yi95xNRWNwr~jDV=^RusnU*V&S`C2DYYCQ*Omdb z0)O6tX+NN8ch{OJ-XUe;0HG%vCUh#VE$mtH>~$LCdF$A)VJVw@B|BU<83>d9ew6$z z`Dmw;W?gOl7kN~YgW_;)GpS4y?Bb-#aVAt{sX7hM?d-^x%t4c=NDA@$oRKO$;YV9T z-7C*v1zsJq^Ic0CtzS1Wk<*8yUc;=EQgXb7^>NWP+i0)#Gbt|SNttP>nFQM+8sJ~5 zqGBTPVvX1Ekdi0jh26ID|R!xm_J z-9AsATOyuhnh?WeqpE$2u_CX&SWc3@64I=0S(0$?WaOr0Ua#i3CBcAt8m{O)zu{;l zO21Ag34T=ZKNWDI?9uDr?kgj`RY}-D60_1 zv{P$mb7=Nvnhbl|kgTQu4-#V;C*s{Z%I?Y9(ih=f(RFTP=i~TNh->%G4@$3+k_h zXx3y2z^sd`1#_xZNjGcT2|}d=T$tV7Li*)xxA5Z6@wSf$gK^KD8WiG{L~HnSN2(H? zPv;u>S`8b_O)C}SuloMeHgr7s&i-~Ou&Pol_e0G2OL!QiYc|a5%+M}6*Eet;ku1TD z4l_&hl<#-$M#)~-V?+B5@sSx`vPO#9SY?T2$LSJqrP{@Vz5EzU3=)B79!C@0lV~SpF^;gu`@!^RvQG)o4wsY?+516 z`!B5>1^g-k7q2{M@P5>Lo_~%Py~U~n`Obsx)se9>>7<_;GPtngM8&!wFZwi=tR5BQ z@9X4_haN^EZ}Wc3mR5l$2q(Pb<`k+}TN3O0*DYxi87L^)0Cap)cC^(b20S-&_hUg4 z??NXm;7bmm0?84}Zc+?RWJvIuEHT4ygcvGsmGB27XB=0ZiF?3GJ z`=F^IIokWqNaOa0T%J9o5$glvBBljq8RQn&T(2vkiJ4rAMmZEer>#Vl2^6er3Lk9)=xc2}nxpTO*R{@}O27^HVNrrMLwPFBZziTW74Tt{3_T1WNsHvQe(E7kKtgqjodCpc*qhHD_`RNne8xfA4~S<%kU- z-L9Z2B&_{;e3XCMWJOemJLH*SB_ToiS(Y%__alY;kw^aj&^*1DykV}!daN+hYk7Z6 zB0%E8(n3`Nx_tg5JsMXl%gFfgsB}8y!29n|yAul!;Gcee z5;@L0)-O{w7dfUO7>IBEjJa$qw$TYD@Qp(*vGD9-&B60~CU4dXi7U#$w@N5J0q9S; zEmegasGDy02*#VWp2;W4Xv3e&nJ+YQu`C12KhY{Bjf;LhjMQ(fn_*CD>}42CJr7VP zvXrVHHU+RV%fC`|!yRx0P0>Mb!3VBjAuL-rn{sGPrRlU(v5pJPV}*)Dz@o;+rrWhmS4Z}>2V(;@n=|jZm{hnc z6D%*N8S8B1aiz3an{oP0qGB%G_b47H`Y&-bBYWO$+B(+mxWLML@^dtcEIp$bvT*`2 z>5cpWvI)rXpxe+hrlMrwNT{bL%y z`JApLOr7}-RC7MASpL#VxI4S=kl@AH){b8l_`+%vKyCH}=LN{#%9rgeZzRv&?Fpfs z-J2#M(nFjHCM|uMee*~|_0NI-z5Y#A1#PU2 z%}ku^|6sii4749C*GXT`p7#IF%h=LJ&(Y}r*2~ex#`3@a7uG+Vp{>1*iM^47!(X2N zbYYYJgQuQ>fsv)rM^|m^X$_q0?SB*ckLw=fBShf8Zv>!Eznc&H&z;BkIQs7)b8ysi zbo$%r{P~#i2h{&I|K#_(`?h-4Mwb62*#G2bYh&wV`+J=Jiy!VkoTr(Uo{5nIt$>-M zm7cA`|2qFu?0!F=I5@gl8aez9&$`Bi{b~!sJD_;;aG&E0JjI)cJqhD^KP`Q%p`##k zRIAG!4>2Jo1{55Wn3L8H_X7Bd?0Ywr-k|JvB0dtjcQAu9ufIO{vbd$36*!K`z5lu>hkH24N_t?3!NStqN6) z?c0KcW%(&Tw9$y73e_@Oa{Hut0f}^v(qIPa*81A*wB;n_Q|U+)5kM4`RwS+(GF+VRW~T_Vc>78S$UhBiDzpK@NK}$ zWUo9M(t=i#&;*zign@TX-CKPR#x<`k?JlC~E{Iel)lawN?qW#v{9DAH`9OF!KEw7l znO;Q#$Yn$ZR+;_R@ltMS*%-LLsM*|gM@P0kye8~ru8d@r0`U?A1tWs@} zAkGF_NJ!2M&?>-~1tbY$Md9OPb%q9>W8Go%-XWEQAEDtmqvikU5J4gG+^XR19T#$x6@b#=}$+JT4}y?>z!I&fz6WGJa6MKhAgW;}adL>iSV2^%u%hcDx<| z@3HT7DRF4PZ{4_;rUPfo1omqid&~%a-j!?w?;HvQI zmX;x2J|?K^V1P3#TcyJouZ_A}>Wmv*>vhxB0C_F;n zo@0rqG3n6%-yz@Mz>mEf(aJL-GE#z3P8- zG%(;oh&szqTGzYcP0_3=k!It{R_a!664zJNU$7k`oad$t6-0m#!{*7DsWov_fx)sb zJ|n9Q8qO9lg&n>J+e$#dN-jVG!P6W+Qd;0%Ga;K6kdBjEh$t*AhlxY4r)m~wV~YLh zis6e=={@i|YXC&n{>m~FwmhYXa-5u4m+l+ZFD7KJW`QPAc?ACZP$KjBP)e?FbetKw z#D$JcA;%Hy*#cb{Roh))Sz&G6&9BPkdZHb$4b)#m@a`jiMjiH05+zcpnaB&K6X8`J zeSU|y(M_UUPnxpSnqg+Dm1GUDJ(WXDdP#Nxe??@=ow4*zws5PtNE?ih<=2FfpXISO z|HiB?<$8N(E6J5ldV20Fhgk)%!#obUh+d0%yK|nYk{Luh4y?uQ>yC&*misCt?Se*$ z$Hz;sRcXM=zwJ6zk7Yebh#g1=%Do$Gd<{H~_rnU5cI9wBHyB!`sFB|Vz56mcgs@Qt zJ)ciy1JE)hkJq8@g0fa@g|1_hASDEsw>fP{Nc2v2SpY-tTiw$ONDkco)xmO`A)<;; zxS19St9<>9EbyqJvqF^)%6zA!#m^v3DbzR;ZXV}?p3kP1=w`fUKq zn|yqPiWE(mxBJ!V$UTir)q!dw`K;K0DxaB@>B42I=N(<_9&=I;aEq679<5MA1`-ix zkWI@f7oPi+BGOHGPcT^bX97ypFT>{WS-1kT_4vD(IZ4H43Zs3wMBJKFH?I~Kfx13LDaC-QwHFcZA2=+r&8ps z($!$NXY1&1YxR;ex~@WIFG>Ns47OI8iva* zlu5OAc#r7lVZ73_xKf^Qo|$me=L?$=)c}$wEqac?Jqj8kGXQKba$%4}iLlPQCmD@< zS(ZOcY)M3F5lH-)Qr{zyKwG>%CAYT5p8Q@QOLU)yj zab@WohoArLP2rHqHF|$JSwP2f73)HHACJMA-ETIT(DkOHg`L{%OiITDW}6KfExg(S zW`NDj0bzxlQu6^MFfeGUzO$n-FgBcr+l+CU4nt4-@+Ni<_>hvxqz693mlr307At?? zP+VYs)_0CNWez1OQ7msZ*Q223YF>c#q?Fn2vowwuP2PMuluVpwHgW&C$6ld|^``Jw z3#*eDSm2Jama?nsS_|Zxejt|%;e}%ao#eg?%76lrIkxJrzH_jM%M%o@Cy}g2TkQJo zAge#M>z93A05B!i?Ue!&P`{<`et%A5APbaog_-7A zy-3BVOmCKhJ3R9oyi7S3r60rai~JUg41xNP#gnw|Nt+*M(krf}%%Lanr8`W#lbQKC zVc$on?`6iy0|%opj1WEJR&(t;6?6@XZi}=QNOA1Tg|`rbbU$4MxyW?CKU$hVRz5rdM0qoU?0Zz}-&{RqH*2v+K@L9t}iuy)hd8RB9^M zecnEY&B+5b3P;X$YTe<=WWF|MCF_Li0qN82NNy120ly)-S-oS-!9rKw6iZaLi>+|#U>{!m@n;deYU?Dbx zo=IPSS`lsC1=fug?Ss~7vG$lRtw&F?bqy6g&e=)~n5`)=yu*c6=SV%!Wh_>N4 zv2ES4=M60`z+2P0BU1zTxv$%SS5ls}f-e5_MVAQ$sL9`KeF}FR3b_fNgWf1eIs!9% zX;sut9nqBA3&E#(6H#>45zVojZbjY4dV9VM1vy9%HD`h^bu7BmFZGXDGm6m9*ZQ?Y(V2(@Iy?!>!58xALLN4E8Wq9uG z*hlv^+=aj}&F zxNI-=sU~)+gA}oS{2LL|H+pfy2dsq4FLt-R~0HqT_5VY?sGV3AoaFCt0;2LV%ReL^)ZJ@$S4@ z1Nt`)2Y7Zm`yX<92p97QM1ZsPZN2ZjoV!?__+`|cs+G`j}#0#g#B11El5jeG1P`m|~E zIZ5OhD}cbfGc>>Lap9U(Lsr9rRZJIBO_Y_Bm+FEb48aSm`7fuu*f@%-hFmlW5k7g_j*uWIj}My_-hyNPJ1_eLfNCeXWm9f^Mi}qOaD# z2nVb&cXxM?U!d1m`y&?(6wpR_T$1V^lzSO+*ch}*CRO@%ZUNQR;tti4tud(uoez*O zZE{joQtNz!^xl*q>Fk1YwqBV1DH>1e+2bn-<8CTd>+8Hmk&9PrBrN&-s{fiY!o%$; zu0gja?$#*etY}wOpxj|3QT5M;@p4P8JWGW6xvB72>XV~8iP6F$Zcbs+733wA<#tVs zW(jKh<4+Hd-zu+>DYqd#hZr@6;koKNvO2DJj6$PFH9TXT&B9_C0I5Vl4Q9f+Z^{dU z_GyURslwKJCLNkOcm$;UJ6{^65e^O8tk|8Gz$CypX{W?Qb^DI2mgr*&Jis$&zj=2W zdBsIyxd+&}tq*IRRhK&3A7&_b^34!G-?tM9mx-t|@yHq7zOs)mnDoLZH#!$rn28e{ zWDFej*CrILB+WJfu6VGP!@J6|CQzA_NpmvV7rhju!{N-~Cd#lDlyR-N zz04-stO3m?2$&R~aGSfdT%x!8a&Sn|M(Lk{*3t}CXwXmtD@U!7OEC-1Z03`+NJ^VR6u*r?|mJ%lg%C8$SjB174|Z@t~72b;mXcPT7kL7Vmy$@RYS zb%bTXfvXPl)SDu<3%;E>LYkeV65!HrM;e6*cASp_%t%l*bS~)J2f(g|aJFvuH7a6L zrcBN{b+#)?+SrR7gQYHS^J@82VUn{04yX~H93wVKvm#CZ-bpoIDarI%^d6#&mJPLb4*9NBjndn8OKSk6+mT2gzbHpvlnYP48ldC9zL}?UiQa) zD#u80Chk1PVaZ1X=C*xzOWv#;$JiDjwbL@~WiCehedSbQ*}==a#6S1QyHml+G%&Yx zL55GgAg|penbXTUUstKK*qn@HbzXBK6gVPVK`?a4wmL!8+g$8c^#);^?c~-WFB&Bg z>V@bYAL`mY+L()P6T1V?g&Bb3*DaA)5eo>Go2;u=o6S&0aOZyRdVi}%gj*A2v#jBn zgF|m=o{}GMNb$W7@MT_W)ITkz!eFnjlJF)8z^!&uJ{S= z0hEi#Lp^tMK|{1w1#fFlFGMm3|7XSkEu7#}0D7GcQBcG%U4YW7u>Md6wBWAr_Fx(; zFRh}?YP_D9cdq+ND!d@Af{X(1ybu`!53TSx^MS{iu*rC7`xc8jcY;pI^hIzw>jwQv zc2dg73(zv)MQf8JwC+m(eTX7EQEw5>2oH!-HE~diRQIu-LCI}3`kdlz<2}^)aW**^ zG>A#2=^S9e6Ovd>K?oe63*wG7q5kRK7gZ`S`Y^^A0kGH8*#pH}>!F!gLJ>$FxlT6> zhSH5izi*uRO!GL4tqidlUuj+KhT~`Qt#iGYnOGTePQcuLp&a^W!N=w?9P8qmQor z@X7KjvmBtx>A|MkyWYoj$piU5*p@lE!{|6DodTRk{n270JeRSQL{VRF^0>{*Cs>W{ zT4(cpwXw3@Bs=P=`qV2Z`rbAYYWF41TR!K0uW_6MFRag7!HX>a=54IaJn3WlFVO_hZHnKRzq zAFs75eVwJJM_YQ34xBR& z?7}c*i}RC9wVx)6@#6LOga`Ly#O3>+vRX#i4?T+leyuAz9xvq`r zbAZWN>dg#sk=7nEO#2E>>9Acf326nzQ6!wgrAmoz>&l`vbF@-8G5Z&DZG|%`$ru1= zhf!IpNZ19Oqg9T0K(U#P>YmHnI~Vc2%RI6P>e{8Lqc1V}soP%|npDB3vCC^< zR~2mbDqmyjgs`YPh}^h}fs)B^6JF*o>ccAn0h0p)m@RUdLn=>foxPuQU@lO74aNqn zYkO2wnW_DEC_&-D()bb~B$1Qlzb&B4AiuR`|F_7tFl^qcqZ0vwR@3*v|W6vX6KD0|uSljr4tj9et zfdVCqX((;JNa3iC0>HN)fRE^aKAX|ixsd3qEzB^bbD73Ho~I8bU@Bc-f;T@M@V%r|9W3qs2SyJ3zzC0S*LHf#a+)c`~B{4{<3zu8Jg=97ZAq=*_`71P%{Nwm`{H)5T zpyv$*^hw46jL|^bP-S~&{0~*=xlpaS?*Z10xr>M0G^I7=oH_UiL0u97H883~s5z@b zEIA5`v+UD8vLHR49=3?5mF&gK%boO2j+LRxlLOpI3g!&I|{L zust*2FUAzfO$IdzM;T0)D+3&`G3g- zc4(Acl3)a9^1J!fw5&D;bkKO)`&Q;c06XyQZ7!$FYNTn z)b=#A$J>Y{`XcAbjWU{KRi_5Lm zzP)>fNS#$s5%^F-ow&uHa)~hLtH1AF4QaiYOPSvgL8E5imf`0-3@6l{GU}QT_<7$s zq{(|moJV|hsj4f{O9*>QlN3=1i>CUnw0+0Rp>z0xHwtweXYCZ{a`-r-2VX4(uH_-z z3Lu9|6(<~l)+%jR-MsUmQIwQ@YO8uqt$$V<6Mv5+aFPACkHblQg7Zqo}29 z=&n+f^=h8m5&C{&%oUF60#*elj46q6SY+E)%#-YX2Nb ziU%m?=&&^1)xE!B&Lp2rbv8+Hq%_axUGeLbjO5CWA+%8XRA>YTz&Uo0o7_7l0pg_% z`qI@yVgc8YYc;-b;00HPHuN%qDay~VfNG_k=s3|Sks3TNJ}ug8T(IDDV$%;t&C)5) zxwYDrmO{(i3cj5L0sj0wK)iUBB8)<{1={m1bJKmQtN!{Lx{FMie!R9~NUewD4>%BCryZF8}{ zzmFbTGkh~k&pJ{Q@#riv%7M(7T~|fUul>1;!IYuJNYf8f+LW@*B8Qc)xPlSsmVh`y zmpF#eX6(mZ-W~4y(0 zigM-;9@O1a$_qv7)ZK|4rg)Z}lnbJVl9vqT*G$duhrfBKxixM@MIO61z^>Ugi)eMU z!>`#+iWqUT^tIoqyEI3Qxck3i1l}ILfO#;79q-M0c!stxTII)#?DaCfSEq!NHtbw& zHq9F!!vJEgSQHofP9yZu?D$o_+emhSBjL^oRi{q3c=1ic>`H{8qztv z7S910)#kcjI!Hf)hV2kV-NFFF$7nry5Xd6?vVd+~q`kv}ymQ{tpa&jCT>>2MAi`)< z9|N1ldS7|sdKZMkad#{&$nlWt<|s-GL%}5Fn7&BrSM!a?$S1SWE*O`YoT88&|^3czXU7gyl1j5SWZn>^LP#tzs`DgqJ%BnDodRU-Kz;pO_*uZE?aC@! z?v#>fxv*>|4dVBHYZ^Wb_jN-RK*E6(eJfma*trL&jlNVr64`0zj0W0Bris$L3ht>| zbcd6YXs=r``6YXm;O2;}6}JeLjHn3C_Z@^E(j3ryAFt^|g*eL8`1(@JQ4e}@hnJ<@ z-tv6-r>_RT3LWrMB~e}b+Xa%x4@|IMK2guqIAjn$En^s!er;kXl02=~ix^CnYmo7) zu8P3P$Bs^O&N#VD)@sU=H<(wHj_hE=cNA7|%GZt);W|O745uPmI_)uYkxFwWKc^rb z-$FK{8} zBgm~c$rt(PyQhl!^^5Yd=dU+jJUQD4r=y_-=i)Z-@~a`}Z9+i?$rVj4&OBW`^8E@G z2%-5#ME&DZNOlMoO+89B&iWa4$JpK zA?BnMcA&F-K;8Z3>zx;I&=!M)P_ieWLt+rGQb>7?iHmwo+-^PZ`0b-GXU?xpc({4ww-MNu6MYX5b!nli(JW60Z0{Y^_`==IQ z=(glIli7} z=f)dNl2F8~$kUe^LB^?WUeYDmxGVel6H<7G;}0Xy%#DMxGNes@(9Lm>G}R0v?%4>cSzdCjQxJnczJ(4b3RVvbc&%zNoS;kt@a7dJdR?$L%8v^(M?eT&G~HsB580*w$A7!cA58D|@8 zhnY;%lDXC@31Qq@3<%*kCQWh9mxlt489{~aUFug!*p^0*(D!vk=QcB;89hZe&y`{< zGEOlX%(iDu=qG#;CIS|AC%_-C`G$*r^&qY4V?;1_Wna8ZZD2c(^_p3^T-4u5y5lBu z^Ayl|Aku)N6wU6F?xB!u*-L!6U9P5kM{I5OZI=3=9yF5% zftC$?%@q#5?(%!nd_1Rt;*@YktM;1g5<~ZKy|`KDJ`&f!+(NP`U5$E#X~##lW<@82 zu85j$TR(0k(?;t*$#d00rZtm09nQwG%Q0j|A@+7H`4YZelk@@smeH%J9Oe=rQ}|Uk zMP!-ah+Hob^H%Kz1JZ_xChvAd$DQ>i3D>#|vw8a1*J8pOpet=b)pwBo4*vUH6PkuC z7vqN*O8x4;y=#L0EnG5kbTqRz`9r#Nrmp3%!iM~PLnl_RmGR6GBFM9W4ia1N!Q2<# zAR~ZPk1|Ini7PGwzsrkQur6UKV8yk#zKsiBU*Z7kNLxY_ji!@Y(~~JUg76!SL%#vF z3uvemwop;>kJ#lg@A2>DZ+85+I59Aku#Ml*5MvKyA(jJhhtC64l$&WCcnDFT*tStV zX%12LR>{J}C0i$sFC@lTIvM#QxV4Ur2V7DF3c!O7_6*Y-%?i|N(xCOW(MoZH{RsF( zfZUF|Wt=Ugo*oCzw2o#p?_SSac%#&CT!W!X)?Q#%960|@TlpjWvQx9n3Q$x<$}Dp! zz(?Xk8c%q+rN`^-tfitaW(1ivmP6=ltsqf{W{iEuM z39TA1zIxrO0MtMmUtF0OoXP8j}J&#@>YY>-sfD())J>u*QWQn2JW}VR* zk?%H`xVEld>hT0i3@X&8uTSo&lB0Yyv7cUO%v{+uf7r+tY-F(Es*PC4V2No`4vZlL@#E+c95TK&}<#WxRgo5R&wc(Jyp?8PC!G9 zFzmL(iJnb$|E$6C*?Gf7ANKM1-}-^KvVn zYdK8jGY<$fDwj{8=fi>cS)Zs5)N=y~jV=D9rVsmIhICwMERHX*S%GS1Ygt)|xqeZC zy=*-y$D)7qk~GOa)a7zdBO7F6H~6Lj{vNb&10{LV1fsNiUnXC%IGj^PM0F7}c{<*p z?=Exl%;G}p%#SC81uyKJgMI=tlBaKcOs6=cTwmxw0dwPb7drk5LW)x4^aB2DoX=hL z=f)VQVhkbQoR}m{t=^;Oqg34p!c}3$-c2h2w~QCRn$c}zKsg^4n50l+S>W%s?uXUn z(Oy9q@*GkLL9&;6H`J!ZRECxNhcOCe?CDaG=00+y@S{n*!2ud~sd$0tM9~m#9&4&sGn4mJi_f9&wR7=Ez8g~{!!^pGwAbbTf%l2M*cWsCh5_X9(`BJAfVU|&H<0ALfA zegy|~&BRs+Fy}d&SkVW1UAS&C6V`@Tmy=NQ#1<3fHR8jH`BarYpNDC@6bVO%xH_9v zw2Rp*un@~x^MoI|rqQM={)!$i*UvOG3PRW4iD67J5>oscSoj&zaEM2}SyGrWpm&3Z z>FwogIUn$*Ifcb#S4rG*CM9}y0SG`}$~x}avnXJfLRt)3c$Ttp>L|YM8l0ZGU6y@y z+FhNZCd{9oI}d)!lQy?MHCcJ6QegR(#M}9NzD{ew+7$l1*ogYXS`E;=dr$;sJ^I#N zHg2U)bzxJh?DFi;7FhdQL49m(XWSOWY8UwxZ=rscVjJ=Y`Ta5fRL6{)%smciVgH)* z-b)h<2OVeHu2)t=#moZ>+30gm3$qu-Mr6nHOiBAP!0y-1(wrYh)jE3Qn`S=*OGN6d z`3v2OG9JBjb@s$Ql1o9fx)ejx6ON_MmIDqttUl7|U&Z`Z32`YA{m21QpbzxmaJ?6ExK(F*NKWnnidP&7@id zsRegYBk+raplL$Q^*X*mU@aQ8+#C4!3{*$6a3%%@1awFC-)10`j~PfxSdpJvTv~+I zO3&KN*vR1zk#S`_zjYrTyzo7HU_W5WSP7p~P4O6V21J(2IYyU{PUzQJI3cl}(vPs! zb7S58_u^>NI}?*~q@RXdO{b~6wNSFp6Pr_Y_k9|#y?1R=JIHA`h{G0giQJOSO-Af_ zHx#Kva_nMp4L86w*BqRDaXJTk{YMMqKuwm-rRQE9wX(^tY=GitODk+-Yz>kmq4Pml zj(l?rkOs*>-AHA{fuE3^Ucmbf(mX;aQ6i)y3$|EM%inVtSRh-IxHfCL^)fK{B=5^L zv0}D~hdwL$F@_$wCmxm$0-)Ko<;68}8^FF4-pUS1y{sYecN810dk^j3=>$kZ7FGGg;yVU>B;Ql#c ze`nnvL-aS(L;Dw1*S|adJz0L7?%!;j{$DBjzkB{Y9sEwFf0+#1e@Lye5}!ZvG7u2_ R$A{&k;HCIOj|K$v{{V9Opf~^k diff --git a/examples/ESP32/platformio.ini b/examples/ESP32/platformio.ini new file mode 100644 index 00000000..25c6616a --- /dev/null +++ b/examples/ESP32/platformio.ini @@ -0,0 +1,9 @@ +[platformio] +src_dir=ClickEncoderTFT + +[env:lolin32] +platform = espressif32 +board = lolin32 +framework=arduino +build_flags =-Wno-reorder +build_unflags=-Werror=reorder diff --git a/examples/LCDs/Malpartida/I2C/I2C/I2C.ino b/examples/LCD_Malpartida/I2C/I2C/I2C.ino similarity index 100% rename from examples/LCDs/Malpartida/I2C/I2C/I2C.ino rename to examples/LCD_Malpartida/I2C/I2C/I2C.ino diff --git a/examples/LCDs/PCF8574/PCF8574/PCF8574.ino b/examples/LCD_PCF8574/PCF8574/PCF8574.ino similarity index 100% rename from examples/LCDs/PCF8574/PCF8574/PCF8574.ino rename to examples/LCD_PCF8574/PCF8574/PCF8574.ino diff --git a/examples/LCDs/LiquidCrystal/LiquidCrystal/LiquidCrystal.ino b/examples/LiquidCrystal/LiquidCrystal/LiquidCrystal.ino similarity index 100% rename from examples/LCDs/LiquidCrystal/LiquidCrystal/LiquidCrystal.ino rename to examples/LiquidCrystal/LiquidCrystal/LiquidCrystal.ino diff --git a/examples/SDCard/platformio.ini b/examples/SDCard/platformio.ini new file mode 100644 index 00000000..266abb7b --- /dev/null +++ b/examples/SDCard/platformio.ini @@ -0,0 +1,11 @@ +[platformio] +src_dir=SDCard + +[env:due] +board=due +platform = atmelsam +framework = arduino +upload_port=/dev/ttyACM0 +build_flags = + -DMENU_FMT_WRAPS + -DMENU_ASYNC diff --git a/examples/SSD1306Ascii/platformio.ini b/examples/SSD1306Ascii/platformio.ini index 9341014b..b6d0f712 100644 --- a/examples/SSD1306Ascii/platformio.ini +++ b/examples/SSD1306Ascii/platformio.ini @@ -9,8 +9,7 @@ ; http://docs.platformio.org/page/projectconf.html [platformio] -src_dir=Button_Navigation -; src_dir=SSD1306Ascii +src_dir=SSD1306Ascii [env:adafruit_crickit_m0] board=adafruit_crickit_m0 diff --git a/examples/SSD1306Ascii/Button_Navigation/Button_Navigation.ino b/examples/SSD1306Ascii_Button_Navigation/Button_Navigation/Button_Navigation.ino similarity index 100% rename from examples/SSD1306Ascii/Button_Navigation/Button_Navigation.ino rename to examples/SSD1306Ascii_Button_Navigation/Button_Navigation/Button_Navigation.ino diff --git a/examples/SSD1306Ascii/Button_Navigation/config.h b/examples/SSD1306Ascii_Button_Navigation/Button_Navigation/config.h similarity index 100% rename from examples/SSD1306Ascii/Button_Navigation/config.h rename to examples/SSD1306Ascii_Button_Navigation/Button_Navigation/config.h diff --git a/examples/SSD1306Ascii_Button_Navigation/platformio.ini b/examples/SSD1306Ascii_Button_Navigation/platformio.ini new file mode 100644 index 00000000..63c024b7 --- /dev/null +++ b/examples/SSD1306Ascii_Button_Navigation/platformio.ini @@ -0,0 +1,41 @@ +[platformio] +src_dir=Button_Navigation +; src_dir=SSD1306Ascii + +[env:adafruit_crickit_m0] +board=adafruit_crickit_m0 +platform = atmelsam +framework = arduino +; build_flags = +; -DMENU_DEBUG +; -Wno-strict-aliasing -Wno-sign-compare -Wno-write-strings + +; [env:nanoatmega328] +; platform = atmelavr +; board = nanoatmega328 +; framework = arduino +; upload_port=/dev/ttyUSB* +; upload_flags=-V +; upload_speed=57600 +; build_flags = -DMENU_DEBUG +; src_build_flags = !echo "-Wno-write-strings -Wno-reorder -fno-strict-aliasing -DLOC="$PLATFORMIO_LOC + +; [env:teensy31] +; platform = teensy +; board = teensy31 +; framework = arduino +;; build_flags = -lstdc++ + +; [env:esp12e] +; platform = espressif8266 +; board = esp12e +; framework = arduino +; upload_speed=921600 +; build_flags = -lstdc++ -DDEBUG + +; [env:wemos] +; platform = espressif8266 +; board = d1_mini_pro +; framework = arduino +; ; upload_speed=1500000 +; upload_speed=921600 diff --git a/examples/SdFat/platformio.ini b/examples/SdFat/platformio.ini new file mode 100644 index 00000000..26037967 --- /dev/null +++ b/examples/SdFat/platformio.ini @@ -0,0 +1,19 @@ +[platformio] +src_dir=SdFat + +; [env:due] +; board=due +; platform = atmelsam +; framework = arduino +; upload_port=/dev/ttyACM0 +; build_flags = +; -DMENU_FMT_WRAPS +; -DMENU_ASYNC + +[env:uno] +platform=atmelavr +board=pro8MHzatmega328 +framework=arduino +upload_protocol = usbasp +upload_flags = -Pusb +lib_ignore=WebSockets diff --git a/examples/Serial/ansiSerial/.gitignore b/examples/Serial/ansiSerial/.gitignore deleted file mode 100644 index e05273b2..00000000 --- a/examples/Serial/ansiSerial/.gitignore +++ /dev/null @@ -1,4 +0,0 @@ -.pioenvs -.clang_complete -.gcc-flags.json -.piolibdeps \ No newline at end of file diff --git a/examples/Serial/ansiSerial/.travis.yml b/examples/Serial/ansiSerial/.travis.yml deleted file mode 100644 index 72c6e43f..00000000 --- a/examples/Serial/ansiSerial/.travis.yml +++ /dev/null @@ -1,65 +0,0 @@ -# Continuous Integration (CI) is the practice, in software -# engineering, of merging all developer working copies with a shared mainline -# several times a day < http://docs.platformio.org/en/stable/ci/index.html > -# -# Documentation: -# -# * Travis CI Embedded Builds with PlatformIO -# < https://docs.travis-ci.com/user/integration/platformio/ > -# -# * PlatformIO integration with Travis CI -# < http://docs.platformio.org/en/stable/ci/travis.html > -# -# * User Guide for `platformio ci` command -# < http://docs.platformio.org/en/stable/userguide/cmd_ci.html > -# -# -# Please choice one of the following templates (proposed below) and uncomment -# it (remove "# " before each line) or use own configuration according to the -# Travis CI documentation (see above). -# - - -# -# Template #1: General project. Test it using existing `platformio.ini`. -# - -# language: python -# python: -# - "2.7" -# -# sudo: false -# cache: -# directories: -# - "~/.platformio" -# -# install: -# - pip install -U platformio -# -# script: -# - platformio run - - -# -# Template #2: The project is intended to by used as a library with examples -# - -# language: python -# python: -# - "2.7" -# -# sudo: false -# cache: -# directories: -# - "~/.platformio" -# -# env: -# - PLATFORMIO_CI_SRC=path/to/test/file.c -# - PLATFORMIO_CI_SRC=examples/file.ino -# - PLATFORMIO_CI_SRC=path/to/test/directory -# -# install: -# - pip install -U platformio -# -# script: -# - platformio ci --lib="." --board=ID_1 --board=ID_2 --board=ID_N diff --git a/examples/Serial/serialio/.gitignore b/examples/Serial/serialio/.gitignore deleted file mode 100644 index e05273b2..00000000 --- a/examples/Serial/serialio/.gitignore +++ /dev/null @@ -1,4 +0,0 @@ -.pioenvs -.clang_complete -.gcc-flags.json -.piolibdeps \ No newline at end of file diff --git a/examples/Serial/serialio/.travis.yml b/examples/Serial/serialio/.travis.yml deleted file mode 100644 index 72c6e43f..00000000 --- a/examples/Serial/serialio/.travis.yml +++ /dev/null @@ -1,65 +0,0 @@ -# Continuous Integration (CI) is the practice, in software -# engineering, of merging all developer working copies with a shared mainline -# several times a day < http://docs.platformio.org/en/stable/ci/index.html > -# -# Documentation: -# -# * Travis CI Embedded Builds with PlatformIO -# < https://docs.travis-ci.com/user/integration/platformio/ > -# -# * PlatformIO integration with Travis CI -# < http://docs.platformio.org/en/stable/ci/travis.html > -# -# * User Guide for `platformio ci` command -# < http://docs.platformio.org/en/stable/userguide/cmd_ci.html > -# -# -# Please choice one of the following templates (proposed below) and uncomment -# it (remove "# " before each line) or use own configuration according to the -# Travis CI documentation (see above). -# - - -# -# Template #1: General project. Test it using existing `platformio.ini`. -# - -# language: python -# python: -# - "2.7" -# -# sudo: false -# cache: -# directories: -# - "~/.platformio" -# -# install: -# - pip install -U platformio -# -# script: -# - platformio run - - -# -# Template #2: The project is intended to by used as a library with examples -# - -# language: python -# python: -# - "2.7" -# -# sudo: false -# cache: -# directories: -# - "~/.platformio" -# -# env: -# - PLATFORMIO_CI_SRC=path/to/test/file.c -# - PLATFORMIO_CI_SRC=examples/file.ino -# - PLATFORMIO_CI_SRC=path/to/test/directory -# -# install: -# - pip install -U platformio -# -# script: -# - platformio ci --lib="." --board=ID_1 --board=ID_2 --board=ID_N diff --git a/examples/U8GLib/platformio.ini b/examples/U8GLib/platformio.ini index 89ae52d3..bd3cc29e 100644 --- a/examples/U8GLib/platformio.ini +++ b/examples/U8GLib/platformio.ini @@ -49,4 +49,3 @@ upload_speed=57600 ; board = esp12e ; framework = arduino ; upload_speed=921600 -; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -lstdc++ -DNODEBUG -DMENU_SSID="\"r-site.net\"" -DMENU_PASS="\"rsite.2011\"" diff --git a/examples/adafruitGfx/lcdMono/.gitignore b/examples/adafruitGfx/lcdMono/.gitignore deleted file mode 100644 index e05273b2..00000000 --- a/examples/adafruitGfx/lcdMono/.gitignore +++ /dev/null @@ -1,4 +0,0 @@ -.pioenvs -.clang_complete -.gcc-flags.json -.piolibdeps \ No newline at end of file diff --git a/examples/adafruitGfx/lcdMono/.travis.yml b/examples/adafruitGfx/lcdMono/.travis.yml deleted file mode 100644 index 72c6e43f..00000000 --- a/examples/adafruitGfx/lcdMono/.travis.yml +++ /dev/null @@ -1,65 +0,0 @@ -# Continuous Integration (CI) is the practice, in software -# engineering, of merging all developer working copies with a shared mainline -# several times a day < http://docs.platformio.org/en/stable/ci/index.html > -# -# Documentation: -# -# * Travis CI Embedded Builds with PlatformIO -# < https://docs.travis-ci.com/user/integration/platformio/ > -# -# * PlatformIO integration with Travis CI -# < http://docs.platformio.org/en/stable/ci/travis.html > -# -# * User Guide for `platformio ci` command -# < http://docs.platformio.org/en/stable/userguide/cmd_ci.html > -# -# -# Please choice one of the following templates (proposed below) and uncomment -# it (remove "# " before each line) or use own configuration according to the -# Travis CI documentation (see above). -# - - -# -# Template #1: General project. Test it using existing `platformio.ini`. -# - -# language: python -# python: -# - "2.7" -# -# sudo: false -# cache: -# directories: -# - "~/.platformio" -# -# install: -# - pip install -U platformio -# -# script: -# - platformio run - - -# -# Template #2: The project is intended to by used as a library with examples -# - -# language: python -# python: -# - "2.7" -# -# sudo: false -# cache: -# directories: -# - "~/.platformio" -# -# env: -# - PLATFORMIO_CI_SRC=path/to/test/file.c -# - PLATFORMIO_CI_SRC=examples/file.ino -# - PLATFORMIO_CI_SRC=path/to/test/directory -# -# install: -# - pip install -U platformio -# -# script: -# - platformio ci --lib="." --board=ID_1 --board=ID_2 --board=ID_N diff --git a/examples/adafruitGfx/tft/.gitignore b/examples/adafruitGfx/tft/.gitignore deleted file mode 100644 index e05273b2..00000000 --- a/examples/adafruitGfx/tft/.gitignore +++ /dev/null @@ -1,4 +0,0 @@ -.pioenvs -.clang_complete -.gcc-flags.json -.piolibdeps \ No newline at end of file diff --git a/examples/adafruitGfx/tft/.travis.yml b/examples/adafruitGfx/tft/.travis.yml deleted file mode 100644 index 72c6e43f..00000000 --- a/examples/adafruitGfx/tft/.travis.yml +++ /dev/null @@ -1,65 +0,0 @@ -# Continuous Integration (CI) is the practice, in software -# engineering, of merging all developer working copies with a shared mainline -# several times a day < http://docs.platformio.org/en/stable/ci/index.html > -# -# Documentation: -# -# * Travis CI Embedded Builds with PlatformIO -# < https://docs.travis-ci.com/user/integration/platformio/ > -# -# * PlatformIO integration with Travis CI -# < http://docs.platformio.org/en/stable/ci/travis.html > -# -# * User Guide for `platformio ci` command -# < http://docs.platformio.org/en/stable/userguide/cmd_ci.html > -# -# -# Please choice one of the following templates (proposed below) and uncomment -# it (remove "# " before each line) or use own configuration according to the -# Travis CI documentation (see above). -# - - -# -# Template #1: General project. Test it using existing `platformio.ini`. -# - -# language: python -# python: -# - "2.7" -# -# sudo: false -# cache: -# directories: -# - "~/.platformio" -# -# install: -# - pip install -U platformio -# -# script: -# - platformio run - - -# -# Template #2: The project is intended to by used as a library with examples -# - -# language: python -# python: -# - "2.7" -# -# sudo: false -# cache: -# directories: -# - "~/.platformio" -# -# env: -# - PLATFORMIO_CI_SRC=path/to/test/file.c -# - PLATFORMIO_CI_SRC=examples/file.ino -# - PLATFORMIO_CI_SRC=path/to/test/directory -# -# install: -# - pip install -U platformio -# -# script: -# - platformio ci --lib="." --board=ID_1 --board=ID_2 --board=ID_N diff --git a/examples/adafruitGfx/MCUFRIEND/MCUFRIEND/MCUFRIEND.ino b/examples/adafruitGfx_MCUFRIEND/MCUFRIEND/MCUFRIEND.ino similarity index 100% rename from examples/adafruitGfx/MCUFRIEND/MCUFRIEND/MCUFRIEND.ino rename to examples/adafruitGfx_MCUFRIEND/MCUFRIEND/MCUFRIEND.ino diff --git a/examples/adafruitGfx/MCUFRIEND/include/README b/examples/adafruitGfx_MCUFRIEND/include/README similarity index 100% rename from examples/adafruitGfx/MCUFRIEND/include/README rename to examples/adafruitGfx_MCUFRIEND/include/README diff --git a/examples/adafruitGfx_MCUFRIEND/platformio.ini b/examples/adafruitGfx_MCUFRIEND/platformio.ini new file mode 100644 index 00000000..4c2564b0 --- /dev/null +++ b/examples/adafruitGfx_MCUFRIEND/platformio.ini @@ -0,0 +1,10 @@ +[platformio] +src_dir=MCUFRIEND + +[env:megaADK] +platform=atmelavr +board=megaADK +framework=arduino +lib_ignore=Websockets +upload_flags=-V +build_flags = -DNO_MENU_ASYNC diff --git a/examples/adafruitGfx/MCUFRIEND/test/README b/examples/adafruitGfx_MCUFRIEND/test/README similarity index 100% rename from examples/adafruitGfx/MCUFRIEND/test/README rename to examples/adafruitGfx_MCUFRIEND/test/README diff --git a/examples/adafruitGfx/eTFT/TFT_eSPI/ArduinoMenu_LilyGo_TTGO_T-display_demo/ArduinoMenu_LilyGo_TTGO_T-display_demo.ino b/examples/adafruitGfx_eTFT/TFT_eSPI/ArduinoMenu_LilyGo_TTGO_T-display_demo/ArduinoMenu_LilyGo_TTGO_T-display_demo.ino similarity index 100% rename from examples/adafruitGfx/eTFT/TFT_eSPI/ArduinoMenu_LilyGo_TTGO_T-display_demo/ArduinoMenu_LilyGo_TTGO_T-display_demo.ino rename to examples/adafruitGfx_eTFT/TFT_eSPI/ArduinoMenu_LilyGo_TTGO_T-display_demo/ArduinoMenu_LilyGo_TTGO_T-display_demo.ino diff --git a/examples/adafruitGfx/eTFT/TFT_eSPI/ArduinoMenu_LilyGo_TTGO_T-display_demo/bmp.h b/examples/adafruitGfx_eTFT/TFT_eSPI/ArduinoMenu_LilyGo_TTGO_T-display_demo/bmp.h similarity index 100% rename from examples/adafruitGfx/eTFT/TFT_eSPI/ArduinoMenu_LilyGo_TTGO_T-display_demo/bmp.h rename to examples/adafruitGfx_eTFT/TFT_eSPI/ArduinoMenu_LilyGo_TTGO_T-display_demo/bmp.h diff --git a/examples/adafruitGfx/eTFT/TFT_eSPI/TFT_eSPI.ino b/examples/adafruitGfx_eTFT/TFT_eSPI/TFT_eSPI.ino similarity index 100% rename from examples/adafruitGfx/eTFT/TFT_eSPI/TFT_eSPI.ino rename to examples/adafruitGfx_eTFT/TFT_eSPI/TFT_eSPI.ino diff --git a/examples/adafruitGfx/lcdMono/lcdMono/lcdMono.ino b/examples/adafruitGfx_lcdMono/lcdMono/lcdMono.ino similarity index 100% rename from examples/adafruitGfx/lcdMono/lcdMono/lcdMono.ino rename to examples/adafruitGfx_lcdMono/lcdMono/lcdMono.ino diff --git a/examples/adafruitGfx/lcdMono/platformio.ini b/examples/adafruitGfx_lcdMono/platformio.ini similarity index 100% rename from examples/adafruitGfx/lcdMono/platformio.ini rename to examples/adafruitGfx_lcdMono/platformio.ini diff --git a/examples/adafruitGfx/tft/platformio.ini b/examples/adafruitGfx_tft/platformio.ini similarity index 100% rename from examples/adafruitGfx/tft/platformio.ini rename to examples/adafruitGfx_tft/platformio.ini diff --git a/examples/adafruitGfx/tft/tft/tft.ino b/examples/adafruitGfx_tft/tft/tft.ino similarity index 100% rename from examples/adafruitGfx/tft/tft/tft.ino rename to examples/adafruitGfx_tft/tft/tft.ino diff --git a/examples/adafruitGfx/tft_interrupt/tft_interrupt.ino b/examples/adafruitGfx_tft_interrupt/tft_interrupt.ino similarity index 100% rename from examples/adafruitGfx/tft_interrupt/tft_interrupt.ino rename to examples/adafruitGfx_tft_interrupt/tft_interrupt.ino diff --git a/examples/Serial/ansiSerial/ansiSerial/ansiSerial.ino b/examples/ansiSerial/ansiSerial/ansiSerial.ino similarity index 100% rename from examples/Serial/ansiSerial/ansiSerial/ansiSerial.ino rename to examples/ansiSerial/ansiSerial/ansiSerial.ino diff --git a/examples/Serial/ansiSerial/ansiSerial/boxTable.hpp b/examples/ansiSerial/ansiSerial/boxTable.hpp similarity index 100% rename from examples/Serial/ansiSerial/ansiSerial/boxTable.hpp rename to examples/ansiSerial/ansiSerial/boxTable.hpp diff --git a/examples/Serial/ansiSerial/platformio.ini b/examples/ansiSerial/platformio.ini similarity index 100% rename from examples/Serial/ansiSerial/platformio.ini rename to examples/ansiSerial/platformio.ini diff --git a/examples/dynamic/platformio.ini b/examples/dynamic/platformio.ini new file mode 100644 index 00000000..c12868d1 --- /dev/null +++ b/examples/dynamic/platformio.ini @@ -0,0 +1,17 @@ +[platformio] +src_dir=dynamic +; lib_dir=~/Arduino/Libraries + +[env:nanoatmega328] +platform = atmelavr +board = nanoatmega328 +framework = arduino +upload_port=/dev/ttyUSB* +upload_flags=-V +build_flags = -DNODEBUG -DMENU_USERAM + +; [env:teensy31] +; platform = teensy +; board = teensy31 +; framework = arduino +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -Wno-switch diff --git a/examples/esp8266/WebMenu/.gitignore b/examples/esp8266/WebMenu/.gitignore deleted file mode 100644 index e05273b2..00000000 --- a/examples/esp8266/WebMenu/.gitignore +++ /dev/null @@ -1,4 +0,0 @@ -.pioenvs -.clang_complete -.gcc-flags.json -.piolibdeps \ No newline at end of file diff --git a/examples/esp8266/WebMenu/.travis.yml b/examples/esp8266/WebMenu/.travis.yml deleted file mode 100644 index 72c6e43f..00000000 --- a/examples/esp8266/WebMenu/.travis.yml +++ /dev/null @@ -1,65 +0,0 @@ -# Continuous Integration (CI) is the practice, in software -# engineering, of merging all developer working copies with a shared mainline -# several times a day < http://docs.platformio.org/en/stable/ci/index.html > -# -# Documentation: -# -# * Travis CI Embedded Builds with PlatformIO -# < https://docs.travis-ci.com/user/integration/platformio/ > -# -# * PlatformIO integration with Travis CI -# < http://docs.platformio.org/en/stable/ci/travis.html > -# -# * User Guide for `platformio ci` command -# < http://docs.platformio.org/en/stable/userguide/cmd_ci.html > -# -# -# Please choice one of the following templates (proposed below) and uncomment -# it (remove "# " before each line) or use own configuration according to the -# Travis CI documentation (see above). -# - - -# -# Template #1: General project. Test it using existing `platformio.ini`. -# - -# language: python -# python: -# - "2.7" -# -# sudo: false -# cache: -# directories: -# - "~/.platformio" -# -# install: -# - pip install -U platformio -# -# script: -# - platformio run - - -# -# Template #2: The project is intended to by used as a library with examples -# - -# language: python -# python: -# - "2.7" -# -# sudo: false -# cache: -# directories: -# - "~/.platformio" -# -# env: -# - PLATFORMIO_CI_SRC=path/to/test/file.c -# - PLATFORMIO_CI_SRC=examples/file.ino -# - PLATFORMIO_CI_SRC=path/to/test/directory -# -# install: -# - pip install -U platformio -# -# script: -# - platformio ci --lib="." --board=ID_1 --board=ID_2 --board=ID_N diff --git a/examples/esp8266/WebMenu/include/README b/examples/esp8266/WebMenu/include/README deleted file mode 100644 index 194dcd43..00000000 --- a/examples/esp8266/WebMenu/include/README +++ /dev/null @@ -1,39 +0,0 @@ - -This directory is intended for project header files. - -A header file is a file containing C declarations and macro definitions -to be shared between several project source files. You request the use of a -header file in your project source file (C, C++, etc) located in `src` folder -by including it, with the C preprocessing directive `#include'. - -```src/main.c - -#include "header.h" - -int main (void) -{ - ... -} -``` - -Including a header file produces the same results as copying the header file -into each source file that needs it. Such copying would be time-consuming -and error-prone. With a header file, the related declarations appear -in only one place. If they need to be changed, they can be changed in one -place, and programs that include the header file will automatically use the -new version when next recompiled. The header file eliminates the labor of -finding and changing all the copies as well as the risk that a failure to -find one copy will result in inconsistencies within a program. - -In C, the usual convention is to give header files names that end with `.h'. -It is most portable to use only letters, digits, dashes, and underscores in -header file names, and at most one dot. - -Read more about using header files in official GCC documentation: - -* Include Syntax -* Include Operation -* Once-Only Headers -* Computed Includes - -https://gcc.gnu.org/onlinedocs/cpp/Header-Files.html diff --git a/examples/esp8266/EscControl/EscControl/EscControl.ino b/examples/esp8266_EscControl/EscControl/EscControl.ino similarity index 100% rename from examples/esp8266/EscControl/EscControl/EscControl.ino rename to examples/esp8266_EscControl/EscControl/EscControl.ino diff --git a/examples/esp8266/EscControl/EscControl/data/1.5/bootstrap.xslt b/examples/esp8266_EscControl/EscControl/data/1.5/bootstrap.xslt similarity index 100% rename from examples/esp8266/EscControl/EscControl/data/1.5/bootstrap.xslt rename to examples/esp8266_EscControl/EscControl/data/1.5/bootstrap.xslt diff --git a/examples/esp8266/EscControl/EscControl/data/1.5/device.xslt b/examples/esp8266_EscControl/EscControl/data/1.5/device.xslt similarity index 100% rename from examples/esp8266/EscControl/EscControl/data/1.5/device.xslt rename to examples/esp8266_EscControl/EscControl/data/1.5/device.xslt diff --git a/examples/esp8266/EscControl/EscControl/data/1.5/index.html b/examples/esp8266_EscControl/EscControl/data/1.5/index.html similarity index 100% rename from examples/esp8266/EscControl/EscControl/data/1.5/index.html rename to examples/esp8266_EscControl/EscControl/data/1.5/index.html diff --git a/examples/esp8266/EscControl/EscControl/data/1.5/menu.css b/examples/esp8266_EscControl/EscControl/data/1.5/menu.css similarity index 100% rename from examples/esp8266/EscControl/EscControl/data/1.5/menu.css rename to examples/esp8266_EscControl/EscControl/data/1.5/menu.css diff --git a/examples/esp8266/EscControl/EscControl/data/1.5/menu.xslt b/examples/esp8266_EscControl/EscControl/data/1.5/menu.xslt similarity index 100% rename from examples/esp8266/EscControl/EscControl/data/1.5/menu.xslt rename to examples/esp8266_EscControl/EscControl/data/1.5/menu.xslt diff --git a/examples/esp8266/EscControl/EscControl/data/1.5/r-site.js b/examples/esp8266_EscControl/EscControl/data/1.5/r-site.js similarity index 100% rename from examples/esp8266/EscControl/EscControl/data/1.5/r-site.js rename to examples/esp8266_EscControl/EscControl/data/1.5/r-site.js diff --git a/examples/esp8266/EscControl/EscControl/data/bootstrap-slider.min.css b/examples/esp8266_EscControl/EscControl/data/bootstrap-slider.min.css similarity index 100% rename from examples/esp8266/EscControl/EscControl/data/bootstrap-slider.min.css rename to examples/esp8266_EscControl/EscControl/data/bootstrap-slider.min.css diff --git a/examples/esp8266/EscControl/EscControl/data/bootstrap-slider.min.js b/examples/esp8266_EscControl/EscControl/data/bootstrap-slider.min.js similarity index 100% rename from examples/esp8266/EscControl/EscControl/data/bootstrap-slider.min.js rename to examples/esp8266_EscControl/EscControl/data/bootstrap-slider.min.js diff --git a/examples/esp8266/EscControl/EscControl/data/img/icon.png b/examples/esp8266_EscControl/EscControl/data/img/icon.png similarity index 100% rename from examples/esp8266/EscControl/EscControl/data/img/icon.png rename to examples/esp8266_EscControl/EscControl/data/img/icon.png diff --git a/examples/esp8266/EscControl/EscControl/data/img/logo.png b/examples/esp8266_EscControl/EscControl/data/img/logo.png similarity index 100% rename from examples/esp8266/EscControl/EscControl/data/img/logo.png rename to examples/esp8266_EscControl/EscControl/data/img/logo.png diff --git a/examples/adafruitGfx/eTFT/include/README b/examples/esp8266_EscControl/include/README similarity index 100% rename from examples/adafruitGfx/eTFT/include/README rename to examples/esp8266_EscControl/include/README diff --git a/examples/esp8266_EscControl/platformio.ini b/examples/esp8266_EscControl/platformio.ini new file mode 100644 index 00000000..d3727848 --- /dev/null +++ b/examples/esp8266_EscControl/platformio.ini @@ -0,0 +1,11 @@ +[platformio] +src_dir=EscControl +data_dir=EscControl/data + +[env:nodemcuv2] +board=nodemcuv2 +platform = espressif8266 +framework = arduino +build_flags = + -DMENU_SSID="\"r-site.net\"" + -DMENU_PASS="\"your-password-here\"" diff --git a/examples/esp8266/EscControl/test/README b/examples/esp8266_EscControl/test/README similarity index 100% rename from examples/esp8266/EscControl/test/README rename to examples/esp8266_EscControl/test/README diff --git a/examples/esp8266/WebMenu/WebMenu/WebMenu.ino b/examples/esp8266_WebMenu/WebMenu/WebMenu.ino similarity index 100% rename from examples/esp8266/WebMenu/WebMenu/WebMenu.ino rename to examples/esp8266_WebMenu/WebMenu/WebMenu.ino diff --git a/examples/esp8266/WebMenu/WebMenu/data/1.5/bootstrap.xslt b/examples/esp8266_WebMenu/WebMenu/data/1.5/bootstrap.xslt similarity index 100% rename from examples/esp8266/WebMenu/WebMenu/data/1.5/bootstrap.xslt rename to examples/esp8266_WebMenu/WebMenu/data/1.5/bootstrap.xslt diff --git a/examples/esp8266/WebMenu/WebMenu/data/1.5/device.xslt b/examples/esp8266_WebMenu/WebMenu/data/1.5/device.xslt similarity index 100% rename from examples/esp8266/WebMenu/WebMenu/data/1.5/device.xslt rename to examples/esp8266_WebMenu/WebMenu/data/1.5/device.xslt diff --git a/examples/esp8266/WebMenu/WebMenu/data/1.5/index.html b/examples/esp8266_WebMenu/WebMenu/data/1.5/index.html similarity index 100% rename from examples/esp8266/WebMenu/WebMenu/data/1.5/index.html rename to examples/esp8266_WebMenu/WebMenu/data/1.5/index.html diff --git a/examples/esp8266/WebMenu/WebMenu/data/1.5/menu.css b/examples/esp8266_WebMenu/WebMenu/data/1.5/menu.css similarity index 100% rename from examples/esp8266/WebMenu/WebMenu/data/1.5/menu.css rename to examples/esp8266_WebMenu/WebMenu/data/1.5/menu.css diff --git a/examples/esp8266/WebMenu/WebMenu/data/1.5/menu.xslt b/examples/esp8266_WebMenu/WebMenu/data/1.5/menu.xslt similarity index 100% rename from examples/esp8266/WebMenu/WebMenu/data/1.5/menu.xslt rename to examples/esp8266_WebMenu/WebMenu/data/1.5/menu.xslt diff --git a/examples/esp8266/WebMenu/WebMenu/data/1.5/r-site.js b/examples/esp8266_WebMenu/WebMenu/data/1.5/r-site.js similarity index 100% rename from examples/esp8266/WebMenu/WebMenu/data/1.5/r-site.js rename to examples/esp8266_WebMenu/WebMenu/data/1.5/r-site.js diff --git a/examples/esp8266/WebMenu/WebMenu/data/bootstrap-slider.min.css b/examples/esp8266_WebMenu/WebMenu/data/bootstrap-slider.min.css similarity index 100% rename from examples/esp8266/WebMenu/WebMenu/data/bootstrap-slider.min.css rename to examples/esp8266_WebMenu/WebMenu/data/bootstrap-slider.min.css diff --git a/examples/esp8266/WebMenu/WebMenu/data/bootstrap-slider.min.js b/examples/esp8266_WebMenu/WebMenu/data/bootstrap-slider.min.js similarity index 100% rename from examples/esp8266/WebMenu/WebMenu/data/bootstrap-slider.min.js rename to examples/esp8266_WebMenu/WebMenu/data/bootstrap-slider.min.js diff --git a/examples/esp8266/WebMenu/WebMenu/data/img/icon.png b/examples/esp8266_WebMenu/WebMenu/data/img/icon.png similarity index 100% rename from examples/esp8266/WebMenu/WebMenu/data/img/icon.png rename to examples/esp8266_WebMenu/WebMenu/data/img/icon.png diff --git a/examples/esp8266/WebMenu/WebMenu/data/img/logo.png b/examples/esp8266_WebMenu/WebMenu/data/img/logo.png similarity index 100% rename from examples/esp8266/WebMenu/WebMenu/data/img/logo.png rename to examples/esp8266_WebMenu/WebMenu/data/img/logo.png diff --git a/examples/esp8266/EscControl/include/README b/examples/esp8266_WebMenu/include/README similarity index 100% rename from examples/esp8266/EscControl/include/README rename to examples/esp8266_WebMenu/include/README diff --git a/examples/esp8266_WebMenu/platformio.ini b/examples/esp8266_WebMenu/platformio.ini new file mode 100644 index 00000000..9c35dcd3 --- /dev/null +++ b/examples/esp8266_WebMenu/platformio.ini @@ -0,0 +1,8 @@ +[platformio] +src_dir=WebMenu +data_dir=WebMenu/data + +[env:nodemcuv2] +board=nodemcuv2 +platform = espressif8266 +framework = arduino diff --git a/examples/esp8266/WebMenu/test/README b/examples/esp8266_WebMenu/test/README similarity index 100% rename from examples/esp8266/WebMenu/test/README rename to examples/esp8266_WebMenu/test/README diff --git a/examples/fullIdle/platformio.ini b/examples/fullIdle/platformio.ini new file mode 100644 index 00000000..432c5bcf --- /dev/null +++ b/examples/fullIdle/platformio.ini @@ -0,0 +1,71 @@ +[platformio] +src_dir=fullIdle +; lib_dir=~/Arduino/Libraries + +; [env:yun] +; platform = atmelavr +; board=yun +; framework = arduino +; build_flags = -DNODEBUG +; +; [env:mzeropro] +; platform = atmelsam +; board=mzeropro +; framework = arduino +; build_flags = -DNODEBUG +; +; [env:mzeroproUSB] +; platform = atmelsam +; board=mzeroproUSB +; framework = arduino +; build_flags = -DNODEBUG +; +; [env:mzeroUSB] +; platform = atmelsam +; board=mzeroUSB +; framework = arduino +; build_flags = -DNODEBUG +; +[env:nanoatmega328] +platform = atmelavr +board = nanoatmega328new +framework = arduino +upload_port=/dev/ttyUSB* +upload_flags=-V +build_flags = -DNO_MENU_DEBUG -Wno-strict-aliasing -Wno-sign-compare -Wno-write-strings +; +; [env:teensy31] +; platform = teensy +; board = teensy31 +; framework = arduino +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -lstdc++ +; +; [env:due] +; platform = atmelsam +; board = due +; framework = arduino +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -DNODEBUG -DNOTRACE +; +; [env:esp01_1m] +; platform = espressif8266 +; board = esp01_1m +; framework = arduino +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -lstdc++ +; +; [env:uno] +; platform = atmelavr +; board = uno +; framework = arduino +; upload_speed=921600 +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -lstdc++ -DDEBUG + +; [env:uno] +; platform = atmelavr +; board = uno +; framework = arduino +; +; [env:redbear_blenano2] +; platform = nordicnrf52 +; board = redbear_blenano2 +; framework = arduino +; build_flags =-DNODEBUG -DARDUINO=10805 diff --git a/examples/handlers/platformio.ini b/examples/handlers/platformio.ini new file mode 100644 index 00000000..9e037d7b --- /dev/null +++ b/examples/handlers/platformio.ini @@ -0,0 +1,80 @@ +; PlatformIO Project Configuration File +; +; Build options: build flags, source filter, extra scripting +; Upload options: custom port, speed and extra flags +; Library options: dependencies, extra library storages +; +; Please visit documentation for the other options and examples +; http://docs.platformio.org/en/stable/projectconf.html + +[platformio] +src_dir=handlers +; lib_dir=~/Arduino/Libraries + +; [env:yun] +; platform = atmelavr +; board=yun +; framework = arduino +; build_flags = -DNODEBUG +; +; [env:mzeropro] +; platform = atmelsam +; board=mzeropro +; framework = arduino +; build_flags = -DNODEBUG +; +; [env:mzeroproUSB] +; platform = atmelsam +; board=mzeroproUSB +; framework = arduino +; build_flags = -DNODEBUG +; +; [env:mzeroUSB] +; platform = atmelsam +; board=mzeroUSB +; framework = arduino +; build_flags = -DNODEBUG +; +[env:nanoatmega328] +platform = atmelavr +board = nanoatmega328new +framework = arduino +upload_port=/dev/ttyUSB* +upload_flags=-V +; build_flags = -DNO_MENU_DEBUG -Wno-strict-aliasing -Wno-sign-compare -Wno-write-strings +; +; [env:teensy31] +; platform = teensy +; board = teensy31 +; framework = arduino +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -lstdc++ +; +; [env:due] +; platform = atmelsam +; board = due +; framework = arduino +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -DNODEBUG -DNOTRACE +; +; [env:esp01_1m] +; platform = espressif8266 +; board = esp01_1m +; framework = arduino +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -lstdc++ +; +; [env:uno] +; platform = atmelavr +; board = uno +; framework = arduino +; upload_speed=921600 +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -lstdc++ -DDEBUG + +; [env:uno] +; platform = atmelavr +; board = uno +; framework = arduino +; +; [env:redbear_blenano2] +; platform = nordicnrf52 +; board = redbear_blenano2 +; framework = arduino +; build_flags =-DNODEBUG -DARDUINO=10805 diff --git a/examples/lolin32/platformio.ini b/examples/lolin32/platformio.ini new file mode 100644 index 00000000..012b786f --- /dev/null +++ b/examples/lolin32/platformio.ini @@ -0,0 +1,9 @@ +[platformio] +src_dir=lolin32 + +[env:lolin32] +platform = espressif32 +board = lolin32 +framework=arduino +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -Wno-switch +; build_unflags = -Werror=reorder diff --git a/examples/plugin_decimalsField/platformio.ini b/examples/plugin_decimalsField/platformio.ini new file mode 100644 index 00000000..dca1ac12 --- /dev/null +++ b/examples/plugin_decimalsField/platformio.ini @@ -0,0 +1,28 @@ +[platformio] +src_dir=plugin_decimalsField + +; [env:nanoatmega328] +; platform = atmelavr +; board = nanoatmega328 +; framework = arduino +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined + +; [env:disco_f051r8] +; platform = ststm32 +; board = disco_f051r8 +; framework = mbed + +; this is a raw atmega328 on a breadboard +[env:uno] +platform=atmelavr +board=pro8MHzatmega328 +framework=arduino +upload_protocol = usbasp +upload_flags = -Pusb +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined -DDEBUG + +; [env:teensy31] +; platform = teensy +; board = teensy31 +; framework = arduino +; build_flags = -Wno-comment -Wno-reorder -Wno-strict-aliasing -Wno-builtin-macro-redefined diff --git a/examples/plugins/decimalsField/decimalsField.ino b/examples/plugin_decimalsField/plugin_decimalsField/plugin_decimalsField.ino similarity index 100% rename from examples/plugins/decimalsField/decimalsField.ino rename to examples/plugin_decimalsField/plugin_decimalsField/plugin_decimalsField.ino diff --git a/examples/plugins/platformio.ini b/examples/plugins/platformio.ini index 8156a988..3d142f58 100644 --- a/examples/plugins/platformio.ini +++ b/examples/plugins/platformio.ini @@ -1,6 +1,6 @@ [platformio] -; src_dir=plugins -src_dir=decimalsField +src_dir=plugins +; src_dir=decimalsField lib_dir=~/Arduino/Libraries ; [env:nanoatmega328] diff --git a/examples/Serial/serialio/include/readme.txt b/examples/serialio/include/readme.txt similarity index 100% rename from examples/Serial/serialio/include/readme.txt rename to examples/serialio/include/readme.txt diff --git a/examples/Serial/serialio/platformio.ini b/examples/serialio/platformio.ini similarity index 100% rename from examples/Serial/serialio/platformio.ini rename to examples/serialio/platformio.ini diff --git a/examples/Serial/serialio/serialio/serialio.ino b/examples/serialio/serialio/serialio.ino similarity index 100% rename from examples/Serial/serialio/serialio/serialio.ino rename to examples/serialio/serialio/serialio.ino diff --git a/examples/targetSel/platformio.ini b/examples/targetSel/platformio.ini new file mode 100644 index 00000000..d6eb2d5b --- /dev/null +++ b/examples/targetSel/platformio.ini @@ -0,0 +1,27 @@ +[platformio] +src_dir=targetSel + +[env:seeeduino_lorawan] +board=seeeduino_lorawan +platform = atmelsam +framework = arduino +build_flags = + -DMENU_DEBUG + +; [env:nanoatmega328] +; platform = atmelavr +; board = nanoatmega328new +; framework = arduino +; ; upload_port=/dev/ttyUSB1 +; upload_flags=-V +; build_flags = +; -DMENU_DEBUG +; -Wno-strict-aliasing -Wno-sign-compare -Wno-write-strings + +; [env:esp12e] +; platform = espressif8266 +; board = esp12e +; framework = arduino +; upload_speed=921600 +; build_flags = -Wno-comment -Wno-strict-aliasing -Wno-builtin-macro-redefined -Wno-write-strings -DMENU_DEBUG +; build_unflags=-Wno-strict-aliasing