Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Verilator run have error happen help !!!!!! #112

Open
pochen125 opened this issue Apr 10, 2018 · 3 comments
Open

Verilator run have error happen help !!!!!! #112

pochen125 opened this issue Apr 10, 2018 · 3 comments

Comments

@pochen125
Copy link

I gave been running stimulation by Verilator. The error like this

%Warning-CASEINCOMPLETE: ../../outdir/nv_full/vmod/nvdla/csc/NV_NVDLA_CSC_WL_dec.v:12633: Case values incompletely covered (example pattern 0x0)
%Warning-CASEINCOMPLETE: ../../outdir/nv_full/vmod/nvdla/csc/NV_NVDLA_CSC_WL_dec.v:12766: Case values incompletely covered (example pattern 0x0)
%Warning-CASEINCOMPLETE: ../../outdir/nv_full/vmod/nvdla/csc/NV_NVDLA_CSC_WL_dec.v:12900: Case values incompletely covered (example pattern 0x0)
%Warning-CASEINCOMPLETE: ../../outdir/nv_full/vmod/nvdla/csc/NV_NVDLA_CSC_WL_dec.v:13035: Case values incompletely covered (example pattern 0x0)
%Error: Verilator threw signal 9. Consider trying --debug --gdbbt
%Error: Command Failed /usr/local/bin/verilator_bin --cc --exe -f verilator.f --Mdir ../../outdir/nv_full/verilator/ nvdla.cpp --compiler clang --output-split 25000
Makefile:22: recipe for target '../../outdir/nv_full/verilator/VNV_nvdla.mk' failed
make: *** [../../outdir/nv_full/verilator/VNV_nvdla.mk] Error 255

@jwise
Copy link
Contributor

jwise commented Apr 10, 2018

%Error: Verilator threw signal 9. Consider trying --debug --gdbbt means that it received a SIGKILL. Probably your machine ran out of memory, or it hit a ulimit... make sure you are running in an environment that has at least 20GB of RAM, and that you have ulimits set to unlimited.

@pochen125
Copy link
Author

Could you tell me why %Warning-CASEINCOMPLETE: ../../outdir/nv_full/vmod/nvdla/csc/NV_NVDLA_CSC_WL_dec.v:13035: Case values incompletely covered (example pattern 0x0) happen at verilator running

@jwise
Copy link
Contributor

jwise commented Apr 12, 2018

%Warning-CASEINCOMPLETE is a benign lint warning.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants