From f836c056815682f3da0ecff9abdd1be3466923a2 Mon Sep 17 00:00:00 2001 From: "White, Paul" Date: Fri, 10 Feb 2023 12:21:43 -0800 Subject: [PATCH 1/5] copy from platform-designer-ipa and add eric's readme changes --- DirectProgramming/C++SYCL_FPGA/README.md | 1 + .../experimental/platform_designer/README.md | 318 ++ .../platform_designer/README_2023-0.md | 330 +++ .../add-oneapi/CMakeLists.txt | 320 ++ .../platform_designer/add-oneapi/src/add.cpp | 115 + .../add-quartus-sln/.gitignore | 18 + .../platform_designer/add-quartus-sln/add.qpf | 31 + .../platform_designer/add-quartus-sln/add.qsf | 24 + .../platform_designer/add-quartus-sln/add.sdc | 6 + .../platform_designer/add-quartus-sln/add.sv | 38 + .../add-quartus-sln/add_kernel_wrapper.qsys | 2594 +++++++++++++++++ .../add_kernel_wrapper.qsys.legacy | 2324 +++++++++++++++ ...ernel_wrapper_add_fpga_ip_export_1_di_0.ip | 1238 ++++++++ .../add_kernel_wrapper_clock_in.ip | 371 +++ .../add_kernel_wrapper_master_0.ip | 1087 +++++++ .../add_kernel_wrapper_reset_in.ip | 342 +++ .../add-quartus-sln/jtag.sdc | 84 + .../add-quartus-sln/quartus_sh.log | 653 +++++ .../platform_designer/assets/add-files.png | Bin 0 -> 30468 bytes .../assets/add-ip-platform-designer.png | Bin 0 -> 77125 bytes .../complete-system_platform-designer.png | Bin 0 -> 61393 bytes ...mplete-system_platform-designer_2023-0.png | Bin 0 -> 140004 bytes .../assets/csr-output-example-simple.svg | 364 +++ .../assets/fix-reset_n-platform-designer.png | Bin 0 -> 115109 bytes .../platform_designer/assets/generate-hdl.png | Bin 0 -> 38035 bytes .../new-platform-designer-system-button.png | Bin 0 -> 980 bytes .../assets/open-platform-designer-button.png | Bin 0 -> 5909 bytes .../assets/pins-from-design.png | Bin 0 -> 244272 bytes .../assets/pins-from-ghrd.png | Bin 0 -> 217470 bytes .../assets/quartus_new_project.png | Bin 0 -> 38352 bytes .../platform_designer/assets/reset-bridge.png | Bin 0 -> 15396 bytes .../assets/start-analysis.png | Bin 0 -> 96330 bytes .../assets/start-compilation-quartus.png | Bin 0 -> 8249 bytes .../platform_designer/sample.json | 71 + .../system_console/jtag_avmm.tcl | 6 + .../system_console/load_inputs.tcl | 20 + .../system_console/read_outputs.tcl | 18 + .../platform_designer/system_console/test.bat | 25 + 38 files changed, 10398 insertions(+) create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/README.md create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/README_2023-0.md create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-oneapi/CMakeLists.txt create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-oneapi/src/add.cpp create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/.gitignore create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.qpf create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.qsf create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.sdc create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.sv create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add_kernel_wrapper.qsys create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add_kernel_wrapper.qsys.legacy create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/jtag.sdc create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/quartus_sh.log create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/add-files.png create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/add-ip-platform-designer.png create mode 100755 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/complete-system_platform-designer.png create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/complete-system_platform-designer_2023-0.png create mode 100755 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/csr-output-example-simple.svg create mode 100755 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/fix-reset_n-platform-designer.png create mode 100755 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/generate-hdl.png create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/new-platform-designer-system-button.png create mode 100755 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/open-platform-designer-button.png create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/pins-from-design.png create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/pins-from-ghrd.png create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/quartus_new_project.png create mode 100755 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/reset-bridge.png create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/start-analysis.png create mode 100755 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/start-compilation-quartus.png create mode 100755 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/sample.json create mode 100755 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/system_console/jtag_avmm.tcl create mode 100755 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/system_console/load_inputs.tcl create mode 100755 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/system_console/read_outputs.tcl create mode 100644 DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/system_console/test.bat diff --git a/DirectProgramming/C++SYCL_FPGA/README.md b/DirectProgramming/C++SYCL_FPGA/README.md index da8698ad39..a6743bcb84 100644 --- a/DirectProgramming/C++SYCL_FPGA/README.md +++ b/DirectProgramming/C++SYCL_FPGA/README.md @@ -131,6 +131,7 @@ flowchart LR | [n_way_buffering](Tutorials/DesignPatterns/n_way_buffering) | [Tutorials/DesignPatterns](Tutorials/DesignPatterns) | How and when to apply the N-way buffering optimization technique | [onchip_memory_cache](Tutorials/DesignPatterns/onchip_memory_cache) | [Tutorials/DesignPatterns](Tutorials/DesignPatterns) | How and when to implement the on-chip memory cache optimization | [optimize_inner_loop](Tutorials/DesignPatterns/optimize_inner_loop) | [Tutorials/DesignPatterns](Tutorials/DesignPatterns) | How to optimize the throughput of an inner loop with a low trip +| [platform_designer](Tutorials/Tools/experimental/platform_designer) | [Tutorials/Tools](Tutorials/Tools) | How to use an IP Component with Intel® Quartus® Prime Pro Edition software suite and Platform Designer | [pipe_array](Tutorials/DesignPatterns/pipe_array) | [Tutorials/DesignPatterns](Tutorials/DesignPatterns) | A design pattern to generate an array of pipes using SYCL*
Static loop unrolling through template metaprogramming | [private_copies](Tutorials/Features/private_copies) | [Tutorials/Features](Tutorials/Features) | The basic usage of the `private_copies` attribute
How the `private_copies` attribute affects the throughput and resource use of your FPGA program
How to apply the `private_copies` attribute to variables or arrays in your program
How to identify the correct `private_copies` factor for your program | [read_only_cache](Tutorials/Features/read_only_cache) | [Tutorials/Features](Tutorials/Features) | How and when to use the read-only cache feature diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/README.md b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/README.md new file mode 100644 index 0000000000..d33f829add --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/README.md @@ -0,0 +1,318 @@ +# `Platform Designer` Sample + +This example design shows how to use an FPGA IP produced with the Intel® oneAPI DPC++/C++ Compiler with the Intel® Quartus® Prime Pro Edition software suite. + +| Optimized for | Description +|:--- |:--- +| OS | Linux* Ubuntu* 18.04/20.04
RHEL*/CentOS* 8
SUSE* 15
Windows* 10 +| Hardware | This process applies to any Intel® FPGA that is supported by the DPC++/C++ compiler, but the sample Intel® Quartus® Prime Pro Edition project targets the [Intel® Arria® 10 SX SoC Development Kit](https://www.intel.com/content/www/us/en/products/details/fpga/development-kits/arria/10-sx.html) +| Software | Intel® oneAPI DPC++/C++ Compiler
Intel® Quartus® Prime Pro Edition Version 22.3 or later +| What you will learn | How to integrate an RTL IP generated from a SYCL kernel with an Intel® Quartus® Prime Pro Edition project +| Time to complete | 1 hour + +> **Note**: Even though the Intel DPC++/C++ OneAPI compiler is enough to compile for emulation, generating reports and generating RTL, there are extra software requirements for the simulation flow and FPGA compiles. +> +> To use the simulator flow, Intel® Quartus® Prime Pro Edition and one of the following simulators must be installed and accessible through your PATH: +> - Questa*-Intel® FPGA Edition +> - Questa*-Intel® FPGA Starter Edition +> - Questa* Advanced Simulator +> - ModelSim® SE +> +> To use the hardware compile flow, Intel® Quartus® Prime Pro Edition must be installed and accessible through your PATH. + +> **Note**: In oneAPI full systems, kernels that use SYCL Unified Shared Memory (USM) host allocations or USM shared allocations (and therefore the code in this tutorial) are supported only by Board Support Packages (BSPs) with USM support (for example the Intel® FPGA PAC D5005 (with Intel Stratix® 10 SX) `intel_s10sx_pac:pac_s10_usm`). Kernels that use these types of allocations can always be used to generate standalone IPs. + +## Prerequisites + +This sample is part of the FPGA code samples. +It is categorized as a Tier 1 sample that helps you getting started. + +```mermaid +flowchart LR + tier1("Tier 1: Get Started") + tier2("Tier 2: Explore the Fundamentals") + tier3("Tier 3: Explore the Advanced Techniques") + tier4("Tier 4: Explore the Reference Designs") + + tier1 --> tier2 --> tier3 --> tier4 + + style tier1 fill:#f96,stroke:#333,stroke-width:1px,color:#fff + style tier2 fill:#0071c1,stroke:#0071c1,stroke-width:1px,color:#fff + style tier3 fill:#0071c1,stroke:#0071c1,stroke-width:1px,color:#fff + style tier4 fill:#0071c1,stroke:#0071c1,stroke-width:1px,color:#fff +``` + +Find more information about how to navigate this part of the code samples in the [FPGA top-level README.md](/DirectProgramming/C++SYCL_FPGA/README.md). +You can also find more information about [troubleshooting build errors](/DirectProgramming/C++SYCL_FPGA/README.md#troubleshooting), [running the sample on the Intel® DevCloud](/DirectProgramming/C++SYCL_FPGA/README.md#build-and-run-the-samples-on-intel-devcloud-optional), [using Visual Studio Code with the code samples](/DirectProgramming/C++SYCL_FPGA/README.md#use-visual-studio-code-vs-code-optional), [links to selected documentation](/DirectProgramming/C++SYCL_FPGA/README.md#documentation), etc. + +## Purpose + +This sample demonstrates how to compile a SYCL kernel into an IP component and add that component to an Intel® Platform Designer system, and how to run the resulting system on a hardware board. The sample uses the JTAG to Avalon® Master Bridge Intel FPGA IP to expose your IP component to the JTAG control interface. You can use the System Console application to control and observe the behavior of your IP component. + +![](assets/csr-output-example-simple.svg) + +This example is intended for users interested in creating standalone modules that can be included in Intel® Quartus® Prime projects. It serves as a minimal example, and while it targets a specific board, a user familiar with the Intel® Quartus® Prime Software Suite should be able to easily port this design to other hardware. + +### Board-specific Considerations + +This design is intended to work with the Intel® Arria® 10 SX SoC Development Kit. The board-specific configurations that you should specify in Intel® Quartus® Prime are as follows: +1. Choose `10AS066N3F40E2SG` device to match the devkit +2. Choose pin `PIN_AM10` to drive the `i_clk` signal +3. Choose pin `PIN_AR23` to drive the `fpga_led` signal +4. Choose pin `PIN_AV21` to drive the `reset_button_n` signal +5. Use `jtag.sdc` from the Intel® Arria® 10 SoC Golden Hardware Reference Design (GHRD) [source code](https://github.com/altera-opensource/ghrd-socfpga). + +## Building the `platform_designer` Tutorial + +> **Note**: When working with the command-line interface (CLI), you should configure the oneAPI toolkits using environment variables. +> Set up your CLI environment by sourcing the `setvars` script located in the root of your oneAPI installation every time you open a new terminal window. +> This practice ensures that your compiler, libraries, and tools are ready for development. +> +> Linux*: +> - For system-wide installations: `. /opt/intel/oneapi/setvars.sh` +> - For private installations: ` . ~/intel/oneapi/setvars.sh` +> - For non-POSIX shells, like csh, use the following command: `bash -c 'source /setvars.sh ; exec csh'` +> +> Windows*: +> - `C:\Program Files(x86)\Intel\oneAPI\setvars.bat` +> - Windows PowerShell*, use the following command: `cmd.exe "/K" '"C:\Program Files (x86)\Intel\oneAPI\setvars.bat" && powershell'` +> +> For more information on configuring environment variables, see [Use the setvars Script with Linux* or macOS*](https://www.intel.com/content/www/us/en/develop/documentation/oneapi-programming-guide/top/oneapi-development-environment-setup/use-the-setvars-script-with-linux-or-macos.html) or [Use the setvars Script with Windows*](https://www.intel.com/content/www/us/en/develop/documentation/oneapi-programming-guide/top/oneapi-development-environment-setup/use-the-setvars-script-with-windows.html). + +Follow these steps to compile and test the design: +1. Compile the SYCL code to RTL. Although this design supports emulation and simulation like other FPGA code samples, they are not the focus of this tutorial. The emulation and simulation commands have been omitted. + + Linux: + + ```bash + $> cd add-oneapi + $> mkdir build + $> cd build + $> cmake .. + $> make fpga_ip_export + ``` + + Windows: + + ```bash + > cd add-oneapi + > mkdir build + > cd build + > cmake -G "NMake Makefiles" .. + > nmake fpga_ip_export + ``` + +2. **From the same terminal**, launch the Intel® Quartus® Prime Pro Edition GUI, and create a new Intel® Quartus® Prime project using the 'New Project' wizard. + +> **Note**: You may confirm your Intel® Quartus® Prime project settings by comparing with the sample Intel® Quartus® Prime project included in the `add-quartus-sln` directory. + + Linux: + + ``` + $> cd ../../ + $> mkdir add-quartus + $> cd add-quartus + $> quartus + ``` + + Windows: + + ``` + > cd ..\..\ + > mkdir add-quartus + > cd add-quartus + > quartus.exe + ``` + + 1. Set the project directory to be the `add-quartus` directory of this code sample. + + 2. Set the top-level entity to be `add` to make project management easier. + + ![](assets/quartus_new_project.png) + + 3. Choose **Empty Project** when prompted to select a project type. + + 4. Add the source file `add.sv` and `jtag.sdc` to the design when the wizard prompts you. These may be copied from `add-quartus-sln`. + + ![](assets/add-files.png) + + 5. Make sure you choose an appropriate device. See **Board-specific Considerations** above. + +3. Copy the generated IP to the Intel Quartus® Prime project. This design uses host pipes, which generates additional internal SYCL kernels. The `fpga_ip_export` build target uses the `-fsycl-device-code-split=per_kernel` flag to separate these additional kernels from your kernel, but these kernels have their own reports and associated RTL. You must locate the the `.prj_X` directory that contains the IP you want to use in your design. + + You can identify the correct `.prj_X` folder by looking for the folder that contains `*_di_inst.v` file where the interfaces match your kernel. For example, in this project, `add_xample.fpga_ip.prj_1` is the correct `.prj_x` directory, because `add_example_fpga_ip_1_di_inst.v` contains only a CSR Agent interface in addition to the clock/reset signals: + + ```verilog + add_fpga_ip_export_1_di add_fpga_ip_export_1_di_inst ( + // Interface: clock (clock end) + .clock ( ), // 1-bit clk input + // Interface: resetn (reset end) + .resetn ( ), // 1-bit reset_n input + // Interface: device_exception_bus (conduit end) + .device_exception_bus ( ), // 64-bit data output + // Interface: kernel_irqs (interrupt end) + .kernel_irqs ( ), // 1-bit irq output + // Interface: csr_ring_root_avs (avalon end) + .csr_ring_root_avs_read ( ), // 1-bit read input + .csr_ring_root_avs_readdata ( ), // 64-bit readdata output + .csr_ring_root_avs_readdatavalid( ), // 1-bit readdatavalid output + .csr_ring_root_avs_write ( ), // 1-bit write input + .csr_ring_root_avs_writedata ( ), // 64-bit writedata input + .csr_ring_root_avs_address ( ), // 5-bit address input + .csr_ring_root_avs_byteenable ( ), // 8-bit byteenable input + .csr_ring_root_avs_waitrequest ( ) // 1-bit waitrequest output + ); + ``` + + Linux: + + ``` + $> cd .. # navigate to project root if not there already + $> cp -r add-oneapi/build/add.fpga_ip_export.prj_1/ add-quartus/ + ``` + + Windows: + + ``` + > cd .. # navigate to project root if not there already + > xcopy add-oneapi\build\add.fpga_ip_export.prj_1\ add-quartus\add.fpga_ip_export.prj_1 /e /s /i + ``` + +4. Create the Platform Designer system. + + 1. Open Platform Designer from the Intel® Quartus® Prime GUI: + + ![](assets/open-platform-designer-button.png) + + Create a new system by clicking the 'New Platform Designer System' button (![](assets/new-platform-designer-system-button.png)) and name it `add_kernel_wrapper.qsys`. + + Configure the `Reset Bridge` IP as shown: + + ![](assets/reset-bridge.png) + + 2. Add the following IP to your system: + + * Basic Functions > Bridges and Adaptors > Memory Mapped > **JTAG to Avalon Master Bridge Intel® FPGA IP** + + * oneAPI > **add_fpga_ip_export_1_di** + + ![](assets/add-ip-platform-designer.png) + + 3. Connect the modules as shown: + + ![](assets/complete-system_platform-designer.png) + + Don't forget to export the `irq_add` and `exception_add` signals. The provided top-level RTL file (`add.sv`) uses the generated IP. Following these naming conventions allows the IP to connect to this handwritten RTL. + + > **Important**: If you are using the oneAPI Base Toolkit 2023.1, the DCP++/C++ compiler causes the generated IP to be incorrectly documented in its hardware TCL script. You can override this in Platform Designer by changing the signal type of the `resetn` signal to `reset_n`: + > + > ![](assets/fix-reset_n-platform-designer.png) + + 4. Save the system by clicking `File` > `Save` + + 5. Generate the syste so that it can be included in the Intel® Quartus® Prime project by clicking `Generate HDL...` + + ![](assets/generate-hdl.png) + + 6. Close Platform Designer. + +6. In the Intel® Quartus® Prime window, run Analysis and Elaboration by clicking 'Start Analysis and Elaboration'. + + ![](assets/start-analysis.png) + +7. Select pins for the `i_clk` and `reset_button_n` inputs and `fpga_led` output. The JTAG to Avalon® Master Bridge Intel FPGA IP handles the connection between your design and the JTAG pins on your board automatically. + + 1. Open the pin planner using `Assignments` > `Pin Planner` in the main Intel® Quartus® Prime GUI. Consult the data sheet for your board to choose an appropriate clock input. In this project, the `PIN_AM10` was chosen because it supplies a 100MHz clock signal in the the GHRD source code (see link in **Board-specifc Considerations**). + + 2. Assign pins for the `fpga_led` and `reset_button_n` signals using the same method: + + *Pin planner from GHRD:* + + ![](assets/pins-from-ghrd.png) + + *Final pin planner configuration:* + + ![](assets/pins-from-design.png) + +8. Add the timing constraints. + + 1. If you are using the Intel® Arria® 10 SX SoC Dev Kit, you can find a timing constraints file for the JTAG interface (jtag.sdc) in the GHRD. This file was added during project creation. + + 2. Create a new Synopsis Design Constraints (SDC) file named `add.sdc` and insert a new clock called `i_clk` to match the clock you defined in `add.sv`. Set the period to be 10ns: + + ``` + set_time_format -unit ns -decimal_places 3 + create_clock -name i_clk -period 10 [get_ports {i_clk}] + ``` + + 3. Cut the clock paths for asynchronous I/O: + + ``` + set_false_path -from [get_ports {reset_button_n}] -to * + set_false_path -from [get_ports {fpga_led}] -to * + set_false_path -from * -to [get_ports {fpga_led}] + ``` + +9. Compile the full design by clicking the 'Start Compilation' button in the Intel® Quartus® Prime GUI. + + ![](assets/start-compilation-quartus.png) + +10. Copy the generated `add.sof` file to the `system_console` directory. + +### Additional Documentation +- [Intel® Arria® 10 SoC Golden System Reference Design](https://rocketboards.org/foswiki/Documentation/Arria10SoCGSRD) describes a reference design you can use with your Intel® Arria® 10 SX SoC Developer kit. +- [Intel® Arria® 10 SX SoC Development Kit](https://www.intel.com/content/www/us/en/products/details/fpga/development-kits/arria/10-sx.html) describes the Intel® Arria® 10 SX SoC Development kit in greater detail. +- [Intel® FPGA Software Installation and Licensing](https://www.intel.com/content/www/us/en/docs/programmable/683472/current/) describes how to license Intel® Quartus® Prime Pro Edition software. +- [Intel® Quartus® Prime Pro Edition User Guide: Getting Started](https://www.intel.com/content/www/us/en/docs/programmable/683463/current/) introduces you to the Intel® Quartus® Prime Pro Edition software. +- [Intel® Quartus® Prime Pro Edition User Guide: Platform Designer](https://www.intel.com/content/www/us/en/docs/programmable/683609/current/) describes the Intel® Platform Designer software. +- [Intel® Quartus® Prime Pro Edition User Guide: Programmer](https://www.intel.com/content/www/us/en/docs/programmable/683039/current/) describes the Intel® Quartus® Prime Pro Programmer software. + +## Running the Sample + +Use the `test.bat` script in the `system_console` directory to flash the design to your development board, and launch the system console. The included `.tcl` scripts in the `system_console` directory demonstrate how to use the System Console to interact with your IP through the JTAG to Avalon® Master Bridge Intel FPGA IP on the FPGA. + +To move the design to a different computer, copy the `system_console` and directories from the `add-quartus` directory. + +See output: + +``` +> test.bat +Info: ******************************************************************* +Info: Running Quartus Prime Programmer + +Info: Quartus Prime Programmer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 1309 megabytes + Info: Processing ended: Wed Feb 8 15:26:54 2023 + Info: Elapsed time: 00:00:20 + Info: System process ID: 16980 +Press any key to continue . . . + +--------------------------------------- +--------------------------------------- + Welcome to Intel's FPGA System Console + + +--------------------------------------- +% source jtag_avmm.tcl +% source read_outputs.tcl +Outputs: + Data (0x80): 0x00000000 0x00000000 + Status (0x00): 0x00050000 0x00000000 + finish (0x30): 0x00000000 0x00000000 +% source load_inputs.tcl +Store 6 to address 0x94 +Store 3 to address 0x90 +Set 'Start' bit to 1 +% source read_outputs.tcl +Outputs: + Data (0x80): 0x00000009 0x00000000 + Status (0x00): 0x00050002 0x00000000 + finish (0x30): 0x00000001 0x00000000 +% +``` + +## License +Code samples are licensed under the MIT license. See +[License.txt](https://github.com/oneapi-src/oneAPI-samples/blob/master/License.txt) for details. + +Third party program Licenses can be found here: [third-party-programs.txt](https://github.com/oneapi-src/oneAPI-samples/blob/master/third-party-programs.txt). \ No newline at end of file diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/README_2023-0.md b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/README_2023-0.md new file mode 100644 index 0000000000..2737370e28 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/README_2023-0.md @@ -0,0 +1,330 @@ +# `Platform Designer` Sample + +This example design shows how to use an FPGA IP produced with the Intel® oneAPI DPC++/C++ Compiler with the Intel® Quartus® Prime Pro software suite. + +| Optimized for | Description +|:--- |:--- +| OS | Linux* Ubuntu* 18.04/20.04
RHEL*/CentOS* 8
SUSE* 15
Windows* 10 +| Hardware | This process applies to any Intel® FPGA that is supported by the oneAPI compiler, but the sample Intel® Quartus® Prime Pro Edition project targets the [Intel® Arria 10 SX SoC Development Kit](https://www.intel.com/content/www/us/en/products/details/fpga/development-kits/arria/10-sx.html) +| Software | Intel® oneAPI DPC++/C++ Compiler
Intel® Quartus® Prime Pro Edition 22.3 or later
[oneAPI 2023.0 only] Python 3.8.10 or later **OR**
[oneAPI 2023.0 only] Python 2.7.13 or later +| What you will learn | How to integrate an RTL IP generated from a SYCL kernel to an Intel® Quartus® Prime Pro Edition project +| Time to complete | 1 hour + +> **Note**: Even though the Intel DPC++/C++ OneAPI compiler is enough to compile for emulation, generating reports and generating RTL, there are extra software requirements for the simulation flow and FPGA compiles. +> +> To use the simulator flow, Intel® Quartus® Prime Pro Edition and one of the following simulators must be installed and accessible through your PATH: +> - Questa*-Intel® FPGA Edition +> - Questa*-Intel® FPGA Starter Edition +> - ModelSim® SE +> +> To use the hardware compile flow, Intel® Quartus® Prime Pro Edition must be installed and accessible through your PATH. + +> **Note**: In oneAPI full systems, kernels that use SYCL Unified Shared Memory (USM) host allocations or USM shared allocations (and therefore the code in this tutorial) are only supported by Board Support Packages (BSPs) with USM support (e.g. the Intel® FPGA PAC D5005 (with Intel Stratix® 10 SX) `intel_s10sx_pac:pac_s10_usm`). Kernels that use these types of allocations can always be used to generate standalone IPs. + +## Prerequisites + +This sample is part of the FPGA code samples. +It is categorized as a Tier 1 sample that helps you getting started. + +```mermaid +flowchart LR + tier1("Tier 1: Get Started") + tier2("Tier 2: Explore the Fundamentals") + tier3("Tier 3: Explore the Advanced Techniques") + tier4("Tier 4: Explore the Reference Designs") + + tier1 --> tier2 --> tier3 --> tier4 + + style tier1 fill:#f96,stroke:#333,stroke-width:1px,color:#fff + style tier2 fill:#0071c1,stroke:#0071c1,stroke-width:1px,color:#fff + style tier3 fill:#0071c1,stroke:#0071c1,stroke-width:1px,color:#fff + style tier4 fill:#0071c1,stroke:#0071c1,stroke-width:1px,color:#fff +``` + +Find more information about how to navigate this part of the code samples in the [FPGA top-level README.md](/DirectProgramming/DPC++FPGA/README.md). +You can also find more information about [troubleshooting build errors](/DirectProgramming/C++SYCL_FPGA/README.md#troubleshooting), [running the sample on the Intel® DevCloud](/DirectProgramming/C++SYCL_FPGA/README.md#build-and-run-the-samples-on-intel-devcloud-optional), [using Visual Studio Code with the code samples](/DirectProgramming/C++SYCL_FPGA/README.md#use-visual-studio-code-vs-code-optional), [links to selected documentation](/DirectProgramming/C++SYCL_FPGA/README.md#documentation), etc. + +## Purpose + +This sample demonstrates how to add a oneAPI kernel to an Intel® Platform Designer system, and how to run it on a hardware board. It uses a JTAG to Avalon MM Agent IP to expose a oneAPI IP Authoring kernel to the JTAG control interface. This lets the user control and observe the behavior of the kernel using the System Console application. + +![](assets/csr-output-example-simple.svg) + +This example is intended for users interested in creating standalone modules that can be included in Intel® Quartus® Prime projects. It serves as a minimal example, and while it targets a very specific board, a user familiar with the Intel® Quartus® Prime suite should be able to easily port this design to other hardware. + +### Board-specific Considerations + +This design is intended to work with the Intel® Arria® 10 SX SoC Development Kit. The board specific configurations are: +1. Choose `10AS066N3F40E2SG` device to match the devkit +2. Choose pin `PIN_AM10` to drive the `i_clk` signal +3. Choose pin `PIN_AR23` to drive the `fpga_led` signal +4. Choose pin `PIN_AV21` to drive the `reset_button_n` signal +5. Use `jtag.sdc` from the Intel® Arria® 10 SoC Golden Hardware Reference Design (GHRD) [source code](https://github.com/altera-opensource/ghrd-socfpga). + +## Building the `platform_designer` Tutorial + +> **Note**: When working with the command-line interface (CLI), you should configure the oneAPI toolkits using environment variables. +> Set up your CLI environment by sourcing the `setvars` script located in the root of your oneAPI installation every time you open a new terminal window. +> This practice ensures that your compiler, libraries, and tools are ready for development. +> +> Linux*: +> - For system wide installations: `. /opt/intel/oneapi/setvars.sh` +> - For private installations: ` . ~/intel/oneapi/setvars.sh` +> - For non-POSIX shells, like csh, use the following command: `bash -c 'source /setvars.sh ; exec csh'` +> +> Windows*: +> - `C:\Program Files(x86)\Intel\oneAPI\setvars.bat` +> - Windows PowerShell*, use the following command: `cmd.exe "/K" '"C:\Program Files (x86)\Intel\oneAPI\setvars.bat" && powershell'` +> +> For more information on configuring environment variables, see [Use the setvars Script with Linux* or macOS*](https://www.intel.com/content/www/us/en/develop/documentation/oneapi-programming-guide/top/oneapi-development-environment-setup/use-the-setvars-script-with-linux-or-macos.html) or [Use the setvars Script with Windows*](https://www.intel.com/content/www/us/en/develop/documentation/oneapi-programming-guide/top/oneapi-development-environment-setup/use-the-setvars-script-with-windows.html). + +Follow these steps to compile and test the design: +1. Compile the SYCL code to RTL using a Windows or Linux machine. + + Linux: + + ```bash + $> cd add-oneapi + $> mkdir build + $> cd build + $> cmake .. + $> make fpga_ip_export + ``` + + Windows: + + ```bash + > cd add-oneapi + > mkdir build + > cd build + > cmake -G "NMake Makefiles" .. + > nmake fpga_ip_export + ``` + + For more details, including guidance on testing with emulation and co-simulation, see the [README](add-oneapi/README.md) in the `add-oneapi` directory. + +2. **From the same terminal**, launch the Intel® Quartus® Prime Pro Edition GUI, and create a new Intel® Quartus® Prime project using the 'New Project' wizard. + + Linux: + + ``` + $> cd ../../ + $> mkdir add-quartus + $> cd add-quartus + $> quartus + ``` + + Windows: + + ``` + > cd ..\..\ + > mkdir add-quartus + > cd add-quartus + > quartus.exe + ``` + + 1. Set the project directory to be the `add-quartus` directory of this code sample. + + 2. Set the top-level entity to be `add` to make project management easier. + + ![](assets/quartus_new_project.png) + + 3. Choose **Empty Project** when prompted to select a project type. + + 4. Add the source file `add.sv` and `jtag.sdc` to the design when the wizard prompts you. These may be copied from `add-quartus-sln`. + + ![](assets/add-files.png) + + 5. Make sure you choose an appropriate device. See **Board-specific Considerations** above. + +3. Copy the oneAPI-generated IP to the Intel Quartus® Prime project. This design uses host pipes, which generates additional internal SYCL kernels. The `fpga_export` build target uses the `-fsycl-device-code-split=per_kernel` flag to separate these additional kernels from your kernel, but these kernels will have their own reports and associated RTL. You will therefore need to hunt for the `.prj_X` directory that contains the IP you want to use in your design. + + You can identify the correct `.prj_X` folder by looking for the one that contains a `*_di_inst.v` whose interfaces match your kernel. For example, in this project, `add_xample.fpga_ip.prj_1` is the correct `.prj_x` directory, because `add_example_fpga_ip_1_di_inst.v` contains only a CSR Agent interface in addition to the clock/reset signals: + + ```verilog + add_fpga_ip_export_1_di add_fpga_ip_export_1_di_inst ( + // Interface: clock (clock end) + .clock ( ), // 1-bit clk input + // Interface: clock2x (clock end) + .clock2x ( ), // 1-bit clk input + // Interface: resetn (conduit end) + .resetn ( ), // 1-bit data input + // Interface: device_exception_bus (conduit end) + .device_exception_bus ( ), // 64-bit data output + // Interface: kernel_irqs (interrupt end) + .kernel_irqs ( ), // 1-bit irq output + // Interface: csr_ring_root_avs (avalon end) + .csr_ring_root_avs_read ( ), // 1-bit read input + .csr_ring_root_avs_readdata ( ), // 64-bit readdata output + .csr_ring_root_avs_readdatavalid( ), // 1-bit readdatavalid output + .csr_ring_root_avs_write ( ), // 1-bit write input + .csr_ring_root_avs_writedata ( ), // 64-bit writedata input + .csr_ring_root_avs_address ( ), // 5-bit address input + .csr_ring_root_avs_byteenable ( ), // 8-bit byteenable input + .csr_ring_root_avs_waitrequest ( ) // 1-bit waitrequest output + ); + ``` + + Linux: + + ``` + $> cd .. # navigate to project root if not there already + $> cp -r add-oneapi/build/add.fpga_ip_export.prj_1/ add-quartus/ + ``` + + Windows: + + ``` + > cd .. # navigate to project root if not there already + > xcopy add-oneapi\build\add.fpga_ip_export.prj_1\ add-quartus\add.fpga_ip_export.prj_1 /e /s /i + ``` + +4. [oneAPI 2023.0 only] Correct the generated `_hw.tcl` file by running the `*_di_hw_tcl_adjustment_script.py` script in the .prj directory. + + Linux/Windows: + + ``` + $> cd add.fpga_ip_export.prj_1 + $> python add_fpga_ip_export_1_di_hw_tcl_adjustment_script.py + Success! Adjusted add_fpga_ip_export_1_di_hw.tcl file! + The adjustment log is in: adjustments_di_hw_tcl.log + The original file is in: add_fpga_ip_export_1_di_hw.tcl_original + ``` + +5. Create the Platform Designer system. + + 1. Open Platform Designer from the Intel® Quartus® Prime GUI: + + ![](assets/open-platform-designer-button.png) + + Create a new system by clicking the 'New Platform Designer System' button (![](assets/new-platform-designer-system-button.png)) and name it `add_kernel_wrapper.qsys`. + + Configure the `Reset Bridge` IP as shown: + + ![](assets/reset-bridge.png) + + 2. Add the following IP to your system: + + * Basic Functions > Bridges and Adaptors > Memory Mapped > **JTAG to Avalon Master Bridge Intel® FPGA IP** + + * oneAPI > **add_fpga_ip_export_1_di** + + ![](assets/add-ip-platform-designer.png) + + 3. Connect the modules as shown: + + ![](assets/complete-system_platform-designer.png) + + Don't forget to export the `irq_add` and `exception_add` signals. We provided a top-level RTL file (`add.sv`) that uses the generated IP. Following these naming conventions allows you to connect the oneAPI kernel to this handwritten RTL. + + 4. Save the system by clicking `File` > `Save` + + 5. Generate the syste so we can include it in the Intel® Quartus® Prime project by clicking `Generate HDL...` + + ![](assets/generate-hdl.png) + + 6. Close Platform Designer. + +6. In the Intel® Quartus® Prime window, run Analysis and Elaboration by clicking 'Start Analysis and Elaboration'. + + ![](assets/start-analysis.png) + +7. Now, we will select pins for the `i_clk` and `reset_button_n` inputs and `fpga_led` output. The JTAG IP will handle the connection between your design and the JTAG pins on your board automatically. + + 1. Open the pin planner using `Assignments` > `Pin Planner` in the main Intel® Quartus® Prime GUI. Consult the data sheet for your board to choose an appropriate clock input. In this project, the `PIN_AM10` was chosen because it is used for supplying a 100MHz clock signal in the the GHRD source code (see link in **Board-specifc Considerations**). + + 2. Assign pins for the `fpga_led` and `reset_button_n` signals using the same methodology: + + *Pin planner from GHRD:* + + ![](assets/pins-from-ghrd.png) + + *Final pin planner configuration:* + + ![](assets/pins-from-design.png) + +8. Now add the timing constraints. + + 1. If you are using the Intel® Arria® 10 SX SoC Dev Kit, you can find a timing constraints file for the JTAG interface (jtag.sdc) in the GHRD. This file was added during project creation. + + 2. Create a new Synopsis Design Constraints (SDC) file named `add.sdc` and insert a new clock called `i_clk` to match the clock you defined in `add.sv`. Set the period to be 10ns: + + ``` + set_time_format -unit ns -decimal_places 3 + create_clock -name i_clk -period 10 [get_ports {i_clk}] + ``` + + 3. Cut the clock paths for asynchronous I/O: + + ``` + set_false_path -from [get_ports {reset_button_n}] -to * + set_false_path -from [get_ports {fpga_led}] -to * + set_false_path -from * -to [get_ports {fpga_led}] + ``` + +9. Compile the full design by clicking the 'Start Compilation' button in the Intel® Quartus® Prime GUI. + + ![](assets/start-compilation-quartus.png) + +10. Copy the generated `add.sof` file to the `system_console` directory. + +### Additional Documentation +- [Explore SYCL* Through Intel® FPGA Code Samples](https://software.intel.com/content/www/us/en/develop/articles/explore-dpcpp-through-intel-fpga-code-samples.html) helps you to navigate the samples and build your knowledge of FPGAs and SYCL. +- [FPGA Optimization Guide for Intel® oneAPI Toolkits](https://software.intel.com/content/www/us/en/develop/documentation/oneapi-fpga-optimization-guide) helps you understand how to target FPGAs using SYCL and Intel® oneAPI Toolkits. +- [Intel® Arria® 10 SoC Golden System Reference Design](https://rocketboards.org/foswiki/Documentation/Arria10SoCGSRD) describes a reference design you can use with your Intel® Arria® 10 SX SoC Developer kit. +- [Intel® Arria 10 SX SoC Development Kit](https://www.intel.com/content/www/us/en/products/details/fpga/development-kits/arria/10-sx.html) describes the Intel® Arria® 10 SX SoC Development kit in greater detail. +- [Intel® FPGA Software Installation and Licensing](https://www.intel.com/content/www/us/en/docs/programmable/683472/current/faq.html) describes how to license Intel® Quartus® Prime Pro software. +- [Intel® oneAPI Programming Guide](https://software.intel.com/en-us/oneapi-programming-guide) helps you understand target-independent, SYCL-compliant programming using Intel® oneAPI Toolkits. +- [Intel® Quartus® Prime Pro Edition User Guide: Getting Started](https://www.intel.com/content/www/us/en/docs/programmable/683463/current/faq.html) introduces you to the Intel® Quartus® Prime Pro software. +- [Intel® Quartus® Prime Pro Edition User Guide: Platform Designer](https://www.intel.com/content/www/us/en/docs/programmable/683609/current/faq.html) describes the Intel® Platform Designer software. +- [Intel® Quartus® Prime Pro Edition User Guide: Programmer](https://www.intel.com/content/www/us/en/docs/programmable/683039/current/programmer-user-guide.html) describes the Intel® Quartus® Prime Pro Programmer software. + +## Running the Sample + +Use the `test.bat` script in the `system_console` directory to flash the design to your development board, and launch the system console. The included `.tcl` scripts in the `system_console` directory demonstrate how to use the System Console to interact with your IP through the JTAG Avalon Master IP on the FPGA. + +If you need to move the design to a different computer, make sure you copy the `system_console` and directories from the `add-quartus` directory. + +See output: + +``` +> test.bat +Info: ******************************************************************* +Info: Running Quartus Prime Programmer + +Info: Quartus Prime Programmer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 1309 megabytes + Info: Processing ended: Wed Feb 8 15:26:54 2023 + Info: Elapsed time: 00:00:20 + Info: System process ID: 16980 +Press any key to continue . . . + +--------------------------------------- +--------------------------------------- + Welcome to Intel's FPGA System Console + + +--------------------------------------- +% source jtag_avmm.tcl +% source read_outputs.tcl +Outputs: + Data (0x78): 0x00000000 0x00000000 + Status (0x00): 0x00040000 + finish (0x28): 0x00000000 0x00000000 +% source load_inputs.tcl +Store 6 to address 0x88 +Store 3 to address 0x8c +Set 'Start' bit to 1 +% source read_outputs.tcl +Outputs: + Data (0x78): 0x00000009 0x00000000 + Status (0x00): 0x00040002 + finish (0x28): 0x00000001 0x00000000 +% +``` + +## License +Code samples are licensed under the MIT license. See +[License.txt](https://github.com/oneapi-src/oneAPI-samples/blob/master/License.txt) for details. + +Third party program Licenses can be found here: [third-party-programs.txt](https://github.com/oneapi-src/oneAPI-samples/blob/master/third-party-programs.txt). \ No newline at end of file diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-oneapi/CMakeLists.txt b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-oneapi/CMakeLists.txt new file mode 100644 index 0000000000..46558396f4 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-oneapi/CMakeLists.txt @@ -0,0 +1,320 @@ +# Direct CMake to use icpx rather than the default C++ compiler/linker on Linux +# and icx-cl on Windows +if(UNIX) + set(CMAKE_CXX_COMPILER icpx) +else() # Windows + include (CMakeForceCompiler) + CMAKE_FORCE_CXX_COMPILER (icx-cl IntelDPCPP) + include (Platform/Windows-Clang) +endif() + +cmake_minimum_required (VERSION 3.7.2) + +project(Add_oneAPI CXX) + +set(CMAKE_ARCHIVE_OUTPUT_DIRECTORY ${CMAKE_BINARY_DIR}) +set(CMAKE_LIBRARY_OUTPUT_DIRECTORY ${CMAKE_BINARY_DIR}) +set(CMAKE_RUNTIME_OUTPUT_DIRECTORY ${CMAKE_BINARY_DIR}) + +############################################################################### +### Customize these build variables +############################################################################### +set(SOURCE_FILES src/add.cpp) +set(TARGET_NAME add) + +# Use cmake -DFPGA_DEVICE=: to choose a +# different device. Here are a few device examples (this list is not +# exhaustive): +# intel_s10sx_pac:pac_s10 +# intel_s10sx_pac:pac_s10_usm +# intel_a10gx_pac:pac_a10 +# Note that depending on your installation, you may need to specify the full +# path to the board support package (BSP), this usually is in your install +# folder. +# +# You can also specify a device family (E.g. "Arria10" or "Stratix10") or a +# specific part number (E.g. "10AS066N3F40E2SG") to generate a standalone IP. +if(NOT DEFINED FPGA_DEVICE) + set(FPGA_DEVICE "10AS066N3F40E2SG") +endif() + +# Use cmake -DUSER_FPGA_FLAGS= to set extra flags for FPGA backend +# compilation. +set(USER_FPGA_FLAGS ${USER_FPGA_FLAGS}) + +# Use cmake -DUSER_FLAGS= to set extra flags for general compilation. +set(USER_FLAGS ${USER_FLAGS}) + +# Use cmake -DUSER_INCLUDE_PATHS= to set extra paths for general +# compilation. +set(USER_INCLUDE_PATHS ../../../../../include;${USER_INCLUDE_PATHS}) + +############################################################################### +### no changes after here +############################################################################### + +# Print the device being used for the compiles +message(STATUS "Configuring the design to run on FPGA board ${FPGA_DEVICE}") + +# Set the names of the makefile targets to be generated by cmake +set(EMULATOR_TARGET fpga_emu) +set(SIMULATOR_TARGET fpga_sim) +set(REPORT_TARGET report) +set(FPGA_TARGET fpga) +set(IP_EXPORT_TARGET fpga_ip_export) + +# Set the names of the generated files per makefile target +set(EMULATOR_OUTPUT_NAME ${TARGET_NAME}.${EMULATOR_TARGET}) +set(SIMULATOR_OUTPUT_NAME ${TARGET_NAME}.${SIMULATOR_TARGET}) +set(REPORT_OUTPUT_NAME ${TARGET_NAME}.${REPORT_TARGET}) +set(FPGA_OUTPUT_NAME ${TARGET_NAME}.${FPGA_TARGET}) +set(IP_EXPORT_OUTPUT_NAME ${TARGET_NAME}.${IP_EXPORT_TARGET}) + +message(STATUS "Additional USER_FPGA_FLAGS=${USER_FPGA_FLAGS}") +message(STATUS "Additional USER_FLAGS=${USER_FLAGS}") + +include_directories(${USER_INCLUDE_PATHS}) +message(STATUS "Additional USER_INCLUDE_PATHS=${USER_INCLUDE_PATHS}") + +link_directories(${USER_LIB_PATHS}) +message(STATUS "Additional USER_LIB_PATHS=${USER_LIB_PATHS}") + +link_libraries(${USER_LIBS}) +message(STATUS "Additional USER_LIBS=${USER_LIBS}") + +if(WIN32) + # add qactypes for Windows + set(QACTYPES "-Qactypes") + # This is a Windows-specific flag that enables exception handling in host code + set(WIN_FLAG "/EHsc") +else() + # add qactypes for Linux + set(QACTYPES "-qactypes") +endif() + +set(COMMON_COMPILE_FLAGS -fsycl -fintelfpga -Wall ${WIN_FLAG} ${QACTYPES} ${USER_FLAGS}) +set(COMMON_LINK_FLAGS -fsycl -fintelfpga ${QACTYPES} ${USER_FLAGS}) + +# A SYCL ahead-of-time (AoT) compile processes the device code in two stages. +# 1. The "compile" stage compiles the device code to an intermediate +# representation (SPIR-V). +# 2. The "link" stage invokes the compiler's FPGA backend before linking. For +# this reason, FPGA backend flags must be passed as link flags in CMake. +set(EMULATOR_COMPILE_FLAGS -DFPGA_EMULATOR) +set(EMULATOR_LINK_FLAGS ) +set(REPORT_COMPILE_FLAGS -DFPGA_HARDWARE) +set(REPORT_LINK_FLAGS -Xshardware -Xstarget=${FPGA_DEVICE} ${USER_FPGA_FLAGS} -fsycl-link=early) +set(SIMULATOR_COMPILE_FLAGS -Xssimulation -DFPGA_SIMULATOR) +set(SIMULATOR_LINK_FLAGS -Xssimulation -Xsghdl -Xstarget=${FPGA_DEVICE} ${USER_FPGA_FLAGS} -reuse-exe=${CMAKE_BINARY_DIR}/${SIMULATOR_OUTPUT_NAME}) +set(FPGA_COMPILE_FLAGS -DFPGA_HARDWARE) +set(FPGA_LINK_FLAGS -Xshardware -Xstarget=${FPGA_DEVICE} ${USER_FPGA_FLAGS} -reuse-exe=${CMAKE_BINARY_DIR}/${FPGA_OUTPUT_NAME}) +# get rid of this once host pipes work properly +set(IP_EXPORT_COMPILE_FLAGS -DFPGA_HARDWARE) +set(IP_EXPORT_LINK_FLAGS -Xshardware -Xstarget=${FPGA_DEVICE} ${USER_FPGA_FLAGS} -fsycl-link=early -fsycl-device-code-split=per_kernel) + +############################################################################### +### FPGA Emulator +############################################################################### +add_executable(${EMULATOR_TARGET} ${SOURCE_FILES}) +target_compile_options(${EMULATOR_TARGET} PRIVATE ${COMMON_COMPILE_FLAGS}) +target_compile_options(${EMULATOR_TARGET} PRIVATE ${EMULATOR_COMPILE_FLAGS}) +target_link_libraries(${EMULATOR_TARGET} ${COMMON_LINK_FLAGS}) +target_link_libraries(${EMULATOR_TARGET} ${EMULATOR_LINK_FLAGS}) +set_target_properties(${EMULATOR_TARGET} PROPERTIES OUTPUT_NAME ${EMULATOR_OUTPUT_NAME}) + +############################################################################### +### FPGA Simulator +############################################################################### +add_executable(${SIMULATOR_TARGET} ${SOURCE_FILES}) +target_compile_options(${SIMULATOR_TARGET} PRIVATE ${COMMON_COMPILE_FLAGS}) +target_compile_options(${SIMULATOR_TARGET} PRIVATE ${SIMULATOR_COMPILE_FLAGS}) +target_link_libraries(${SIMULATOR_TARGET} ${COMMON_LINK_FLAGS}) +target_link_libraries(${SIMULATOR_TARGET} ${SIMULATOR_LINK_FLAGS}) +set_target_properties(${SIMULATOR_TARGET} PROPERTIES OUTPUT_NAME ${SIMULATOR_OUTPUT_NAME}) + +############################################################################### +### Generate Report +############################################################################### +add_executable(${REPORT_TARGET} ${SOURCE_FILES}) +target_compile_options(${REPORT_TARGET} PRIVATE ${COMMON_COMPILE_FLAGS}) +target_compile_options(${REPORT_TARGET} PRIVATE ${REPORT_COMPILE_FLAGS}) + +# The report target does not need the QACTYPES flag at link stage +set(MODIFIED_COMMON_LINK_FLAGS_REPORT ${COMMON_LINK_FLAGS}) +list(REMOVE_ITEM MODIFIED_COMMON_LINK_FLAGS_REPORT ${QACTYPES}) + +target_link_libraries(${REPORT_TARGET} ${MODIFIED_COMMON_LINK_FLAGS_REPORT}) +target_link_libraries(${REPORT_TARGET} ${REPORT_LINK_FLAGS}) +set_target_properties(${REPORT_TARGET} PROPERTIES OUTPUT_NAME ${REPORT_OUTPUT_NAME}) + +############################################################################### +### FPGA Hardware +############################################################################### +add_executable(${FPGA_TARGET} EXCLUDE_FROM_ALL ${SOURCE_FILES}) +target_compile_options(${FPGA_TARGET} PRIVATE ${COMMON_COMPILE_FLAGS}) +target_compile_options(${FPGA_TARGET} PRIVATE ${FPGA_COMPILE_FLAGS}) +target_link_libraries(${FPGA_TARGET} ${COMMON_LINK_FLAGS}) +target_link_libraries(${FPGA_TARGET} ${FPGA_LINK_FLAGS}) +set_target_properties(${FPGA_TARGET} PROPERTIES OUTPUT_NAME ${FPGA_OUTPUT_NAME}) + +############################################################################### +### FPGA IP Export (only necessary until native host pipes) +############################################################################### +add_executable(${IP_EXPORT_TARGET} ${SOURCE_FILES}) +target_compile_options(${IP_EXPORT_TARGET} PRIVATE ${COMMON_COMPILE_FLAGS}) +target_compile_options(${IP_EXPORT_TARGET} PRIVATE ${IP_EXPORT_COMPILE_FLAGS}) + +# The ip export target does not need the QACTYPES flag at link stage +set(MODIFIED_COMMON_LINK_FLAGS_EXPORT ${COMMON_LINK_FLAGS}) +list(REMOVE_ITEM MODIFIED_COMMON_LINK_FLAGS_EXPORT ${QACTYPES}) + +target_link_libraries(${IP_EXPORT_TARGET} ${MODIFIED_COMMON_LINK_FLAGS_EXPORT}) +target_link_libraries(${IP_EXPORT_TARGET} ${IP_EXPORT_LINK_FLAGS}) +set_target_properties(${IP_EXPORT_TARGET} PROPERTIES OUTPUT_NAME ${IP_EXPORT_OUTPUT_NAME}) + +############################################################################### +### This part only manipulates cmake variables to print the commands to the user +############################################################################### + +# set the correct object file extension depending on the target platform +if(WIN32) + set(OBJ_EXTENSION "obj") +else() + set(OBJ_EXTENSION "o") +endif() + +# Set the source file names in a string +set(SOURCE_FILE_NAME "${SOURCE_FILES}") + +function(getCompileCommands common_compile_flags special_compile_flags common_link_flags special_link_flags target output_name) + + set(file_names ${SOURCE_FILE_NAME}) + set(COMPILE_COMMAND ) + set(LINK_COMMAND ) + + foreach(source ${file_names}) + # Get the relative path to the source and object files + file(RELATIVE_PATH CURRENT_SOURCE_FILE ${CMAKE_CURRENT_BINARY_DIR} ${CMAKE_CURRENT_LIST_DIR}/${source}) + file(RELATIVE_PATH OBJ_FILE ${CMAKE_CURRENT_BINARY_DIR} ${CMAKE_CURRENT_BINARY_DIR}/CMakeFiles/${target}.dir/${source}.${OBJ_EXTENSION}) + + # Creating a string that contains the compile command + # Start by the compiler invocation + set(COMPILE_COMMAND "${COMPILE_COMMAND}${CMAKE_CXX_COMPILER}") + + # Add all the potential includes + foreach(INCLUDE ${USER_INCLUDE_PATHS}) + if(NOT IS_ABSOLUTE ${INCLUDE}) + file(RELATIVE_PATH INCLUDE ${CMAKE_CURRENT_BINARY_DIR} ${CMAKE_CURRENT_LIST_DIR}/${INCLUDE}) + endif() + set(COMPILE_COMMAND "${COMPILE_COMMAND} -I${INCLUDE}") + endforeach() + + # Add all the common compile flags + foreach(FLAG ${common_compile_flags}) + set(COMPILE_COMMAND "${COMPILE_COMMAND} ${FLAG}") + endforeach() + + # Add all the specific compile flags + foreach(FLAG ${special_compile_flags}) + set(COMPILE_COMMAND "${COMPILE_COMMAND} ${FLAG}") + endforeach() + + # Get the location of the object file + file(RELATIVE_PATH OBJ_FILE ${CMAKE_CURRENT_BINARY_DIR} ${CMAKE_CURRENT_BINARY_DIR}/CMakeFiles/${target}.dir/${source}.${OBJ_EXTENSION}) + + # Add the source file and the output file + set(COMPILE_COMMAND "${COMPILE_COMMAND} -c ${CURRENT_SOURCE_FILE} -o ${OBJ_FILE}\n") + endforeach() + + set(COMPILE_COMMAND "${COMPILE_COMMAND}" PARENT_SCOPE) + + # Creating a string that contains the link command + # Start by the compiler invocation + set(LINK_COMMAND "${LINK_COMMAND}${CMAKE_CXX_COMPILER}") + + # Add all the common link flags + foreach(FLAG ${common_link_flags}) + set(LINK_COMMAND "${LINK_COMMAND} ${FLAG}") + endforeach() + + # Add all the specific link flags + foreach(FLAG ${special_link_flags}) + set(LINK_COMMAND "${LINK_COMMAND} ${FLAG}") + endforeach() + + # Add the output file + set(LINK_COMMAND "${LINK_COMMAND} -o ${output_name}") + + foreach(source ${file_names}) + # Get the relative path to the source and object files + file(RELATIVE_PATH OBJ_FILE ${CMAKE_CURRENT_BINARY_DIR} ${CMAKE_CURRENT_BINARY_DIR}/CMakeFiles/${target}.dir/${source}.${OBJ_EXTENSION}) + + # Add the source file and the output file + set(LINK_COMMAND "${LINK_COMMAND} ${OBJ_FILE}") + endforeach() + + # Add all the potential library paths + foreach(LIB_PATH ${USER_LIB_PATHS}) + if(NOT IS_ABSOLUTE ${LIB_PATH}) + file(RELATIVE_PATH LIB_PATH ${CMAKE_CURRENT_BINARY_DIR} ${CMAKE_CURRENT_LIST_DIR}/${LIB_PATH}) + endif() + if(NOT WIN32) + set(LINK_COMMAND "${LINK_COMMAND} -L${LIB_PATH}") + else() + set(LINK_COMMAND "${LINK_COMMAND} -L${LIB_PATH} -Wl,-rpath,${LIB_PATH}") + endif() + endforeach() + + # Add all the potential includes + foreach(LIB ${USER_LIBS}) + set(LINK_COMMAND "${LINK_COMMAND} -l${LIB}") + endforeach() + + set(LINK_COMMAND "${LINK_COMMAND}" PARENT_SCOPE) + +endfunction() + +# Windows executable is going to have the .exe extension +if(WIN32) + set(EXECUTABLE_EXTENSION ".exe") +endif() + +# Display the compile instructions in the emulation flow +getCompileCommands("${COMMON_COMPILE_FLAGS}" "${EMULATOR_COMPILE_FLAGS}" "${COMMON_LINK_FLAGS}" "${EMULATOR_LINK_FLAGS}" "${EMULATOR_TARGET}" "${EMULATOR_OUTPUT_NAME}${EXECUTABLE_EXTENSION}") + +add_custom_target( displayEmulationCompileCommands ALL + ${CMAKE_COMMAND} -E cmake_echo_color --cyan "" + COMMENT "To compile manually:\n${COMPILE_COMMAND}\nTo link manually:\n${LINK_COMMAND}") +add_dependencies(${EMULATOR_TARGET} displayEmulationCompileCommands) + +# Display the compile instructions in the simulation flow +getCompileCommands("${COMMON_COMPILE_FLAGS}" "${SIMULATOR_COMPILE_FLAGS}" "${COMMON_LINK_FLAGS}" "${SIMULATOR_LINK_FLAGS}" "${SIMULATOR_TARGET}" "${SIMULATOR_OUTPUT_NAME}${EXECUTABLE_EXTENSION}") + +add_custom_target( displaySimulationCompileCommands ALL + ${CMAKE_COMMAND} -E cmake_echo_color --cyan "" + COMMENT "To compile manually:\n${COMPILE_COMMAND}\nTo link manually:\n${LINK_COMMAND}") +add_dependencies(${SIMULATOR_TARGET} displaySimulationCompileCommands) + +# Display the compile instructions in the report flow +getCompileCommands("${COMMON_COMPILE_FLAGS}" "${REPORT_COMPILE_FLAGS}" "${MODIFIED_COMMON_LINK_FLAGS_REPORT}" "${REPORT_LINK_FLAGS}" "${REPORT_TARGET}" "${REPORT_OUTPUT_NAME}${EXECUTABLE_EXTENSION}") + +add_custom_target( displayReportCompileCommands ALL + ${CMAKE_COMMAND} -E cmake_echo_color --cyan "" + COMMENT "To compile manually:\n${COMPILE_COMMAND}\nTo link manually:\n${LINK_COMMAND}") +add_dependencies(${REPORT_TARGET} displayReportCompileCommands) + +# Display the compile instructions in the IP export flow (Remove after native host pipes work properly) +getCompileCommands("${COMMON_COMPILE_FLAGS}" "${IP_EXPORT_COMPILE_FLAGS}" "${MODIFIED_COMMON_LINK_FLAGS_EXPORT}" "${IP_EXPORT_LINK_FLAGS}" "${IP_EXPORT_TARGET}" "${IP_EXPORT_OUTPUT_NAME}${EXECUTABLE_EXTENSION}") + +add_custom_target( displayExportCompileCommands ALL + ${CMAKE_COMMAND} -E cmake_echo_color --cyan "" + COMMENT "To compile manually:\n${COMPILE_COMMAND}\nTo link manually:\n${LINK_COMMAND}") +add_dependencies(${IP_EXPORT_TARGET} displayExportCompileCommands) + +# Display the compile instructions in the fpga flow +getCompileCommands("${COMMON_COMPILE_FLAGS}" "${FPGA_COMPILE_FLAGS}" "${COMMON_LINK_FLAGS}" "${FPGA_LINK_FLAGS}" "${FPGA_TARGET}" "${FPGA_OUTPUT_NAME}${EXECUTABLE_EXTENSION}") + +add_custom_target( displayFPGACompileCommands ALL + ${CMAKE_COMMAND} -E cmake_echo_color --cyan "" + COMMENT "To compile manually:\n${COMPILE_COMMAND}\nTo link manually:\n${LINK_COMMAND}") +add_dependencies(${FPGA_TARGET} displayFPGACompileCommands) diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-oneapi/src/add.cpp b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-oneapi/src/add.cpp new file mode 100644 index 0000000000..bed2279b41 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-oneapi/src/add.cpp @@ -0,0 +1,115 @@ +// Copyright (c) 2023 Intel Corporation +// SPDX-License-Identifier: MIT + +#include + +#include + +// oneAPI headers +#include +#include +#include + +#include "exception_handler.hpp" + +// use host pipes to write into addresses in the CSR +class OutputPipeID; +using OutputPipe = sycl::ext::intel::prototype::pipe< + OutputPipeID, int, 1, + // choose defaults for these 4: + 0, 1, true, false, + // store the most recently processed index to the CSR + sycl::ext::intel::prototype::internal::protocol_name::AVALON_MM>; + +// Forward declare the kernel name in the global scope. This is an FPGA best +// practice that reduces name mangling in the optimization reports. +class AdderID; + +struct Adder { + int a; + int b; + + void operator()() const { + int sum = a + b; + + OutputPipe::write(sum); + } +}; + +int main() { + bool passed = false; + + try { +// This design is tested with 2023.0, but also accounts for a syntax change in +// 2023.1 +#if __INTEL_CLANG_COMPILER >= 20230100 +#if FPGA_SIMULATOR + auto selector = sycl::ext::intel::fpga_simulator_selector_v; +#elif FPGA_HARDWARE + auto selector = sycl::ext::intel::fpga_selector_v; +#else // #if FPGA_EMULATOR + auto selector = sycl::ext::intel::fpga_emulator_selector_v; +#endif +#elif __INTEL_CLANG_COMPILER >= 20230000 +#if FPGA_SIMULATOR + auto selector = sycl::ext::intel::fpga_simulator_selector{}; +#elif FPGA_HARDWARE + auto selector = sycl::ext::intel::fpga_selector{}; +#else // #if FPGA_EMULATOR + auto selector = sycl::ext::intel::fpga_emulator_selector{}; +#endif +#else + assert(false) && "this design requires oneAPI 2023.0 or 2023.1!" +#endif + + sycl::queue q(selector, fpga_tools::exception_handler, + sycl::property::queue::enable_profiling{}); + + auto device = q.get_device(); + std::cout << "Running on device: " + << device.get_info().c_str() + << std::endl; + + int a = 3; + int b = 76; + + int expected_sum = a + b; + + std::cout << "add two integers using CSR for input." << std::endl; + + // no need to wait() since the pipe read will block until `Adder` has some + // output. + q.single_task(Adder{a, b}); + + // verify that outputs are correct + passed = true; + + std::cout << "collect results." << std::endl; + int calc_add = OutputPipe::read(q); + + std::cout << a << " + " << b << " = " << calc_add << ", expected " + << expected_sum << ". " << std::endl; + + if (calc_add != expected_sum) { + passed = false; + } + + } catch (sycl::exception const &e) { + // Catches exceptions in the host code. + std::cerr << "Caught a SYCL host exception:\n" << e.what() << "\n"; + + // Most likely the runtime couldn't find FPGA hardware! + if (e.code().value() == CL_DEVICE_NOT_FOUND) { + std::cerr << "If you are targeting an FPGA, please ensure that your " + "system has a correctly configured FPGA board.\n"; + std::cerr << "Run sys_check in the oneAPI root directory to verify.\n"; + std::cerr << "If you are targeting the FPGA emulator, compile with " + "-DFPGA_EMULATOR.\n"; + } + std::terminate(); + } + + std::cout << (passed ? "PASSED" : "FAILED") << std::endl; + + return passed ? EXIT_SUCCESS : EXIT_FAILURE; +} diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/.gitignore b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/.gitignore new file mode 100644 index 0000000000..1d89b3d608 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/.gitignore @@ -0,0 +1,18 @@ +# ignore temp files and caches created by quartus +DNI +output_files +qdb +tmp-clearbox +add.qws + +# ignore files generated by platform designer +.qsys_edit/ +add_kernel_wrapper + +# ignore oneAPI generated IP +add.fpga_ip_export.prj_1 + +# save .ip files, but not generated RTL +ip/**/* +!ip/**/ +!ip/**/*.ip \ No newline at end of file diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.qpf b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.qpf new file mode 100644 index 0000000000..65eb611e9a --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 22.3.0 Build 104 09/14/2022 SC Pro Edition +# Date created = 08:31:30 February 03, 2023 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "22.3" +DATE = "08:31:30 February 03, 2023" + +# Revisions + +PROJECT_REVISION = "add" diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.qsf b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.qsf new file mode 100644 index 0000000000..e3dba80d1a --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.qsf @@ -0,0 +1,24 @@ +set_global_assignment -name TOP_LEVEL_ENTITY add +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 22.3.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "08:31:30 FEBRUARY 03, 2023" +set_global_assignment -name LAST_QUARTUS_VERSION "22.3.0 Pro Edition" +set_global_assignment -name SDC_FILE jtag.sdc +set_global_assignment -name SYSTEMVERILOG_FILE add.sv +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 +set_global_assignment -name DEVICE 10AS066N3F40E2SG +set_global_assignment -name FAMILY "Arria 10" +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 2 +set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (Verilog)" +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name IP_FILE ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip +set_global_assignment -name IP_FILE ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip +set_global_assignment -name QSYS_FILE add_kernel_wrapper.qsys +set_global_assignment -name IP_FILE ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip +set_location_assignment PIN_AM10 -to i_clk +set_location_assignment PIN_AR23 -to fpga_led +set_location_assignment PIN_AV21 -to reset_button_n +set_global_assignment -name SDC_FILE add.sdc +set_global_assignment -name IP_FILE ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.sdc b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.sdc new file mode 100644 index 0000000000..f5989dbcf9 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.sdc @@ -0,0 +1,6 @@ +set_time_format -unit ns -decimal_places 3 +create_clock -name i_clk -period 10 [get_ports {i_clk}] + +set_false_path -from [get_ports {reset_button_n}] -to * +set_false_path -from [get_ports {fpga_led}] -to * +set_false_path -from * -to [get_ports {fpga_led}] \ No newline at end of file diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.sv b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.sv new file mode 100644 index 0000000000..1ba745435d --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add.sv @@ -0,0 +1,38 @@ +// Copyright (c) 2023 Intel Corporation +// SPDX-License-Identifier: MIT + +module add ( + input wire i_clk, + input wire reset_button_n, + output logic fpga_led + ); + + // invert reset_button_n and pipeline it a bit + logic reset_button_d1; + logic reset_button_d2; + logic reset_button_d3; + + always @ (posedge i_clk) + begin + reset_button_d1 <= ~reset_button_n; + reset_button_d2 <= reset_button_d1; + reset_button_d3 <= reset_button_d2; + end + + + // register the signal used by the LED + wire sort_done; + always @(posedge i_clk) + begin + // led is inverted + fpga_led <= ~sort_done; + end + + add_kernel_wrapper u0 ( + .exception_add_data (), // output, width = 64, exception_add_1.data + .irq_add_irq (sort_done), // output, width = 1, irq_add_1.irq + .clk_clk (i_clk), // input, width = 1, clk.clk + .reset_reset (reset_button_d3) // input, width = 1, reset.reset + ); + +endmodule diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add_kernel_wrapper.qsys b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add_kernel_wrapper.qsys new file mode 100644 index 0000000000..8cad3ddf75 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add_kernel_wrapper.qsys @@ -0,0 +1,2594 @@ + + + + Altera Corporation + add_kernel_wrapper + add_kernel_wrapper + 1.0 + + + + $${FILENAME} + $${FILENAME} + 1.0 + + + System + QsysPro + + + + + board + Board + default + + + bonusData + bonusData + bonusData +{ + element add_fpga_ip_export_1_di_0 + { + datum _sortIndex + { + value = "3"; + type = "int"; + } + } + element clock_in + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } + element master_0 + { + datum _sortIndex + { + value = "2"; + type = "int"; + } + } + element reset_in + { + datum _sortIndex + { + value = "1"; + type = "int"; + } + } +} + + + + designId + designId + + + + device + Device + 10AS066N3F40E2SG + + + deviceFamily + Device family + Arria 10 + + + deviceSpeedGrade + Device Speed Grade + 2 + + + fabricMode + fabricMode + QSYS + + + generateLegacySim + generateLegacySim + false + + + generationId + Generation Id + 0 + + + globalResetBus + Global reset + false + + + hdlLanguage + hdlLanguage + VERILOG + + + hideFromIPCatalog + Hide from IP Catalog + false + + + lockedInterfaceDefinition + lockedInterfaceDefinition + + + + pinAssignmentListDefinition + pinAssignmentListDefinition + + + + sopcBorderPoints + Use SOPC Builder port naming + false + + + systemHash + systemHash + 0 + + + systemInfos + systemInfos + <systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>clk</key> + <value> + <connectionPointName>clk</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition> + + + systemScripts + systemScripts + + + + testBenchDutName + Use Test Bench Naming Pattern + + + + timeStamp + timeStamp + 0 + + + useTestBenchNamingPattern + Use Test Bench Naming Pattern + false + + + + + + + + + Altera Corporation + add_fpga_ip_export_1_di_0 + altera_generic_component + 1.0 + + + + + componentDefinition + Component definition + <componentDefinition> + <boundary> + <interfaces> + <interface> + <name>clock</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>clock</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>resetn</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>resetn</name> + <role>reset_n</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>BOTH</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>device_exception_bus</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>device_exception_bus</name> + <role>data</role> + <direction>Output</direction> + <width>64</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>associatedReset</key> + <value>resetn</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>kernel_irqs</name> + <type>interrupt</type> + <isStart>false</isStart> + <ports> + <port> + <name>kernel_irqs</name> + <role>irq</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedAddressablePoint</key> + </entry> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>associatedReset</key> + <value>resetn</value> + </entry> + <entry> + <key>bridgedReceiverOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToReceiver</key> + </entry> + <entry> + <key>irqScheme</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>csr_ring_root_avs</name> + <type>avalon</type> + <isStart>false</isStart> + <ports> + <port> + <name>csr_ring_root_avs_read</name> + <role>read</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>64</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_readdatavalid</name> + <role>readdatavalid</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_write</name> + <role>write</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_writedata</name> + <role>writedata</role> + <direction>Input</direction> + <width>64</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_address</name> + <role>address</role> + <direction>Input</direction> + <width>5</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_byteenable</name> + <role>byteenable</role> + <direction>Input</direction> + <width>8</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_waitrequest</name> + <role>waitrequest</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>embeddedsw.configuration.isFlash</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isMemoryDevice</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isNonVolatileStorage</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isPrintableDevice</key> + <value>0</value> + </entry> + <entry> + <key>hls.cosim.name</key> + <value></value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>addressAlignment</key> + <value>DYNAMIC</value> + </entry> + <entry> + <key>addressGroup</key> + <value>0</value> + </entry> + <entry> + <key>addressSpan</key> + <value>256</value> + </entry> + <entry> + <key>addressUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> + <value>false</value> + </entry> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>associatedReset</key> + <value>resetn</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>bridgedAddressOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToMaster</key> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>explicitAddressSpan</key> + <value>0</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isFlash</key> + <value>false</value> + </entry> + <entry> + <key>isMemoryDevice</key> + <value>false</value> + </entry> + <entry> + <key>isNonVolatileStorage</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>1</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>printableDevice</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>0</value> + </entry> + <entry> + <key>readWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>0</value> + </entry> + <entry> + <key>registerIncomingSignals</key> + <value>false</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> + <value>false</value> + </entry> + <entry> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>transparentBridge</key> + <value>false</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>wellBehavedWaitrequest</key> + <value>false</value> + </entry> + <entry> + <key>writeLatency</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>add_fpga_ip_export_1_di</className> + <version>1.0</version> + <displayName>add_fpga_ip_export_1_di</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors/> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>csr_ring_root_avs</key> + <value> + <connectionPointName>csr_ring_root_avs</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='csr_ring_root_avs' start='0x0' end='0x100' datawidth='64' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>8</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>64</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition> + + + defaultBoundary + Default boundary + <boundaryDefinition> + <interfaces> + <interface> + <name>clock</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>clock</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>resetn</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>resetn</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>BOTH</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>device_exception_bus</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>device_exception_bus</name> + <role>data</role> + <direction>Output</direction> + <width>64</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>associatedReset</key> + <value>resetn</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>kernel_irqs</name> + <type>interrupt</type> + <isStart>false</isStart> + <ports> + <port> + <name>kernel_irqs</name> + <role>irq</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedAddressablePoint</key> + </entry> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>associatedReset</key> + <value>resetn</value> + </entry> + <entry> + <key>bridgedReceiverOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToReceiver</key> + </entry> + <entry> + <key>irqScheme</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>csr_ring_root_avs</name> + <type>avalon</type> + <isStart>false</isStart> + <ports> + <port> + <name>csr_ring_root_avs_read</name> + <role>read</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>64</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_readdatavalid</name> + <role>readdatavalid</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_write</name> + <role>write</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_writedata</name> + <role>writedata</role> + <direction>Input</direction> + <width>64</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_address</name> + <role>address</role> + <direction>Input</direction> + <width>5</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_byteenable</name> + <role>byteenable</role> + <direction>Input</direction> + <width>8</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_waitrequest</name> + <role>waitrequest</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>embeddedsw.configuration.isFlash</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isMemoryDevice</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isNonVolatileStorage</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isPrintableDevice</key> + <value>0</value> + </entry> + <entry> + <key>hls.cosim.name</key> + <value></value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>addressAlignment</key> + <value>DYNAMIC</value> + </entry> + <entry> + <key>addressGroup</key> + <value>0</value> + </entry> + <entry> + <key>addressSpan</key> + <value>256</value> + </entry> + <entry> + <key>addressUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> + <value>false</value> + </entry> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>associatedReset</key> + <value>resetn</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>bridgedAddressOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToMaster</key> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>explicitAddressSpan</key> + <value>0</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isFlash</key> + <value>false</value> + </entry> + <entry> + <key>isMemoryDevice</key> + <value>false</value> + </entry> + <entry> + <key>isNonVolatileStorage</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>1</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>printableDevice</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>0</value> + </entry> + <entry> + <key>readWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>0</value> + </entry> + <entry> + <key>registerIncomingSignals</key> + <value>false</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> + <value>false</value> + </entry> + <entry> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>transparentBridge</key> + <value>false</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>wellBehavedWaitrequest</key> + <value>false</value> + </entry> + <entry> + <key>writeLatency</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> +</boundaryDefinition> + + + generationInfoDefinition + Generation Behavior + <generationInfoDefinition> + <hdlLibraryName>add_kernel_wrapper_add_fpga_ip_export_1_di_0</hdlLibraryName> + <fileSets> + <fileSet> + <fileSetName>add_kernel_wrapper_add_fpga_ip_export_1_di_0</fileSetName> + <fileSetFixedName>add_kernel_wrapper_add_fpga_ip_export_1_di_0</fileSetFixedName> + <fileSetKind>QUARTUS_SYNTH</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_add_fpga_ip_export_1_di_0</fileSetName> + <fileSetFixedName>add_kernel_wrapper_add_fpga_ip_export_1_di_0</fileSetFixedName> + <fileSetKind>SIM_VERILOG</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_add_fpga_ip_export_1_di_0</fileSetName> + <fileSetFixedName>add_kernel_wrapper_add_fpga_ip_export_1_di_0</fileSetFixedName> + <fileSetKind>SIM_VHDL</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_add_fpga_ip_export_1_di_0</fileSetName> + <fileSetFixedName>add_kernel_wrapper_add_fpga_ip_export_1_di_0</fileSetFixedName> + <fileSetKind>CDC</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_add_fpga_ip_export_1_di_0</fileSetName> + <fileSetFixedName>add_kernel_wrapper_add_fpga_ip_export_1_di_0</fileSetFixedName> + <fileSetKind>CDC_VHDL</fileSetKind> + <fileSetFiles/> + </fileSet> + </fileSets> + <pinAssignments/> +</generationInfoDefinition> + + + hdlParameters + HDL Parameters + <hdlParameterDescriptorDefinitionList/> + + + hlsFile + HLS file + + + + logicalView + Logical view + ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip + + + moduleAssignmentDefinition + Module Assignments + <assignmentDefinition> + <assignmentValueMap/> +</assignmentDefinition> + + + svInterfaceDefinition + System Verilog Interface definition + + + + + + + + Altera Corporation + clock_in + altera_generic_component + 1.0 + + + + + componentDefinition + Component definition + <componentDefinition> + <boundary> + <interfaces> + <interface> + <name>in_clk</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>in_clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>out_clk</name> + <type>clock</type> + <isStart>true</isStart> + <ports> + <port> + <name>out_clk</name> + <role>clk</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedDirectClock</key> + <value>in_clk</value> + </entry> + <entry> + <key>clockRate</key> + <value>50000000</value> + </entry> + <entry> + <key>clockRateKnown</key> + <value>true</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>altera_clock_bridge</className> + <version>19.2.0</version> + <displayName>Clock Bridge Intel FPGA IP</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue>0</parameterDefaultValue> + <parameterName>DERIVED_CLOCK_RATE</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>in_clk</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>in_clk</key> + <value> + <connectionPointName>in_clk</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>0</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>out_clk</key> + <value> + <connectionPointName>out_clk</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>50000000</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition> + + + defaultBoundary + Default boundary + <boundaryDefinition> + <interfaces> + <interface> + <name>in_clk</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>in_clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>out_clk</name> + <type>clock</type> + <isStart>true</isStart> + <ports> + <port> + <name>out_clk</name> + <role>clk</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedDirectClock</key> + <value>in_clk</value> + </entry> + <entry> + <key>clockRate</key> + <value>50000000</value> + </entry> + <entry> + <key>clockRateKnown</key> + <value>true</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> +</boundaryDefinition> + + + generationInfoDefinition + Generation Behavior + <generationInfoDefinition> + <hdlLibraryName>add_kernel_wrapper_clock_in</hdlLibraryName> + <fileSets> + <fileSet> + <fileSetName>add_kernel_wrapper_clock_in</fileSetName> + <fileSetFixedName>add_kernel_wrapper_clock_in</fileSetFixedName> + <fileSetKind>QUARTUS_SYNTH</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_clock_in</fileSetName> + <fileSetFixedName>add_kernel_wrapper_clock_in</fileSetFixedName> + <fileSetKind>SIM_VERILOG</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_clock_in</fileSetName> + <fileSetFixedName>add_kernel_wrapper_clock_in</fileSetFixedName> + <fileSetKind>SIM_VHDL</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_clock_in</fileSetName> + <fileSetFixedName>add_kernel_wrapper_clock_in</fileSetFixedName> + <fileSetKind>CDC</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_clock_in</fileSetName> + <fileSetFixedName>add_kernel_wrapper_clock_in</fileSetFixedName> + <fileSetKind>CDC_VHDL</fileSetKind> + <fileSetFiles/> + </fileSet> + </fileSets> + <pinAssignments/> +</generationInfoDefinition> + + + hdlParameters + HDL Parameters + <hdlParameterDescriptorDefinitionList/> + + + hlsFile + HLS file + + + + logicalView + Logical view + ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip + + + moduleAssignmentDefinition + Module Assignments + <assignmentDefinition> + <assignmentValueMap/> +</assignmentDefinition> + + + svInterfaceDefinition + System Verilog Interface definition + + + + + + + + Altera Corporation + master_0 + altera_generic_component + 1.0 + + + + + componentDefinition + Component definition + <componentDefinition> + <boundary> + <interfaces> + <interface> + <name>clk</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>clk_clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk_reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>clk_reset_reset</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>master_reset</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>master_reset_reset</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedDirectReset</key> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>none</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>master</name> + <type>avalon</type> + <isStart>true</isStart> + <ports> + <port> + <name>master_address</name> + <role>address</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_readdata</name> + <role>readdata</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_read</name> + <role>read</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_write</name> + <role>write</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_writedata</name> + <role>writedata</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_waitrequest</name> + <role>waitrequest</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_readdatavalid</name> + <role>readdatavalid</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_byteenable</name> + <role>byteenable</role> + <direction>Output</direction> + <width>4</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>debug.controlledBy</key> + <value>in_stream</value> + </entry> + <entry> + <key>debug.providesServices</key> + <value>master</value> + </entry> + <entry> + <key>debug.typeName</key> + <value>altera_jtag_avalon_master.master</value> + </entry> + <entry> + <key>debug.visible</key> + <value>true</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>adaptsTo</key> + </entry> + <entry> + <key>addressGroup</key> + <value>0</value> + </entry> + <entry> + <key>addressUnits</key> + <value>SYMBOLS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> + <value>false</value> + </entry> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedReset</key> + <value>clk_reset</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>dBSBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>doStreamReads</key> + <value>false</value> + </entry> + <entry> + <key>doStreamWrites</key> + <value>false</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isAsynchronous</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isReadable</key> + <value>false</value> + </entry> + <entry> + <key>isWriteable</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maxAddressWidth</key> + <value>32</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>0</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>0</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>1</value> + </entry> + <entry> + <key>registerIncomingSignals</key> + <value>false</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> + <value>false</value> + </entry> + <entry> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>altera_jtag_avalon_master</className> + <version>19.1</version> + <displayName>JTAG to Avalon Master Bridge Intel FPGA IP</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>AUTO_DEVICE</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>AUTO_DEVICE_FAMILY</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE_FAMILY</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>AUTO_DEVICE_SPEEDGRADE</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>0</parameterDefaultValue> + <parameterName>COMPONENT_CLOCK</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>clock</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos/> + </systemInfos> +</componentDefinition> + + + defaultBoundary + Default boundary + <boundaryDefinition> + <interfaces> + <interface> + <name>clk</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>clk_clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk_reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>clk_reset_reset</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>master_reset</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>master_reset_reset</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedDirectReset</key> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>none</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>master</name> + <type>avalon</type> + <isStart>true</isStart> + <ports> + <port> + <name>master_address</name> + <role>address</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_readdata</name> + <role>readdata</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_read</name> + <role>read</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_write</name> + <role>write</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_writedata</name> + <role>writedata</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_waitrequest</name> + <role>waitrequest</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_readdatavalid</name> + <role>readdatavalid</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_byteenable</name> + <role>byteenable</role> + <direction>Output</direction> + <width>4</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>debug.controlledBy</key> + <value>in_stream</value> + </entry> + <entry> + <key>debug.providesServices</key> + <value>master</value> + </entry> + <entry> + <key>debug.typeName</key> + <value>altera_jtag_avalon_master.master</value> + </entry> + <entry> + <key>debug.visible</key> + <value>true</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>adaptsTo</key> + </entry> + <entry> + <key>addressGroup</key> + <value>0</value> + </entry> + <entry> + <key>addressUnits</key> + <value>SYMBOLS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> + <value>false</value> + </entry> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedReset</key> + <value>clk_reset</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>dBSBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>doStreamReads</key> + <value>false</value> + </entry> + <entry> + <key>doStreamWrites</key> + <value>false</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isAsynchronous</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isReadable</key> + <value>false</value> + </entry> + <entry> + <key>isWriteable</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maxAddressWidth</key> + <value>32</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>0</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>0</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>1</value> + </entry> + <entry> + <key>registerIncomingSignals</key> + <value>false</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> + <value>false</value> + </entry> + <entry> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> +</boundaryDefinition> + + + generationInfoDefinition + Generation Behavior + <generationInfoDefinition> + <hdlLibraryName>add_kernel_wrapper_master_0</hdlLibraryName> + <fileSets> + <fileSet> + <fileSetName>add_kernel_wrapper_master_0</fileSetName> + <fileSetFixedName>add_kernel_wrapper_master_0</fileSetFixedName> + <fileSetKind>QUARTUS_SYNTH</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_master_0</fileSetName> + <fileSetFixedName>add_kernel_wrapper_master_0</fileSetFixedName> + <fileSetKind>SIM_VERILOG</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_master_0</fileSetName> + <fileSetFixedName>add_kernel_wrapper_master_0</fileSetFixedName> + <fileSetKind>SIM_VHDL</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_master_0</fileSetName> + <fileSetFixedName>add_kernel_wrapper_master_0</fileSetFixedName> + <fileSetKind>CDC</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_master_0</fileSetName> + <fileSetFixedName>add_kernel_wrapper_master_0</fileSetFixedName> + <fileSetKind>CDC_VHDL</fileSetKind> + <fileSetFiles/> + </fileSet> + </fileSets> + <pinAssignments/> +</generationInfoDefinition> + + + hdlParameters + HDL Parameters + <hdlParameterDescriptorDefinitionList/> + + + hlsFile + HLS file + + + + logicalView + Logical view + ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip + + + moduleAssignmentDefinition + Module Assignments + <assignmentDefinition> + <assignmentValueMap> + <entry> + <key>debug.hostConnection</key> + <value>type jtag id 110:132</value> + </entry> + </assignmentValueMap> +</assignmentDefinition> + + + svInterfaceDefinition + System Verilog Interface definition + + + + + + + + Altera Corporation + reset_in + altera_generic_component + 1.0 + + + + + componentDefinition + Component definition + <componentDefinition> + <boundary> + <interfaces> + <interface> + <name>in_reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>in_reset</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>out_reset</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>out_reset</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedDirectReset</key> + <value>in_reset</value> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>in_reset</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>altera_reset_bridge</className> + <version>19.2.0</version> + <displayName>Reset Bridge Intel FPGA IP</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_CLK_CLOCK_RATE</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>clk</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos/> + </systemInfos> +</componentDefinition> + + + defaultBoundary + Default boundary + <boundaryDefinition> + <interfaces> + <interface> + <name>in_reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>in_reset</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>out_reset</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>out_reset</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedDirectReset</key> + <value>in_reset</value> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>in_reset</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> +</boundaryDefinition> + + + generationInfoDefinition + Generation Behavior + <generationInfoDefinition> + <hdlLibraryName>add_kernel_wrapper_reset_in</hdlLibraryName> + <fileSets> + <fileSet> + <fileSetName>add_kernel_wrapper_reset_in</fileSetName> + <fileSetFixedName>add_kernel_wrapper_reset_in</fileSetFixedName> + <fileSetKind>QUARTUS_SYNTH</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_reset_in</fileSetName> + <fileSetFixedName>add_kernel_wrapper_reset_in</fileSetFixedName> + <fileSetKind>SIM_VERILOG</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_reset_in</fileSetName> + <fileSetFixedName>add_kernel_wrapper_reset_in</fileSetFixedName> + <fileSetKind>SIM_VHDL</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_reset_in</fileSetName> + <fileSetFixedName>add_kernel_wrapper_reset_in</fileSetFixedName> + <fileSetKind>CDC</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>add_kernel_wrapper_reset_in</fileSetName> + <fileSetFixedName>add_kernel_wrapper_reset_in</fileSetFixedName> + <fileSetKind>CDC_VHDL</fileSetKind> + <fileSetFiles/> + </fileSet> + </fileSets> + <pinAssignments/> +</generationInfoDefinition> + + + hdlParameters + HDL Parameters + <hdlParameterDescriptorDefinitionList/> + + + hlsFile + HLS file + + + + logicalView + Logical view + ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip + + + moduleAssignmentDefinition + Module Assignments + <assignmentDefinition> + <assignmentValueMap/> +</assignmentDefinition> + + + svInterfaceDefinition + System Verilog Interface definition + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Intel Corporation + addressMap + addressMap + 1.0 + + + add_fpga_ip_export_1_di_0.csr_ring_root_avs + + + + master_0.master + + + + 0x0000_0000 + + + + + + + master_0.master + + + add_fpga_ip_export_1_di_0.csr_ring_root_avs + 0x0000_0000 + 0x0000_0100 + + + + + + + + false + false + + \ No newline at end of file diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add_kernel_wrapper.qsys.legacy b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add_kernel_wrapper.qsys.legacy new file mode 100644 index 0000000000..c40d0bc8fe --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/add_kernel_wrapper.qsys.legacy @@ -0,0 +1,2324 @@ + + + + + + + + + + + + + + + + + + + + + + + + clk + + clk + + + CLOCK_RATE + + + + + + +]]> + + + + + + + + + + + + + + + clock + clock + false + + + clock + clk + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + clockRate + 0 + + + externallyDriven + false + + + ptfSchematicName + + + + + + resetn + reset + false + + + resetn + reset_n + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedClock + clock + + + synchronousEdges + BOTH + + + + + + device_exception_bus + conduit + false + + + device_exception_bus + data + Output + 64 + 0 + STD_LOGIC_VECTOR + 0 + + + + + + + + + associatedClock + clock + + + associatedReset + resetn + + + prSafe + false + + + + + + kernel_irqs + interrupt + false + + + kernel_irqs + irq + Output + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedAddressablePoint + + + associatedClock + clock + + + associatedReset + resetn + + + bridgedReceiverOffset + 0 + + + bridgesToReceiver + + + irqScheme + NONE + + + + + + csr_ring_root_avs + avalon + false + + + csr_ring_root_avs_read + read + Input + 1 + 0 + STD_LOGIC + 0 + + + csr_ring_root_avs_readdata + readdata + Output + 64 + 0 + STD_LOGIC_VECTOR + 0 + + + csr_ring_root_avs_readdatavalid + readdatavalid + Output + 1 + 0 + STD_LOGIC + 0 + + + csr_ring_root_avs_write + write + Input + 1 + 0 + STD_LOGIC + 0 + + + csr_ring_root_avs_writedata + writedata + Input + 64 + 0 + STD_LOGIC_VECTOR + 0 + + + csr_ring_root_avs_address + address + Input + 5 + 0 + STD_LOGIC_VECTOR + 0 + + + csr_ring_root_avs_byteenable + byteenable + Input + 8 + 0 + STD_LOGIC_VECTOR + 0 + + + csr_ring_root_avs_waitrequest + waitrequest + Output + 1 + 0 + STD_LOGIC + 0 + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + hls.cosim.name + + + + + + + + addressAlignment + DYNAMIC + + + addressGroup + 0 + + + addressSpan + 256 + + + addressUnits + WORDS + + + alwaysBurstMaxBurst + false + + + associatedClock + clock + + + associatedReset + resetn + + + bitsPerSymbol + 8 + + + bridgedAddressOffset + 0 + + + bridgesToMaster + + + burstOnBurstBoundariesOnly + false + + + burstcountUnits + WORDS + + + constantBurstBehavior + false + + + explicitAddressSpan + 0 + + + holdTime + 0 + + + interleaveBursts + false + + + isBigEndian + false + + + isFlash + false + + + isMemoryDevice + false + + + isNonVolatileStorage + false + + + linewrapBursts + false + + + maximumPendingReadTransactions + 1 + + + maximumPendingWriteTransactions + 0 + + + minimumReadLatency + 1 + + + minimumResponseLatency + 1 + + + minimumUninterruptedRunLength + 1 + + + prSafe + false + + + printableDevice + false + + + readLatency + 0 + + + readWaitStates + 0 + + + readWaitTime + 0 + + + registerIncomingSignals + false + + + registerOutgoingSignals + false + + + setupTime + 0 + + + timingUnits + Cycles + + + transparentBridge + false + + + waitrequestAllowance + 0 + + + wellBehavedWaitrequest + false + + + writeLatency + 0 + + + writeWaitStates + 0 + + + writeWaitTime + 0 + + + + + + + + add_fpga_ip_export_1_di + 1.0 + add_fpga_ip_export_1_di + + + + + + + + csr_ring_root_avs + + csr_ring_root_avs + + + ADDRESS_MAP + <address-map><slave name='csr_ring_root_avs' start='0x0' end='0x100' datawidth='64' /></address-map> + + + ADDRESS_WIDTH + 8 + + + MAX_SLAVE_DATA_WIDTH + 64 + + + + + + + +]]> + + + + clock + clock + false + + + clock + clk + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + clockRate + 0 + + + externallyDriven + false + + + ptfSchematicName + + + + + + resetn + reset + false + + + resetn + reset + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedClock + clock + + + synchronousEdges + BOTH + + + + + + device_exception_bus + conduit + false + + + device_exception_bus + data + Output + 64 + 0 + STD_LOGIC_VECTOR + 0 + + + + + + + + + associatedClock + clock + + + associatedReset + resetn + + + prSafe + false + + + + + + kernel_irqs + interrupt + false + + + kernel_irqs + irq + Output + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedAddressablePoint + + + associatedClock + clock + + + associatedReset + resetn + + + bridgedReceiverOffset + 0 + + + bridgesToReceiver + + + irqScheme + NONE + + + + + + csr_ring_root_avs + avalon + false + + + csr_ring_root_avs_read + read + Input + 1 + 0 + STD_LOGIC + 0 + + + csr_ring_root_avs_readdata + readdata + Output + 64 + 0 + STD_LOGIC_VECTOR + 0 + + + csr_ring_root_avs_readdatavalid + readdatavalid + Output + 1 + 0 + STD_LOGIC + 0 + + + csr_ring_root_avs_write + write + Input + 1 + 0 + STD_LOGIC + 0 + + + csr_ring_root_avs_writedata + writedata + Input + 64 + 0 + STD_LOGIC_VECTOR + 0 + + + csr_ring_root_avs_address + address + Input + 5 + 0 + STD_LOGIC_VECTOR + 0 + + + csr_ring_root_avs_byteenable + byteenable + Input + 8 + 0 + STD_LOGIC_VECTOR + 0 + + + csr_ring_root_avs_waitrequest + waitrequest + Output + 1 + 0 + STD_LOGIC + 0 + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + hls.cosim.name + + + + + + + + addressAlignment + DYNAMIC + + + addressGroup + 0 + + + addressSpan + 256 + + + addressUnits + WORDS + + + alwaysBurstMaxBurst + false + + + associatedClock + clock + + + associatedReset + resetn + + + bitsPerSymbol + 8 + + + bridgedAddressOffset + 0 + + + bridgesToMaster + + + burstOnBurstBoundariesOnly + false + + + burstcountUnits + WORDS + + + constantBurstBehavior + false + + + explicitAddressSpan + 0 + + + holdTime + 0 + + + interleaveBursts + false + + + isBigEndian + false + + + isFlash + false + + + isMemoryDevice + false + + + isNonVolatileStorage + false + + + linewrapBursts + false + + + maximumPendingReadTransactions + 1 + + + maximumPendingWriteTransactions + 0 + + + minimumReadLatency + 1 + + + minimumResponseLatency + 1 + + + minimumUninterruptedRunLength + 1 + + + prSafe + false + + + printableDevice + false + + + readLatency + 0 + + + readWaitStates + 0 + + + readWaitTime + 0 + + + registerIncomingSignals + false + + + registerOutgoingSignals + false + + + setupTime + 0 + + + timingUnits + Cycles + + + transparentBridge + false + + + waitrequestAllowance + 0 + + + wellBehavedWaitrequest + false + + + writeLatency + 0 + + + writeWaitStates + 0 + + + writeWaitTime + 0 + + + + + +]]> + + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + + + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + QUARTUS_SYNTH + + + + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + SIM_VERILOG + + + + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + SIM_VHDL + + + + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + CDC + + + + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + CDC_VHDL + + + + +]]> + ]]> + + ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip + + +]]> + + + + + + + + in_clk + clock + false + + + in_clk + clk + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + clockRate + 0 + + + externallyDriven + false + + + ptfSchematicName + + + + + + out_clk + clock + true + + + out_clk + clk + Output + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedDirectClock + in_clk + + + clockRate + 50000000 + + + clockRateKnown + true + + + externallyDriven + false + + + ptfSchematicName + + + + + + + + altera_clock_bridge + 19.2.0 + Clock Bridge Intel FPGA IP + + + + + 0 + DERIVED_CLOCK_RATE + java.lang.Long + in_clk + CLOCK_RATE + + + + + + + in_clk + + in_clk + + + + CLOCK_RATE + 0 + + + + + + out_clk + + out_clk + + + CLOCK_RATE + 50000000 + + + + + + + +]]> + + + + in_clk + clock + false + + + in_clk + clk + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + clockRate + 0 + + + externallyDriven + false + + + ptfSchematicName + + + + + + out_clk + clock + true + + + out_clk + clk + Output + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedDirectClock + in_clk + + + clockRate + 50000000 + + + clockRateKnown + true + + + externallyDriven + false + + + ptfSchematicName + + + + + +]]> + + add_kernel_wrapper_clock_in + + + add_kernel_wrapper_clock_in + add_kernel_wrapper_clock_in + QUARTUS_SYNTH + + + + add_kernel_wrapper_clock_in + add_kernel_wrapper_clock_in + SIM_VERILOG + + + + add_kernel_wrapper_clock_in + add_kernel_wrapper_clock_in + SIM_VHDL + + + + add_kernel_wrapper_clock_in + add_kernel_wrapper_clock_in + CDC + + + + add_kernel_wrapper_clock_in + add_kernel_wrapper_clock_in + CDC_VHDL + + + + +]]> + ]]> + + ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip + + +]]> + + + + + + + + clk + clock + false + + + clk_clk + clk + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + clockRate + 0 + + + externallyDriven + false + + + ptfSchematicName + + + + + + clk_reset + reset + false + + + clk_reset_reset + reset + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedClock + + + synchronousEdges + NONE + + + + + + master_reset + reset + true + + + master_reset_reset + reset + Output + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedClock + + + associatedDirectReset + + + associatedResetSinks + none + + + synchronousEdges + NONE + + + + + + master + avalon + true + + + master_address + address + Output + 32 + 0 + STD_LOGIC_VECTOR + 0 + + + master_readdata + readdata + Input + 32 + 0 + STD_LOGIC_VECTOR + 0 + + + master_read + read + Output + 1 + 0 + STD_LOGIC + 0 + + + master_write + write + Output + 1 + 0 + STD_LOGIC + 0 + + + master_writedata + writedata + Output + 32 + 0 + STD_LOGIC_VECTOR + 0 + + + master_waitrequest + waitrequest + Input + 1 + 0 + STD_LOGIC + 0 + + + master_readdatavalid + readdatavalid + Input + 1 + 0 + STD_LOGIC + 0 + + + master_byteenable + byteenable + Output + 4 + 0 + STD_LOGIC_VECTOR + 0 + + + + + + debug.controlledBy + in_stream + + + debug.providesServices + master + + + debug.typeName + altera_jtag_avalon_master.master + + + debug.visible + true + + + + + + + adaptsTo + + + addressGroup + 0 + + + addressUnits + SYMBOLS + + + alwaysBurstMaxBurst + false + + + associatedClock + clk + + + associatedReset + clk_reset + + + bitsPerSymbol + 8 + + + burstOnBurstBoundariesOnly + false + + + burstcountUnits + WORDS + + + constantBurstBehavior + false + + + dBSBigEndian + false + + + doStreamReads + false + + + doStreamWrites + false + + + holdTime + 0 + + + interleaveBursts + false + + + isAsynchronous + false + + + isBigEndian + false + + + isReadable + false + + + isWriteable + false + + + linewrapBursts + false + + + maxAddressWidth + 32 + + + maximumPendingReadTransactions + 0 + + + maximumPendingWriteTransactions + 0 + + + minimumReadLatency + 1 + + + minimumResponseLatency + 1 + + + prSafe + false + + + readLatency + 0 + + + readWaitTime + 1 + + + registerIncomingSignals + false + + + registerOutgoingSignals + false + + + setupTime + 0 + + + timingUnits + Cycles + + + waitrequestAllowance + 0 + + + writeWaitTime + 0 + + + + + + + + altera_jtag_avalon_master + 19.1 + JTAG to Avalon Master Bridge Intel FPGA IP + + + + + + AUTO_DEVICE + java.lang.String + DEVICE + + + + AUTO_DEVICE_FAMILY + java.lang.String + DEVICE_FAMILY + + + + AUTO_DEVICE_SPEEDGRADE + java.lang.String + DEVICE_SPEEDGRADE + + + 0 + COMPONENT_CLOCK + java.lang.Integer + clock + CLOCK_RATE + + + + + + +]]> + + + + clk + clock + false + + + clk_clk + clk + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + clockRate + 0 + + + externallyDriven + false + + + ptfSchematicName + + + + + + clk_reset + reset + false + + + clk_reset_reset + reset + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedClock + + + synchronousEdges + NONE + + + + + + master_reset + reset + true + + + master_reset_reset + reset + Output + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedClock + + + associatedDirectReset + + + associatedResetSinks + none + + + synchronousEdges + NONE + + + + + + master + avalon + true + + + master_address + address + Output + 32 + 0 + STD_LOGIC_VECTOR + 0 + + + master_readdata + readdata + Input + 32 + 0 + STD_LOGIC_VECTOR + 0 + + + master_read + read + Output + 1 + 0 + STD_LOGIC + 0 + + + master_write + write + Output + 1 + 0 + STD_LOGIC + 0 + + + master_writedata + writedata + Output + 32 + 0 + STD_LOGIC_VECTOR + 0 + + + master_waitrequest + waitrequest + Input + 1 + 0 + STD_LOGIC + 0 + + + master_readdatavalid + readdatavalid + Input + 1 + 0 + STD_LOGIC + 0 + + + master_byteenable + byteenable + Output + 4 + 0 + STD_LOGIC_VECTOR + 0 + + + + + + debug.controlledBy + in_stream + + + debug.providesServices + master + + + debug.typeName + altera_jtag_avalon_master.master + + + debug.visible + true + + + + + + + adaptsTo + + + addressGroup + 0 + + + addressUnits + SYMBOLS + + + alwaysBurstMaxBurst + false + + + associatedClock + clk + + + associatedReset + clk_reset + + + bitsPerSymbol + 8 + + + burstOnBurstBoundariesOnly + false + + + burstcountUnits + WORDS + + + constantBurstBehavior + false + + + dBSBigEndian + false + + + doStreamReads + false + + + doStreamWrites + false + + + holdTime + 0 + + + interleaveBursts + false + + + isAsynchronous + false + + + isBigEndian + false + + + isReadable + false + + + isWriteable + false + + + linewrapBursts + false + + + maxAddressWidth + 32 + + + maximumPendingReadTransactions + 0 + + + maximumPendingWriteTransactions + 0 + + + minimumReadLatency + 1 + + + minimumResponseLatency + 1 + + + prSafe + false + + + readLatency + 0 + + + readWaitTime + 1 + + + registerIncomingSignals + false + + + registerOutgoingSignals + false + + + setupTime + 0 + + + timingUnits + Cycles + + + waitrequestAllowance + 0 + + + writeWaitTime + 0 + + + + + +]]> + + add_kernel_wrapper_master_0 + + + add_kernel_wrapper_master_0 + add_kernel_wrapper_master_0 + QUARTUS_SYNTH + + + + add_kernel_wrapper_master_0 + add_kernel_wrapper_master_0 + SIM_VERILOG + + + + add_kernel_wrapper_master_0 + add_kernel_wrapper_master_0 + SIM_VHDL + + + + add_kernel_wrapper_master_0 + add_kernel_wrapper_master_0 + CDC + + + + add_kernel_wrapper_master_0 + add_kernel_wrapper_master_0 + CDC_VHDL + + + + +]]> + ]]> + + ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip + + + + debug.hostConnection + type jtag id 110:132 + + +]]> + + + + + + + + in_reset + reset + false + + + in_reset + reset + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedClock + + + synchronousEdges + NONE + + + + + + out_reset + reset + true + + + out_reset + reset + Output + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedClock + + + associatedDirectReset + in_reset + + + associatedResetSinks + in_reset + + + synchronousEdges + NONE + + + + + + + + altera_reset_bridge + 19.2.0 + Reset Bridge Intel FPGA IP + + + + + -1 + AUTO_CLK_CLOCK_RATE + java.lang.Long + clk + CLOCK_RATE + + + + + + +]]> + + + + in_reset + reset + false + + + in_reset + reset + Input + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedClock + + + synchronousEdges + NONE + + + + + + out_reset + reset + true + + + out_reset + reset + Output + 1 + 0 + STD_LOGIC + 0 + + + + + + + + + associatedClock + + + associatedDirectReset + in_reset + + + associatedResetSinks + in_reset + + + synchronousEdges + NONE + + + + + +]]> + + add_kernel_wrapper_reset_in + + + add_kernel_wrapper_reset_in + add_kernel_wrapper_reset_in + QUARTUS_SYNTH + + + + add_kernel_wrapper_reset_in + add_kernel_wrapper_reset_in + SIM_VERILOG + + + + add_kernel_wrapper_reset_in + add_kernel_wrapper_reset_in + SIM_VHDL + + + + add_kernel_wrapper_reset_in + add_kernel_wrapper_reset_in + CDC + + + + add_kernel_wrapper_reset_in + add_kernel_wrapper_reset_in + CDC_VHDL + + + + +]]> + ]]> + + ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip + + +]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip new file mode 100644 index 0000000000..06a9f51d18 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip @@ -0,0 +1,1238 @@ + + + + Altera Corporation + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + add_fpga_ip_export_1_di_0 + 1.0 + + + clock + + + + + + + + clk + + + clock + + + + + + + + + clockRate + Clock rate + 0 + + + externallyDriven + Externally driven + false + + + ptfSchematicName + PTF schematic name + + + + + + resetn + + + + + + + + reset + + + resetn + + + + + + + + + associatedClock + Associated clock + clock + + + synchronousEdges + Synchronous edges + BOTH + + + + + device_exception_bus + + + + + + + + data + + + device_exception_bus + + + + + + + + + associatedClock + associatedClock + clock + + + associatedReset + associatedReset + resetn + + + prSafe + Partial Reconfiguration Safe + false + + + + + kernel_irqs + + + + + + + + irq + + + kernel_irqs + + + + + + + + + associatedAddressablePoint + Associated addressable interface + + + + associatedClock + Associated clock + clock + + + associatedReset + Associated reset + resetn + + + bridgedReceiverOffset + Bridged receiver offset + 0 + + + bridgesToReceiver + Bridges to receiver + + + + irqScheme + Interrupt scheme + NONE + + + + + csr_ring_root_avs + + + + + + + + read + + + csr_ring_root_avs_read + + + + + readdata + + + csr_ring_root_avs_readdata + + + + + readdatavalid + + + csr_ring_root_avs_readdatavalid + + + + + write + + + csr_ring_root_avs_write + + + + + writedata + + + csr_ring_root_avs_writedata + + + + + address + + + csr_ring_root_avs_address + + + + + byteenable + + + csr_ring_root_avs_byteenable + + + + + waitrequest + + + csr_ring_root_avs_waitrequest + + + + + + + + + addressAlignment + Agent addressing + DYNAMIC + + + addressGroup + Address group + 0 + + + addressSpan + Address span + 256 + + + addressUnits + Address units + WORDS + + + alwaysBurstMaxBurst + Always burst maximum burst + false + + + associatedClock + Associated clock + clock + + + associatedReset + Associated reset + resetn + + + bitsPerSymbol + Bits per symbol + 8 + + + bridgedAddressOffset + Bridged Address Offset + 0 + + + bridgesToMaster + Bridges to host + + + + burstOnBurstBoundariesOnly + Burst on burst boundaries only + false + + + burstcountUnits + Burstcount units + WORDS + + + constantBurstBehavior + Constant burst behavior + false + + + explicitAddressSpan + Explicit address span + 0 + + + holdTime + Hold + 0 + + + interleaveBursts + Interleave bursts + false + + + isBigEndian + Big endian + false + + + isFlash + Flash memory + false + + + isMemoryDevice + Memory device + false + + + isNonVolatileStorage + Non-volatile storage + false + + + linewrapBursts + Linewrap bursts + false + + + maximumPendingReadTransactions + Maximum pending read transactions + 1 + + + maximumPendingWriteTransactions + Maximum pending write transactions + 0 + + + minimumReadLatency + minimumReadLatency + 1 + + + minimumResponseLatency + Minimum response latency + 1 + + + minimumUninterruptedRunLength + Minimum uninterrupted run length + 1 + + + prSafe + Partial Reconfiguration Safe + false + + + printableDevice + Can receive stdout/stderr + false + + + readLatency + Read latency + 0 + + + readWaitStates + Read wait states + 0 + + + readWaitTime + Read wait + 0 + + + registerIncomingSignals + Register incoming signals + false + + + registerOutgoingSignals + Register outgoing signals + false + + + setupTime + Setup + 0 + + + timingUnits + Timing units + Cycles + + + transparentBridge + Transparent bridge + false + + + waitrequestAllowance + Waitrequest allowance + 0 + + + wellBehavedWaitrequest + Well-behaved waitrequest + false + + + writeLatency + Write latency + 0 + + + writeWaitStates + Write wait states + 0 + + + writeWaitTime + Write wait + 0 + + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + hls.cosim.name + + + + + + + + + + + QUARTUS_SYNTH + :quartus.altera.com: + QUARTUS_SYNTH + + + + + QUARTUS_SYNTH + add_fpga_ip_export_1_di + + QUARTUS_SYNTH + + + + + + + clock + + in + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + resetn + + in + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + device_exception_bus + + out + + + 0 + 63 + + + + + STD_LOGIC_VECTOR + QUARTUS_SYNTH + + + + + + kernel_irqs + + out + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + csr_ring_root_avs_read + + in + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + csr_ring_root_avs_readdata + + out + + + 0 + 63 + + + + + STD_LOGIC_VECTOR + QUARTUS_SYNTH + + + + + + csr_ring_root_avs_readdatavalid + + out + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + csr_ring_root_avs_write + + in + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + csr_ring_root_avs_writedata + + in + + + 0 + 63 + + + + + STD_LOGIC_VECTOR + QUARTUS_SYNTH + + + + + + csr_ring_root_avs_address + + in + + + 0 + 4 + + + + + STD_LOGIC_VECTOR + QUARTUS_SYNTH + + + + + + csr_ring_root_avs_byteenable + + in + + + 0 + 7 + + + + + STD_LOGIC_VECTOR + QUARTUS_SYNTH + + + + + + csr_ring_root_avs_waitrequest + + out + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + + + + Altera Corporation + add_kernel_wrapper_add_fpga_ip_export_1_di_0 + add_fpga_ip_export_1_di + 1.0 + + + + + + + + board + Board + default + + + device + Device + 10AS066N3F40E2SG + + + deviceFamily + Device family + Arria 10 + + + deviceSpeedGrade + Device Speed Grade + 2 + + + generationId + Generation Id + 0 + + + bonusData + bonusData + bonusData +{ + element $system + { + datum _originalDeviceFamily + { + value = "Arria 10"; + type = "String"; + } + } + element add_fpga_ip_export_1_di_0 + { + } +} + + + + hideFromIPCatalog + Hide from IP Catalog + false + + + lockedInterfaceDefinition + lockedInterfaceDefinition + <boundaryDefinition> + <interfaces> + <interface> + <name>clock</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>clock</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>resetn</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>resetn</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>BOTH</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>device_exception_bus</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>device_exception_bus</name> + <role>data</role> + <direction>Output</direction> + <width>64</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>associatedReset</key> + <value>resetn</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>kernel_irqs</name> + <type>interrupt</type> + <isStart>false</isStart> + <ports> + <port> + <name>kernel_irqs</name> + <role>irq</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedAddressablePoint</key> + </entry> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>associatedReset</key> + <value>resetn</value> + </entry> + <entry> + <key>bridgedReceiverOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToReceiver</key> + </entry> + <entry> + <key>irqScheme</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>csr_ring_root_avs</name> + <type>avalon</type> + <isStart>false</isStart> + <ports> + <port> + <name>csr_ring_root_avs_read</name> + <role>read</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>64</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_readdatavalid</name> + <role>readdatavalid</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_write</name> + <role>write</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_writedata</name> + <role>writedata</role> + <direction>Input</direction> + <width>64</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_address</name> + <role>address</role> + <direction>Input</direction> + <width>5</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_byteenable</name> + <role>byteenable</role> + <direction>Input</direction> + <width>8</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>csr_ring_root_avs_waitrequest</name> + <role>waitrequest</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>embeddedsw.configuration.isFlash</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isMemoryDevice</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isNonVolatileStorage</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isPrintableDevice</key> + <value>0</value> + </entry> + <entry> + <key>hls.cosim.name</key> + <value></value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>addressAlignment</key> + <value>DYNAMIC</value> + </entry> + <entry> + <key>addressGroup</key> + <value>0</value> + </entry> + <entry> + <key>addressSpan</key> + <value>256</value> + </entry> + <entry> + <key>addressUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> + <value>false</value> + </entry> + <entry> + <key>associatedClock</key> + <value>clock</value> + </entry> + <entry> + <key>associatedReset</key> + <value>resetn</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>bridgedAddressOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToMaster</key> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>explicitAddressSpan</key> + <value>0</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isFlash</key> + <value>false</value> + </entry> + <entry> + <key>isMemoryDevice</key> + <value>false</value> + </entry> + <entry> + <key>isNonVolatileStorage</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>1</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>printableDevice</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>0</value> + </entry> + <entry> + <key>readWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>0</value> + </entry> + <entry> + <key>registerIncomingSignals</key> + <value>false</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> + <value>false</value> + </entry> + <entry> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>transparentBridge</key> + <value>false</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>wellBehavedWaitrequest</key> + <value>false</value> + </entry> + <entry> + <key>writeLatency</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> +</boundaryDefinition> + + + systemInfos + systemInfos + <systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>csr_ring_root_avs</key> + <value> + <connectionPointName>csr_ring_root_avs</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='csr_ring_root_avs' start='0x0' end='0x100' datawidth='64' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>8</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>64</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition> + + + pinAssignmentListDefinition + pinAssignmentListDefinition + <pinAssignmentListDefinition/> + + + + + + + + + + + + + + + + + + + + + + + + + + + + false + false + + \ No newline at end of file diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip new file mode 100644 index 0000000000..65a1c0ecc3 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip @@ -0,0 +1,371 @@ + + + + Intel Corporation + add_kernel_wrapper_clock_in + clock_in + 19.2.0 + + + in_clk + + + + + + + + clk + + + in_clk + + + + + + + + + clockRate + Clock rate + 0 + + + externallyDriven + Externally driven + false + + + ptfSchematicName + PTF schematic name + + + + + + out_clk + + + + + + + + clk + + + out_clk + + + + + + + + + associatedDirectClock + Associated direct clock + in_clk + + + clockRate + Clock rate + 50000000 + + + clockRateKnown + Clock rate known + true + + + externallyDriven + Externally driven + false + + + ptfSchematicName + PTF schematic name + + + + + + + + + QUARTUS_SYNTH + :quartus.altera.com: + QUARTUS_SYNTH + + + + + QUARTUS_SYNTH + altera_clock_bridge + + QUARTUS_SYNTH + + + + + + + in_clk + + in + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + out_clk + + out + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + + + + Intel Corporation + add_kernel_wrapper_clock_in + altera_clock_bridge + 19.2.0 + + + + + DERIVED_CLOCK_RATE + Derived clock rate + 0 + + + EXPLICIT_CLOCK_RATE + Explicit clock rate + 50000000 + + + NUM_CLOCK_OUTPUTS + Number of Clock Outputs + 1 + + + + + + + board + Board + default + + + device + Device + 10AS066N3F40E2SG + + + deviceFamily + Device family + Arria 10 + + + deviceSpeedGrade + Device Speed Grade + 2 + + + generationId + Generation Id + 0 + + + bonusData + bonusData + bonusData +{ + element $system + { + datum _originalDeviceFamily + { + value = "Arria 10"; + type = "String"; + } + } + element clock_in + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} + + + + hideFromIPCatalog + Hide from IP Catalog + false + + + lockedInterfaceDefinition + lockedInterfaceDefinition + <boundaryDefinition> + <interfaces> + <interface> + <name>in_clk</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>in_clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>out_clk</name> + <type>clock</type> + <isStart>true</isStart> + <ports> + <port> + <name>out_clk</name> + <role>clk</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedDirectClock</key> + <value>in_clk</value> + </entry> + <entry> + <key>clockRate</key> + <value>50000000</value> + </entry> + <entry> + <key>clockRateKnown</key> + <value>true</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> +</boundaryDefinition> + + + systemInfos + systemInfos + <systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>in_clk</key> + <value> + <connectionPointName>in_clk</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>0</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>out_clk</key> + <value> + <connectionPointName>out_clk</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>50000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition> + + + pinAssignmentListDefinition + pinAssignmentListDefinition + <pinAssignmentListDefinition/> + + + + + + + + + + + + false + false + + \ No newline at end of file diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip new file mode 100644 index 0000000000..56bd44aab5 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip @@ -0,0 +1,1087 @@ + + + + Intel Corporation + add_kernel_wrapper_master_0 + master_0 + 19.1 + + + clk + + + + + + + + clk + + + clk_clk + + + + + + + + + clockRate + Clock rate + 0 + + + externallyDriven + Externally driven + false + + + ptfSchematicName + PTF schematic name + + + + + + clk_reset + + + + + + + + reset + + + clk_reset_reset + + + + + + + + + associatedClock + Associated clock + + + + synchronousEdges + Synchronous edges + NONE + + + + + master_reset + + + + + + + + reset + + + master_reset_reset + + + + + + + + + associatedClock + Associated clock + + + + associatedDirectReset + Associated direct reset + + + + associatedResetSinks + Associated reset sinks + none + + + synchronousEdges + Synchronous edges + NONE + + + + + master + + + + + + + + address + + + master_address + + + + + readdata + + + master_readdata + + + + + read + + + master_read + + + + + write + + + master_write + + + + + writedata + + + master_writedata + + + + + waitrequest + + + master_waitrequest + + + + + readdatavalid + + + master_readdatavalid + + + + + byteenable + + + master_byteenable + + + + + + + + + adaptsTo + Adapts to + + + + addressGroup + Address group + 0 + + + addressUnits + Address units + SYMBOLS + + + alwaysBurstMaxBurst + Always burst maximum burst + false + + + associatedClock + Associated clock + clk + + + associatedReset + Associated reset + clk_reset + + + bitsPerSymbol + Bits per symbol + 8 + + + burstOnBurstBoundariesOnly + Burst on burst boundaries only + false + + + burstcountUnits + Burstcount units + WORDS + + + constantBurstBehavior + Constant burst behavior + false + + + dBSBigEndian + dBS big endian + false + + + doStreamReads + Use flow control for read transfers + false + + + doStreamWrites + Use flow control for write transfers + false + + + holdTime + Hold + 0 + + + interleaveBursts + Interleave bursts + false + + + isAsynchronous + Is asynchronous + false + + + isBigEndian + Is big endian + false + + + isReadable + Is readable + false + + + isWriteable + Is writeable + false + + + linewrapBursts + Linewrap bursts + false + + + maxAddressWidth + Maximum address width + 32 + + + maximumPendingReadTransactions + Maximum pending read transactions + 0 + + + maximumPendingWriteTransactions + Maximum pending write transactions + 0 + + + minimumReadLatency + minimumReadLatency + 1 + + + minimumResponseLatency + Minimum response latency + 1 + + + prSafe + Partial Reconfiguration Safe + false + + + readLatency + Read latency + 0 + + + readWaitTime + Read wait + 1 + + + registerIncomingSignals + Register incoming signals + false + + + registerOutgoingSignals + Register outgoing signals + false + + + setupTime + Setup + 0 + + + timingUnits + Timing units + Cycles + + + waitrequestAllowance + Waitrequest allowance + 0 + + + writeWaitTime + Write wait + 0 + + + + + + + debug.controlledBy + in_stream + + + debug.providesServices + master + + + debug.typeName + altera_jtag_avalon_master.master + + + debug.visible + true + + + + + + + + + + QUARTUS_SYNTH + :quartus.altera.com: + QUARTUS_SYNTH + + + + + QUARTUS_SYNTH + altera_jtag_avalon_master + + QUARTUS_SYNTH + + + + + + + clk_clk + + in + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + clk_reset_reset + + in + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + master_reset_reset + + out + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + master_address + + out + + + 0 + 31 + + + + + STD_LOGIC_VECTOR + QUARTUS_SYNTH + + + + + + master_readdata + + in + + + 0 + 31 + + + + + STD_LOGIC_VECTOR + QUARTUS_SYNTH + + + + + + master_read + + out + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + master_write + + out + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + master_writedata + + out + + + 0 + 31 + + + + + STD_LOGIC_VECTOR + QUARTUS_SYNTH + + + + + + master_waitrequest + + in + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + master_readdatavalid + + in + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + master_byteenable + + out + + + 0 + 3 + + + + + STD_LOGIC_VECTOR + QUARTUS_SYNTH + + + + + + + + + Intel Corporation + add_kernel_wrapper_master_0 + altera_jtag_avalon_master + 19.1 + + + + + USE_PLI + Use Simulation Link Mode + 1 + + + PLI_PORT + Simulation Link Server Port + 50000 + + + COMPONENT_CLOCK + COMPONENT_CLOCK + 0 + + + FAST_VER + Enhanced transaction master + 0 + + + FIFO_DEPTHS + FIFO depth + 2 + + + AUTO_DEVICE_FAMILY + Auto DEVICE_FAMILY + Arria 10 + + + AUTO_DEVICE + Auto DEVICE + 10AS066N3F40E2SG + + + AUTO_DEVICE_SPEEDGRADE + Auto DEVICE_SPEEDGRADE + 2 + + + + + + + debug.hostConnection + type jtag id 110:132 + + + + + + + board + Board + default + + + device + Device + 10AS066N3F40E2SG + + + deviceFamily + Device family + Arria 10 + + + deviceSpeedGrade + Device Speed Grade + 2 + + + generationId + Generation Id + 0 + + + bonusData + bonusData + bonusData +{ + element $system + { + datum _originalDeviceFamily + { + value = "Arria 10"; + type = "String"; + } + } + element master_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} + + + + hideFromIPCatalog + Hide from IP Catalog + false + + + lockedInterfaceDefinition + lockedInterfaceDefinition + <boundaryDefinition> + <interfaces> + <interface> + <name>clk</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>clk_clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk_reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>clk_reset_reset</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>master_reset</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>master_reset_reset</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedDirectReset</key> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>none</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>master</name> + <type>avalon</type> + <isStart>true</isStart> + <ports> + <port> + <name>master_address</name> + <role>address</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_readdata</name> + <role>readdata</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_read</name> + <role>read</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_write</name> + <role>write</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_writedata</name> + <role>writedata</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_waitrequest</name> + <role>waitrequest</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_readdatavalid</name> + <role>readdatavalid</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + <port> + <name>master_byteenable</name> + <role>byteenable</role> + <direction>Output</direction> + <width>4</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>debug.controlledBy</key> + <value>in_stream</value> + </entry> + <entry> + <key>debug.providesServices</key> + <value>master</value> + </entry> + <entry> + <key>debug.typeName</key> + <value>altera_jtag_avalon_master.master</value> + </entry> + <entry> + <key>debug.visible</key> + <value>true</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>adaptsTo</key> + </entry> + <entry> + <key>addressGroup</key> + <value>0</value> + </entry> + <entry> + <key>addressUnits</key> + <value>SYMBOLS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> + <value>false</value> + </entry> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedReset</key> + <value>clk_reset</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>dBSBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>doStreamReads</key> + <value>false</value> + </entry> + <entry> + <key>doStreamWrites</key> + <value>false</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isAsynchronous</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isReadable</key> + <value>false</value> + </entry> + <entry> + <key>isWriteable</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maxAddressWidth</key> + <value>32</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>0</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>0</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>1</value> + </entry> + <entry> + <key>registerIncomingSignals</key> + <value>false</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> + <value>false</value> + </entry> + <entry> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> +</boundaryDefinition> + + + systemInfos + systemInfos + <systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition> + + + pinAssignmentListDefinition + pinAssignmentListDefinition + <pinAssignmentListDefinition/> + + + + + + + + + + + + + + + + + + + + + + + + + false + false + + \ No newline at end of file diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip new file mode 100644 index 0000000000..73cfcff501 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip @@ -0,0 +1,342 @@ + + + + Intel Corporation + add_kernel_wrapper_reset_in + reset_in + 19.2.0 + + + in_reset + + + + + + + + reset + + + in_reset + + + + + + + + + associatedClock + Associated clock + + + + synchronousEdges + Synchronous edges + NONE + + + + + out_reset + + + + + + + + reset + + + out_reset + + + + + + + + + associatedClock + Associated clock + + + + associatedDirectReset + Associated direct reset + in_reset + + + associatedResetSinks + Associated reset sinks + in_reset + + + synchronousEdges + Synchronous edges + NONE + + + + + + + + QUARTUS_SYNTH + :quartus.altera.com: + QUARTUS_SYNTH + + + + + QUARTUS_SYNTH + altera_reset_bridge + + QUARTUS_SYNTH + + + + + + + in_reset + + in + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + out_reset + + out + + + + STD_LOGIC + QUARTUS_SYNTH + + + + + + + + + Intel Corporation + add_kernel_wrapper_reset_in + altera_reset_bridge + 19.2.0 + + + + + ACTIVE_LOW_RESET + Active low reset + 0 + + + SYNCHRONOUS_EDGES + Synchronous edges + none + + + NUM_RESET_OUTPUTS + Number of reset outputs + 1 + + + USE_RESET_REQUEST + Use reset request signal + 0 + + + SYNC_RESET + Use synchronous resets + 0 + + + AUTO_CLK_CLOCK_RATE + Auto CLOCK_RATE + -1 + + + + + + + board + Board + default + + + device + Device + 10AS066N3F40E2SG + + + deviceFamily + Device family + Arria 10 + + + deviceSpeedGrade + Device Speed Grade + 2 + + + generationId + Generation Id + 0 + + + bonusData + bonusData + bonusData +{ + element $system + { + datum _originalDeviceFamily + { + value = "Arria 10"; + type = "String"; + } + } + element reset_in + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} + + + + hideFromIPCatalog + Hide from IP Catalog + false + + + lockedInterfaceDefinition + lockedInterfaceDefinition + <boundaryDefinition> + <interfaces> + <interface> + <name>in_reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>in_reset</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>out_reset</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>out_reset</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + <terminationValue>0</terminationValue> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedDirectReset</key> + <value>in_reset</value> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>in_reset</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> +</boundaryDefinition> + + + systemInfos + systemInfos + <systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition> + + + pinAssignmentListDefinition + pinAssignmentListDefinition + <pinAssignmentListDefinition/> + + + + + + + + + + + + + false + false + + \ No newline at end of file diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/jtag.sdc b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/jtag.sdc new file mode 100644 index 0000000000..abbaefac73 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/jtag.sdc @@ -0,0 +1,84 @@ +#**************************************************************************** +# +# SPDX-License-Identifier: MIT-0 +# Copyright(c) 2015-2023 Intel Corporation. +# +#**************************************************************************** +# +# Sample SDC for A10 GHRD. Targeting JTAG. +# +#**************************************************************************** +# For USB BlasterII running at 24MHz or 41.666 ns period +set t_period 41.666 +create_clock -name {altera_reserved_tck} -period $t_period [get_ports {altera_reserved_tck}] +set_clock_groups -asynchronous -group {altera_reserved_tck} + +#Datasheet parameters from UBII IP on EPM570F100C5 +#TCO/TSU/TH are measured w.r.t usb_clk inside UBII IP which is used to generate TCK signal +set tck_blaster_tco_max 14.603 +set tck_blaster_tco_min 14.603 +set tdi_blaster_tco_max 8.551 +set tdi_blaster_tco_min 8.551 +set tms_blaster_tco_max 9.468 +set tms_blaster_tco_min 9.468 + +#In bitbang mode, TDO is sampled through MAX at FX2 +set tdo_blaster_tpd_max 10.718 +set tdo_blaster_tpd_min 10.718 +set fx2_pb0_trace_max 0.152 +set fx2_pb0_trace_min 0.152 + +#Cable delays are from USB Blaster II +#TCK +set tck_cable_max 11.627 +set tck_cable_min 10.00 +#*USER MODIFY* This depends on the trace length from JTAG 10-pin header to FPGA on board +set tck_header_trace_max 0.5 +set tck_header_trace_min 0.1 + +#TMS +set tms_cable_max 11.627 +set tms_cable_min 10.0 +#*USER MODIFY* This depends on the trace length from JTAG 10-pin header to FPGA on board +set tms_header_trace_max 0.5 +set tms_header_trace_min 0.1 + +#TDI +set tdi_cable_max 11.627 +set tdi_cable_min 10.0 +#*USER MODIFY* This depends on the trace length from JTAG 10-pin header to FPGA on board +set tdi_header_trace_max 0.5 +set tdi_header_trace_min 0.1 + +#TDO +set tdo_cable_max 11.627 +set tdo_cable_min 10.0 +#*USER MODIFY* This depends on the trace length from JTAG 10-pin header to FPGA on board +set tdo_header_trace_max 0.5 +set tdo_header_trace_min 0.1 + +derive_clock_uncertainty + +#TMS +set tms_in_max [expr {$tms_cable_max + $tms_header_trace_max + $tms_blaster_tco_max - $tck_blaster_tco_min - $tck_cable_min - $tck_header_trace_min }] +set tms_in_min [expr {$tms_cable_min + $tms_header_trace_min + $tms_blaster_tco_min - $tck_blaster_tco_max - $tck_cable_max - $tck_header_trace_max }] +set_input_delay -add_delay -clock_fall -clock altera_reserved_tck -max $tms_in_max [get_ports {altera_reserved_tms}] +set_input_delay -add_delay -clock_fall -clock altera_reserved_tck -min $tms_in_min [get_ports {altera_reserved_tms}] + +#TDI +set tdi_in_max [expr {$tdi_cable_max + $tdi_header_trace_max + $tdi_blaster_tco_max - $tck_blaster_tco_min - $tck_cable_min - $tck_header_trace_min }] +set tdi_in_min [expr {$tdi_cable_min + $tdi_header_trace_min + $tdi_blaster_tco_min - $tck_blaster_tco_max - $tck_cable_max - $tck_header_trace_max }] +set_input_delay -add_delay -clock_fall -clock altera_reserved_tck -max $tdi_in_max [get_ports {altera_reserved_tdi}] +set_input_delay -add_delay -clock_fall -clock altera_reserved_tck -min $tdi_in_min [get_ports {altera_reserved_tdi}] + +#TDO Timing in Bitbang Mode +#TDO timing delays must take into account the TCK delay from the Blaster to the FPGA TCK input pin +set tdo_out_max [expr {$tdo_cable_max + $tdo_header_trace_max + $tdo_blaster_tpd_max + $fx2_pb0_trace_max + $tck_blaster_tco_max + $tck_cable_max + $tck_header_trace_max }] +set tdo_out_min [expr {$tdo_cable_min + $tdo_header_trace_min + $tdo_blaster_tpd_min + $fx2_pb0_trace_min + $tck_blaster_tco_min + $tck_cable_min + $tck_header_trace_min }] + +#TDO does not latch inside the USB Blaster II at the rising edge of TCK, it actually is passed through to the Cypress FX2 and is latched 3 FX2 cycles later (equivalent to 1.5 JTAG cycles) +set_output_delay -add_delay -clock altera_reserved_tck -max $tdo_out_max [get_ports {altera_reserved_tdo}] +set_output_delay -add_delay -clock altera_reserved_tck -min $tdo_out_min [get_ports {altera_reserved_tdo}] + +set_multicycle_path -setup -end 2 -from * -to [get_ports {altera_reserved_tdo}] + diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/quartus_sh.log b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/quartus_sh.log new file mode 100644 index 0000000000..c34927b1ba --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus-sln/quartus_sh.log @@ -0,0 +1,653 @@ +Info: ******************************************************************* +Info: Running Quartus Prime Shell + Info: Version 22.3.0 Build 104 09/14/2022 SC Pro Edition + Info: Copyright (C) 2022 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and any partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel FPGA IP License Agreement, or other applicable license + Info: agreement, including, without limitation, that your use is for + Info: the sole purpose of programming logic devices manufactured by + Info: Intel and sold by Intel or its authorized distributors. Please + Info: refer to the applicable agreement for further details, at + Info: https://fpgasoftware.intel.com/eula. + Info: Processing started: Wed Feb 8 04:58:07 2023 + Info: System process ID: 6049 +Info: Command: quartus_sh --flow compile add.qpf -c add +Info: Quartus(args): compile add.qpf -c add +Info: Project Name = /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add +Info: Revision Name = add +Info: Run task: IP Generation +Info: ******************************************************************* +Info: Running Quartus Prime IP Generation Tool + Info: Version 22.3.0 Build 104 09/14/2022 SC Pro Edition + Info: Processing started: Wed Feb 8 04:58:09 2023 + Info: System process ID: 6085 +Info: Command: quartus_ipgenerate add -c add --run_default_mode_op +Info: Found 5 IP file(s) in the project. + Info: IP file /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper.qsys was found in the project. + Info: IP file /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip was found in the project. + Info: IP file /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip was found in the project. + Info: IP file /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip was found in the project. + Info: IP file /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip was found in the project. +Info: Started running qsys-validate on Platform Designer system add_kernel_wrapper.qsys +Info: Performing Platform Designer system validation using the command line: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/../qsys/bin/qsys-validate add_kernel_wrapper.qsys +Warning: add_kernel_wrapper: add_fpga_ip_export_1_di_0 has port resetn declared with role reset_n which is declared as reset in file add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip +Info: Finished running qsys-validate on Platform Designer system add_kernel_wrapper.qsys +Info: Only synthesis files will be generated. +Info: Performing IP Generation using the command line: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/sopc_builder/bin/qsys-generate {--family=Arria 10} --part=10AS066N3F40E2SG --block-symbol-file --quartus-project=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add --rev=add --top-level-generation=true {--bypass-quartus-project } --synthesis=verilog --parallel --batch=ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip --batch=ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip --batch=ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip --batch=ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip add_kernel_wrapper.qsys +Info: Batch generation will generate the listed files in this order: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper.qsys, ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip, ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip, ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip, ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip +Info: Parallel IP Generation is enabled. +Info: Platform Designer will attempt to use 5 processors for parallel IP generation based on available number of processors and the total number of IP to be generated. +Info: Starting: Platform Designer system generation +Info: Saving generation log to /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_clock_in/add_kernel_wrapper_clock_in_generation.rpt +Info: Generated by version: 22.3 build 104 +Info: Starting: Create block symbol file (.bsf) +Info: qsys-generate /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip --block-symbol-file --output-directory=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_clock_in --family="Arria 10" --part=10AS066N3F40E2SG +Info: Finished: Create block symbol file (.bsf) +Info: Starting: Create HDL design files for synthesis +Info: qsys-generate /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip --synthesis=VERILOG --output-directory=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_clock_in --family="Arria 10" --part=10AS066N3F40E2SG +Info: add_kernel_wrapper_clock_in: "Transforming system: add_kernel_wrapper_clock_in" +Info: add_kernel_wrapper_clock_in: "Naming system components in system: add_kernel_wrapper_clock_in" +Info: add_kernel_wrapper_clock_in: "Processing generation queue" +Info: add_kernel_wrapper_clock_in: "Generating: add_kernel_wrapper_clock_in" +Info: add_kernel_wrapper_clock_in: Done "add_kernel_wrapper_clock_in" with 1 modules, 1 files +Info: Finished: Create HDL design files for synthesis +Info: Generation of /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_clock_in.ip (add_kernel_wrapper_clock_in) took 1465 ms +Info: Saving generation log to /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_kernel_wrapper_add_fpga_ip_export_1_di_0_generation.rpt +Info: Generated by version: 22.3 build 104 +Info: Starting: Create block symbol file (.bsf) +Info: qsys-generate /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip --block-symbol-file --output-directory=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0 --family="Arria 10" --part=10AS066N3F40E2SG +Info: Finished: Create block symbol file (.bsf) +Info: Starting: Create HDL design files for synthesis +Info: qsys-generate /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip --synthesis=VERILOG --output-directory=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0 --family="Arria 10" --part=10AS066N3F40E2SG +Info: add_kernel_wrapper_add_fpga_ip_export_1_di_0: "Transforming system: add_kernel_wrapper_add_fpga_ip_export_1_di_0" +Info: add_kernel_wrapper_add_fpga_ip_export_1_di_0: "Naming system components in system: add_kernel_wrapper_add_fpga_ip_export_1_di_0" +Info: add_kernel_wrapper_add_fpga_ip_export_1_di_0: "Processing generation queue" +Info: add_kernel_wrapper_add_fpga_ip_export_1_di_0: "Generating: add_kernel_wrapper_add_fpga_ip_export_1_di_0" +Info: add_kernel_wrapper_add_fpga_ip_export_1_di_0: "Generating: add_fpga_ip_export_1_di" +Info: add_kernel_wrapper_add_fpga_ip_export_1_di_0: Done "add_kernel_wrapper_add_fpga_ip_export_1_di_0" with 2 modules, 71 files +Info: Finished: Create HDL design files for synthesis +Info: Generation of /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip (add_kernel_wrapper_add_fpga_ip_export_1_di_0) took 2181 ms +Info: Saving generation log to /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_reset_in/add_kernel_wrapper_reset_in_generation.rpt +Info: Generated by version: 22.3 build 104 +Info: Starting: Create block symbol file (.bsf) +Info: qsys-generate /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip --block-symbol-file --output-directory=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_reset_in --family="Arria 10" --part=10AS066N3F40E2SG +Info: Finished: Create block symbol file (.bsf) +Info: Starting: Create HDL design files for synthesis +Info: qsys-generate /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip --synthesis=VERILOG --output-directory=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_reset_in --family="Arria 10" --part=10AS066N3F40E2SG +Info: add_kernel_wrapper_reset_in: "Transforming system: add_kernel_wrapper_reset_in" +Info: add_kernel_wrapper_reset_in: "Naming system components in system: add_kernel_wrapper_reset_in" +Info: add_kernel_wrapper_reset_in: "Processing generation queue" +Info: add_kernel_wrapper_reset_in: "Generating: add_kernel_wrapper_reset_in" +Info: add_kernel_wrapper_reset_in: Done "add_kernel_wrapper_reset_in" with 1 modules, 1 files +Info: Finished: Create HDL design files for synthesis +Info: Generation of /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_reset_in.ip (add_kernel_wrapper_reset_in) took 1414 ms +Info: Saving generation log to /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_master_0/add_kernel_wrapper_master_0_generation.rpt +Info: Generated by version: 22.3 build 104 +Info: Starting: Create block symbol file (.bsf) +Info: qsys-generate /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip --block-symbol-file --output-directory=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_master_0 --family="Arria 10" --part=10AS066N3F40E2SG +Info: Finished: Create block symbol file (.bsf) +Info: Starting: Create HDL design files for synthesis +Info: qsys-generate /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip --synthesis=VERILOG --output-directory=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_master_0 --family="Arria 10" --part=10AS066N3F40E2SG +Info: add_kernel_wrapper_master_0: "Transforming system: add_kernel_wrapper_master_0" +Info: add_kernel_wrapper_master_0: "Naming system components in system: add_kernel_wrapper_master_0" +Info: add_kernel_wrapper_master_0: "Processing generation queue" +Info: add_kernel_wrapper_master_0: "Generating: add_kernel_wrapper_master_0" +Info: add_kernel_wrapper_master_0: "Generating: add_kernel_wrapper_master_0_altera_jtag_avalon_master_191_xta54la" +Info: add_kernel_wrapper_master_0: "Generating: altera_avalon_st_jtag_interface" +Info: add_kernel_wrapper_master_0: "Generating: add_kernel_wrapper_master_0_timing_adapter_1930_iogftka" +Info: add_kernel_wrapper_master_0: "Generating: add_kernel_wrapper_master_0_altera_avalon_sc_fifo_1931_fzgstwy" +Info: add_kernel_wrapper_master_0: "Generating: altera_avalon_st_bytes_to_packets" +Info: add_kernel_wrapper_master_0: "Generating: altera_avalon_st_packets_to_bytes" +Info: add_kernel_wrapper_master_0: "Generating: altera_avalon_packets_to_master" +Info: add_kernel_wrapper_master_0: "Generating: add_kernel_wrapper_master_0_channel_adapter_1921_5wnzrci" +Info: add_kernel_wrapper_master_0: "Generating: add_kernel_wrapper_master_0_channel_adapter_1921_fkajlia" +Info: add_kernel_wrapper_master_0: "Generating: altera_reset_controller" +Info: add_kernel_wrapper_master_0: Done "add_kernel_wrapper_master_0" with 11 modules, 23 files +Info: Finished: Create HDL design files for synthesis +Info: Generation of /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_master_0.ip (add_kernel_wrapper_master_0) took 1612 ms +Warning: add_kernel_wrapper: add_fpga_ip_export_1_di_0 has port resetn declared with role reset_n which is declared as reset in file add_kernel_wrapper_add_fpga_ip_export_1_di_0.ip +Info: Saving generation log to /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper/add_kernel_wrapper_generation.rpt +Info: Generated by version: 22.3 build 104 +Info: Starting: Create block symbol file (.bsf) +Info: qsys-generate /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper.qsys --block-symbol-file --output-directory=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper --family="Arria 10" --part=10AS066N3F40E2SG +Info: Loading add-quartus/add_kernel_wrapper.qsys +Info: Reading input file +Info: Parameterizing module add_fpga_ip_export_1_di_0 +Info: Parameterizing module clock_in +Info: Parameterizing module master_0 +Info: Parameterizing module reset_in +Info: Building connections +Info: Parameterizing connections +Info: Validating +Info: Done reading input file +Info: Finished: Create block symbol file (.bsf) +Info: Starting: Create HDL design files for synthesis +Info: qsys-generate /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper.qsys --synthesis=VERILOG --output-directory=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper --family="Arria 10" --part=10AS066N3F40E2SG +Info: Loading add-quartus/add_kernel_wrapper.qsys +Info: Reading input file +Info: Parameterizing module add_fpga_ip_export_1_di_0 +Info: Parameterizing module clock_in +Info: Parameterizing module master_0 +Info: Parameterizing module reset_in +Info: Building connections +Info: Parameterizing connections +Info: Validating +Info: Done reading input file +Info: add_kernel_wrapper: "Transforming system: add_kernel_wrapper" +Info: Interconnect is inserted between master master_0.master and slave add_fpga_ip_export_1_di_0.csr_ring_root_avs because the master has address signal 32 bit wide, but the slave is 5 bit wide. +Info: Interconnect is inserted between master master_0.master and slave add_fpga_ip_export_1_di_0.csr_ring_root_avs because the master has readdata signal 32 bit wide, but the slave is 64 bit wide. +Info: Interconnect is inserted between master master_0.master and slave add_fpga_ip_export_1_di_0.csr_ring_root_avs because the master has writedata signal 32 bit wide, but the slave is 64 bit wide. +Info: Interconnect is inserted between master master_0.master and slave add_fpga_ip_export_1_di_0.csr_ring_root_avs because the master has byteenable signal 4 bit wide, but the slave is 8 bit wide. +Info: add_kernel_wrapper: "Naming system components in system: add_kernel_wrapper" +Info: add_kernel_wrapper: "Processing generation queue" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_add_fpga_ip_export_1_di_0" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_clock_in" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_master_0" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_reset_in" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_mm_interconnect_1920_r7ss2sq" +Info: add_kernel_wrapper: "Generating: altera_reset_controller" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_merlin_master_translator_191_g7h47bq" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_merlin_slave_translator_191_x56fcki" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_merlin_master_agent_191_mpbm6tq" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_merlin_slave_agent_191_ncfkfri" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_avalon_sc_fifo_1931_fzgstwy" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_merlin_router_1921_lzreodq" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_merlin_router_1921_4dfykji" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_merlin_demultiplexer_1921_cxey4iy" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_merlin_multiplexer_1921_yont6ya" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_merlin_multiplexer_1921_deglkyq" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_merlin_width_adapter_1920_qo37njq" +Info: add_kernel_wrapper: "Generating: add_kernel_wrapper_altera_merlin_width_adapter_1920_xqv2fwa" +Info: add_kernel_wrapper: Done "add_kernel_wrapper" with 19 modules, 24 files +Info: Finished: Create HDL design files for synthesis +Info: Generation of /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper.qsys (add_kernel_wrapper) took 2652 ms +Info: Finished: Platform Designer system generation +Info: Finished generating IP file(s) in the project. +Info: Quartus Prime IP Generation Tool was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 982 megabytes + Info: Processing ended: Wed Feb 8 04:58:37 2023 + Info: Elapsed time: 00:00:28 + Info: System process ID: 6085 +Info: Run task: Analysis & Synthesis +Info: ******************************************************************* +Info: Running Quartus Prime Synthesis + Info: Version 22.3.0 Build 104 09/14/2022 SC Pro Edition + Info: Processing started: Wed Feb 8 04:58:39 2023 + Info: System process ID: 6685 +Info: Command: quartus_syn --read_settings_files=on --write_settings_files=off add -c add +Info: qis_default_flow_script.tcl version: #2 +Info: Initializing Synthesis... +Info: Project = "add" +Info: Revision = "add" +Info: Analyzing source files +Info (18237): File "/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_master_0/altera_reset_controller_1921/synth/altera_reset_controller.v" is a duplicate of already analyzed file "/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper/altera_reset_controller_1921/synth/altera_reset_controller.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. +Info (18237): File "/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_master_0/altera_reset_controller_1921/synth/altera_reset_synchronizer.v" is a duplicate of already analyzed file "/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper/altera_reset_controller_1921/synth/altera_reset_synchronizer.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. +Warning (17326): Verilog HDL warning at acl_altera_syncram_wrapped.sv(246): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_altera_syncram_wrapped.sv Line: 246 +Warning (17326): Verilog HDL warning at acl_altera_syncram_wrapped.sv(249): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_altera_syncram_wrapped.sv Line: 249 +Info (16884): Verilog HDL info at hld_fifo.sv(146): analyzing included file ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_parameter_assert.svh File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/hld_fifo.sv Line: 146 +Info (19624): Verilog HDL info at hld_fifo.sv(146): back to file 'ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/hld_fifo.sv' File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/hld_fifo.sv Line: 146 +Info (16884): Verilog HDL info at acl_mid_speed_fifo.sv(85): analyzing included file ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_parameter_assert.svh File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_mid_speed_fifo.sv Line: 85 +Info (19624): Verilog HDL info at acl_mid_speed_fifo.sv(85): back to file 'ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_mid_speed_fifo.sv' File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_mid_speed_fifo.sv Line: 85 +Warning (17326): Verilog HDL warning at acl_latency_one_ram_fifo.sv(196): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_one_ram_fifo.sv Line: 196 +Warning (17326): Verilog HDL warning at acl_latency_one_ram_fifo.sv(199): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_one_ram_fifo.sv Line: 199 +Warning (17326): Verilog HDL warning at acl_latency_one_ram_fifo.sv(202): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_one_ram_fifo.sv Line: 202 +Warning (17326): Verilog HDL warning at acl_latency_one_ram_fifo.sv(205): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_one_ram_fifo.sv Line: 205 +Warning (17326): Verilog HDL warning at acl_latency_one_ram_fifo.sv(208): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_one_ram_fifo.sv Line: 208 +Warning (17326): Verilog HDL warning at acl_latency_one_ram_fifo.sv(211): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_one_ram_fifo.sv Line: 211 +Warning (17326): Verilog HDL warning at acl_latency_one_ram_fifo.sv(214): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_one_ram_fifo.sv Line: 214 +Warning (17326): Verilog HDL warning at acl_latency_one_ram_fifo.sv(217): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_one_ram_fifo.sv Line: 217 +Warning (17326): Verilog HDL warning at acl_latency_one_ram_fifo.sv(220): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_one_ram_fifo.sv Line: 220 +Warning (17326): Verilog HDL warning at acl_latency_zero_ram_fifo.sv(126): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_zero_ram_fifo.sv Line: 126 +Warning (17326): Verilog HDL warning at acl_latency_zero_ram_fifo.sv(129): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_zero_ram_fifo.sv Line: 129 +Warning (17326): Verilog HDL warning at acl_latency_zero_ram_fifo.sv(132): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_zero_ram_fifo.sv Line: 132 +Warning (17326): Verilog HDL warning at acl_latency_zero_ram_fifo.sv(135): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_zero_ram_fifo.sv Line: 135 +Warning (17326): Verilog HDL warning at acl_latency_zero_ram_fifo.sv(138): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_zero_ram_fifo.sv Line: 138 +Warning (17326): Verilog HDL warning at acl_latency_zero_ram_fifo.sv(141): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_zero_ram_fifo.sv Line: 141 +Warning (17326): Verilog HDL warning at acl_latency_zero_ram_fifo.sv(144): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_zero_ram_fifo.sv Line: 144 +Warning (17326): Verilog HDL warning at acl_latency_zero_ram_fifo.sv(147): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_zero_ram_fifo.sv Line: 147 +Warning (17326): Verilog HDL warning at acl_latency_zero_ram_fifo.sv(150): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_latency_zero_ram_fifo.sv Line: 150 +Warning (17326): Verilog HDL warning at acl_high_speed_fifo.sv(218): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_high_speed_fifo.sv Line: 218 +Warning (17326): Verilog HDL warning at acl_high_speed_fifo.sv(221): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_high_speed_fifo.sv Line: 221 +Warning (17326): Verilog HDL warning at acl_high_speed_fifo.sv(224): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_high_speed_fifo.sv Line: 224 +Warning (17326): Verilog HDL warning at acl_high_speed_fifo.sv(227): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_high_speed_fifo.sv Line: 227 +Warning (17326): Verilog HDL warning at acl_high_speed_fifo.sv(230): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_high_speed_fifo.sv Line: 230 +Warning (17326): Verilog HDL warning at acl_high_speed_fifo.sv(233): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_high_speed_fifo.sv Line: 233 +Warning (17326): Verilog HDL warning at acl_high_speed_fifo.sv(236): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_high_speed_fifo.sv Line: 236 +Warning (17326): Verilog HDL warning at acl_high_speed_fifo.sv(239): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_high_speed_fifo.sv Line: 239 +Warning (17326): Verilog HDL warning at acl_high_speed_fifo.sv(242): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_high_speed_fifo.sv Line: 242 +Warning (17326): Verilog HDL warning at acl_low_latency_fifo.sv(157): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_low_latency_fifo.sv Line: 157 +Warning (17326): Verilog HDL warning at acl_low_latency_fifo.sv(160): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_low_latency_fifo.sv Line: 160 +Warning (17326): Verilog HDL warning at acl_low_latency_fifo.sv(163): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_low_latency_fifo.sv Line: 163 +Warning (17326): Verilog HDL warning at acl_low_latency_fifo.sv(166): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_low_latency_fifo.sv Line: 166 +Warning (17326): Verilog HDL warning at acl_low_latency_fifo.sv(169): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_low_latency_fifo.sv Line: 169 +Warning (17326): Verilog HDL warning at acl_low_latency_fifo.sv(172): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_low_latency_fifo.sv Line: 172 +Warning (17326): Verilog HDL warning at acl_low_latency_fifo.sv(175): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_low_latency_fifo.sv Line: 175 +Warning (17326): Verilog HDL warning at acl_low_latency_fifo.sv(178): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_low_latency_fifo.sv Line: 178 +Warning (17326): Verilog HDL warning at acl_low_latency_fifo.sv(181): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_low_latency_fifo.sv Line: 181 +Warning (17326): Verilog HDL warning at acl_zero_latency_fifo.sv(161): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_zero_latency_fifo.sv Line: 161 +Warning (17326): Verilog HDL warning at acl_zero_latency_fifo.sv(164): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_zero_latency_fifo.sv Line: 164 +Warning (17326): Verilog HDL warning at acl_zero_latency_fifo.sv(167): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_zero_latency_fifo.sv Line: 167 +Warning (17326): Verilog HDL warning at acl_zero_latency_fifo.sv(170): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_zero_latency_fifo.sv Line: 170 +Warning (17326): Verilog HDL warning at acl_zero_latency_fifo.sv(173): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_zero_latency_fifo.sv Line: 173 +Warning (17326): Verilog HDL warning at acl_zero_latency_fifo.sv(176): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_zero_latency_fifo.sv Line: 176 +Warning (17326): Verilog HDL warning at acl_zero_latency_fifo.sv(179): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_zero_latency_fifo.sv Line: 179 +Warning (17326): Verilog HDL warning at acl_zero_latency_fifo.sv(182): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_zero_latency_fifo.sv Line: 182 +Warning (17326): Verilog HDL warning at acl_zero_latency_fifo.sv(185): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_zero_latency_fifo.sv Line: 185 +Info (16884): Verilog HDL info at acl_mlab_fifo.sv(32): analyzing included file ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_parameter_assert.svh File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_mlab_fifo.sv Line: 32 +Info (19624): Verilog HDL info at acl_mlab_fifo.sv(32): back to file 'ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_mlab_fifo.sv' File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_mlab_fifo.sv Line: 32 +Info (16884): Verilog HDL info at hld_iowr.sv(34): analyzing included file ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_parameter_assert.svh File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/hld_iowr.sv Line: 34 +Info (19624): Verilog HDL info at hld_iowr.sv(34): back to file 'ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/hld_iowr.sv' File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/hld_iowr.sv Line: 34 +Warning (17326): Verilog HDL warning at acl_push.v(100): elaboration system task fatal violates IEEE 1800 (2005) syntax File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_push.v Line: 100 +Info: Elaborating from top-level entity "add" +Info (18235): Library search order is as follows: "add_kernel_wrapper_clock_in; add_kernel_wrapper_reset_in; altera_merlin_master_translator_191; altera_merlin_slave_translator_191; altera_merlin_master_agent_191; altera_merlin_slave_agent_191; altera_avalon_sc_fifo_1931; altera_merlin_router_1921; altera_merlin_demultiplexer_1921; altera_merlin_multiplexer_1921; altera_merlin_width_adapter_1920; altera_mm_interconnect_1920; altera_reset_controller_1921; add_kernel_wrapper; altera_jtag_dc_streaming_191; timing_adapter_1930; altera_avalon_st_bytes_to_packets_1920; altera_avalon_st_packets_to_bytes_1920; altera_avalon_packets_to_master_1920; channel_adapter_1921; altera_jtag_avalon_master_191; add_kernel_wrapper_master_0; add_fpga_ip_export_1_di_10; add_kernel_wrapper_add_fpga_ip_export_1_di_0". Quartus will look for undefined design units in your libraries in that order. To modify the ordering, please specify a semi-colon separated library list using the assignment LIBRARY_SEARCH_ORDER. +Warning (13469): Verilog HDL assignment warning at acl_multistage_accumulator.v(201): truncated value with size 115 to match size of target (96) File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_multistage_accumulator.v Line: 201 +Warning (13469): Verilog HDL assignment warning at acl_multistage_accumulator.v(201): truncated value with size 115 to match size of target (96) File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_multistage_accumulator.v Line: 201 +Warning (13469): Verilog HDL assignment warning at acl_multistage_adder.v(168): truncated value with size 19 to match size of target (14) File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_multistage_adder.v Line: 168 +Warning (13469): Verilog HDL assignment warning at acl_token_fifo_counter.v(131): truncated value with size 2 to match size of target (1) File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_token_fifo_counter.v Line: 131 +Warning (13469): Verilog HDL assignment warning at acl_token_fifo_counter.v(147): truncated value with size 2 to match size of target (1) File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_token_fifo_counter.v Line: 147 +Warning (13469): Verilog HDL assignment warning at acl_token_fifo_counter.v(156): truncated value with size 3 to match size of target (2) File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_token_fifo_counter.v Line: 156 +Warning (16735): Verilog HDL warning at hld_iowr.sv(419): actual bit length 35 differs from formal bit length 34 for port "i_data" File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/hld_iowr.sv Line: 419 +Warning (16735): Verilog HDL warning at hld_iowr.sv(429): actual bit length 35 differs from formal bit length 34 for port "o_fifodata" File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/hld_iowr.sv Line: 429 +Info (19337): VHDL info at sld_jtag_endpoint_adapter.vhd(96): executing entity "sld_jtag_endpoint_adapter(sld_ir_width=3,sld_auto_instance_index="YES",sld_node_info_internal=203451904)(1,1)(1,3)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_jtag_endpoint_adapter.vhd Line: 96 +Info (19337): VHDL info at altera_sld_agent_endpoint.vhd(120): executing entity "altera_sld_agent_endpoint(mfr_code=110,type_code=132,version=1,ir_width=3)(1,1)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/altera_sld_agent_endpoint.vhd Line: 120 +Info (19337): VHDL info at altera_fabric_endpoint.vhd(126): executing entity "altera_fabric_endpoint(send_width=5,receive_width=26,settings="{fabric sld dir agent mfr_code 110 type_code 132 version 1 instance -1 ir_width 3 bridge_agent 0 prefer_host { } psig 9b67919e}")(1,127)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/altera_fabric_endpoint.vhd Line: 126 +Info (22567): Verilog HDL info at add_kernel_wrapper_master_0_altera_avalon_sc_fifo_1931_fzgstwy.v(125): extracting RAM for identifier 'mem' File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_master_0/altera_avalon_sc_fifo_1931/synth/add_kernel_wrapper_master_0_altera_avalon_sc_fifo_1931_fzgstwy.v Line: 125 +Info (22567): Verilog HDL info at add_kernel_wrapper_master_0_altera_avalon_sc_fifo_1931_fzgstwy.v(126): extracting RAM for identifier 'infer_mem' File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_master_0/altera_avalon_sc_fifo_1931/synth/add_kernel_wrapper_master_0_altera_avalon_sc_fifo_1931_fzgstwy.v Line: 126 +Info (22567): Verilog HDL info at add_kernel_wrapper_altera_avalon_sc_fifo_1931_fzgstwy.v(126): extracting RAM for identifier 'infer_mem' File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper/altera_avalon_sc_fifo_1931/synth/add_kernel_wrapper_altera_avalon_sc_fifo_1931_fzgstwy.v Line: 126 +Info (22567): Verilog HDL info at add_kernel_wrapper_altera_merlin_width_adapter_1920_qo37njq.sv(317): extracting RAM for identifier 'data_array' File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper/altera_merlin_width_adapter_1920/synth/add_kernel_wrapper_altera_merlin_width_adapter_1920_qo37njq.sv Line: 317 +Info (22567): Verilog HDL info at add_kernel_wrapper_altera_merlin_width_adapter_1920_qo37njq.sv(318): extracting RAM for identifier 'byteen_array' File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper/altera_merlin_width_adapter_1920/synth/add_kernel_wrapper_altera_merlin_width_adapter_1920_qo37njq.sv Line: 318 +Info (22567): Verilog HDL info at add_kernel_wrapper_altera_merlin_width_adapter_1920_xqv2fwa.sv(317): extracting RAM for identifier 'data_array' File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper/altera_merlin_width_adapter_1920/synth/add_kernel_wrapper_altera_merlin_width_adapter_1920_xqv2fwa.sv Line: 317 +Info (22567): Verilog HDL info at add_kernel_wrapper_altera_merlin_width_adapter_1920_xqv2fwa.sv(318): extracting RAM for identifier 'byteen_array' File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper/altera_merlin_width_adapter_1920/synth/add_kernel_wrapper_altera_merlin_width_adapter_1920_xqv2fwa.sv Line: 318 +Warning (16753): Verilog HDL warning at add_kernel_wrapper_altera_merlin_width_adapter_1920_xqv2fwa.sv(477): right shift count is greater than or equal to the width of the value File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/add_kernel_wrapper/altera_merlin_width_adapter_1920/synth/add_kernel_wrapper_altera_merlin_width_adapter_1920_xqv2fwa.sv Line: 477 +Warning (21610): Output port "device_exception_bus[0..63]" in instance "u0|add_fpga_ip_export_1_di_0|add_fpga_ip_export_1_di_0" of entity "add_fpga_ip_export_1_di" does not have a driver. Connecting to the default value "gnd". File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/add_fpga_ip_export_1_di.sv Line: 26 +Warning (21610): Output port "stall_out_lookahead" in instance "u0|add_fpga_ip_export_1_di_0|add_fpga_ip_export_1_di_0|ZTS7AdderID_std_ic_inst|ZTS7AdderID_id_iter_inst_0" of entity "acl_id_iterator" does not have a driver. Connecting to the default value "gnd". File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_id_iterator.v Line: 70 +Warning (21610): Output port "avm_burstcount" in instance "u0|add_fpga_ip_export_1_di_0|add_fpga_ip_export_1_di_0|cra_ring_wrapper_inst|csr_ring_node_avm_wire_0_cra_ring_inst_0" of entity "cra_ring_node" does not have a driver. Connecting to the default value "gnd". File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/cra_ring_node.sv Line: 47 +Warning (21610): Output port "avm_enable" in instance "u0|add_fpga_ip_export_1_di_0|add_fpga_ip_export_1_di_0|cra_ring_wrapper_inst|csr_ring_node_avm_wire_0_cra_ring_inst_0" of entity "cra_ring_node" does not have a driver. Connecting to the default value "gnd". File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/cra_ring_node.sv Line: 45 +Info: Found 147 design entities +Info: There are 195 partitions after elaboration. +Info: Creating instance-specific data models and dissolving soft partitions +Info (18299): Expanding entity and wildcard assignments. +Info (18300): Expanded entity and wildcard assignments. Elapsed time: 00:00:00 +Info (11170): Starting IP generation for the debug fabric: alt_sld_fab_0. +Info (11172): *************************************************************** +Info (11172): Quartus is a registered trademark of Intel Corporation in the +Info (11172): US and other countries. Portions of the Quartus Prime software +Info (11172): Code, and other portions of the code included in this download +Info (11172): Or on this DVD, are licensed to Intel Corporation and are the +Info (11172): Copyrighted property of third parties. For license details, +Info (11172): Refer to the End User License Agreement at +Info (11172): Http://fpgasoftware.intel.com/eula. +Info (11172): *************************************************************** +Info (11172): Alt_sld_fab_0.alt_sld_fab_0.alt_sld_fab_0: SLD fabric agents which did not specify prefer_host were connected to JTAG +Info (11172): Deploying alt_sld_fab_0 to /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/qdb/_compiler/add/_flat/22.3.0/partitioned/1/.temp/sld_fabrics/ipgen/alt_sld_fab_0/alt_sld_fab_0.ip +Info (11172): *************************************************************** +Info (11172): Quartus is a registered trademark of Intel Corporation in the +Info (11172): US and other countries. Portions of the Quartus Prime software +Info (11172): Code, and other portions of the code included in this download +Info (11172): Or on this DVD, are licensed to Intel Corporation and are the +Info (11172): Copyrighted property of third parties. For license details, +Info (11172): Refer to the End User License Agreement at +Info (11172): Http://fpgasoftware.intel.com/eula. +Info (11172): *************************************************************** +Info (11172): Saving generation log to /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/qdb/_compiler/add/_flat/22.3.0/partitioned/1/.temp/sld_fabrics/ipgen/alt_sld_fab_0/alt_sld_fab_0/alt_sld_fab_0_generation.rpt +Info (11172): Generated by version: 22.3 build 104 +Info (11172): Starting: Create HDL design files for synthesis +Info (11172): Qsys-generate /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/qdb/_compiler/add/_flat/22.3.0/partitioned/1/.temp/sld_fabrics/ipgen/alt_sld_fab_0/alt_sld_fab_0.ip --synthesis=VERILOG --output-directory=/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/qdb/_compiler/add/_flat/22.3.0/partitioned/1/.temp/sld_fabrics/ipgen/alt_sld_fab_0/alt_sld_fab_0 --family="Arria 10" --part=10AS066N3F40E2SG +Info (11172): Alt_sld_fab_0.alt_sld_fab_0.alt_sld_fab_0: SLD fabric agents which did not specify prefer_host were connected to JTAG +Info (11172): Alt_sld_fab_0: "Transforming system: alt_sld_fab_0" +Info (11172): Alt_sld_fab_0: "Naming system components in system: alt_sld_fab_0" +Info (11172): Alt_sld_fab_0: "Processing generation queue" +Info (11172): Alt_sld_fab_0: "Generating: alt_sld_fab_0" +Info (11172): Alt_sld_fab_0: "Generating: alt_sld_fab_0_alt_sld_fab_0_10_mgvf7lq" +Info (11172): Alt_sld_fab_0: "Generating: alt_sld_fab_0_alt_sld_fab_1920_fnonq3i" +Info (11172): Alt_sld_fab_0: "Generating: altera_signaltap_agent_wrapper" +Info (11172): Alt_sld_fab_0: "Generating: alt_sld_fab_0_altera_sld_splitter_1920_yq5fuqa" +Info (11172): Alt_sld_fab_0: "Generating: altera_jtag_wys_atom" +Info (11172): Alt_sld_fab_0: "Generating: alt_sld_fab_0_altera_sld_jtag_hub_1920_ashi6lq" +Info (11172): Alt_sld_fab_0: "Generating: alt_sld_fab_0_altera_connection_identification_hub_1920_cpxib3y" +Info (11172): Alt_sld_fab_0: "Generating: alt_sld_fab_0_intel_configuration_debug_reset_release_hub_203_vuwprxq" +Info (11172): Conf_reset_src: "Generating: conf_reset_src" +Info (11172): Grounded_conf_reset_src: "Generating: grounded_conf_reset_src" +Info (11172): Alt_sld_fab_0: "Generating: conf_reset_src" +Info (11172): Alt_sld_fab_0: "Generating: grounded_conf_reset_src" +Info (11172): Alt_sld_fab_0: "Generating: intel_configuration_reset_release_for_debug" +Info (11172): Alt_sld_fab_0: "Generating: intel_configuration_reset_release_to_debug_logic" +Info (11172): Alt_sld_fab_0: Done "alt_sld_fab_0" with 13 modules, 14 files +Info (11172): Finished: Create HDL design files for synthesis +Info (11172): Generation of /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/qdb/_compiler/add/_flat/22.3.0/partitioned/1/.temp/sld_fabrics/ipgen/alt_sld_fab_0/alt_sld_fab_0.ip (alt_sld_fab_0) took 577 ms +Info (11171): Finished IP generation for the debug fabric: alt_sld_fab_0. +Info (19337): VHDL info at sld_signaltap.vhd(39): executing entity "sld_signaltap(sld_node_info=805334528,sld_section_id="auto_signaltap_0",sld_data_bits=215,sld_trigger_bits=215,sld_node_crc_hiword=12345,sld_node_crc_loword=19899,sld_incremental_routing=1,sld_sample_depth=131072,sld_segment_size=131072,sld_trigger_level=2,sld_advanced_trigger_entity="basic,1,sld_reserved_add_auto_signaltap_0_2_3f40,",sld_enable_advanced_trigger=1,sld_inversion_mask_length=676,sld_inversion_mask="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",sld_current_resource_width=1,sld_create_monitor_interface=1,sld_use_jtag_signal_adapter=0)(1,13)(1,16)(1,4)(1,49)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(0,675)(1,25)(1,3)(1,3)(1,5)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_signaltap.vhd Line: 39 +Info (19337): VHDL info at sld_signaltap_impl.vhd(167): executing entity "sld_signaltap_impl(sld_data_bits=215,sld_trigger_bits=215,sld_node_crc_hiword=12345,sld_node_crc_loword=19899,sld_incremental_routing=1,sld_sample_depth=131072,sld_segment_size=131072,sld_state_bits=11,sld_trigger_level=2,sld_advanced_trigger_entity="basic,1,sld_reserved_add_auto_signaltap_0_2_3f40,",sld_enable_advanced_trigger=1,sld_inversion_mask_length=676,sld_inversion_mask="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",sld_current_resource_width=1,sld_trigger_pipeline=0,sld_ram_pipeline=0,sld_counter_pipeline=0)(1,13)(1,4)(1,49)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(0,675)(1,25)(1,3)(1,3)(1,5)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_signaltap_impl.vhd Line: 167 +Info (19337): VHDL info at sld_signaltap_impl.vhd(522): executing entity "sld_signaltap_jtag(sld_data_bits=215,sld_trigger_bits=215,sld_node_crc_hiword=12345,sld_node_crc_loword=19899,sld_incremental_routing=1,sld_sample_depth=131072,sld_segment_size=131072,sld_state_bits=11,sld_buffer_full_stop=1,sld_trigger_level=2,sld_trigger_in_enabled=0,sld_advanced_trigger_entity="basic,1,sld_reserved_add_auto_signaltap_0_2_3f40,",sld_enable_advanced_trigger=1,sld_inversion_mask_length=676,sld_inversion_mask="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",sld_state_flow_mgr_entity="state_flow_mgr_entity.vhd",sld_current_resource_width=1)(1,4)(1,49)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(0,675)(1,25)(1,3)(1,3)(1,5)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_signaltap_impl.vhd Line: 522 +Info (19337): VHDL info at sld_stp_acq_core.vhd(16): executing entity "sld_stp_acq_core(sld_data_bits=215,sld_trigger_bits=215,sld_node_crc_hiword=12345,sld_node_crc_loword=19899,sld_incremental_routing=1,sld_sample_depth=131072,sld_segment_size=131072,sld_state_bits=11,sld_buffer_full_stop=1,sld_trigger_level=2,sld_trigger_in_enabled=0,sld_advanced_trigger_entity="basic,1,sld_reserved_add_auto_signaltap_0_2_3f40,",sld_enable_advanced_trigger=1,sld_inversion_mask_length=676,sld_inversion_mask="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",sld_state_flow_mgr_entity="state_flow_mgr_entity.vhd",sld_current_resource_width=1)(16,0)(16,0)(16,0)(34,0)(0,0)(16,0)(1,4)(1,49)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(0,675)(1,25)(1,3)(1,3)(1,5)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_stp_acq_core.vhd Line: 16 +Info (19337): VHDL info at sld_ela_control.vhd(72): executing entity "sld_ela_control(ip_major_version=6,trigger_input_width=215,trigger_level=2,advanced_trigger_entity="basic,1,sld_reserved_add_auto_signaltap_0_2_3f40,",enable_advanced_trigger=1,mem_address_bits=17,sample_depth=131072,state_bits=11,segment_size_bits=17,state_flow_mgr_entity="state_flow_mgr_entity.vhd",storage_qualifier_inversion_mask_length=0,storage_qualifier_advanced_condition_entity="basic")(1,49)(675,675)(1,25)(1,3)(1,5)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_ela_control.vhd Line: 72 +Info (19337): VHDL info at sld_ela_trigger_flow_mgr.vhd(10): executing entity "sld_ela_trigger_flow_mgr(ip_major_version=6,segment_size_bits=17)(0,0)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_ela_trigger_flow_mgr.vhd Line: 10 +Info (19337): VHDL info at sld_buffer_manager.vhd(47): executing entity "sld_buffer_manager(ip_major_version=6,address_bits=17,segment_size_bits=17,num_segments_bits=1)(1,3)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_buffer_manager.vhd Line: 47 +Info (19337): VHDL info at sld_stp_comm_acq_domain_xing.vhd(21): executing entity "sld_stp_comm_acq_domain_xing(sld_data_bits=215,sld_trigger_bits=215,sld_node_crc_hiword=12345,sld_node_crc_loword=19899,sld_incremental_routing=1,sld_sample_depth=131072,sld_segment_size=131072,sld_state_bits=11,sld_buffer_full_stop=1,sld_trigger_level=2,sld_trigger_in_enabled=0,sld_advanced_trigger_entity="basic,1,sld_reserved_add_auto_signaltap_0_2_3f40,",sld_enable_advanced_trigger=1,sld_inversion_mask_length=676,sld_inversion_mask="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",sld_state_flow_mgr_entity="state_flow_mgr_entity.vhd",sld_current_resource_width=1)(16,0)(34,0)(0,0)(16,0)(16,0)(16,0)(16,0)(16,0)(16,0)(34,0)(0,0)(16,0)(1,4)(1,49)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(0,675)(1,25)(1,3)(1,3)(1,5)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_stp_comm_acq_domain_xing.vhd Line: 21 +Info (19337): VHDL info at intel_stp_status_bits_cdc.vhd(26): executing entity "intel_stp_status_bits_cdc(stp_status_bits_width=17)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/intel_stp_status_bits_cdc.vhd Line: 26 +Info (19337): VHDL info at sld_stp_comm_jtag.vhd(16): executing entity "sld_stp_comm_jtag(sld_data_bits=215,sld_trigger_bits=215,sld_node_crc_hiword=12345,sld_node_crc_loword=19899,sld_incremental_routing=1,sld_sample_depth=131072,sld_segment_size=131072,sld_state_bits=11,sld_buffer_full_stop=1,sld_trigger_level=2,sld_trigger_in_enabled=0,sld_advanced_trigger_entity="basic,1,sld_reserved_add_auto_signaltap_0_2_3f40,",sld_enable_advanced_trigger=1,sld_inversion_mask_length=676,sld_inversion_mask="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",sld_state_flow_mgr_entity="state_flow_mgr_entity.vhd",sld_current_resource_width=1)(16,0)(16,0)(16,0)(34,0)(0,0)(16,0)(1,4)(1,49)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(1,4)(0,675)(1,25)(1,3)(1,3)(1,5)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_stp_comm_jtag.vhd Line: 16 +Info (19337): VHDL info at sld_buffer_manager.vhd(563): executing entity "sld_offload_buffer_mgr(ip_major_version=6,buffer_depth=131072,segment_count=1,mem_address_bits=17,data_bits=215,status_bits=35,data_bit_cntr_bits=8,status_bit_cntr_bits=6,ela_status_bits=4)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_buffer_manager.vhd Line: 563 +Info (19337): VHDL info at sld_rom_sr.vhd(5): executing entity "sld_rom_sr" with architecture "INFO_REG" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_rom_sr.vhd Line: 5 +Info (19337): VHDL info at sld_stp_comm_jtag.vhd(849): executing entity "serial_crc_16" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_stp_comm_jtag.vhd Line: 849 +Info (19337): VHDL info at alt_sld_fab_0_altera_sld_jtag_hub_1920_ashi6lq.vhd(13): executing entity "alt_sld_fab_0_altera_sld_jtag_hub_1920_ashi6lq(device_family="Arria 10",count=2,n_node_ir_bits=10,node_info="0000110000100000011011100000000000110000000000000110111000000000",compilation_mode=0,force_pre_1_4_feature=0,negedge_tdo_latch=0,bridge_start_index=3)(1,8)(1,0)(1,64)" with architecture "rtl" File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/qdb/_compiler/add/_flat/22.3.0/partitioned/1/.temp/sld_fabrics/ipgen/alt_sld_fab_0/alt_sld_fab_0/altera_sld_jtag_hub_1920/synth/alt_sld_fab_0_altera_sld_jtag_hub_1920_ashi6lq.vhd Line: 13 +Info (19337): VHDL info at sld_jtag_hub.vhd(89): executing entity "sld_jtag_hub(device_family="Arria 10",n_nodes=2,n_node_ir_bits=10,node_info="0000110000100000011011100000000000110000000000000110111000000000",force_pre_1_4_feature=0,negedge_tdo_latch=0,bridge_start_index=3)(1,8)(63,0)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_jtag_hub.vhd Line: 89 +Info (19337): VHDL info at sld_hub.vhd(1554): executing entity "sld_shadow_jsm(ip_major_version=1,ip_minor_version=5)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_hub.vhd Line: 1554 +Info (19337): VHDL info at sld_rom_sr.vhd(5): executing entity "sld_rom_sr(n_bits=96)" with architecture "INFO_REG" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_rom_sr.vhd Line: 5 +Info (19337): VHDL info at sld_ela_control.vhd(1174): executing entity "sld_ela_basic_multi_level_trigger(ip_major_version=6,data_bits=215)(0,0)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_ela_control.vhd Line: 1174 +Info (19337): VHDL info at sld_mbpmg.vhd(37): executing entity "sld_mbpmg(ip_major_version=6,data_bits=215)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_mbpmg.vhd Line: 37 +Info (19337): VHDL info at sld_mbpmg.vhd(257): executing entity "sld_sbpmg(ip_major_version=6)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_mbpmg.vhd Line: 257 +Info (19337): VHDL info at sld_alt_reduction.vhd(143): executing entity "sld_alt_reduction(ip_major_version=6,data_bits=15)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_alt_reduction.vhd Line: 143 +Info (19337): VHDL info at sld_alt_reduction.vhd(143): executing entity "sld_alt_reduction(ip_major_version=6,data_bits=64)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_alt_reduction.vhd Line: 143 +Info (19337): VHDL info at sld_alt_reduction.vhd(143): executing entity "sld_alt_reduction(ip_major_version=6,data_bits=8)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_alt_reduction.vhd Line: 143 +Info (19337): VHDL info at sld_alt_reduction.vhd(143): executing entity "sld_alt_reduction(ip_major_version=6,data_bits=5)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_alt_reduction.vhd Line: 143 +Info (19337): VHDL info at sld_mbpmg.vhd(37): executing entity "sld_mbpmg(ip_major_version=6,pipeline=0)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_mbpmg.vhd Line: 37 +Info (19337): VHDL info at sld_mbpmg.vhd(257): executing entity "sld_sbpmg(ip_major_version=6,pipeline=0)" with architecture "rtl" File: /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/libraries/megafunctions/sld_mbpmg.vhd Line: 257 +Info: found pre-synthesis snapshots for 2 partition(s) +Info: Synthesizing partition "root_partition" +Info (286031): Timing-Driven Synthesis is running on partition "root_partition" +Info (19000): Inferred 4 megafunctions from design logic + Info (276034): Inferred altshift_taps megafunction from the following design logic: "u0|add_fpga_ip_export_1_di_0|add_fpga_ip_export_1_di_0|ZTS7AdderID_std_ic_inst|ZTS7AdderID_finish_detector|ndrange_sum|pipelined_data_rtl_0" File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_multistage_accumulator.v Line: 113 + Info (286033): Parameter NUMBER_OF_TAPS set to 1 + Info (286033): Parameter TAP_DISTANCE set to 4 + Info (286033): Parameter WIDTH set to 19 + Info (286033): Parameter POWER_UP_STATE set to DONT_CARE + Info (276034): Inferred altshift_taps megafunction from the following design logic: "u0|add_fpga_ip_export_1_di_0|add_fpga_ip_export_1_di_0|ZTS7AdderID_std_ic_inst|ZTS7AdderID_finish_detector|ndrange_completed|pipelined_data_rtl_0" File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_multistage_accumulator.v Line: 113 + Info (286033): Parameter NUMBER_OF_TAPS set to 1 + Info (286033): Parameter TAP_DISTANCE set to 4 + Info (286033): Parameter WIDTH set to 19 + Info (286033): Parameter POWER_UP_STATE set to DONT_CARE + Info (276034): Inferred altshift_taps megafunction from the following design logic: "u0|add_fpga_ip_export_1_di_0|add_fpga_ip_export_1_di_0|ZTS7AdderID_std_ic_inst|ZTS7AdderID_finish_detector|ndrange_sum|pipelined_data_rtl_1" File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_multistage_accumulator.v Line: 113 + Info (286033): Parameter NUMBER_OF_TAPS set to 1 + Info (286033): Parameter TAP_DISTANCE set to 3 + Info (286033): Parameter WIDTH set to 19 + Info (286033): Parameter POWER_UP_STATE set to DONT_CARE + Info (276034): Inferred altshift_taps megafunction from the following design logic: "u0|add_fpga_ip_export_1_di_0|add_fpga_ip_export_1_di_0|ZTS7AdderID_std_ic_inst|ZTS7AdderID_finish_detector|ndrange_completed|pipelined_data_rtl_1" File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_multistage_accumulator.v Line: 113 + Info (286033): Parameter NUMBER_OF_TAPS set to 1 + Info (286033): Parameter TAP_DISTANCE set to 3 + Info (286033): Parameter WIDTH set to 19 + Info (286033): Parameter POWER_UP_STATE set to DONT_CARE +Info (18062): Inserted logic cells for Maximum Fan-Out assignment + Info (18058): Inserted 5 logic cells for Maximum Fan-Out assignment on "u0|add_fpga_ip_export_1_di_0|add_fpga_ip_export_1_di_0|ZTS7AdderID_std_ic_inst|ZTS7AdderID_inst_0|kernel|theZTS7AdderID_function|thebb_ZTS7AdderID_B0|thebb_ZTS7AdderID_B0_stall_region|thei_llvm_fpga_push_token_i1_throttle_push_zts7adderid_26_6gr|thei_llvm_fpga_push_token_i1_throttle_push_zts7adderid_94_1gr|fifo|valid_counter[0]" File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/ip/add_kernel_wrapper/add_kernel_wrapper_add_fpga_ip_export_1_di_0/add_fpga_ip_export_1_di_10/synth/acl_token_fifo_counter.v Line: 97 +Info (17049): 3264 registers lost all their fanouts during netlist optimizations. +Info (21057): Implemented 3474 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 5 input pins + Info (21059): Implemented 2 output pins + Info (21061): Implemented 3382 logic cells + Info (21064): Implemented 84 RAM segments + Info (21071): Implemented 1 partitions +Info: Successfully synthesized partition +Info: Synthesizing partition "auto_fab_0" +Info (286031): Timing-Driven Synthesis is running on partition "auto_fab_0" +Info (17049): 21 registers lost all their fanouts during netlist optimizations. +Info (17016): Found the following redundant logic cells in design + Info (17048): Logic cell "auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|configresetfabric|conf_reset_src|universal.lc" File: /nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/qdb/_compiler/add/_flat/22.3.0/partitioned/1/.temp/sld_fabrics/ipgen/alt_sld_fab_0/alt_sld_fab_0/intel_configuration_reset_release_for_debug_203/synth/intel_configuration_reset_release_for_debug.v Line: 45 +Info (21057): Implemented 7600 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 475 input pins + Info (21059): Implemented 30 output pins + Info (21061): Implemented 5374 logic cells + Info (21064): Implemented 1720 RAM segments +Info: Successfully synthesized partition +Info: Saving post-synthesis snapshots for 2 partition(s) +Info: Quartus Prime Synthesis was successful. 0 errors, 61 warnings + Info: Peak virtual memory: 1798 megabytes + Info: Processing ended: Wed Feb 8 04:59:25 2023 + Info: Elapsed time: 00:00:46 + Info: System process ID: 6685 +Info (19538): Reading SDC files took 00:00:01 cumulatively in this process. +Info: Run task: Fitter +Info (20030): Parallel compilation is enabled and will use 6 of the 6 processors detected +Info: ******************************************************************* +Info: Running Quartus Prime Fitter + Info: Version 22.3.0 Build 104 09/14/2022 SC Pro Edition + Info: Processing started: Wed Feb 8 04:59:27 2023 + Info: System process ID: 7230 +Info: Command: quartus_fit --read_settings_files=on --write_settings_files=off add -c add +Info: qfit2_default_script.tcl version: #1 +Info: Project = add +Info: Revision = add +Info (16677): Loading synthesized database. +Info (16734): Loading "synthesized" snapshot for partition "root_partition". +Info (16734): Loading "synthesized" snapshot for partition "auto_fab_0". +Info (16678): Successfully loaded synthesized database: elapsed time is 00:00:02. +Info (119006): Selected device 10AS066N3F40E2SG for design "add" +Info (21076): Core supply voltage operating condition is not set. Assuming a default value of '0.9V'. +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 100 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info (18824): Fitter is performing the Signal Tap Post-Fit tapping flow. +Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. +Info (12262): Starting Fitter periphery placement operations +Info (12290): Loading the periphery placement data. +Info (12291): Periphery placement data loaded: elapsed time is 00:00:24 +Critical Warning (16643): Found CONNECT_TO_SLD_NODE_ENTITY_PORT assignments found for "fpga_led" pin with multiple values. Using value: "acq_data_in[0]" + Info (16644): Assignment value for "fpga_led": "acq_data_in[0]" + Info (16644): Assignment value for "fpga_led": "acq_trigger_in[0]" +Critical Warning (16643): Found CONNECT_TO_SLD_NODE_ENTITY_PORT assignments found for "reset_button_n" pin with multiple values. Using value: "acq_data_in[1]" + Info (16644): Assignment value for "reset_button_n": "acq_data_in[1]" + Info (16644): Assignment value for "reset_button_n": "acq_trigger_in[1]" +Info (12627): Pin ~ALTERA_DATA0~ is reserved at location AH18 +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. +Info (16210): Plan updated with currently enabled project assignments. +Info (12295): Periphery placement of all unplaced cells complete: elapsed time is 00:00:00 +Critical Warning (17951): There are 48 unused RX channels in the design. + Info (19540): Add the QSF assignment 'set_instance_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON -to ' for each unused channel that will be used in future. + Info (19541): The above QSF assignment will preserve the performance of specified channels over time, and works only if the design uses at least 1 transceiver channel. +Critical Warning (18655): There are 48 unused TX channels in the design. + Info (19540): Add the QSF assignment 'set_instance_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON -to ' for each unused channel that will be used in future. + Info (19541): The above QSF assignment will preserve the performance of specified channels over time, and works only if the design uses at least 1 transceiver channel. +Info (11191): Automatically promoted 2 clocks (2 global) + Info (13173): auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|jtagpins|atom_inst|core_tck~CLKENA0 (4062 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_3A_G_I28 + Info (13173): i_clk~inputCLKENA0 (6510 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_3A_G_I21 +Info (332164): Evaluating HDL-embedded SDC commands + Info (332165): Entity altera_std_synchronizer + Info (332166): set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] + Info (332165): Entity sld_synchronizer_no_reset + Info (332166): set_false_path -to [get_keepers {*sld_synchronizer_no_reset:*|din_s1}] +Info (19539): Reading the HDL-embedded SDC files elapsed 00:00:00. +Info (332104): Reading SDC File: 'jtag.sdc' +Info (332151): Clock uncertainty is not calculated until you update the timing netlist. +Info (332104): Reading SDC File: 'add_kernel_wrapper/altera_reset_controller_1921/synth/altera_reset_controller.sdc' +Info (332104): Reading SDC File: 'ip/add_kernel_wrapper/add_kernel_wrapper_master_0/altera_jtag_dc_streaming_191/synth/altera_avalon_st_jtag_interface.sdc' +Info (332104): Reading SDC File: 'ip/add_kernel_wrapper/add_kernel_wrapper_master_0/altera_reset_controller_1921/synth/altera_reset_controller.sdc' +Info (332104): Reading SDC File: 'add.sdc' +Info (18794): Reading SDC File: '/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/qdb/_compiler/add/_flat/22.3.0/partitioned/1/.temp/sld_fabrics/ipgen/alt_sld_fab_0/alt_sld_fab_0/altera_signaltap_agent_1920/synth/intel_signal_tap.sdc' for instance: 'auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|auto_signaltap_auto_signaltap_0' +Info: Constraints on the CDC paths between acquisition clock and communication clock are created in the Signal Tap instance, auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|auto_signaltap_auto_signaltap_0 +Info (332104): Reading SDC File: '/p/psg/swip/releases5/acds/22.3/104/linux64/ip/altera/sld/jtag/altera_jtag_wys_atom/default_jtag.sdc' +Info (19449): Reading SDC files elapsed 00:00:00. +Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. +Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements +Info (332111): Found 2 clocks + Info (332111): Period Clock Name + Info (332111): ======== ============ + Info (332111): 41.666 altera_reserved_tck + Info (332111): 10.000 i_clk +Info (176233): Starting register packing +Info: Constraints on the CDC paths between acquisition clock and communication clock are created in the Signal Tap instance, auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|auto_signaltap_auto_signaltap_0 +Info (176235): Finished register packing + Extra Info (176218): Packed 8 registers into blocks of type Block RAM +Info (20273): Intermediate fitter snapshots will not be committed because ENABLE_INTERMEDIATE_SNAPSHOTS QSF assignment is disabled during compilation. +Info (12517): Periphery placement operations ending: elapsed time is 00:00:43 +Info (11165): Fitter preparation operations ending: elapsed time is 00:00:40 +Info (22300): Design uses Placement Effort Multiplier = 1.0. +Info (170189): Fitter placement preparation operations beginning +Info: Constraints on the CDC paths between acquisition clock and communication clock are created in the Signal Tap instance, auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|auto_signaltap_auto_signaltap_0 +Info (14951): The Fitter is using Advanced Physical Optimization. +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:19 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:01:06 +Info: Constraints on the CDC paths between acquisition clock and communication clock are created in the Signal Tap instance, auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|auto_signaltap_auto_signaltap_0 +Info (11888): Total time spent on timing analysis during Placement is 1.87 seconds. +Info (170193): Fitter routing operations beginning +Info: Constraints on the CDC paths between acquisition clock and communication clock are created in the Signal Tap instance, auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|auto_signaltap_auto_signaltap_0 +Info (11888): Total time spent on timing analysis during Routing is 4.85 seconds. +Info (16607): Fitter routing operations ending: elapsed time is 00:02:06 +Info (22300): Design uses Placement Effort Multiplier = 1.0. +Info (11888): Total time spent on timing analysis during Post-Routing is 0.00 seconds. +Info (16557): Fitter post-fit operations ending: elapsed time is 00:01:59 +Info (20274): Successfully committed final database. +Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. +Info: Quartus Prime Fitter was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 6123 megabytes + Info: Processing ended: Wed Feb 8 05:06:18 2023 + Info: Elapsed time: 00:06:51 + Info: System process ID: 7230 +Info: Run task: Timing Analysis (Signoff) +Info (20030): Parallel compilation is enabled and will use 6 of the 6 processors detected +Info: ******************************************************************* +Info: Running Quartus Prime Timing Analyzer + Info: Version 22.3.0 Build 104 09/14/2022 SC Pro Edition + Info: Processing started: Wed Feb 8 05:06:20 2023 + Info: System process ID: 10997 +Info: Command: quartus_sta add -c add --mode=finalize +Info: qsta_default_script.tcl version: #1 +Info (16677): Loading final database. +Info (16734): Loading "final" snapshot for partition "root_partition". +Info (16734): Loading "final" snapshot for partition "auto_fab_0". +Info (16678): Successfully loaded final database: elapsed time is 00:00:03. +Info (21076): Core supply voltage operating condition is not set. Assuming a default value of '0.9V'. +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 100 degrees C +Info (332164): Evaluating HDL-embedded SDC commands + Info (332165): Entity altera_std_synchronizer + Info (332166): set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] + Info (332165): Entity sld_synchronizer_no_reset + Info (332166): set_false_path -to [get_keepers {*sld_synchronizer_no_reset:*|din_s1}] +Info (19539): Reading the HDL-embedded SDC files elapsed 00:00:00. +Info (332104): Reading SDC File: 'jtag.sdc' +Info (332151): Clock uncertainty is not calculated until you update the timing netlist. +Info (332104): Reading SDC File: 'add_kernel_wrapper/altera_reset_controller_1921/synth/altera_reset_controller.sdc' +Info (332104): Reading SDC File: 'ip/add_kernel_wrapper/add_kernel_wrapper_master_0/altera_jtag_dc_streaming_191/synth/altera_avalon_st_jtag_interface.sdc' +Info (332104): Reading SDC File: 'ip/add_kernel_wrapper/add_kernel_wrapper_master_0/altera_reset_controller_1921/synth/altera_reset_controller.sdc' +Info (332104): Reading SDC File: 'add.sdc' +Info (18794): Reading SDC File: '/nfs/site/disks/swuser_work_whitepau/oneAPI_Examples/oneAPI-samples.platform-designer-ipa/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/add-quartus/qdb/_compiler/add/_flat/22.3.0/partitioned/1/.temp/sld_fabrics/ipgen/alt_sld_fab_0/alt_sld_fab_0/altera_signaltap_agent_1920/synth/intel_signal_tap.sdc' for instance: 'auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|auto_signaltap_auto_signaltap_0' +Info: Constraints on the CDC paths between acquisition clock and communication clock are created in the Signal Tap instance, auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|auto_signaltap_auto_signaltap_0 +Info (332104): Reading SDC File: '/p/psg/swip/releases5/acds/22.3/104/linux64/ip/altera/sld/jtag/altera_jtag_wys_atom/default_jtag.sdc' +Info (19449): Reading SDC files elapsed 00:00:00. +Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. +Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332146): Worst-case setup slack is 0.554 + Info (332119): Slack End Point TNS Failing End Points Clock Worst-Case Operating Conditions + Info (332119): ========= =================== ========= ========== ===================== + Info (332119): 0.554 0.000 0 i_clk Slow 900mV 100C Model + Info (332119): 1.464 0.000 0 altera_reserved_tck Slow 900mV 0C Model +Info (332146): Worst-case hold slack is 0.018 + Info (332119): Slack End Point TNS Failing End Points Clock Worst-Case Operating Conditions + Info (332119): ========= =================== ========= ========== ===================== + Info (332119): 0.018 0.000 0 altera_reserved_tck Fast 900mV 0C Model + Info (332119): 0.019 0.000 0 i_clk Fast 900mV 0C Model +Info (332146): Worst-case recovery slack is 6.330 + Info (332119): Slack End Point TNS Failing End Points Clock Worst-Case Operating Conditions + Info (332119): ========= =================== ========= ========== ===================== + Info (332119): 6.330 0.000 0 i_clk Slow 900mV 100C Model + Info (332119): 35.471 0.000 0 altera_reserved_tck Slow 900mV 100C Model +Info (332146): Worst-case removal slack is 0.160 + Info (332119): Slack End Point TNS Failing End Points Clock Worst-Case Operating Conditions + Info (332119): ========= =================== ========= ========== ===================== + Info (332119): 0.160 0.000 0 i_clk Fast 900mV 0C Model + Info (332119): 0.202 0.000 0 altera_reserved_tck Fast 900mV 0C Model +Info (332140): No Setup paths to report +Info (332140): No Recovery paths to report +Info (332146): Worst-case minimum pulse width slack is 4.402 + Info (332119): Slack End Point TNS Failing End Points Clock Worst-Case Operating Conditions + Info (332119): ========= =================== ========= ========== ===================== + Info (332119): 4.402 0.000 0 i_clk Slow 900mV 0C Model + Info (332119): 20.328 0.000 0 altera_reserved_tck Slow 900mV 0C Model +Info (332114): Report Metastability (Slow 900mV 100C Model): Found 71 synchronizer chains. + Info (332114): Worst-Case MTBF of Design is 1e+09 years or 3.15e+16 seconds. + Info (332114): Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. + + Info (332114): Number of Synchronizer Chains Found: 71 + Info (332114): Shortest Synchronizer Chain: 2 Registers + Info (332114): Number of Chains For Which MTBFs Could Not be Calculated Due to Timing Violations: 0, or 0.0%. + Info (332114): Number of Chains Excluded from MTBF Analysis: 56, or 78.9% + Info (332114): +Worst Case Available Settling Time: 12.460 ns + + Info (332114): Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. + Info (332114): - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 288.8 + Info (332114): Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. + Info (332114): - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 4590.3 +Info (332114): Report Metastability (Slow 900mV 0C Model): Found 71 synchronizer chains. + Info (332114): Worst-Case MTBF of Design is 1e+09 years or 3.15e+16 seconds. + Info (332114): Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. + + Info (332114): Number of Synchronizer Chains Found: 71 + Info (332114): Shortest Synchronizer Chain: 2 Registers + Info (332114): Number of Chains For Which MTBFs Could Not be Calculated Due to Timing Violations: 0, or 0.0%. + Info (332114): Number of Chains Excluded from MTBF Analysis: 56, or 78.9% + Info (332114): +Worst Case Available Settling Time: 12.860 ns + + Info (332114): Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. + Info (332114): - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 78.2 + Info (332114): Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. + Info (332114): - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 4590.3 +Info (332114): Report Metastability (Fast 900mV 100C Model): Found 71 synchronizer chains. + Info (332114): Worst-Case MTBF of Design is 1e+09 years or 3.15e+16 seconds. + Info (332114): Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. + + Info (332114): Number of Synchronizer Chains Found: 71 + Info (332114): Shortest Synchronizer Chain: 2 Registers + Info (332114): Number of Chains For Which MTBFs Could Not be Calculated Due to Timing Violations: 0, or 0.0%. + Info (332114): Number of Chains Excluded from MTBF Analysis: 56, or 78.9% + Info (332114): +Worst Case Available Settling Time: 14.458 ns + + Info (332114): Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. + Info (332114): - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 288.8 + Info (332114): Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. + Info (332114): - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 4590.3 +Info (332114): Report Metastability (Fast 900mV 0C Model): Found 71 synchronizer chains. + Info (332114): Worst-Case MTBF of Design is 1e+09 years or 3.15e+16 seconds. + Info (332114): Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. + + Info (332114): Number of Synchronizer Chains Found: 71 + Info (332114): Shortest Synchronizer Chain: 2 Registers + Info (332114): Number of Chains For Which MTBFs Could Not be Calculated Due to Timing Violations: 0, or 0.0%. + Info (332114): Number of Chains Excluded from MTBF Analysis: 56, or 78.9% + Info (332114): +Worst Case Available Settling Time: 15.301 ns + + Info (332114): Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. + Info (332114): - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 78.2 + Info (332114): Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. + Info (332114): - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 4590.3 +Info (332101): Design is fully constrained for setup requirements +Info (332101): Design is fully constrained for hold requirements +Info: Quartus Prime Timing Analyzer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 2594 megabytes + Info: Processing ended: Wed Feb 8 05:06:28 2023 + Info: Elapsed time: 00:00:08 + Info: System process ID: 10997 +Info: Run task: Assembler (Generate programming files) +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 22.3.0 Build 104 09/14/2022 SC Pro Edition + Info: Processing started: Wed Feb 8 05:06:30 2023 + Info: System process ID: 11037 +Info: Command: quartus_asm --read_settings_files=on --write_settings_files=off add -c add +Info (16677): Loading final database. +Info (16734): Loading "final" snapshot for partition "root_partition". +Info (16734): Loading "final" snapshot for partition "auto_fab_0". +Info (16678): Successfully loaded final database: elapsed time is 00:00:03. +Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 5128 megabytes + Info: Processing ended: Wed Feb 8 05:07:05 2023 + Info: Elapsed time: 00:00:35 + Info: System process ID: 11037 +Info (21793): Quartus Prime Full Compilation was successful. 0 errors, 69 warnings +Info (23030): Evaluation of Tcl script /p/psg/swip/releases5/acds/22.3/104/linux64/quartus/common/tcl/internal/qsh_flowengine.tcl was successful +Info: Quartus Prime Shell was successful. 0 errors, 69 warnings + Info: Peak virtual memory: 1048 megabytes + Info: Processing ended: Wed Feb 8 05:07:16 2023 + Info: Elapsed time: 00:09:09 + Info: System process ID: 6049 diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/add-files.png b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/add-files.png new file mode 100644 index 0000000000000000000000000000000000000000..e4509931c3088dfd6fa34a6247bde05c325162e3 GIT binary patch literal 30468 zcmb@ubxy@jzycA6J1nC}d0cRztEC~Zs7mxC23J+W(J1gkA!oZ;S|NVJ2>{R*@2F5x6t&HRc zFQcOzL=#-uhoRi|OW06sN@QAOLogmogicu$JRY9pX}#xD#Zv9f=gzN7r_vI&Ca=Ws zQr3J<65yo3?W@oLNGsHtG+m1AkJ*~BS1UB<=O>?b?#YgeYJ^@$DoCfE$!BZA!bTJhMb8@l48v^Vsh5zO6(1bzD?p|a8v2=% zMFdr{w^z>bKV2v9Ol{1WQy0DMzaKI?SxOaPR8W@l@<&jy!487Tf8+GrqWbt}oAJ*k z+)Jevb7hdEHR&weStPPzZD^laB4WhfM{lf0$?Ap+d0a+)`>GuJ`YOa=G=4d->ZcZq z5=zg|7)%kiN?ak0e0*fe5L!XZ;Mxz7TExXh&;VoI)!F_D31@&0Q|2&_)a>UgHF|1X zt!Q|npREdlnUTuE7?dSbK}}@KRCVsm<|u#XjPfzdns{@-oz-41I|XiV#L6ewnISi+ z*#1pPF&Pfy^wj}Tv}z%xFiJ^y$yZPNH^&)muT*cE}L=7r03@jI?WF3 zTJ~!pw+mI%<%Y~~aB#$e|CzT$&sIE-jt z_lcub-&}mhdUr^K9zOp0Z8UnGx34R$kmB)>iva0T7dw&bvu=Exp>V#1Sx4fgFv0NB z)y`PRt9y;VD;HY+)ut3DzUiw3Cw@vIsivQJqaafFxgr6R{0tW+$NRIrV*AiAT#Cc} zu~Eqvx}e?kB}^hB`0urFy*8}6g*q(wJe35<6+&@WSss*aZ+U{HrDEpJU0q^c6AUj; zcg3kY?ynb*eQS?{lVl*-+B#A|@-&g=g&M2os7E}t;*+wKKAU5~ZFqUnudS7%DR zes)8=-VvDQ8G8_I_l~k5Af^M*KA?%h>r{VQ3nqIyyaVej*Kq zpFXct@^_Pu#a1-)L`dOcb4iiqIB|xE>L#u*SEILZ?_FXdiX;RVPKv^!%)a6p1^-Hw zR3>X-?2Tj26y)Go&S&zWPa|tg(G$3=Jdvn|2BQ)E>2BVKgDshJ-!y^L^@QZ_FOfSs zE#5TPR8i;LK19Hm!Q&r(Z`%Fzl{!uqGt~St;uAGp!>vy}k5Kso*lVn=%_|q1VX~T0 zVpIVoGmPS-N5^+5j$mQg8N3xpjWw(GBeqHx|IN<9PqQDc1dK84roDg07b^9_!9iM= zPEaJ}gYbOWP7^qSWtRbj-|3{xA;0n@e*fM!y$R~K#wK}f7pNqfI=CC=Qi`k+AK`8$ zvl=P9QVOa@`$AvMOsBO{r6fvU?Xb~vuu_@vZ8(ayOpDB6GWdtSzvn?2sLnvR)(C36 zHZy8w#q+5k#8!bt^`a}b$vset_v}|2%$SJDeA8(yGkbiU1oSw&I>HR&?nh*Wb;nzU5{Vxfkk=Lpu<#39=p_v>J?>9qW z|NL=p+6o21gVLdkj7XitDoe$|@DX5JiSr5T&J;xI}|uDLSmH-F;Qqvey1j-VWn z4Ws5_K^i}R&v);XfL0~vE8=+8^`TubQXoumC8V7(+wq^sUEHQ2p? zALTGtB58c(&K(+LqnhJ60-gC90rcCh>rELt%A8=HW7~rdi|z1;tH-LI2So6zYs*1J zWfDmki$cw=(aRHA`c*n^0|g~Y%T6vHMQu0xgz-dKY8fpkcpfhquz%*{=n<+zb#iWx z)P7ufiVc6{mIaBD57t@q)44gWVE5+dD@345SnO=YcsoK+Ly*Qij6mB&3+j zJ4#aFS1$*Pr#bX3K*MWY13*cDb>tPrNpz>aGI3f6oS|{hF?pZ2TJ7JVVni-dAaUoI z%SnUOhx~CVFnTk^1j(&2L!=Xe(coF`gX-n@RTj@5f3*;9)QA=p{XOBF=ROQS==EB_ zRtl17SB*!7mU;$`bPC}Yr;hZ?e2r{@0=*!1$8W!^G7>7T{yS)9$)P-`Dc2Wiz;<7Dx8GnS?!ol;N1o>}nnAQnZD);L<@KUkre z2(pM_eO3EH#T<*ly|Iw1{MofN+g>Kn)2Gd@oImo04~#b5v@RA(lv7G46^tK@4D9;* zJ|Z5U92({&P&VE^JPp0$#9aab-XX6?!bwVQrAb+Ts!o%eQUuo9gI;d|S;jhVG)G!h z@d>j6pSff>v>>h#v*xKq-6=aQH97o}#T^0n2V!zUPHI@OOP$fpmW_ywkLJd5Gu6#k zd_v!5?MC!eiL<7-jEsy?ojP`P)|%?_D>pyt2A?!D+ImcDQc#RK{J1NLVX9A>-VkMr zx-m7up*DaG_03x=V*N&8?iqZ#h`L6 zQ$&mC%@$Xcp`nQckF&pqi9+RQ%QIhNO)oB^T*dU0&VAW8N;=exj@d@EsLE$sk}^fq zBdy^-tr(njnI>$#Fslm-nmb3@7X~V(y%tUm?{5;Z@gWDP^HD1*E)T5ZY+4q<{E1S# zlKB!;CH%+&RC0r%hMn9>Wy20(!;vYQog_XUhf==pqL;Ah#=LN88LP1~M7}ejw zR^cCtCq-hsEZedOCiVqO4K!=fS`tN~)#s_wX!CG-^2WkiuwxiwhR)=f*@Xs)7jL%SMHoH=8@*03#`Rtq6m52#|7}W^SN+)5 ziqS*(_yL;uXAUwqvrFM1nBN|r!O3u$rNJ^la25^#A}S9Vvo$%ZVRo z*+5g}-Z*L$N0UwF0cm8@8?Q_sO=Ijx|t9&4MtC{#M{uP|2(e$;aH^zEU$e_CHU z91suJ+?bmR7Ti}N`_B4;L5I|cB}QA%HXeyJ&bmy~JN#H){}#YRP=4xB7ZZ=Mm|Z1r<(sw2D(0~ zbmti6!JpXoOUv@cRt53;STJzFG8T(?GfB1V4&7d%kxDz2X(I^Vfzo&um;tERq2L=W@^uxjUM;6~3 zg|YHRlAmK)ceQd|Xwi>2H{3dyMEDAY-z$-`W0%4q3s%sjwMpb46$xFM4?!fq9ZMA{8&>#(zW=T;ME48u zS?RLzXzy1pOyLmFcXmcfLZ_u+=O-A?;+tc~esd_k_C+^BS>8b)Pm!ysT+Fx@uRV@| z_dTj|)^LI2hcoF@9Q;yM59A(w{S*noPnF(76!EaKsq(>;DN^!er?!KsNlXzXX>^@W+CA1ko$m+XP>D8D<*aaM`$?z5^aNgHIiOS>BZ^Ukn z{8=uWPF+KnCv~o%pUZra6MMuN(GAIw`?_B(xbp;r-B?&@8r3FBs%zdk>um+%kaN@F zGC8D5O&v#wHM>;#_!F+8I zaO+y+UocD}DJi)-S5LCfZ)?rMNDjioqWKHre+ASYT-_Z9MKC<~n$&P8yyT~bS%6jq z*ysjr8P}4ffCYOk}Jj-|kI_{57f6GIKN1ks^rY%s|*Jxn%*H@JafGgd}4>iofiWl$9JE+#w<}%qI&i zWiVIz7l6!tB#ig4Ylq2Julb19d-KrI`O|0)YJh)Rut}Y``OM%F$zY*j%{-9!8<+I$ z+s~}*#)u1_9G)p~NrQKbmDR-Cuz=x3Aqcp9va-OH`cIdrI-{NrCWCv^ij^|0X5&a( zD}055bsU3n^JPWDd0qv-e{d$Mv&|uZKp+$5+V^3U3~yw7RAgfQg^|CQyULKGWrxdT z<|1Weplx71xTt>tCSDK&=wFogh>gYg??Jb;)aw7joKq#4!v8c*#}$Qg+nJQsDQu*i z75xiGOU+gh(#XWvRYm@*75)Eg6`}B->1M}Dr2f~DF*UX9ztFT>M&>_{_m7Og{ofzY zwQ0}$&0&S_qow8M_e7c@Y)MR8ZZr};nNILkLPmx)3zjWO7N5h+l@u7as-5Cr{$X=&1B)8mnoe zmVtbD|3=R-v>l6_Jg&)Mg;?ncmk57dJWu_2ty4Hf4i^UphnXu4g@}kq?k&|EoesNN zUhOM=9UUFhp(B@eyuF+RZvOq8>_ z7jrgX{s!PAovAn(=Lb%@U*e+KyOuJ9ULX^!eTSMij$Xx2oh(PEr9ga@L%BqZL_bHc(s(kgE zP7Em?hO8kNOsrL72=9|m25s|@4?#eSor~(%N~mda(r7HM>*=vYlQ1z!xOeN@Uk%Ue z)2$V{&ZFGbTdFE4ee9K+m@(~~YWH-4%9?+(o~G`&S$oiFc69gx$1>?&qLSk>tIS{E zwB9wOUTTgyFjJ&7>TCFPwP@^hHNRMEJ3qp8(yZTn+_D2{ciH}8MXWR$1UGSySgdgN zcouNK&B~I=-`}F3nR#!eNuXVUF6umS%4rSpibBGZyd~)GTO&y9;|hbNWn@H7Oia{c zIfS+o#1iw)%%=XB{wm)n5g8plYp8X1cc)hx8WyG*rMBT|K`^w#H=6-#& z;;y#NTP_u%8t`n1t;Kqe=G@X|mc1Xp;*_?8^%?;~TFMt8IOnB@cvZcTNk5On>XrQ~ zf`X}K*{4$XOQE6-<-!;uZhZ5aZt_JVA68gc*qEZh{^}e?jpARks$#vrf8-J?sTvi= z5V{~&lZon`@YkX~u<~3B|GAjtG#_#^w-GF545|mV-wwt23NcpbO+(y8H|&QHqqtwldkCc387KD%o4w= zd2OMda@U;+qgm0Tk4;C>hTeZty)LKaJBy#zU!Eu&JI>^4Y}R5}lXrKmYium)lH!W! zbiKO~^{tHk?}`?A5T4-?5zW`THf!YTW~w@z4M8cte}9~-(gz$A|Dvi#EmzfdQ2U#) zEmZ}DsmdzICV2OKnSztDr>P1nrz76wZ|?Q@N_ef4e^3JY zyrpXf1f}IS4|~%$4pG<#iC%|OvV0GcUJ;YcGfylxP9;PU#963CF+sBq%97MULTP_4~V42>Vn>-;uMANPLqN31GuiIM}S(T8*o<6K{Rz zulHu(X^ssg)Q^s)i#C}d5e*XN*q1|78cfWR&PltI;lY5)RY=4Arr}Q z`c3=K@c{&k9SwDLrVpjg!nh3jO^%XYUW9EPd!HLFc}PXUaAc}`g~M<^iwBhUr{_Q` z^oQt6pii1#I$ni)ILXYcDSualhTq~}O%-c0z)A_x&65;-;uO?oXm`b=4Klgq;co0rx~hs`8(Y_oww<=n!)=& z-<9CRjD9hC|8Ow} z^Ln|0jHSeqiJ+x)awl8YaESL^=-_PyN* z2e(z$I;B*(wn-{&6-2Z3b>6JmjcJO|yRy(uclr4kdTSBjU5P&CoEtdtVrijvcrqd| z;Op3F9epi2A=96+?fm;0U7no8 z6MmoaVxxinE9DiDX7y(heFpLE4X4!xENuf9_|Ir0pH;=4b$nEBe|WR47tXwug|}xg zEdDVu(YGG(5?iKG0x>a|a8oA=qE2N}Ph&TZtDh7jQO@L<4oUNSdAgB{imvH?CN^wy zo8BWZa1LTq>o^-_@7|Tlc`Apjx(t)u%e_fA^dMRTAFw`!)U`+S3UBifu;}DBA^oHU8rUYV2x+;&aULaID2mSIJUQR z@I&2_A^sQR{G5)f0?^put~1XyZ~2n?K9Q%(S$9bx=U)=`YaOlD6g*%%wvJONXvz59 zy8i=Oj*$DzO3USpQZ#vm`Es_P>*@81(}4~COovM=6rWY^*Mh#&{8QsQ?u%J+-&4tK zpx3sSjzV|q<6(8ZwIutUiJbdo-W|a}g7$GUb948KbR+!Za2woMV9tal#9w@&QiV64 zfR~j$!1sLK?c6+h9KccCT@NMaawWSt>3tN5e}uNa$dh{)GEf{fE*j0Ik~32PUXOoP z2f3~ymd%3?zgRiTW3~0l5^~@R4DkNeE0*IlX+1ZDEc33Wb({EzYKvzSc5Trh-J&nx zCm*Ge|^#J zqqYhUdTntB^`7{NH++yR6=cX^u#K|H-rT!{1Ag1$uof+X4-wZWJ=J-a-zPwHyiP#q z`pxD-?#i&=5cbb^Q~Ra75pVZp*w-QMvXD;#$T>nDa-F4M#W8yT?cTr5)mA0usf_;~ zp+NgikE&YMuE8Ek?p+k2+1h*ghG(_;q?zf#3V|Nk2c|bayI0r0Yf`c2s(=sG{eoO~Zyd zj31S`r=q4yj{tj8P`^n?+Vp3e_Y0)@f9-bV<7{BF^%Y>5g0Smej>jCWWgWwo` zW1Ei0^U=0%zxZ?$MITT5!Geal%}|dl^fwm-W3WG<)O{fdQ+}9c!a3nf8VChOV~pP? zN9B!Fij{hOIQ1pTLH291BdX$0ZU&yH?ABUB$@HoVeIF+wqC(Y$R+A8&PH>|bTbu39 zcveaKv`*~{@J4!voPkkc@y!nDDe0%l-J|jpeuV)9AetzGl(B>A z_G)0?-aI+a$!L|XE)2zzG;&zfrnO>tngfa9&2r6(TIUU^Y}W}vS*c+jEpUTV_mdXE zZc){iKa0grf8E$Ks>&AK_ZrqNM51bsg$#K;d8HekmK{^CF+}oa6@>%zo9w4|Vh+9> zOs#e!w)-y~^{?mlCGX6NAT*^I`JT!8R_fU?Ygf;`@_X#s_8`O<@QFn1w*I>c$V(ktYrect+rb4p1)f;Sdq` z*vLUC?gxwIKKz6^!tR=Vg~{Zasf*g5J|!LB=L_i7obQ5G5&KeM4wr94-1bCaa(r^a zLiutqts8sNPGpSyv)khLzj8RC*%RgVrag1o>t2|$H*8Kxu0Nu55PvwU7B@FHa~WlA z{#D(6EcNpI0G;O55k7?2D{2$H}mf zVcZ*NeOmbXI?usA`5*Q2+n7jIP~wUYho}1y6a0CQy<2sKw2IV_v7Ib6;3a|xR6!^X zQ3y9JucanmiRzr4oh@(Gnf8C)ni;og8qosBU!Q0G_xI5s9t6Yqh*`;IB#W+wHQ3+i}U9~?ay~eHLv){y^QO4WijAyWXJrxGg~KS zRmH1BsX7ou7hQ6%v#q2*tJ2SvX~^ed__II9S&D(1CIq%(wg#wOa_P1^9=NLe54?us z$iRZl{Zs?c3rJ<1ulb)|N6d+f_=!W$W)A*a7McY?RfHO zsny}QKijGq=|Zj4xwXd3^kxkj5gjX?giUq0mVZ+ydy!`QjEPPvlE-vzsaPswGQK=t zp4e`jj)Q9{f^S?~=1O9MCgIx#wfS=fsFA>D{qw*^@cBW6i0lxYGJML;M^Q!+H5;%E#H~Hl5z?ZhOCFM8b^tNwEZzW;J@G@PkJ6KR9*3y zhV?H9<$DHKdEBh`KQ-S12C~ta>*%=sgiUXF6@hVFdf%vZidiYYkBx|&c`(DLXh*j= z1^(u!K5@YB6kN`aIB5j0&t5eA#FnJ=YN>TG_ZKq>`A&G&H z@%s{BAXX6uTfH$jInoWkZ$C4jW>_AF4-c)6JT6$?=8FAy@rNx7xuWlph9im+*hm;=Qtp>mCB3R54#nSdiLbTUJ+^qDK$9 zl=1bBFFMc(?RsIyc<>!rroJ4nu}Yd(L>$YyLgeB@9{9#>j8HD8&b)T9OlW@`V-(lT zeB_7kBs6fW)}IlA46CcJearC9wuk5T_+6B4G?RMBT+>m|t~}S^tp6p1Lzhln!vj=SM&5Is&Zhd|2);jvh*WNSCu zLXVJ2H%8}iw|f2HS=`T;I%j2MU{92IX6~1envzq3JtWnt-> zkx*ous2N|*XO&Bn^I842k{r~ITt)##u_fnUyghc|4`zdkrr!^8b|8zdW#LX}Gz!xQ zjsd#_4{9D?4Xn?TOb_^y6X&`n{@DOd|-I>-3?Eb38I$?ThYW1fHttUeu1bUJU zmCuuY(3on|BzZ&EFmuMZ-Kb_{4}YnZ`WyaRDM%DI>>9k^Ug2618ZDg@0_$$j)iX+^ zYV%c!7KS17XVnA;vPU|$|0l@kO@*6BYpLyk)#{DD3A0Sp#@Q85T7DQ)4)utV{!c5W zLb;vT-sl;gYZ7j)z*LxrZ@Oi*@mZ<((2>xm?L&6U!`HLkNk5rBo@ODl`!-E@Z`8q_ z!mqX1L$g@l1@!1|3@j!2g4H|R4sfmRS_O^F)kT2A;ORu`|XMZLPeZJJOy4i%k3%!#)Gyf88i zRb%=$oW^YWo-{siiZde*L9mKv@!mNB@LDAFK;(MK{N6_cl|$=u#>z+jI->gC^WG;H zFLGvPIfzpfGJe8#9X5Ba4P=qTSab4xB&H&t<9Cz5xME80I&S78+d#+Xie(ji4UVaL zNmV@AS7D$U7YGGN=|WhO4mYqvO9w&C`NIF#U154SIi8V~h?em$K0Vr|8A}l4Ga7Ne zVLQ6@Vlf%9Jpc5lP5_VHf&p^sf?~q_m3KE^7IyQXmwHtH2E*lD$A#^8&34wj(^@zN zEFF22cTs+QsuS`|TQohmc-~A-f^1*6rXDoIC!Rikmi;xS@vwj1Gplm6LSU+ht4{cS z?zYWX70BAv{wh*hY7jo@&|r4IzN)7*JqTq@dYepV!_RF>^#h+&zknr0XU`=?mdV9a zXR40cwAW#%J_QlK@}%wak3>Aaxg_E1O^21iKUb@Cvn}Mg*PB~gEFT!LZ&%KR zOb%9J!t=C0FXEsGyZU*s))o{lS#-cKdJxzCx)B7zs^y$D~|D2gWgoHa~ zTRv1ZCdo=zHVrSHyUZcQgQi}aZLxNoty zkrRt?i=W4z(+3WdMcmMiZk;bP8vQ5zT>TesOUi4{`+Nd-AUkPNW4KHm)eMCd1&3QW zbhvLt5Ws^=UO=QT%F+c2+x)I!u?-PzuT|33?L4MO5v86;CXI#e)oMowjIqFcN*Qe4 z3uNztM185ZG#|U#YPd10yni$r4=75bZ#oJjZESxR&tH*}{;rYL5u?}CdNChoLgc{y zvILFeopQ7GD66EYp2C>`Gcgf|pux9};!cCP0rDG25(o|CEyv#5A#a!`W1<};m zYouCSEWhvGV4%2zOPH_&gi7ct)pQfpr`{LNd+4-YfEi9|Z&`2{x^^Ya7SRWJpy!WJ z(XC`AV(|N2qLPT;Jj~V}qUUYZEJ0Ep$IJO!?}IGI)qp zKc^JIL{b-?H(vSPUsHZlfzB_DoKpBsm~DRn$;Y$3&3RN-8JI~vlcwxdR-tOv>*J-u zPMX$M-S0mpBs{c;zj1v~VxYm({USf_EA+{g>q zg)i38e65yLd{`f>si#Sb!i?7>AUK{z+0N@`mbPRcOIW|M6A*GFtd@sGfK!m=9TFraXCI`LPzF-mDMnqjJdwB{Jaa+F22}Wb|s1!!6RMbj?tNf759IMKv zUu9qQ&7Em|(O6m1rxC*Lc05DI-JKJBROVqX46@g38ocExX)bJg$1ZF({GoAO#kMm_ zMuc%bR9DvvtbTp86!;}MSAORC8}l@s3o;?+w&VaU#0;P{uvx}_I$S|L*Y^~|46_f9 zO`8$)#a}#j-{vERK|dVQl^&^mtktjXfZ*apk);pMm&w95>;E*%7rK7iqFT@LN6ZFq zd}6$UV=LJz(S>JFM_tJMYk|v;TjU5Q{U$1&4sZ2m-3FwZ?mIC)$6xJU2Cxe&M7%|; zlb#RgIWCJw`~|rfPLj_THQlF&C^e?g<4XsWU|-<1x;EcDuR7uy=?6$Pn*LN+h7{b_bq1 zApB{Z)FH1mIQ1QQUrJV6k>1^1W8UV~2e2ut{>svAKIO<_!mN zcO)_%{o=h#zDKW1+-<2qI8y2N7Ge-?-0T27g5d8UV;WAz=hy5CabJ2lQ32*^SJKo@ z8&2^kEM)!6MqE#}*Dgxc=&nc1JPH_lrBbat76|C4?C?+^Kcvj1dhz~?u)?w6Gi zYkX1#C@io3&TN*%DBrelc&=~{g3x79IQtDQhDcqPPQ z6rV3$@Pf$E^a^na$&lGMd-U>;Z0}f_KJ0t9?A57a%@$PEeg511YhxM3qJhFC5K1bN3nhCu3>vl% z4O17ve&bcm+*)<*L6nnyvSjDS`P^0+xX1lry09ac8bq zYZPJBgUhGWP)jHYyW4hGs&nrL*{?Sc3pZB}9v_h;Bqzsa3^;l{Ojn$KcNaZf${_dh zwO1AK_fr+&tR{evuA{K8f)Uato`#lyy(o2*r!J$IkIjhQud(@|ont(og^L=M4>2Lf zPTm6|&8`~Z5V#5}{7F*^azEDGy3oiVYoTE#i)kyepEU7fg2ytBp5_QCd%jF z2u;Qy{FU|cH7bvzJ2CN4ZdB=ZCnj!cMC&+nK{L4M#csP z!jPq_1M<}{CPvYf&j|^bJWhHPTB&I{R`PM*#yX@vZsYE{8$xig7y+uC{?j+Em_2hi zY6|6$Yicf6WGQt5N|qyvP%9R>j|*IL;|9r}ERkrPb4ybc`OSSp20S#==aRbwanPdnzZAK62NBU#1q8Vt5K{?~H%+wBWfddv={E9B zBVtgseNfe@-@ssDnOe`(qGzX3(yGInXv;Q1%VZ+4nfYk-zW)HL`#)W2qY`pR9MNN= z5%cui?c~PeF>4W)Jxgk7;nS&P>wLfh2HR9~k4Cn2K+WaDy1&9l!M$Vj#*HFaC>A>* zvxA`Qt&d{E;TR9?a%wR9Yp1R|x}@afIv}|hL&yocH5@;DP}A*L*k+P&GE5O*KZXog z9E#N6Z|izZMW}E|Nn_MNsT@(M90Dvs;4Vj~0vvpJPj3m?99L9|6s;DBOpEptOIw(H zqPmvqNQ2ERhu5h|_NNiX3|>2YfJm{*_PhS+zi23vcn+kfBNWPHSuzBX4JXLr{k28h z$Etma{n&g+ugsRIkG@MTp*Ym8peXU!nPA#qn?vH0^3>wv;^flV44r|D>J~r+_ix3E z`>(ot_M`Ft>P4g&NTiYfM{hsN{)xandh3E$93&Zp1Va5_Mk|AYKa?*M8crj^V-T65 z28V#qZ;KYJfsBW+&^E?P2YPKa3JX6DIGR`h0OOo=T%aSMla^9=f_L-7r%F^Qa&~_8 zhP3=nQo^jhhPJqvAB}Usj}^#9Pc=E{uewf(DkvyOMB}rb0=)0m-%OpE88rZ7&wv#= z==eC5C+YP&QQjG#fA>48^cwqs>}m0Ai*gim+k|p;$GKvsIM}^~b*8~aBZgE^UG?N= zJz{@2hWv*QDIRq|f>)oN-u+-Jm>do$Ekf>QMjtR%0Iq;@7bTPh^3ucoE6;3{(6D~2nzxVKehSnzBToX$Ho}l z@sA$t_Kyt{_lpy^3W)ON7VC3b_X{*G=?q^l?u@_V7VitD5FeZ|?(W&YTQ}(swpnVr z_30$jx_)Gi1qfZ!^)A0Qi(NGG;Xwl9O4*+6@hncO3DP5P@8`RnSkj(_D*frw_cVvA ztvEm$2%);`-WJd>m_1w{0dyCIGe9-ah(hr+dX509%f|~?)yAGYjsh6Pw{NHS1_62- z<8ZlANtHs#4GADps%)uN8YOH1ie-(USrB|_{f3ry&lesZ{uT%dIh@uysHxt}v4D=y z;TVEUwWazA>Ji}f98wXZ%#za5ip7>e*JPs=P?<8wi4ijmE{jcu(MJg7&##yN-BE^ z4>Mkk1-Q0@z?GgJ3G>mlx5~=%xqn%sTu7x}Bgk%{vRB`!vuF@zu#bCEB*b&wZ}IyE zw#?-aCU;%}1dr`xt}NM~D^hCcciTbrtf5k9i08C)A6s#9*czS&A+P-srd5tRKKSN1 zR{Z60_WP@&BqwNFBPabF&+ZcvsuuO&1Y6E|)~qReGkq9DMvCfK#ooU1`W*Ucl(o&2 z3Q!EGeuz?NwZ27qEMoeZZtRV$D*nJj?(_Iw=m+x()5NA@@`4i z^;gfUqcX}vEo2mw+1X+S+nK`1=Qjr1Yq^uh1fC2WyJTkw@TxAS8Lpo`HS}z9&ZM#E z1|J^U(;&JLq^P;p;R#`3V(!ihf8t8ZO|NR!B=34S7AAYQ*6M0y{)6)`wL%+wxJ<+F zU&Gm3E+TWn|#c%aydU0mz?MHOc2kGX{u`oF+Suu|vWFXxaQSFTS{@1GF_a0)4-f8ME%0z_yfMP0{MobV}Nk#|j&taAPE z0a{hG&GV$X-QKbNh>w*}tJmnTm@7P`xp(9fUEdV}Esv0#-x|G?njUfw#i-SdErZ3qeJg?vdiIX%nUU{Tqd zgP=msk5^HK8OWFQs_ z+!ms~HXz#Sl}`*uCyTnf*g0S$0U<`EewB|eFl_e#KjI>^sbp~U>OA~5r9Aq}^mNj% zvWK|PP*b}R>lcv*1h3_28+pqP*JS8aX+aAtoLS<(TvW;|9Z7S_PWL(d+1@6$L*gZ~ zQzM+wNWR(~_1!4uTcfdshz2d2xP0NPx!{UVTzB-OiKSI7H5=TFf8e$qA`Bk^X)Y47_|rbsLx``qf7zOVSm`#=XOr11vU(H$r zfQ|PRfX7jjp1ddG??r_GJ1S?pKTSOuMGq*SWL7+mG)*DZ`r)M9znw=+FAsmdR!V2< zm+fbl48JCeSq=VWoIAPf__&7|Q6;MW}7qe`L3<1UQL2gu zcX&h9?V+`>EBsYM6IFI~J`V_h;)#Z?j%3aMl1b!~A%+NFF(Ns|3QoN7I*w}0K^Xn; zO&>}#B&f)>o3n`y*y_TiJc-oD4wBCO$iF{Y5pxeGCwjoC*l}#OXGi1bAW&EL!|*ux zAP_eTp`mT5%6_;oLW)uxShaB?v;)G#IdmrF!U!LI%vBd^dglHNF*(ZfX&!5KYVLH( zH}0?cW}YRzhRkFvS*4-bc%|vhpevLGYT}jY${;-E?GE!wU6)nBdlHKKcR&Cbx#I%l zLHuikQq6cLVdwS{kWx)8(Zi)tSYZZ2Ntg9^Zi1I^`g_8{oyA5G<;Q4e{`)e zFuplN-x`oEGN{kY%$!ckQ`jW_RRNA=3(hJ4g@>nTFQoLct1H2IKfCt%-C}B@zaKW1 zI<5GQW&0#f`62D|C`#C#8x_(WF;0Kuo;9ie8CwMc3i2Mx26}W~T%Dqs^-Iz5$Ff7& zcH-o_nIS@!+G4R{>7sF9PKQK~-;{@8d`m}Rw3)mdNPIqfCoey{{M!9nt*osXgglR9 zRK@P#j+Ps_)q{)!p74NBV}9>1a0FzaiJQSE#BG1l^e?m0zJC3BHo{y(_*a;!sRZn7 zu^$OJ?!FuHHy$ax$W`|SagcN|!*X{bp;va#w=+8J=cc_XRMG%?3kPtSDiE@;|HYe8 z6#pl@iAxyMr!E6Bwid8o;$W2mM5CWE#2+yMp+W<;ARERQASQLc&+%L2TsQHoa!Y z*b)dB&ulx4{ky0E0Qq2Lxw@mvCJaX?6z-c&s_m|TL5by0T zOC8?zMiUbK*GDT`XTubWtU7JqhhvCBva?BZCVbs0>fM(Ca4d2;B@Gtz{u>{R^DYv) z_5fNO1IdBDZUnG6Y)Gm?-9;vQw;zIoekt$* zh$bdyQIw@Bciq6^z-kZypy0?azn0VRH>yz~VL^D|K6YRPIEV7GH;L-*#|~1SJe@Rv2nWL-pkj|U^3+Th(PU9%W8RvX6>!4O zx1mX>sZF_5O>8bTJLRt7GsEZs;2lsu^k2bg0J`pmFST~VZi%y5{ZbG|k0u4H@yw#G z+#4Md1X7e2HZQcDKb8<@zud|{mL;iRaUh=EKd}3eM0>Aib@&5V1*bx(b$S4P!dJKL zGm*LWRm-FQ-d-F04j=+#WMrY9w5<}gN5C+D-~}>k_wC z4OX1u;uL@wU8=^YGX|F+-3VNEO+GU#MI~-C^X3T`)BCg+v612K{-CDODrs?RUfZ~8 z7RYLrXDS{XmoeQmAv60Afoqk*ddqMb>#Zi`K7IOzo-L}oG*XzyA=?jZ(yLo+QrBR= zdt9m*Cr(qP%k3@yuFKt)_U*7t zjA2sb$kO=!ABY2&yXzgKb)1yP;G1d9>A@dDh~!Ci6~RMz(~Nmb}C zzGj@}z5vn~)+flXUG6fzAtQF}uXh7SbvJ)@>1MJ8uMfu1L$*#hqK>Ji>z?VaY6==A z<1%QzJu7Md$`#PNQ-MYEx1~9kdGblFk5-ZNjrh*z{Swo2;#Moae*Ym5TzQH6kz83$ zZlB5_dqPFLazP zt`|Yk?S~Os2&4(6+eCGQ>pU+gqvchu? zn6WT+dCMi5HrN*Xv*qG<*piDu0`px0ige;R_sM>z=(tQl{bwK0DVD+>jB|?G6(8}i z7#q_84BJWW%LDb5ffC$?66d0ut2KG802YPuHdZ0O=NC<|nmzHx-C^7ahS9GGo)E3j z$--w54Z}4iNn(v&%Tv{j!n}KMrba*hY4-c?|2>ER!q8G!5a`@3X5s%q7FhzAfDiC0 zl=Q;08G?|Q1{!C*5Ulk-1`WGBm@Z@pc{VHDQ!Vp0)`S5}1(`QeY9FY#Rebt&qycN` zK?xMVl*9esrFNw>IZS$BfO-rc0D2>7#{L`C(tL7Gs^eHIQXty^A)@|BkBKE0;xIt#n_6Ly<=~8KOK8rwr`f1d;FQ* zb^WD(p$M=4wb99TY0BfCuaewv$nDe{}yauYq%+dx9Re*XFtdND2GL zs|er;{~pJMhf&atO*wV`wi`-7XIzc=bcrY)BTRsQUCES-#d77xdr!zj z?Xh8CJ5|eQ-Woj+f1Cs}-&!x5qC#HjibzJ2MMvL<^#RtE_t`U0_PD2*;0TkU0z(OY zMXaGOW9yZH_7DsB`((2Y1ppW;7uUU|%rM<@$D4znjZyL3IML9HHriqtDBPx7=^+)4SHnyMTw)Vg%YC@toPSvkf;6x>F@r7bx&3%=OFc2UdcOZf$VDV5Er#&f!!-!Ben1cPOtBGuWz#vL)rZ zI!IVHiOBn1s2ObFf3|M~q`aLoY`G7*;9-zwQ`l5CDJ0`^cVCH{N+I(8h^BCN>y4E~ z$pgsh2s|RsiLW)|Rw)CBo?9ipOpBp@S$+0G=eW6Ga@8)r zC5)8Sbro&M<5M&=-yXZa0}Rnbf6g}jVGfg0j3qz5ywIshF}my?$spz^>p_sb&2jni z<>US=0D$x;1emMtSw|gBOHe8r7^F<{)kth;zV2l$0c4PQ`2F%;Nwb#j*Rzwm&6^FL zROr~1{+t&*cvC;SH|J{~G!!r#*u8z+3|7mG=fzcQ{4ZSMa}Q!}Q-qdojNq$47}J{H z&9%XFu?lc@r6w>_YW%7IHPp-GwAqkJT!eNxV}S;#6IhYYQLX1^CtsAaSxgV`upCzQ zhrimri{?H8tILfJOxg+$hbU-V3o;guz6YiTT@m*LXcClwNp@k&+sJL;iG<%GXxI8O zf&vPe{ZC=%yJ>%xrPi`%D{ej626tPG38Es}3nSV2MR$WY-o<_yUn_lB_J#mX~ z;SaQs6_K-xi`#j`%adtx<)}QcO%Nb{uYFv?OJm63H08mqqpx9ItG!L&qq+?6?T`j7 zY$LcKYC>vlF{3OTCZJXYAitXq$${K5+YDl__MdCYllx?5O@@`W1m_i9Bt`cd{TJ+! z)ck`Q*FeDzp=2-@SF4^NN1;|DjjWI_yR^Tx>KG90chv9}5S!JmkE!qv2bVb~`KBVANhmbI_eZ+i((-0g#T`RR`;lG{4emF)+$<}DcHv=R7%D0WuX{nsMu zRyoYtHXmY7~#g(4kXqmrZnr|_&iHG2)`aj~fITe5%o?oeTJ%FGLvt!*6G;^%-e&gV34HH9U zYJKj8LV4mf=~o?2nuk9LLmeT+ga_5g=MB4yyVV?Gj&$ZKV(D)y2cq6DG@2Dz-)ypv znQg%WD*u+K4=LBfA9(WE^&3nYoa~%DVu80qpB*%p6WZUu3INs@Y_9dIm_RY$9gpGy zj2MOms@#-Ut6U>k`DKXnh6bhIj(w^OZ7w}X@edzZY@zhL?@w1tpkli9gm+IO` znZ5@(pfP{CLr)s^%%`eWi`_-Su&A(a*h@t9h4s|j^Z_#pe0}bHz4r%p>X-ZTn90b< zV&1*WyxU>BHd@oaBt`}9`P(RH7rCMOYXjqP3x*-wA=rsYjScC{zn*24HDisq9nJ7S zPVZQYO}&_uh9s=%a8T?|ik#Tngj_h!`xB1s1I zYbivQ>N)6~m-jlPBJ3o~I7wr}?F|v>zGKlzl7AANQGx)VYsNyQh4Svb33x%w<5Y>k z`QCbk;W8_k-<$B4SSQ3Yy#W@tm?feb+dry2xThdzkMZm0SA3AyG#G}oi9Yf_}K3$t+ZhU(F(fr4{Qe5FT-FD9H+nesimDW{u;~+o$z+A_zEO1&HERt z;%@i^oG4MnDYve%UAgqyLJ~C_HdiPSE8B`nj3^riH}U>2CDU&&FE3A)a9_#4tnvWP zGM58ho%iooXLBg_aaNkfa5PV3{>b_W6!=OT1v|n_`WrU{F2%&S^#bbVOZJz?F>lc5 zXrS^@LbIcd%b?|MK7P>2&R=&b_*U2^V=CjcSAjT{BuZbevi-lKzb(*;W_WB)DiKiw zj;Yc2V8RNL+*23;EsqK0EUIet3?9xnC(2>i%}R0?V|!8;%W>m|EGmB1-XL3BA%NG| zA@kOueOgiy&6&s|qon%{Zf*jIdQ(3E?eaEIrXfH#T<( zve=y(xjt5AzBXKR+|>yXV8h!xFZKlG`$T+?U89)bdOd(DPvQA{B1bDsB~{nL>}L^` z9ABSapSA8XcRR&vR(VOqwy~(E8t=nr~cZl%wS{4ra>4 z024w=O`U@s-k~s;QR+&Lum%i$k;{_$x>E%hi`w_&di?4-Z6GitC@q};*}SjV@;;!S z6#w%qK33)Ql5kz#OVH(#Qj=uA+qv>BLwLx!oBV(;bJpab6JVV1Xhunvn>R0$v#W9H zIRJ=c-Jgv_v-{*Ho3&(>y2b(sJPp9r?O$}2plyh(Jpsrw;x7KK0ie7PdSF+Pf|lNG zSpw|H424%6nDrFY4A;Csb+~WT^I4wGp9Y*=E7iQ2-OCleS$jeR zBx+tNK7$W%MhYJGH5^q{Rl!Arz(wF2Xfaq>*?{~NVAeEegX$Q7J^`;Ci<*>euJ-nJ z-D*$RVrOz@)l0Cue!oB{SJpz@CoIjs=#tP=2L(5I-ukL4n>QG4J&{d0T55*`9BaCr zq@I4_$D_mGtLt8UV}*&*!hX-5vCGTLyB^$ERmGP++j}%|N1>p679ScdRcx38-iBrX z3-*P%c+Y@CpdI7L-dOlj6SFy2Ir1okxlLXWkqJ+A8(a=t}1H}{MUMlGb z!75>ta>SMNSZj!0mn`xfBeETrHLwrNLj!`&( z?lw-2fb^IH?adI3Yb_AtSpF+F&ov6yToDMC;edVY(ItPMT?c4fy>!h>yn3a$KvzaC`B2-w|Rn9eDD zd(TBijjhwujKh=gt#3ahq@cHM2dQ14vPRTd72LeO3l;2n;R-<_~-?T z@DI+t7KhbR+n_Q$neIhX3?;F8AFR3TrrS0zcIg-To)C#K6qK=+=qtZd2&brJ8=;|p z&l6Arxr^ALU6fLGGpuojj4SG1xQ4Ztfq`md0`K(zv!Tny!uXUC^e&e~^-WD<5-Y5*fXy>wBr zGkCyX11CEdsY03t2pjN8FS02;4CP%ju1NO#4tPskCO-sGW@FvFs!bLd`iV@|Q(svZyZMe^xLOz0X=r zBzVJ@TJ;O|5SsZ~0L+(l^n)@S!b9}!dcD?V^zdmO)@AM9{yNGc5!d zAASb*JjjR{P>W^(G!*Smn#G0t$UhPxx zhL4sxpuqTEk&d$eSGyr9sys!F{zIyHAn$yP|71zV1vR~fJfLsIlRjQ%t==EhKlYUY zvTP9efIEYEjVvGexi-pbtec@Bz`~m5ANw4Jj!G zKyEao`JaDGw6d}?A1`-8i~-T;5X@}vJCoNo0zVj&JgC)LfD^gSB&F+t2cAlbPzcUl zIb1Y1E7C1;j-R=wMMX*)u4C*)xUxcfxYC*ivOB^>jot+(WfytQ?cZzb?EKNeWrA;e zgrK*yJld-~D(adqLBNtLr1l zfGPS-vt7<<4fef=zl$N8BLqcyu-r!u_uiB5LDy_It}$=-Dvofg{chk- z*RhgnqVu|O<3#glFV4VoCgg+8XC`Ry|HB7PK;szZ@EX@LK+HYS^n1|-Ks^naH8W;w z=_akFxD7rXC2!i?2NtnuP}r3L& zt?v24cNT~5Zh+qr6T_WSJHrP7tfT#84D-i6KBMlskI#FgPt-o^|FoRP+H<`iQ0AIi zTUo`$E3B*F-oKkbo<(MrrTfNsIM8h9mr`7!)m=gjmB!UU)D6t633uHKBMQ+C9$3jq zPO#vW7q#GI<3V~4?SP^jLS1$I?Z${Qb zh9VRD6>w(vb(@pA6o zvqFH5g`i>a`r@Ob0^LO5=OO|+&rkUvxvx5gZt+IyG(H_S^jp3{P9>mO3fYRhQzyX3 z{~`A^(b%tIV*|tSs_{_hcXRLx7^JO-Jd(|k)T_RZ#-Jpy{eAjN?l)&N$)!pA_LXVK z?5%s#)gI2?PvlTsiJSaHpYFje`e>IzV8~cT`*Ys4V99%&*FmrUCC3~{*AlwFLH_T+ z8VWx<1?Rc3FPszj6NJn6NxqD$dU08?V)glV=jCXI8h& z2ft~W$22_s+VGl}g-Sb6Q~wA6GS?d)?l~Pa_4mNTm6;INcmE`<{@Mq@plMD{T`?qS zVf?izogr%C(JwyJ2IZjr@KG|q#n`2fIGK{S%Bilf)wd##f*+LGPeif3FR`DH@EwPw zKfO|G4E!cYH!QBl(a4TxrCueDUpSoPZN;&IqrNd#4-NF7Q$&N~};Duf#``y+;@uzv=Xs z)ceA+n|!K4XZe@UesW3iW#i0UO-EcR{-?psk$>u0EF1ahva9X`1AeA-T2pO}_x5~j z%2Z?y`tk1bBajP_UuMe9^K*jF@ zgE|~Y43w2&!$xJdM1JE}c4K9;CO_oh$N74lk5f0^x}NZ;y7)f)`5&m|EKHzPA3_j+ zIfM)zG==n=jvSLtW%mvOtY_kn;aKKSmBfdtgq~CVp_n@RD3tz)SD{jVA*+Kva>VhOij7M*w;jljjxo1sWxUO+KVYVk+5(i5EYDQfonGxx4Mks4j`sHKAH zzaJ%7aQf2~D((p%h|U0~5l89}U#Ppi$o=oTnyZ%e^RqEC;L7hTLZ2P;Y^Lkc4eiRK zz#f+O8fnscFU!Yx%f>VM#cDt#6Py?NEO%%fC><5Y0;nm5sL)W$wqs1wuC`}{RoiJ(}W05^GWKXmCeW4E<0>ts2lP)Yylgee}D3$n#MSubWh0TOTMk;`fO8~U}H7N$}BbG@ZT=V!~69s%LtNZ7N zbHrfe;1ZB4TEG-`1h8Y$RYc05f${)UnZ18bleSmid23p(6tpTZ7z_ZepEaa8DbDyH zEUeJ~k6$V(EkAA9^l6b<$i}Cwd@E4wN=2UmidW+Eg#A!Q#Rhn*FMan*e2$zMfN?hG zhxKKj7n`s9_m-QuY;g81(b4~-&v{kG;sq> z04d8;T8fG7vZz0r1AIdC=Ua0ZaojR|J5M00hbCLvM z_~GF_fZ)H}xXH%Y10aIakfFzl4_F@Z>&^Pu23jpRUO=KA5{{sL*QG7oGl?HTq3*({ z{wT!}-FWa0O@lB2KvD0OqcsJmnpKU;wnD(e5g0#Mc`TL=ccowO zZD^%`A%<2u?P|*Gta^Ehd`N%Oqt5cr9UAVdh1_8+_BVx?f$>D#9=*wGR%64LcYQYm zpOh;~!E_Dxlh^Jdcym)UP}P{rz8TXaxwdj%N^0;@?Yls^N+tONQy4EMK%sanKQBa|*T8f%77R)6&-IyCKb z^-jdaG70|vk|h%iLrZ*!hsBMIjOY~>_JO1#K05LUGMtfzKLw~l1_2`oA{VkPEiBRt z3pIyg#l^)XKCwTvWU&Msn}pb%J9nPQyl>=imp)k&`n1DI)+rVn8&F@2lG?!~BPZXF zm5f4yp%(9`pT&=UYzs&n0}b*gv)WjN%D07hEc$a;B<00sg{g}xgIf&xqA|xJx2h^98_@hj>9_w@7|nZ*j>2Gmk$ZMB{-$QDpS8f|@r)-12u(I)y{jm1x?JhLjo9;feEPe&^ubLlR4gIo( zla@b|S?5!!#K}lB)dTw>c78v3dwGL2<{{Ftmx-vn(E||8pw?W2b29j6i3PAcCjIwD z|9_i?1oR2?I?I<1Z9ScxaiNUgRVo5w*c=D_UlRcPSG^49CwWV+t|FPkY#+>;qnJOs zOt6kb>u!XF-&zJSH*wEf-t9p7o#c54ZbFCE(|0^#Vl+Si+9UwNeT^m(i8k2K(v&qPFjpuOW3#-NZ(<#)`G}9Qm4NO?eh!xO^DB0T=44r~A-e$D< z@0GfwIqmi9^qjmmSy{K{7Zyz0Uf--V`yI@(0D3yn#ZzLYlg?xzz`kkt0AD1qKotS* z1eglz+LWRh!lB|ypCLG%IRNDK2Fpal>?L4Hc=i5%q8XU@5`)@R?`nv^zObv3%yqR7 zUabHja@#<~WtEiFhj{T*das>!j{RvT(C4#)RK_Tf=Cp#tME~64N7lXN(J$O|!qzWA zoDn1BV(0+7*Mlu!o?CGfBbi`JGzcs$6=tQ>t@ROyiappjN?#1AAMlYRRPm&NWszIf z<$EFo3K|Ow%icXfi%QhP4=O+$^Hg{eOUI#&{w}0V(|Ni}l3|ZoC6R@gG zIEtw=7(~kl%iOBM87;L5f^b4eNXT)h>4G}vYp8pJ+cmf?KRDcKB;H3g;m6B-JwZtV zaB`hNOjBdG)dvv9`!IJPRmv#K_@DcvDuF8%npaSX4^Y!jqxa%2i^~fHR6M=ttl3(b z?QAid{B&{aUNArw3HX4ua^aqRsig_z)|CZpd%7xj(EfX+SOtNc7^jgUb7fy6;M;uk z)9#{0>)Z7%;_j*$YcFNHpYZMZmtXXuyL-7j2IZP#L7cGE zjxIU>K+JCZ7u{49F8SOnZAGRAwBrNC1#eM?@+w z0?mNtL`d0}&)}r~Zs$l_CU1#VhdKTpv07E_Vf3^4S}7h3;}&$MEHndFjG-^GGnE!# z&mMg-+a1UCs1$eh{7``iID~Y{fhAJJS=Is7&^Qm)!ob)wwlfg-^#Y@CkOIKh(P5B_ zu)L7~nCc-1lc4*>WA;@KbiOyw94WkQiQEAy($JMiBOLoNH6f=o(H!`vEMX6q9#H@m zrFJ`oM*ABg--%wS>Pq?z?q{aXXQNU57MGuZvd-978w0YFk^Q9WKb>#@cR~3Tz+z1} zotU8^ohK4DDP_y%DDLlIA2jqT8DuZ2tyx4(?)*7jlBvuWMS}P&-Z~q$8Qwa6_q}DU z3EkZUC38j)uuDC$-y?xq$V*m&0uxi2n3++Le3c(K6Hp7c=?8f9M`$+3D@uN+m++a^ zv`rF;i1difT{pB6nkJ&kxO6TifL@_2w)eKYpwn(D-80;JWLr)m8js zA*2?u|9ow-M&H{>&4VGdBFE~b5rg(d)EOs{gADtCfFi>Q*VCuQKxi7>HP7}gu$ryy zgnt6!-!aSkZJ(-~3Jr2~7vsI+z4b92Z&T0MQ$RUNAnORLqL!b|U~7Dis37e3JTFI! zYf!Tf95;oNf7x>Gxj$D6@~fnIuO6j#EmQ|se3OL1igxH~X7cry;fqpGRvg-E;?u3_ zg@fkd-ETXS`gC^S5g5($`_7fKTpUMeE`-!fN!q<`XY%1v&Qty(`gS!Tu&r>A&!zUU=VkvekM%68y{Br@{!qD_B@=Qdl(%kE0-in08pcFx*tJ zyC4j>n#{xVUW7Jer-SK=hwj7g8;6l`aTMz@7!u5~fay@Iq*`WK=v0u5*o5_>hpERS z5Dpu&JAVW)*&g;_wU>)s{`AP%U6hj7v|{(BQf5w$FsD4{c_%><6A3~bcPM9g=7Bkiq1k>F3`X|b? zz{3f)!w)zshUwe4;&66TfSd<*0GAl8nQeJ|T-Z4nlHZRp+a>xbhnoF_0@mdRT!bRkL-|iL8_9{7q&_GTEph$OZlu$WMEp#(Pae7~mTZI-nq^JzYZ zOG;|HX6Z|Tg@OKneUJ8j+f3f`E(nFQD^(Qvs@0nAgszK^8Hde9W>KR0gC|j^mxmCS zUB!tAr?jW35L4KM1LXLb&{NCg2w|e_ztc9=JpRgNkA1ts-=i!mr^wPo+Ig*Oxnf3S z*>pF755iARA^gPkxyZD;^0na#q%Q2^cPJ;E*a}R#4H-%Z2TmdoS+oibTlfX5>$;tm zd*>xg3y(6Q9$=JHwr}rM7fPFZ^=t)$Fn|XhOOHsL;Dea!ZXenT+>Jj9#x(Hvm^^La z*}7&zje~Xf=+-qwf*R5+txP2e`DBiSh5!wp!l^Dsw6!!s@n)l}x-_Dha}mJu);29c z5WKb-O^xd>W`^_8Ss$Gd#wUu?{g6Rxy*SXaSsm30_}Y)n)KxMxkK~G)?ObU2MWjDH zCfkr{9~ zz_Dt~X9fPvyMaR5405bFThYo;gHuF&`2%#f>Dwy-*CgTvJqnTp90Gw;6FggUESVtfjskowDV8o3WC zeO?76ICoOP&8ovF{>VM907vxKEYPmgyqUz>e8H}Q2FE|f=`Th2L4CN{9HBX$1Bbjw zP@fv#Ktn-(V50$Xz0ldb7dXEQzKB818(8$3yC&vHl|=9jhL`Rss^2Y;Hwl!!VejIYvt7L{ z)mPQzJ5wuhlS*9%0Gmac<=OXRKgC0(j9z7nsW%&<&4~hjc#-e=xV)VWBEHOhzcNI; z4LN4Q1n1go6SKc-B$IQ!b=l2~zk9u5?~XPI=u|I}f2M&nqLt2HYZh$jT&*`>l(p7= zX^=1!Y!)-rWN2j6q7+2D0jR;w>dhmwWt6>bYgd=uwvqdwM_OiJlD9XJI@){G1HF_2 z=ay#nvG?4Vu-`t78k-ZtRxjb`YC-pC7-8U3!VM=VN=^kL9~{o>;hPd($==MIw?;H! zK8hZ7tWk{Ps})OFh6F?c9y|DEY)(eFt?EUj8A(ebPyKrprg>u1C@q+=k~iVqErV|UOf=0{LO5QEa<#H-v1rD)%nME>%pg@AuFeE? z1O!S|_;}K|WJh>3#&(59A_e9Ke9j`U3TTA*aDB>0+P2wenpvymJe_nCK67S_Az{uD zthbF2`oktA9?ir2-1lDHp^03;YAsG~p^qlk@;j_5j6MRk#G->nCmITs?qxfXz3ZrL zoJH6+jc#%w%N#<=2$4GcE8J&CPZ75vWazQM%+O&;P$MUtbeO|5*>c)8+d@Z zN9`&nt)|G&bPyBxX18`JvPRuLln-PJ9wg7njW4yp8QXlymW+nr>+f2Yf{~1hu!A6n zuZ28Q3um-ttxB!QOR!>>Z*$K4OSTZQ|ia3)ZqR<$q_$lO8)&|ZYPBFK>!cQ`5;&BcFM!_qDP8Ohhq+P zv|9C2gEkajq^$Cdxg^9kUVE)&Z5^gM>k^kda9up|DdZV!XJ}mUEbwF;dFJH3rn0NI z%VfeN>0^Lql+Q^q(bd8$!EaxYvBqujn?BZ7=$`p}dOkMOKCifhJ&)X!9ZKFk))AN) zW{l1;QShyn-)>cn2vi93=iZ|$+-*?rF1lLtcn@7Dbg!?O=+d>eV)6#{u{uI`39stY zUj_X6pGRWg=+#x$fTcJ_Z~vvA{HE8=*cDzG1p)g&LJ9|497Y!NHYd=PAa3^67#xjs zz!I2mz1)AkV_EDUB!1XI;AZX_hBj62uWIYHmur07nh{UI&=Hpw9;A|`D=^qoNY<(w zck7(#&0M<}Ur3Sjl7gGyNL^p_fK}=g_sq51;(h@Rn%j01em*hg4^DaiI24|4m7T`W z8Pm1(oPKi2*8^jVOPn_kFeLK9L`Na)Dg4PUwkf~X0%xj8mr|;(U>r59XE+}utFgy2 z`gRcbsYPQUxHLH*TLd7NB9?%V!Pyq;9QRs~T0X2d{YH&nEmK!nCQUSHzzmHBKE**R zHP&ovEK7`Y+%$LasS2@iW|k(YJg$>#y6lXVz#*nm{!VU2+gAVS>9fcqz3RKF);BHr zf=QIqSbyzDrce8@8L=qh-V7$eMB;Sgga6K2H;|y_oRoPxtlT_Q6%!XH=}QRg?LhIfVaQdq{a4`1m!)-OvVNN z6pSl@jknD?&U$f#oEtZpLcGC`xLULGl!NUrMw!p+#JHhfIK}l+TZMohNPql2Jj<H=+h2rhoc{r2bbcPaeFkoptN|J?Wg7j8BK2><{9 literal 0 HcmV?d00001 diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/add-ip-platform-designer.png b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/add-ip-platform-designer.png new file mode 100644 index 0000000000000000000000000000000000000000..098fe3497f95824dd5c8ea374e3ba4d246b2f51e GIT binary patch literal 77125 zcma&O1z6PW+byau3MvAE3P=m$AgKt_AR#HBbfZ!N(w!qx5`wg}v~+h$cXtfZF*E}s zGcdEC;r)MSf7d?e?7h7%6oy|uao_7+YuyIDQIa9LMRn`Sl`BMYvQjEnu3SZduj3o| z;FE5uMIZ3bRRC7GevEvBTRRCbRMS z2u_&RJlANrdwT0W>!u;(v_moNmY4OP_ikf~d_7fGbB;}_IZ9=AE7HlZREAY1nXvI? ziWAwBksBLFSrwj5?oIY@!ozg5$Vt-BY*uT*&y}LSLC;B@we6w<@lT@Q0b!%9js+;& zj;FVc3~gKYs+VcKOG*6Qr}$+v+vjM1ZmcOnq=r&N)^^H=Vvh%fl>4&c4Dy7i`K*)F ziu7i5ElCK;*;z=__oWtsJk!A&s4$XR#itHmob?gd3`S6M3M*sW#QEaUy?r`U*aWt< zy5o9_g+fg|_94X-mYMpp)7jXvKN%#(?HqiYb z)cdx8p3n!+D}*l)V+K~PDV|4iGxzSqU5Nkc*eh_AVN9nVB+HaaALyTjsX4apj>R}b zk{Kb%-T^coy^E`vO39UBH)OChPu1u=-RsWbDFnt#6sdjl*nxS=xo}ttn{pUETQXOT z)E@LmyB0n2KGrLjX88qY*79>uDbm6flZVH4R!+)pCf z!Y$b9RaG8|9IWw|E!eriJ)a_p9-N0;X6|Qg^mWf!e~;<&o7Fs{11|ce z3scyU*Ao#mQA|$;{=T|0ZJz{OGUPp_v~W9_Ng#AK$WucjR#VmUUf;WhIqP~wi-|mD zEC$&;feL&m86dkcn7r0OVHizJE7-j`l2J)l^=T#@u9(FCc8cxwd0{TCkh81m;oZSC zg`Sn2&m{!%onup$Gl)#tQ@J4-jyu+=m@*-Xw8e5d`DUR5J|Q+;Z|n)h*|{%rZMZGG zyLzBEOTlC%Ky0xt*4{zY+C;a_A`RW2EQ{^`bpA*V#T3Hukwb0Y3tyf8%2re(!K$8@dF<~~K7U~y7JQ#gx&pyO3WnhA zHVyf??iH}g4NKNZ+eMBUv9&HW2)I+OumI+&z}dcj=I$Y6ZO(M8lSrjf=cJ|X$=UWr z*5PkxWFD`@w&U@nOb?3J@+dZUis3-Jz0&$hyZzyi7(oG+{j5(e2Vb;nVYH;@_b6)f z^vJCx4{J5T7!iHX+(Yj`IFWutI)Ua0a?!BheVQfgw)nIb5i4{8tEn3w=P5Lbhc4h^ zPX^1&Kgr!)WbPY#;p$}K`sXmm*1zb@{f1+u;ukOAlZ8?J{rwHnt^O1Szc|AN68StV zzmDf?4kgaINGu%pqdP=MOI_&IKE7q0x`|Qz{%W`BR=qOxZ5qivK9WXjY6d2VQpDW8 z_Zy{6SLvpcCo$Z4n?LK5c0T)4mxV?~MKKi*xN1nxdnP0Hor2C6YevpuCDY-UQtLvs zVr{lXZehGcyl-LOpvHTZp#i?wx?4Ia-tYYM=3QPkxdkVh#Y08)p511u6+c*hut2x} z@znO89WL)pOej)dg$h4HKK)(@Tr@hthXC3ZKy*xXGdljcnfyxUw?^JzVhAco=P1lJ zmf=+{?9pF7@fT+5H^HON(DGmV@`I25ZydGJf$jq8Tjqzg-lKsp%59uj3rrU`Ho1B= zVUD1uL?$IV1U;XLcIqn)U3m7PknnuqmyQU-Dg0>r3ADhF{tkLprBLp z!}|MHhEJH7_*=NboP5XX*FO7VALaT?9uPQ~!AoN?sB-q(JUn6ib&Wh0_Fqp^p@)YA zbpu?BP)Gb}UQ6MA2W~ ze3F2Iu-V5HE}U-dZhfs)&}@*#X~EF;_w}Rx=R>ZRrf1<_XJ5Ygrnyk*vrQquXaEnJ%8Xu-|I0ms-{X8V)~Ou zL%UfYvgGZjh%|d7+t7UxyUN`gy!=_mwMX*r7D&B36v|_*(u)WY8w7c)!PHo0LQzl6 z0L+TKo9S|M7J35vovIQZlo=frQe3YM^K`4beUm*AIomf{FcI;Ln*A`=dJ2}!3_}fr zZ1VQbEy>UezvHKW71D=4;(!xtU8!cPJCoR>gW`#0E|{LTAO|W@Ht;=6@4R4IL%C^Y zxIdB2<2Bv$*Ged~_UQRnF%MpJct5>Z<>Ke}kDg&ZzZhxx+j0>`!9(-l!2^r4z|*$C z<;nMkBkW#O1W6Qdh{R^o$gg2DN+&4hI5ng>yoqN8x<6~UyqmiCJ-L~;%1(2w1g$W6 z)E7>OXE;bJcmWSz>UA*sp|PSOVTZ;!|o_d2)C=$)N}%%WS|;-a)h+QeCtA zq0|t6CB{@@vC)|sMvCMeJt7&ME-6=;zF@XIJIX+=VlaHY3)Ol|&#~wqNe(lWDgqf6 z(@r7vlmQX*48dAkMO-2(LA(;8q;JDyLbd6NN=cQ2Ds5hF4mi<6YF@I^k9XoAp_M{Y z8F*RBtXSD1^h%h}AUjG5K&Q$`8W5G39z2`!`T{_Wj$9ZR;E2#4!w}h;sj`AcG9Wn1 zN*|q!P?yf==lJuPJfeOA_eNynuqk8-wJGGhQzNtKV8J_e9l#U-NI6xWQ~2L^-|ly^ z0Y6g8^&60TZ8$5Q6yttN$(*Qt?CWOSpUwv^5Xv2Vvk~|4qi2s1xU(bLe+*NDZ=}hL zB>p`+^nX2I+T-%b((urD;e|w2J!|;HLgFoD;r$T^J7T{X+r(#ryN^j=`JkWz=I;uL z4X4-*uhf({R|o$#Xv*Jx%w2cRNgTB9y;ay_C`7oicp|*8tF(QmZV5t?TJ?iQ##0AlE7)m002qi13b6_;R%i{0`*@Y%pVwAEs7B+Db`z9gztMZ?R%p#8iY3-X6n2GcY1qc>7n{`&O-9<-?DhzHrUeC`e&QStFl7QNAh?7CI``9a~f zAHJQWL?tt8Urih89gNudT*GuA&7}{^|jK?r7cH zI-bHrPUaD=)aYCxoS3;^rYN$Ad-msg=?k9%hgn`Q|&Xvn6k<5FW1Yw zFWhb9KK15gSv-l0WaJvuXBjiVax<)Im$5M%ef7|AeluOpa^s??rL1M~w^3@$c*_fG z4^41?G88>ttf#eg*m!;@j@((HZ9AX^IGq3CpJ0jmurh>oR~yo+=RXIzk60u z!6T=vJY|mkBPfN5H%p0-k71QN*`8QKE<)o{p%{U8C7ouad%c4b+`He3)&G<`{IeX^ zLXb_0tQfynTV!o>CbMga2fzMGl;u==)MeFZ)NJ@#{L_<(hKqaT=tqcrK2wE4`6p#= zkmV-bG6xq0r9tZ%svm>%DNF?8MUO&aZB8d&;MV1ZOh@qj%3>N9_p_rl^&PYRA8G%D zuZcQstKC2AjbZCc;I*vS`(YFo5&gN6mPF~N0RD9suc~0rDnzc!H$Cmj9OLAps8vUl zx^o9-@>dM!*+KcfeC)8zOaw=+j|jt&z*o;d4Qe}hCh$SMC#fl{ z@rll^mbgvOiDBa9Rp)H_`(dWZtNs(LL7P?W*U#&kB8+IN>J79Yym` z!d{R^_u^+0`oCPZ%R%9KJh(Sczp~VHe$;o2zxr^m(sC-c&Iv9rEgcXnyyq!JM*(Hz zS4dJRm8jF%)V}&qOw+wPV5MoOIw{g&OQ*K{oancYn@^e0&t$0JYD>eKe~0Kzue{aX zumU|fwz#sm>71Ob?y8rTSrP)&-^{?IN7LLv8#KD-s)tiUcV{bE4{B>`-C{k{8A-fJ zhvrM<&|9U`E@o@1y6arE?2?-kc_jB1%US_MY%-Wo({6B2R4aU=)SHw2t54K6H4`jj zOEviz8>lv90;9z+Hwifn{(_4x_n1R#%)ZkuhfUWvBf22mqcCNSmfoy>*Au+T(PRZo zkd?CRw<+Qt-97njN%ghKe$tfg{ZUSTIQm<^u7TE0)NnOgwX&tdxTlqw`jN=}CFLmh ziLp%2mf%$E0_#kfcZ}n&W(iSG1pZ8!b8dAE?w&hhcaCyW+~pTezj_QL@K!$6ue4A< zLpEbM0K_<&3`^bl%&+RaGykAs6z}?PtWytn&rAgKL=IoCZq72(MCGDr4V}ago2z!2 z3HfUCK*YwfeD)jfxu6zz)pulp`v z!=7TjGUsCir1LHeX?uRCsiKOgAM+JZvo@IK>`xF(_T73-f4sqTbgGIQ7`zXQhrdwv zZQ6mo-$TN_FU3_yT&1XtHHb@Mu3hWx<4PTfbtkC$j<1-|husqyn(M`dv|tJ;@80Mq zf}?a1IW}|@n4jXl#?vM5>pa0`vsjq=^;UAP32m)i`oX{2>686G8vI$ham4oG)nWD{ zZcwB_qU2wfo&d$fwe^X%)$B?ab6Rl+*NdXFG=e@~CW7w29{7E0B6VxKIVIC(Y2i|} z<2(YW)`yW%DG$XwPwU1l&ml9>@mlb1+@stgl%5@Tz%jwBR*YvaMMe-h~}nw zZ%?*jVnd62iiHz2+=R%P8IfAtvghLcxcsb*QU zr|^yb`alwk)nLt_F36(qDLWLR9xJXk91p7cY}~K=?Mtvht*?9~nSjof!RDTKs;Jj$ zNgMHTZ0BPk2*dFE;9x;=&zGwX4)(?_Ejm-vNNoWW-~5Z{)^u5ay56j;KJ%#y8Ta`M zADStn5>3m?8w~v>pl7Era80{SE6B6M=J@sE@8QbYtYxvH?CL`yKE6-p?+wx$$1`qxf6P9 zg4LFJP^Lo+df!78TrS|PGe5kb{D}GY;d9d?$1PV=O`9UyAIICTYYR19Y&eC>*Rgp} z)#nsFi&%_HvU39l1=ooy8GcSRa+#(XNBo*trn&wF1kybWbZK0%qVAXM*eS{X5jWfn zuj9(oyaDDK@Jo^fn(&{p2E~$_GBDU&;&a@mOf-1=iE8f}9p|LoZ5H1bPdxz7-06*9 zs#MW#G|(0DZ)%TzdaFFKLF%T68&p~B!tue=cb_$laD&y^R5B!it!}$VZ21`>xu0p&{W*sc{ckJhw^kzvF8XCsDe|rdh;3rRVUC$8z$uf!B%p z0}=O%>POE&c7bdpyQG}2aX}9)Zgn0HiE$~5o@M@fCUZy_t&qhN;#;palbJF5P^_-S z_^*fb(DTws8;~D3E^r|Sh1}=rqIF5qnG?G}L={0t`XnJ1T^;PL`XSTdlhpi}4UZ@04dLB@52J zbOoeMV5Xizl8zv@1yu?5B;ah zd->S58jOE1WRYk#=_8MS`u>Z~){TQFIZ4YLH%EVp<5kYuG#pBwozB^qEYvyKZ)L^x z7FNSpjk>}noDAI7?q9$OhOWe(r3KQl-e=Q@ZX*_YZSUZ))pF0Nj`%ernSZtw@{Ye52 z>9OhQZ$J)fbU)h4N!<=2rs01>uyJ-+e`GmTR0!29(#wUC?j#Gj(q#u$D2_q6TF+i?5N`ij8G4f2-IAGD^dh$R0=dZLg+84?0m5X{ zQ0#VDzIJ)G@aeRXJ#xV%KM`98FNy+7=53fL>f`RdnV3;$Xat}Tx3sRjqn<}0=N-a@ z0dCg0380fmDv$;+1n{BCBUB?K|)s}WO@s<%U1zEHU8WC zhQM_nvA=(O*8q7j?2VQr7LcmWuxSDp=XO|sOh=}ltDV#ZAYJzzg;p0kzj2-tyR z3nH))GZ3UU9};Z&3%^xb<;q+5IzgxOUAA~M>Tvm#60HSXrViX-YRlurHNS@0-TP!N@A2x2#NtK4&#K40^$r-#BYk9fv)+>8={MxqVb26x|VUC&Xg-6OmeWD`I_zc+CG zp&4d8SGBRW)#sh4)FBfaeUO*zuj9S?eRqW@!dBX-ceqrHm^ru=CA8n5>aZI1d1JSFaS~DQ?-d%^vOSSsDXvc|GA7J5(kb4e4=4e3zIw62FK*fNtoo;905 zVp^WiV&6B-e%Bt$Tp_(Cx3?0BloIMJ9}>;qc*SZMHj<;9+90i50}qs)W7jHqSp;e= z?K;QY^IaS0*fard?s~G?2`{o|G+kA%`)VOq%f(hgpC6&lp#Y`aH(K5kLkvqMNT!Y{BGVUy^M{8B7GtKMciz~-^(37=JYC18D|Ix zr1!1~zWc$8(d30k9r`Z07QFAC=+{1x%S0!)Nw4!40?&p z%*-5t`}y4$vELX|9(wli8bfqV!q3+^OD}5wYvVe*SqXy z-ss(Gw=M$wlG0)-UW-khJ#Th%h70SlY(?Jk)Wk08t3~x!s~hkhc0DKErCddIQEk+{ z1K}{?+4l?SI?Ts8TRL3!c@cdX^^{eRBTSPB7wncYbkuF5$wi{ldO@%fvFN%&M60*1 zvi6}iJ2UeaTO-H}tUq_)YjK9Lgq$@mrw)C8eh_~y%W>~o8DPa>dT;(x^IANb$WvE+ zmi2H1WB~nu4)1nSnN6O*9AM(KC;_};*kiyi7OrQB^H!* zIFq@2wn0$ZOnTvpEap_Oaog8UD7u>%jEYsxN;fKN&TOCBhF!HQ61xELc1%#J{8b2f z-A;aSlS&8;+cc4s1GxyC)?=rX6`>Hz{x4R@T<0z1|K2I>x1x%BLYTiD4^l zNQXZ}++ek~Z>LotCpB)Ay$!O;t2islmeXSBg#w(^y5UMq$5}`s%tkl;m?<*R1J&u@{i8x@!}$5`Q_=HZ(U{;-pgF5Son23|%j^njLX zdrJ139i?)mc>b`d9v504{r?h1s@eW2(}?!qOJ2!1tNqr+*+y!y+kyhqqrg&pKXCF} zG8VElsQc*#_eIPrD)!G?QV%tR-kbCs?j04zJ$|f|^zjm6M&G!lze#%PcFgDDkUka^ zEK(eq>@X;hAl$L0=<@w{p=PmxeeaW;k3TfY#-;Kh(HwS*xsbYfKs^p4j`tjCLFFIWX56qdp{&7+#yF%1Wv>MjK5z%D?E~Y?0bjN@kSN)!tO%> za(7O1rM!G_Oj)e(*&@>f)Awqadwww6mU+-sG2`w#HZ~q#D`D#ci6-0Qxj4r6QbP)J znS;Fk@v}>4`&WkX(Q1#*kh9bFcmyQHRbqWGIiK$4=|YwQ#ej)2cR~b{Ox|C~&89^t z*2~JcKY>@lbSQ}X(s$G%jowQ z!@CR21zN_jILeQUH{# zXoe}22VJX!S;Fa4xm0=RR`2}ijOh|?G8 zUfy;2A#PQo(%qE`*L6l}^;IvZgPuj@SaP3hJssxMqi?{Cee`w8oB)@40NWzpK2+ys z&6bM^-YPSZsrr<)(;urpQyclJr-w+10K+YYJc-Y%#2o4^{oobb^imqotB4y3ic6{^ zGa11JuYk8T|3`lLe?8!J(0zB67NrE9p2R+qh_xGPl5ZZ|5;ytkN6;RKJwI-D_=CH$ zG`Gql31!ULRx$hNyQ@L#=>!t6SS~|@j3v?+lO$KK$R4{7@J@9D@XwO~dqtuDNIicq zsQ41AN`D9Y+izhllOX%JNn%R%Zf-#AvO9*?lhpx7n#;Lz`A>+;XxjTSRRJ z`77V_KZk=28UdiArTv3-{nHorV^am%svK^(>iFpg)lG?g`w=vU%XX6g{;iuft;~(T zzhHqP#3MOBW0qRDi~-dWoVz{MP46%6Dc-kd5BsN_A1m$nY`Hp&nwgsR@-2F1)XvEX zC_Lb|eV2m9e3v91L6qQl`N7K>AWdepTVUGBTyL`v*Ie}>J%J=UMgo0PnPB;?Y-tzE zIj`lUY_0uTCN9hli6FbbTqhhaGgHHWy0KB@S`YZcW_p3Ulu7)>qzpYVJ95~w?mny9 z_b6piZNo}DgRFYgcE0+~gJ>5}U-bBri2mxMnKFbnR@f{W^p$qe!6z6< zX92too2T2WgLBp%^|CeAzI#J6j{6kv|od`T*smt&7ZbGdp0CClsXyvPJnOT)|ZdMo7Q!=aw-#u093LYAbdynL_s_h zj_#U^Fz$Ocr+kI$_ZN|-|RK~DB-Fp{-EuXFBJ7@rqELsx*547#+ zi%+!L%@jUvE>=2uTtA~Ma-ybK;ya=IqR}kHP1?YHi+O7_D`ap?_A{x?_>>nE ziUNQwaugtXfE(w}m&uQlmgCLF=p<@viu^H$PQ`FDC?ChJ@ke}h5t%MC2?1B~0!y;~-XCU==639|7jhRMQot!rO#sA|f- zyBh*r2faq&B9G^)ZQ=kxkl`>Mg$3ZEVkaI$T_COV54C&^MfB;|=;-QaJmT*-7+U`i zS(-^-Y(g@LY)!fSntXCtCwOWGP>orX=457mH1d}c``YAdEVi|^Q#4 zv(U2FX@re^ulB3wa~;T=*pkC6GMQ;egbzOJR2CuNQ$xCB$pJ*vd6gj@-(o!Gtg79> z8rgS$Q*NqgBd_)+U$b~`ASg3CTi)5ZiZ3qJcFRsKH{`nViVyy4EaqZGJ|ko!&3d5@ zrgvu@ixbV1c(RIpk3$^|z)q8%N&kPgxs?4V1-@24*yiP@ZcIfHU(H0=H?cMz*`zq*h0==|P(O8~Z^vV7azC-K;k>bI*zE0P!+9W%(!0O~jwKc{r=Ew=l5_`248icMxjowZi=YuAhjk2hZGEe`R#VwSLs|{JWvg|n=dvslB4Rj z*qXPp6Eh_PiS0Wdn00F!dNJc{CX+D91~~Jr1wyUu(9dR$q8HdShW^mM`_rWvVMRDj zWsl>g#Alj14t_4_BcV-3N|4{0utOLE61oB-LMlG%okH9ypoEjQDxcj9z z`PGO1)h+I$Qy5IonDQe18f>nT^`Y()5QKD}2$h>? zIu{wgwYT>j^J(rM^76{ID1@?W@S6@`)v?*8oU?tdo`4Xl929i^e+8GzYya<}&*cF> zxS0`|fyq4Pk$lwzABLhH1-#=i{>r34ONzvC00O%eV-*;%ZIS;Y7JIq==+hknY>s?FPxpY-`!~M=d*{5L*d>Df9b)wVIT^R9&K9;Bd5aTom08 zC8?dBQg0B(ENZl*$Pb;~Y2T$!aV%tq;3cns&^%F6mXl;391wW{sH;Y1aoNX`S~_Iy z-|I!l+6%-E+wby{T&l#;&ftp4-Sv(Ye<&~?|8O<~+&tl>RQABnbG18y74uSsQYvAU zldI`(x(@7KtJ2wg?XEqM%w@Jq689ad@KE!16E@Q& z;v0(|`yzl`__iLIL)vmam@MQJzY?o!-DAn1=ep#dpw)>RcX^>F@$vEXH$4rtHy;nu(%8;mXUlcP*c<+9O6hQdj(L-Ze&_?ruM0v3A~t zJyCG*j))OZz*}?CPA=E8f26DO5BAG7ES+{!a*|3~h?2|)t37$`go}`ZVK0st+WP9g zy_V*`LC^)MQ|kS?SEb6`u;lwS88eaPiP-1hyZ!n{ya&bh^7nJ2RRrE}e}VQL@<|fQ zi>QThLer}WK)5=Hj?R1mFv=eawCgLnCQuRI-vPMVjW>Nui}V<0;PS_Oee*qDZo=0bDnVF%iedU{>n>kaOg<)G+d_(^Q|>Qqqff8 z(|;_}>g;Z&{u%KoyX`*MLg$a1P6eAwy4a3}^VHd#`o;)`x?T0o07qU#+z=uS99a`z z%zo0%y`@Yd(A$)QBtN=cX2d|}PuNx2Y&t-t4Eu?*JGFv5MvK(8# zw#3o4I>LeM#aem2$+k{pcwQyKS^NoG+|XoH*HCvMP?%U^QWHAsSU%sh#9jON__ct` z!ZZ8c1mDcHUPl3MC$Fu#qHlUJZguljOJw@{V!F~j2&(1AM1)MD5Gx& zx2F^6aR0$y?dV(X2ywas8xq5^JHaPosBIeF%KVmm6oOd};hMbafg^*7v)k;&0DC;q zYo@)}YSHGUSdUfXtESnLAkP@^RSRGF+|k&p>w*1@t}$(~ zXAeDY`A6hwWiHKk=Mo;)DXF|zLRg#Y^qBgcM5#$dzjVRC@n{~oxFgu2<3-;++AF#) zHW9n^)fO}B7g_y_XJO|lcR$Gne6eh{nOL$n=^QZl2C!dDrt^ZNxPKG>ZxK-s^lc|A z6#oh;cqDu0;ZjB0Gs@5mC?3RPPThDBxg7Y5i_i&--SZ%!no`x%J z?%Sd&H7-rn9R2rmHf5r!E~s<;V>R~WGz)pB`?h}d?zK7=cJ{>M4&Fp6)Cw8nzH0LV zW*L9oJT|b#t?Ns(yUYBwt?}HYlUTB&r@$p6{HSsGcv}yU*KQ7I3Y6+1>@c{Y{`ll` zO*4al4|M5cgm-3zqL_hBPIXk(TDYbi2m7WZ)T)aIh;8>(SbN`_TdfWwG)8%LpPDDQ z|KL#;lej+5Li>8wK778pwwVtyn!D_Fw4X5K;Q*EkvwZL{r0^_IH|DCHW&t*lrn)ZW z_OxpyaV+8ev%9R0(Z46?Bi&A7d;0|qdxp2ef6Y}seT7L*E)KZw=65k3tiN_@kzwMV zth@@xpEJ=(4mVLd>B`jX-1RXri|>x(cGuj3T;z-V8Msc>AY|GNtrpoC095@wu`KCL zNng01QQw~8(cejQ*72-{{T3?9WPbhk(P5FuLq}RDCo~DzE9m0&kuq)#lhpQdYtVb< z^e;H|rfm7M&kfh=Sn<|Mb&C7qh^l&-cnvk)igAlz--%}+T31o49 z{;#k`lT-G{Y~9uN38FQaVs+2Z%%YpZ>2TBe6MLCTw6Vs5|Iw#ilC=a@?5Mb9M+e*q z`kkJ7FBUuLt%=g0eF)LmG``r9dp#7Y>nMDkyEhvCOaDgme(s+79`CFNEOv%m1r$Wm~uKw~~5k#Zr_VITY6 z0ZT=KfA~9b)$G@Y79r%3DBFHO9JupJv+Oy9Y#1aPo>|z)8^Z=gM(98s431KPAolk? zB~ivH%!JNfeExqN5 zOne={8S$1!^!z|_YdFofKec&7y+$f%!+=-rBFeGQF_#Z=)O(r>iw0=&@NJ%7ipzw} zf^8`uL?uVhtF}rW>Q=air08!FkHy=H{X`00>t^)_m-Dh z@0ecPg(!tSK|E4qgkBB$9_$+$EfN&_b?F_b?&qUf(;$>R0rMw<3)~k&D0!-kM7IrL zgU5yOOfDRWj~A^Bv%%Gt{q;^aQlU*r?%TPScJk3Ig+}JznZjC&?9@CTzh}=nN$HaJ zdLb8bavk>@kp_y%ggAiGbk}V?-ps<_LN4mDxrirF)2O!NyrPl<-?S9zGR&R@_`dnA z#GXO>oMx3`bEZ7UI#3slT=YFe%@E{59qhjpzYqm+G=ZEloz}OcbIQP@(An@(ex20y zfc@MAXj5ku=zLYCFCLwdo)Pkk!dG-ix~>w?{OtNVWl6g0aJ-l=tM)o~M=>a12*`;n z*77mKXtY4RTAfzLZ{}Xa3B9|j#|=%2AW*mEz52RI<23%3+wI7>5JKq@o^g%ANntPHznIs|^p?#eA!Ho-V=R5pE?W zsxTv(3ucT&E-F0pn{?@Qf~i!NBX5$(CS4XykH_eiznI+8@)$@YFkB3&VkecYR%oRQ z3*Y>1O<_sLHug$tIf+>8+%_4Q=c&1t!XAYA6H??oEhGNUa)WSAyWxgVYp8l?j8ZG3 zOpTH4P`7Ni@YGcLD?)*@)hKm@PrxhS%vdJh{qlw~RoBF@z@r@0@zeCtRB4bT>!>;p zy6r;ZUs+CR%;XD}^!tjt5B2o z0HY@^`-Pq%TyfBiEuT&#%Z*eSNaO}HFDf7NDiuy>sLPr4E(ca`AoF1K??2|+xuxXU zxgmi-tTj(X0!@BGMEKM6Q=1@Jpv)l2eghZb_TtArva1iB3Un&vUzLKY$zyje$g<-2 zTbymzZGw%F8apGAFt(aMi9F`%eR4wQfKHX0tFl__fusV=Tx0Y`qu5|~0$=%eS7mJ(yazl9BAtnA`qQtts}l)x0Em)q@<|&U+8`G3!Sy zCj`e(lE9cQ<2L6apsP|W&qBDG-nhJw(v7`Q(^Otwu1;y_vCHfAD%5Lb*%_VPoOspv z&aUSQ^Zc{6kvbOe>&eBpRoXra&=g4JLQ?C>!ir=I}%L-Wk@6 znDhVP_$mcT#?_ou@1yn8WUq^P*W|EY-(Frxc3xAk?llGFSR7by?V&DrSOTX{J8m+n zX35{DJsjAyhGzf7H9CDn%FuhM5qK)5is}yvY$W~YBlGdLn1|gaHuBJ0`uZ^A*lwiU z2EU=whQ5L(BiW|GPso&i(}*5)4&mtX@VPrL$SJEfhbv`JwnoD5`x^7}9$_FEU(9FzFNy=F;4>>SmQy1d{ z&+MlXmmT}nl~Zf*U^{B{OYhXCV_DnF5&?&GS>Tv#Oz3_({q5~voWny?^(mQvsrZvH zqkDX=i2*is;@&+NgAMAe7ZKQr3k`0Syludb_LUvkl;5=Kg48a|W;^OmEBg z?Y35Pnm=aO_D?Hu7mkeUxLp%x=Wth@i!6wS5Ah=?#X(rAuINkTrU3amui^~Krk4A- zLO3FjIuB;TXak%y8ROcBnMh`eVst(ZAr4l{im9kJO>#`V*@(K&ZV1aohQDzMrFxfxd^q)L=w$U1Rz;;R~)rs`ha>DMIf+(2N# zk=AZyNBtA|c#As|buD-G6^&8J*p_8NAGB(x2b&yv=Q?L3C3g!El22TJQ-_l}4cj?P(N8+<93Nv!Eu za*C}U->tem^`R#m4P? zoGf?;;Wt6FT16Ds{d|rtLb3Kt--4FSS=Fbxe73of3e;8?%DLW)IjSAUmtgAS$<0yz zlRL#PZuq8cKJO@WKi@`;I_XnCs40y*cbRKD+8dlQHXr1IB?LS?ayWJ2_UO7DU$I8_ zpyZIX*7o9X)LEVPgbV;EO*iAd?nV`+wxunZoN zHELq>0y41KGkJs9`j2YzJ;VJjm3zs~t_=nW6nl5!}oETOg7{%+!mi*#yfH;y`{K!x?E<4*i~5)*Pc> z?`y-+-ez|n^BDRB8cCyyDSo^ID9X&t+nsC?63RRkKsQs8OwsuDMw}^Y!M2UaE{ELT z#knbO|2Z`ABwpu(K+{2O*7dSuUPa4?1X(Yl64n^Iw1yT-rae-q2)86gcA`QpS6-oUlv5GQy3-Yd)m4E#2cE&y zOmb9?8I<#Q-DYx&csH*%D>-a(%awtKm8nZkPX30h7 zJg}*TE$E%RzLsNOktW_|f!urP1*3YsA@3h8zg_t;X;v*0JN# z)P+9H##xQNXZy*DZ1vyGocpG~8lL22ztMP+)us)R^Idl`+1R746J; z>G^Z1gw-Y9Gxfxz=`&AIOKH+i`besKC#L2yKVqyYrPHD+B!>KduZsV^MrSR0uX>*3 z!60WNLS?{yU24R~^)A;%cB1vDbPKS7umMV_-jo8T5;&pS?<%W}K-L9H$8e5!qRu0b zg5jdmML@4jC~^V}3f1FS^U+iTK;yXUeJBX@y&$H1`Z7#Z(0jkV>5CIecQF0)0hV3Y zWy3~zM9S9h1v?|}qtB&;Jdcw!nIf9DM*rD6eVhv&eIy&|@-gxha^LmZLWd!!I9M!t z8-^VY|Lk``qS-$5p84VE4RdeX$V7(eudZX+za4M{ovEngJo*Hl6Qut6lSR9SOT^SP zu0yyOXBv!)((->(8c65<>lV)PQYlJp*(5sft-Enh-q9Qm!1syL$;N6YWK~D{;>8N2_;i{F zZdPb-fCBJZq{b$)!)c!#1;}dK;wD$A_;$!(`bLu6?_1AJ`-iOrUG@sTD0aRe_@I2s zbCe!v&1W&rA^?nFymNEF8CF2SBsGD+Xe%Dmx~CiaBX(QhH5`<*a50@xz^Kmy;MxRyqb0WE>CpdZ+s zH=Vq}2zWuLR%ow6zCG!Y)q5Byz_@<{vWi$6iB&p z>U+`fyxY;1`G`1}I?8-YeT{f4#;#s3#x6ezR73*YjmbeEA4(_P>lq_^-{qtnq`7*P zbZ;U*j<^#IfAcekT1o5`y&Vvc)e}t}0 z4k8H+{`vE0ph`^U|LZqYNJEZL5Fjd}3crbZ;3#pHphs5pUUoi~3pi3TbaVRaW8BBG zALO%!hf>7=p1xGIm;_BPCDZ?pP8{HP&Wu|Vac=Ew1-61U&;~KmaD-#^-`^uavm>r$ z3mo@9Z>$x$qXH!0;uxSRxbgn`Yra>eBX>K(q@F_0`V1Qq7}B=PaHG-2A{hL7GoyA} zfA256s9tHZNI&1n`qIn#e3;f{-mVPTXBA~UeqX2fnB*Y=Q%Zcnv5dl1aFd1F<6L5udxj++?r;0oO0vA<8652}Pc~@4QMeNB1%A?SGqBrHk{9;dg89O); zT3es941f|cQ)QL7Gh3+u|2%&Pil-@aJ>>0mY61Jq^9?as*X+vNga0ISU8Cs|4>h{YQp#vAuS^)LjYlA~%T3~Da2{43?`?vyMQyfso zI)K69+PnbpE3ASUiVYXTXyKfLoY;>*eagEZ-t~ER*GUw-La;CsGaI~FYY?0whipH&-xAO=pQRO-y7$xu)JbrLrg*oFxf?Dnm zPF-i?K8@bNPct#eY}(OD^M4%wa2-1srsnFPyXc{=9)v>$XX0&wybdD<`V$|8oH}4K zhS3Ltv>I5;;>O2abRGq`%^vV}T@I8j$|8)|sb-8i&bIj|qtQX~Yya%vJhxO9dUWdX^HD*(uv^(=)K*wU1+H6drrb;clpz(AJp~8 zUGP-q1L200-=_nm1i2axzBK$@4%v>)krG`0{!kM9Uk8FmAm{&@YJnDgk{nIN^^}|8 zJ%p%pE#sbJNaS*flXqnQ)1XOT?NoL?d5rb9hW z!L^>RDP=ck(1Lj(^%Xz0xuV&B z#1+-NsosoTj-bVY#3Ff&|6L+EhtB4Yt5S=kds>I{c(^U{>FuV(Vw%4Cg#uRi`Y*Vn zT1E*oO%e1QZ0cx`1r3U7*QuPu_hF5?9Ip6%0#}`j%$H}wA3T>f1s!XBI9aL?DXB9L zs0Me3kwfGNdf~uZVqqDG`={Ab9iTZ`D9uQa(vz}|=oqt#zw|!`04O(c&VquG7UOS7 z#KmnjYMR5n0SgIJ`)+G}^o8awso|yZ$q#;Me4@Z;-GTo*^C7?$g7$Cx$w;DgfDoU` zQ&(6xK`1^~TzqkXye;c6%(v^Z^89;v1JWCpWI64q2zNY=*9UZ#(?HXZJF#)M?~o|^ z^}`?$>LZYsaW3{H0ZtbGzm9Tn`o#NT+Q-m|=G^#@qX*5Ot5h`^ zIsWaAxfRHG*InnC=>X0y(~0R||Bo$2{@bw3t)k2B73u%##QksP71W&@mVM~mYpXW{ z#oF}j?!Y}k@VU)IWg@*PXC?GMNPFwJD7U|DR2n3PE`gyzr9_Z!Bvlj@Q0Wj5l%a

)abAB^YUZS!Ob!lhh#En<96Ph6koSaK{&wu>-mDK_&?+#`e&VpAF`I4) z2j?JWCI#9Koph&x<79uK)LQyZF<-ar)Dum56*hN;pdaZ9es%BSYQgSU)?K+kBBRX} zfT#|daQ(G34jjWbIkd+<+{xKuh6QEt$e)00%g0XQ1WdoSu&uGjeDQZyD8av$T!cc+ zhu?)wMK7)k9RNN1EwB_-8G$y9=C*HGjH4b787uK89C45zoNWts2yQf2_fslm)4Qp40_z@%rv7R8u%sw5vqn;;Ksg4@oGcV?+(iSM(Rt2B#;~Iyom{^7vY=E6Wt}SSPuF;M!y08m2s|!qqKY(ddFqFk9tYQFr zl)Sr#TAK;jUumwj)48>G?db?a<=nshYTw#L#Rapo$OSBtdr!=r`>r$CmN1v}lt2Bg zmn3AgBuLeT7b6LG8#b$|0Y;(J>Ak-UCwln?9N?%QAS8ai`lazj%v=HABV#SC7oPBg zM_>o7*{OuyI;v=D;T@rh+6kxk-46~5T% z4E}goUESS#^Qx^wjiStq6eaz|LV9viOHzF2HHiR%WxVR{{rK@as~jj?MV;M3DtEY& zK8*Kca~`G6Sp3|vG=Vos6fxOQJGvh}?fyRW`CC>}r=PGQNqQ&sB2M)7GtM%L(a2Vo}7TF>2q^Y2aNW_STWimK3aLDVzs`Bw~nexwbG zR6xS!F3}cHYZt;?Zd9<6(|s}X#=&}tIpf59cBT|5RkpVw;sElO`ur4PW28C*5b-OM zbs7iQl((PklN%n84ZIe&OR8F9l4+QfQO~sy74r9#rHQOs@5>3zH?AFd^aMJvyb=Ir zs=UxC@oxx5fRT}*pr_^y?cOQ0cyjp744KB!kIC~i)q?MJd`RKFf9YLk66>#$mnByp zxmhh}(ev<0NU|Pu9*+)Le;PamA7TwA`uNAX=3o9-kK(_D z9x*;Z#WubP><%>RG6y^XP0|znZe!3AjLl{6q;8{RUnu)=>j#-zZgvzs zUChRp+=Q;LUpJn_zXpL46X0Z>?V=O3JS>%kuo#e`C_T4&bo{L12;mxH404n=*MK!= zAhr~MkT~Em>v^R6g@YCW0#ZM9-KBOT;=^h9QlVHs?NuJ*KOG@LVZ(S+4kS|5_P<06 z)pEg(nR++lyPE-~7c41+LZ?vmjqAi_Cm@>R@&F{~&QmJ4mw-?EBuQ4$|5@#>9-Xl6G6z?=0(J!oHU*$piGKrmFlvgxlKa zgVx_vb_#vnQC{CGYk{J(HE>sF(L{9H@dTK>`%+FVmzx6{G((G!;$pxV+aQUP|DXmx_q`Da!@PiZJbn1Z{^N3xvfP7x zf1g6bO5OLY2EVy0Ier*{wPyv)HFtU>5}}+DNFL@KZrO&3)Pu?>BYHc9VqmBu73#IK zU|qxEWIf;Bpk*z!Ukn^N(n+{|p}jpk?^fqZg05MbhJyosWwI6@b5f*_EJ z6hPQ>sdfb&3wuw2qekK-eCwBtlC$Z{rlZ~Ew_r?_UPW|5vnObiW1wZm`%{?F1pB1r z_mnG8{O##E_M$A)Rdb%wv{lUhdVTV1X7_3?LBX3PrKLF;4*UiiaG#yHHTb?{6lD#J z@~OgR+69ko)-=BTOSFWlA>#`3CnPM#ncA}ZU0`nmf{rk-X}X)kZt%q?jKk;=f5NQr z%Wxm8V)GHJ*Z^1gYB?DPQIc6eKMFyhT?X{Ws$*cuOa#dz1I%Y43YL_LbPEs|yVL^s zgQ2IPDv+FEU0NmYZ><~C@l5N!<4DOx+2oo zmas?(>@BWLc=M9H!Hph@3Z>4jKNwB@-#EXLK?g3d6lX7PKUUZT;?0SOLA2xfQ9S(Q z=R*N&1TEJ_e5a)(js`bH*bgGZ-*n=mA0L1t(xvKtp5Dktc5&lL<4YY2Vqqo8=}HH% z@3f64j>sRWB7Ame31j5|x#UPbJN@&jT0c0Za-{pcfCEihiYFU zVN@j-xfVVL*kHmJM$r!M*V7xl?bY2$HuiAF_^s0%Ltg9*7h9BbG+cqinvJj{bGD5vY)TWsP_60z~|2EcAZW{8yQ^{`QpuUmTe zZX(myKD+6qYuMujzuIo-|JEly$cmvgYzKc9i6?=i(SNo$*Wpk0B-HI z^;S5TuzEjW?im9n)+iDm9FCiL+KmtU*@2&A*W6d9iuwz5_?JEZfR)X8 z7g@sc)hXfQ&)-nq3l%^HYoaTYgD|!LtVD@k{0m?{h5%;J3m#7vdSgv5Yj4onk-D{u z^*D=%QM2K-w}jIq=%x^pDWyK5rr+en?>qatpb zWw*nGamS%pfIN|_5EYq7RKw)jbtDu3`ppI`0*9lE(P?QcmB3DUpYa!cW3$%vuG~BeWP3x#NC^Y`e$Q2rmhFwyful4c+DL05w83oavp? zbgmIsgs&yxY(}gV#pawh6$bdQ(T=uZLDY*?D0rAC`T6Lfayxw^KH9B;gr~v}SproH z5_(X;AIzMWIn#e`Q(}_k&kxd^af5174ue=9Ng%2P=O1$?tE1_7aN~9K1P}_lMhRt) zjaH_T1Ru>?eU|~}&bDarAxDtVgLO)J0S(pHs#j0j@bq{|T)*NEeR=xm0Vou#;3oE1 zhkLDDfeKYTS&O7KwJ(t17wTlnKf%F!utX`{jq~*+`sY&qy1`K65iwhqzm$60`B>qz zzumxHR&+VIsWR>%gSI?%xFKIA-jKgFZqejx3E2E|usHaiCQ1P% zT<+knIE6&C;9mcgIoFr`CwJh7nX4WCFW~q+G_XC!0 zO<=~817;MG?j*=3T!|5mb-Y`bNOE@akXK>&3I_UU0wzzh8!I-^o*YFe3Ace|CKb@JzC)bP-`Xoo-gI}Z3?MbO4j5Ju!g;f3vbXOUpin29mn3o8#81Icw&)@W z@eE@`XsUj6F>24Xuk?OTxyM-2{Di@cDv3i1YQL40r+6<5vM z?3_+GauUY~$RXDX-C${Wf#}Cwsc#oh2j}WH zl2~Uu_E57V9cnkat#x!7IUS%6V+!_bBH=?qj6V;JfJ;q#7qebM2q4v-gnqIJD)OQ0A`OAIwV_J|zSyVz~23Zf}$w#`Rq zaxOBLa7z7i3`bz{w?y}59jc+hNRfCA%9sAU;P$mJui`7LQ=&sc``Xv%CRgWXt}o}u zjPrXz=NNN;QUxRWatu8!bZQM>_=~6g_k2!W?54HVWnz1iVJS$ci7bf#2NwH7RvZgP zl3Rcfst7NI*kaC$34f=(2tnG5?;OrYi$Xxgv69~^lg%!<|GA3Kw+?)zSt9MXv|jLv z^2v#?77o*>q!)dJ^hc;-gIVom+50%-L(Ul4xKZL_t#M~#D(7RMWiV%^KkcxC3mHpK zA*A&3^X}&&b;SO9o-tiV5e7#9G~m%=!kpdP6Zi%49;0xr!5FRyw{n4+%-->QNEXti*$wlt*LfeZrqlp_XlRNtQT(-&sr#b&@0K| znCC{>_GJh2)+_C3x@xl?t{isCcQmf005S%eXc9_d#jvOTd97D8p2-p84wZKoV#IH7 zOx?8Ue+@EadBg29T6|BRj#atVRG{U-sB}kbSost17SBWh(9w zHSXH3&j>A7KujvKPC*uW&vNLI#7PdSXR_C5x$pke&l|qVzYYz=bvw7w?d_s|Ai1z( zgaP$EQ1L7ez~qC3fH9C|2W;mLpB;3ch46Ac_@1KRxb7N!ybisXj0CMgb?4H6m~^TC zpTcA$6uHgfFe12jku1QiTYwl^J_3qf_Fyw;zZC*j%{a)tb!kuqCUF1>I8#t;B1>_HBX`-S`sQERqnK4?pl`gtNK>=i= zQwMm*4R;V&ucOCSJ1AZ|G=6Fc0@4QNE#=(lP{#$Zc}W35!RVH!sWeqFj9}S!{`;%c z=p@O#*>s|&F{nJCztmdiGoR2Y6vp2Us;yo6ls5}{+=g7U!1l&W7c)=}ls)y~s=q>G zhbyc>9X_DK#U=HG8lFk031~P=kaTzmUo!+yGqOYpymfqA{}TmkYX)ckY^QMjz^piw z`KbDO5pZ;IphX)S{4#Tz8hqq%Kjs{VBh=Vq)UG7!<C7$xc-9lH!ra^Bw|Ak>11zgY>lEuTO;i8hA`X^xz&8Oq>asq~^+it5PZ<7=# zh2fWfSNHZ%fPf7*pO56UV{n375^XuE+o&nG7Y#UG)* z3UpbZypQa8V)@DqO;^%WXY4;m0oKmB8ucE38K;)^Z8kZsW#~|n0(BmifNm9iHYzzr zKO`5p$;gt-4^bhTGwsVZeuRrqt{%t*P@=Ey5aLIYS;4;ea9x2xZ5>)eR)LA240o(1 z{^i}gNgpHCR{)bb`RHeM$bLN>2)`8jo{8y~@toaXAoLcyMhlx7oW~jzd zgN5b@pM$B(_I>&neOWK_K{$B%otnudkm5YAEh{OYcSQQ{1<3sU4SOXz{1Fsp_i$Ds zzUMp{K;-HCHt^4{6a~NdKa*AWR!9`ISv(YizO*XusR&>)uOCs_uNm*(1f{oM$ZRx)_>yNi0UZ5dO+i?T@S(^@;FKk%6MSsM7j6Uf-2c!)^5DK4b-OgLmY+&VvO&MC>b7ZmTowOR6-OE*|Li~*r;Y=1?UG0R-;7zw z*7Zm9tK+eynaIBs%zPsB^3(^z%96I1?n&Z$A|2+((B5#J zGz<5r1w7nGzlzKPyYXCeQF1Fq&0^JtVBozM3zM8Y=bBk)P^=7bXkDJ|zD4$$51m0# zOdc99``3FNxEx=D(_Vzca&Vi1TZ=PmZ;6$5S1J)CR_9|GGX_IM08rDHq|+8K>-Fn` zB=z8NPkC1IA#wb-?EoDY+6cXKX#g<@G02^4)4qwumzdJxrc_Udd$u0TaM@QLcB53+_pJpU_l357hd)%ptD|PlyZ%h*zAY2#nfk= z1Ev{lO>Ly=#e@Q{`XUC^Sq?clBck{y;57x7rInv+S>Qu1Ib}*YzkAq9OZbLI3+$0Q z24tfaaMTy7>jG06X~^mDCtan+lKM57U?w!c4Pwemm3J@TqirLe^idqxq;Nq~vS7|l zC0(zUfO>#DIShxeeL@AkU`^+k8o*EF{X1XPJq{A3HC!hv%9*%u=WzoQ-U@Z_NhGU` z-u3u9=s+S^64VVMIIYo3uBaf7>jmJBXL($>8*7>usQ+ z@`vOGs%PK{-v#+tK!$tGLZE#)UEZha8PwZF8;RXGBm28Q(y?p|{ByBFtl&eqdp1xe zusl?zy@+%@C_r;+rPD`>U&jlaL++0&)HQ&+4FL2m3G8+Or-YcD!Oj0grwfGW*|3Pd zHVcdBTd;^;N7?sik#9A}J!d3>*>F8B?8|rPkoEkQ-}~d6mYQ9Lzf@2mD`?c)`;6W7 zFO;GaB7xiM;h6Z&M+PF*x$S!81-=nc=z$_dvV^%g35K9^C-NlxU)zCGdXpWG_Es+! z)*XEUF=w03$n5vI6Mn{-I}6Xi-?lVY&diO~8_cBtBBq33r#_}^2%WulYVUEK{K^T* zHC1-FmTV!vFIb8^dK)(Uv9+<2Dj*wIqkrL56Dmn#zxSuJP>?OJ4fQW3R!iv`VE=Jt zzqlMbwgEW!ouoC6L3f!^@pkDiX53eKcX%S{szt5PB@4Mf^(Ac0Qma(iX;`S{k&`dK z><@Pgs~2U0#cOhRI6fKPSuE)Rw)idcjf961^dR6OP^_$@Lej`xa|M?vqNxge>sZe% z(2v^xfqjdEvf$M~Ymoh8pFyQa&E8qQjCHqMyJ#2tW(~I+Xl=%X!S8X^NjDSLzX<O`D6+s7Xb#YmsnP$|sjBR4|{ znA@HYbUgz$Pm}H1GT2_#@-6pD95Ksw6RGg`Qe238shmrCB*t=Oy4lCH-cTnOgWK25 zHF6U3r3aCDQ;aiRC3~nuI94`1jSSlB&+nyNvDoqD+_4nJ*A>5etcrQo9&t7ta)%zg z2WB@+&ax!RLlLfV?2l_ZABJ9U4(w|Lb;U=vqaK4(N@t)Ov&E1QSQZBH#s3PRTt7!j z)=AR&8o3Jzx~?5kG3=C`83PrwoqX-yxt%KbElcg5!5aGn zoayRi82GBO3fh_`LSrEoT~13|5Q>iC7JBmg%V&WHC8}4&w$h{2%GTX#g4lkGe8c74 z2_|0eZ@+ZC>#shYgDu&VS9}*(Vh<_ITA2wAr$O$;nML&xp)5PqJa9%X%QDR&H#jvE z;rxT_&rGP-AU_I03jQ^asvU6U{E2ByZGJcoD6fal3|`HC*mnj-I`p2wW0p)p{332fabQq6=f}9%^>RjLA6@V3Uv6V zj8-@_N6JCDGR0Z~RYT(mhh0M)0yNwx-?L*e#eDNgvh$zuB7a}3I zeZ_aCo1FcxuRV$>Bdg-bMKp zPyQ;TVZiT&ElX3KE7E6*C$CdOy|z7F^()%d)A~#70-MV;NuV4^h2T$HRd7I4O*f<@gMy{Ip&;3eGy=3>1$VZhdG~5@&pH* zi`2;yR9NwFHZ71QRAYBa@c>JZah~86Qo`Fo0pPtdh*cYs@;ye%F&Lg@1-a31pO-qN zViuSLWgq={T{`&~+$rqZvCa&q?y2Q}F%vQa;8PN4&F%o^x0RnOH}luOm@&p(0c%C< zl8JW6wKWdX-;vO+u-V{W4AtKeP;cg#26H6txI;G{EG@Ns3IMZ|@>nSdFJU>bz-7jP zVB))sD1x14nF=Z8J6XyEWA0#~K#4phmlYUk%(fIDFSp2VG;=oNUf=MbD+K)tPY$_m z7zFOjI2#9(EGW+e-~@qD_V?trq{?Q7pv51J0chqLGz^59VEhKFCuP#jWlF~e&u4hrO86g+Cfd=X*b(eA`;AJO0~ zBi{D!cd!dJ%z2Hu4lVjZm4gsxvuCaTX}@{dRbtE~;e~|H2NR#&mmqJ_^oThK#|zcX zgldzTzO}%@__>GJMJ+sZJXCdKUs#4gE^vcV>8Q83j*2%Zlki+lpChSrU6^YwhC0e- zUty#+njljKZ*BNm#y*;K=HYqVp%?pvF=SlFQuOZTrc&4buO%QbHicGH zYrr&t6c4;761sG5&)QlNRaF=e};OQF44*LcBYg=NBKITr||C zZpl#qB@%lt3U5h{0uRrvXP|Yq@SSCXz>t!`qvvE)A3~McbEfGRPFyKe=eQJnK*6|v z>H0=3dn{~%Ty!;#@#4dsx@^f%jb78q8dUoxJ`HEyRNae;YIv5?2Sd39aK12*chB}K z;xW;Ot7=jT401$p2E;0d@8*-bcNIsc+XEaR@-G=u>VTp*st;#Kjddra_zVRdXHF=I z`NYnUn(xa@h;YQ!qFF9NeY_bGROqja`am0Xh{}G?ou*r4DVtMfOk_-FABal{L+1mq#bo>DxG z?^l)>?-k3MoZxO*1yl91?Kbntayij#Gan<*#kZ;4?Zq~IoMS?UAr;6%upH+`C}j!) zdzu~6LH>1h9Ez%4N@9sAt2#Brp^$fH%YdlM5GvjQp^wjgnMh`jsZgKpEdA83mZ$P@3aN$;SY)}06SWsvg2TDPgw=XgGWw>rqd#+dl9+Q$|9BMj^ox#d*q#1C| zZ6OsFg)p$C^Yw_%wJ)1Z<45B@O-lP&fcEZn3e#Z_>7DK z64TL`Yb*v&4XzyD4j>Zw?5<$xFw_2h)8AKIfUN#OznSbUI?$Tca{giZL;>poct?ThCzdX*4KIpgufqpAgo*cA z+v4X9*1Q_5HQE|AP%RqV)|{QwSm*CAj3QY-|Rj*U-$E!=)Bw(9@@BZ&c#bgTzMPOP8=JvY zT$n5C=BSBZnZjC@f`kvss&9=PrUk}x(^tVwwbK}?wNeV65xH3;pzJ4Yr%FjAh6To* zH~i%Ly5EB4mZiOP(1JGZz;;UcQJ!V0u6cC3*CM3)J;e~;31F_DB;(J(j)&0oYzlqy z)iw*1Jq|p~o5#=g=NM1rJ%_~44g65d;m`=AKd778MeIk|S;`E)&6*0^%Z~^}wBOQ$ z2AA4p*F=se;n<{?%ywX0LQZl7xCWCx15TiagcK~OWK0G;%Gm?wd7E@Du@%#zesg2< zx#UzhTNT#3f@|_YWUW_Y<6Q39YiBcf7EF?`$}GH+2%jJ#vh4*PDIfi2nma!#7paCD(79; zPxbmb`Kc*;p>at-=Lmg3^~33%PF#T0l+DjiFXd4Oj%IJCAJ2x~il|~Q5XqnHM{_K?RxGJaw@|qYAvJxeb<{x1BCC6*Y;uPwR6o>*v%(+_Ynz!e%%Fw z2lv{EgV$SjhfE3s`4;!4Bqyu-*)y!ui-~BCxStb!rg0#Gi5&@#eKqu@ENdvf&BPAI zo#Ic7DMMhdtKFMwpo?}58SXW?1~k(0Xe5H3Hab{FXw+07I`nt4FSw9V?L1x}^;`CZ zC`>#iq=C&7odraUY$J8q{bb+ANAgTTt^DRS_E8rb_nAF4~0KgW}ot{{~^ z=DGrIRJa)eCnd~i{lUj7Z1vQy?KHHmMJL)EZ8EX2(@$3Lsz09iZGKXjRuR=Pfh<| z2x=OKqnsD6vfsG!YApNu^~*1u!|=%oyCX2nIM+++ z;Xs`Sp(`%rs2=gY;Zbq~zM9Bt|r<8O%?62CKCsRatCp*5A{EE zr%WBlOim+nGf+l}{R)Be2|92-6*Q_Ecyw7|_L!HMfgVrciEjhNm$`rlo<=9Xq)z~s zQoi#gz7u|ZGSpxsC~8iebF`pEz`Y1Ioxbfqg`C~zWspn4+mFd6r9Wpfh)j#@kyqsG&m?g*>eOC+$-}d8&}PD0e#509~y0<#8x#s^x$W zhxEXxg+LCbaC+is99MUFbFBw9rSq!?RMpp{)+*?4WU7>TscH>l4a8JB0ULSUpG{)7 z12u+j92f^B`snto7XuU&e0Ei>r~|EzG7ieP;iAYTUY5nY_$r<_YeG2Z;9vNracR!B z=9DCHh1$r~fRK>@?M2=)lI_2!f#FhxcK0#VU7;eWSBr$s(>eQz8 zZj+ModB1M=_!RqzI-{%lQpib1*`QZD2`$yU?qz{9o4u3F9a^R#wp z2lK)N$&ipHkVe>5iu@o|6ixYTdLon>NEu}q?aV6+QP+2|K3{>)HV_`F!vj*=kKqHB znB`50ji$!aFv71V9B>bQ0;w1q)uRGh7r!((xsW_e9lfx`HzGHUQEv7pWa_K}g)a*v z1ab;kjM&6gSLi(iVMH~w^^I;1C+ZEuFtsvkt(ul2U#9E89>JkpgE4sffuqL_P&8uK zq+!JKMqh9EegLZXtqiMgDy^+*&wiCy8M_HmtD|n1_!3uW?pkUn;NReImpsj6d%n?-eySnc z+1zO=m?1>YPh&^coNBE)9(`)K#VC3dH?|(HEwq`ma#DE|?iN!tf0%*lN$ZLD?pP!| zM7nt_zX$M)r8KZ;?LTSuYs>X)Rdy#gScCtb@|cum?aR2hNx8n?$uE5aO-dC%(sHR7 zZS18pD5?&ok=~JaJt=SjA#FAa0|NhpxJru4xD|2*)m+h%%4gHf)^IQv{zpyQQGksMf8Hs>2rR^V`O(V zq!TCFa7!QYJ5D5gN(yDxLvou#r`-_zB-#tzBf|#i1KXII1y<|rRDEC+@^TIkzSp4jf`RS(j-Rv83fE3!r=o;zOcfCWf%}yxI(1o} z9xReO)O<3tcDHP%r3zSYg<)Mj$E!S$Sg z!Mgl1-^f=l^)jy(sURU|&gwsZuMjTV*M)GI7ahQ57u7o2p^x&xTaal46)IqV^%j=o zJNdSAhf_>8=%N80>SVMT#=uN3_e?(t$0tj&?KvVE7w?>R8Yg3JO0}*vC}=YnqUt$m9cipmxv@zx z`NAy-n!zU@w~cy`fGkSA%9QIHP0nkWnpif{mdd{Z=RO7f@HO5uP{xS-cu4q9;LO+-1TzS9z)(7w0 z^H}uhu}?n|Tsghbh{~V0*$)f(4FRSxK>@CgJD_;M^+C--`+8Ie(Q+%+QQ+wK<3lcv zZpuEmw*ZK|6Zzx3b=52pm?ji{*utx6jh)n}X|6w*wl5IV#t)b__-P#DRgWs(<`@FJ zp6SJe=;V?w3KnN7aBF}#W?x(`*m&}Skns8DTCJaV0M|&#bZ%~1`{{H2tACr=s9qa4 zFKF(UrfQdl{N&D2{$zRYM#3e!AK+3&`(IkWUI|v0IP*lrCtOIQIJWX#TfTbV?!+Rz z=?Vz${7wMDokBIHkz45xc0#BKM?dWJRmb&Qk8*w;^5^$bcPuh(d|nebhuU3So9d!y z=8pojn;J3xcMfmC0A2L9_%}9G7E^5avhTo$JEAV=+pBw`k^Nyn^$JqV6c7?V8UTe4 z%7-RK+cZxfIO^(l=b%vF>hkiu=e~YN>&;QMB9Z;i|6L=4R5om|za>aNZ#ru3Y2pna znpF>Zfu9aDPHFyfzlDVUp5aQ&@=e0Mc56DVd}n^aOu>n>_~#@(@yc5F{4Vvy<6oKR zAY@=(crB6x-8L1C6ej0xcBPE9+MI3$nr+EhoZROkc7O7Vfgl@SlX^mw$<%0kFiQON zMp9LF*oN9phenizJB3iMj$>^T6YTot10K(wh;58=V8HhD>I>v^Fl`%Zi~dI)O_nFF z{(w4pz&+FBX_P9HFXJ3bDp7SVAQ<9{>Xt|4i3F*_Q z1%iN;;9NXj7xA_`0_HviJajZi)NQ%q&a(wpKW3{}JD~xLlk!V^Z&J;^cG@%G1ee>I zsdw8gNIpA`Lo{m|eBvVUNd{$1hUBKV5X(O3&Kj7Y zo_c9(?_98w31)6*-l#m8Q_vvsj>DH@WS@P+nsU)sP1=3KPcL}a%ROk^nYgxaQX$kP z^J&?-ZrQrF7^jgws;B%-JO49ilKnB{hsIW-afelO$Hc)!KHp=*rC2h|rZ7!~GZlzV zkL#p}C{^(ATz0HenZgOuX5g@T@wJ$fJ%C$=UygkW0xyWOZ^A|jT*Idj_w#K=l~ zFm7ER<|ad1Hjxdn^a+xqvt@1w*^-xzQILevMznAsoAUm~tL)82%|oW;8qJ@YP4vv? z0hKxkmxSFX(qm}4d5pO|T}ct<=C>FzTT{G};kk72L|ZidACOYY&L2pLnrCEzxo5u( zmPr6}#t(lA;USExs zWocaiK5a*q1Gcz}-E)aCd#vGmOsZ9xaouA&W~bwVb>Ge)tmOz2IqxiP96- zyoL7KmSD5-NT3a}H~hOieCuwdlhxDmZ9D=t$*c#{UwPYL=`%P!K4l+H3a&086{#{3 zE!>s|ZxXy3#h`kCAB1YV#)E(3&CH*K8)+2BYS@A_```(Wjs(eFgV60+r4{0eKOH9q zehr7>4s748?%d||DcJOOI5rK~WI|y&u31KG)2tZ;d(;Y1QT*Ef+TsoZ^hoV&nj<-m zi_|k0C=KP|Yu9TI5;i3-(^I;s!aC`+M=}`{`rWw)ttr=h+nm>e54~dCdQ{f)GXHql zWP74*TAYnAo{`fn5Gna5owjc&=p2+6fj=nd({}s;5xn^}r<^1V{%YAKefrD$v(qrH z=-zq*9Pc~7qC?3Q>TWYC&iHMd!y+t^EaGR9?iF}SF3%kDU);XZ%Dj*@$rdI6%sJ-9 z&k*c|sKA-Sgo2H8GY0^20L{GSVjnRAg}_3r5b7k|zaW+?1hGP~5KD!^aeva?G(3oF z;Dz(Xxm}ty=cs+JnNVlgiGXsC;ArvrWzWXl7(a01M{2-2$fJcL$Fp`%*Z(nRR!b!I zX{L2TeZ9iGlA@a=?-xlJ*XTZbMi2YwN#w#P>GnR`at7wVJeYsSU%s?h{FMf3A^)=N zA2yl=h>{aCFUNk0w}RUAk8U4q6@p~zYtp9XH$XxMh2>MkL<-2GdMSoIs-wWiZ!RQ- zda>&kDca;qDid5}!D6t>|BS)Nuoz6vrb#fu&B5mQ;KKPL$hv6NSX2N%mQ_2wZL49bIjJ`jantS# zVATkVp?nV=&0AK7Eypyz!HiP)%1A*p;CZaOZ$^r zOGa{}MZ8?GLoqcgafJjJ-Rku{-c)CunKXRc7lwT^W@^SN`xw5N<{8iq?jD)JcN(3@ zj~^rN2G_edG=e&rcjOBiz3r=`v$7jZHuF^-h{JfCD^x`YswaePRCbv|wZ{|th>5`G z-h`B^qpZOvUZK5SY!QDf8Z$W=#mX64MFnZZ>-i^emBBWe8nZG={DcDh6jIR zXPXS|yev%i-2&ehEzg2#0&NI+FebHk6l}5vpK;h|0r>0d3BDcDw8?0yUN5nr!4(`J z60RG&-BvYIw`nQ|DAC%Hud3A$3_r<4Jz;Wbxj=&?VZoZBi0N};{-D<4ONxvn8H-0j z1fde754P93o>q*#8#ES}J{dnyl7wOkt`g3&gc**<&~tcR@5dti9o*lMV%>OUgLFCN zL_|_x#Mg!ec(7fo)&SRbvDRz9+nMJo?ge-8C{>BU=9n{kqn(yB`n7# z&%W>n8+V!Sn}BeV*UDjgl_gp=y&16xZd<@e0ouoxBOVb>IPtHcL( z9uFhb8j1yp4J=JV)c%2WsyT>8qi?3_qn`otjR*IAJxPuSmuZ1DgQeu&6vC1jPBL0? z?lc}3-U4J>ep!>^)zRLap8#DvGYpK&v^-{`B?KoH?7wFx#`&p{`^oONNT#WxfnYpe z``aXbs{CC%V>P&@lFJyP*ZTF2?mlQrym(h^DG6?FaW-*wlKDc2X@s$sSqOo@SwzC# zEUkK%i%PwWG6}z#{iZ#n4BvHWk>0-@MusY!UR?_%#ho^8cHT`R%?Tj+Fa`UlwId{t zM|f0B@v4w`m`V%P)1!m3i*~gKE@$5Vh#hAIsoqlJomE#@Msm7|Zx#noX11iwyNYHz za!3auu3I)Ksq#17q~40NG5k~UrS7T3yaE@cWEm`;ws2$1buQ#v(~6L@GSfv85;ld# z55|9ZnBOBEc7?>{SoP@mShZ?iqx~9L&WbrOilmf1jvg(yPxY?wc?IfOwegjwsCnb} zHH+CEjzdsQ~ODRu>=u{C9aU$@FK7zA5AO5f!oH z*t}C=zr%?07cM?I1Km_!+S8!$VzW^5&CGC(M=9?ZyF5x^l^1cVg_2KAVj<+fccYQ0 zifl-f9Ir{QBp=*nnyQLNX(nJQps%}1t?da#+Xd*kF^b&37z!NoAD#c5a4sliz+n1z zuZ3!$GhY=O8)b42v%`x{M#gZ1OcPni5rqwn{zWnsikaWKVXqo-*qeXPpv0i~l+1Q> zlKO5DD^nTzJc3;aTuT-Q98q54UhD!qK6^r3%t^k0^BFyFux+p}q_z$2|H*ss(O=*` zac2G51v^>e3K=$hYLi(0mhh+i7$-8nYzkQ}iY24KDPUN;N18#~WPtAWYC5ryk%gtb z=gR02W`=xBYQNh40|23CKg_418buS+Cw;P2;Cxy zaTc@bZFv0+#>tQxPo2m7m5()5yi<^?If+K~d=!r1_~!iaUTBl5X|vIC9ZNGn0ab+l zp82-2ERgSakm@H{VQ969A=mF>A#!q{LJ8CV{UiPR(U1S~_!lbgue{#jXg%o3$!7sW zcPegzO9HWSwkePM`nVCsFDI(TJ=TdvX}=Vl*eBWohrL#}EFZK#IRQjd{Dw zivR0m{bjVwEqubHzV#@LkcUe^%89`+Y14ca4en$yNP7A5B?y+g60ZFbEDu})!E&Kc z9|C=OpW)k(Xrx9Il?U#MSblRo8t>F_u2^0HSV5ngDrc&i9#+;pBjTF%s7SrD0t4+n zRnF;>79FC*QGJsF>NVC~sdSr0E`FI}cx}TbvU*nwUtEblg@_4xTjN9Xds36EC{R6M z1@6PEiVPBkuGX}w;b~a5PNQkhU4NzIlGWn8=8OK)(0cPsoIDm8eTNYNmd*(A@rR8%jph znJGK0xFb|(O6Z18{!Y_<$A*^LgT{x~p~#%5bvMiE{`<3xQ#;6ZixBzmcU(pJJdZ7? zNxqf++LCLqoC?XmbFvp7rV#N4Y%MUan7Y{EE?_}61oW3!T~6n7{2rrl%%7+K zIqt4Z+Nbunrzh?c$!b3-5(9s{?pThg&z+LzNSiv8sa>YLaR>ty- zNtv2$<9K!zqX>FIu`k~I-1!{R>`I=*Z7N$SAW17&fcBkIM3Z>V#P6<<>d2MgE#IEeUfCMNEqZ=y-VeSVp&fA8Mv?vA%$Vv8RH7Yv_jQrxh+ zB(A$xGp~lrsQk0a-cC%G<~X7}7j4Et!fV@=rSzi$%&j$5#~ct5+zLH}mqiA0NU&b!=l3!nKo{!}?o9>jLi% zN&I)vGmnuuY=@6oI__~6wuN1<9Z>84U`S&d>-pyRxWioPLw6%{=^2O`>P5)CHGE;{ zz1ix4tI})+?3&C^3w;BR9s-YuDAd*XcKMf)YetYl(Cd@QX4sCLZ~x{kk0&oYg@~_f zt1QgK$m?!OPx|ADEsrV@!MTB7=Vyy7+~+v1>JVK1nrr5_Jn)$dYE9zZ;CR*bZuj+D zy`Ljao}ssNE7asZ#_Hbrru_z=Sujz;B>l3~Z>PC~{%DwNw#rom+>;?eScQoPHOQ)3KSL@gu-XqKdAVBZ@+UV?);*?x0Wv( zlYF{cW9EJNxp<@Bp`3N&+#L7)OYbc;-YR}f(Uo#Y)vYvqlY4JdE99OgiR|~z`{edi zJF$!*BtFj*gHJeitWUkG^S?jfoYU3#m`aTt$kjO6VcsfK8{5fBNR4OyFa?j^cao<2 zKu-J+A$?g!yDgga@{rMlI=yfGZM}R$4se~73fxGx0r|D|8bOPyciG<9Q;Iv~r}0zT&u2B#L(q zeXF8dp3B|ivkNq9a~9T$aTZQoqI-g0r(ioS@jmT%fANekf9vjB%^6oloU@@!SwWAl($Hv zXg|e*rLntpXNNKmiXT^|A(u!lp#*xSC)SKgwB5cn!9hiIrOaE~2o;xK3nqTNQ7|dR zOO}brT{03KTjia(Pr5EqqatM;VJ{FJ!9C64zAqD(mZCoC7i0}w98z4$9faMGh_Cuc zzUvS;&^E58CJJ%^>~GEd!WO@k!L4qN6qa1ut!S9B53O*4`8}arnTT%T$}>qWfAG3i zDvtGm_}rVZb{pPmBzxDTOujWV@LAjRGanfhYp~8H)Pqz&xnH8aEk*u&K^cioDT%a; z_10&X>KBeL{dRNMOnLz>OxF`^))ub4x8Q+l29qwQ{%(!d7!b#XXOz3_iF9O7gLq?X zhl{ehW%8J`F}p*?$so4##OK*$XV<0|2%g(MG4WX~ud#aVbQUXRQp5X89B;qWH|aQI zH*ZBKd1BRp@huheBKh6d$S54z&O9G2I*pfd17 zaSYMnL`X6o=UHGyE<#GqJt$JaG-g?p*-{+?3TL;2(&f?M4)-}99L9s7+t9=-3Oawf z)Yl0uf~)SR9D=;nEL0+Tjpg{jsQJcB0S}Q}uV0t1k%?&F7qok8`dGc6A9PdbAl{;R zhp~DF2DY7xgwRuhR33+K zlU)^Ao*hBWfEOgAfs67%s)R$qKD~rYX8YQJ;ji?J%TjYCbCIQILUeh08>3w^1F1%3 z2krhZt5ulAJ{E3IobE)4N#_PwCXG@6b+wXrE4~1<@OTvOMM8~cF4`pu|m1Xzt?b0BUBHbX}-5?>|O1FTtbeA+pNh^{9 zA|c(~-QC^Y9educ|Jq}%J@)(Iea3J+A5^^VxUMI%aGcTJ+y zR@HFizrq>0VpHq)>id?~+u7K983#C9-BkzWxy-RQm&z6?9?}^^jFICvo}mDcuG@$x z+1n8)EGpagGdjZNNDFvQs7P7-=A~uKyuL;R%IBeIVbi-oadJ|jNGP~5(R7Y`L$VbB8Y|X+ z0f$r6bY}_iE^?w;@0>maUOhYf^?lqo;1aS1Rj;-y)A~AKBHUR4Xji}POwa41n4=3W#Z!fcFIP$f-+tLH&-1O#?OjOmhKQ0Nh3lfw zgcaw3vV9xZ(8Hg5N!emwU8X$j_ixOqucst41fSc^9S%;rWkKV*V(9Vb<=*qgj&al! z8^V*mb(OdAkTu`gAIcmTJp>Dcq)$&Vbjyz=%8I;461|JHI!m7S-ozo_^ots@j}?>D z`qlf_(vy1lQtM?rWZkisIAx}H-5r-=Qiy9E^rz1&d^+?fH~Ydp`Y=N((F#@c-~GI# zhm)8OtHs)hMK*>5HueWXhiR+nndF6pf3~q@s?@ABFvk*F|N<{ps|+ ze@Ez)pO~4(qAx5XW8cbPdU!?)D=sbH2BR#w{e3WXR;aaU&tbQ^FTeZtG6?4?54*lE z0iUOTP)+K{(Y@?yp+0a)HKXlDrDbjsM7WA3NdX{f;T^^m~vPMzi$q}Zn@{{K2JDB6AS zQ5A8;1&gPNLB^k7HN6_`3!^6l3uuvO&-59;IDJ&p&wN@7ql6c;A$fDJC-|Mt#r@Rn zi6hlvE`8bAC8~qiZIpv-Q29nlf^Uo?y&f|}U4&e|*KcaU(|4(c(2J@T(x;#nBD0)N znf)}N?lAhBa06JMHVr1bq@OL(ds-}wb5<|79X7iJOr$SEOwBK)Of)aU*niz+Ik-l4 zn=0S6m+eW$yu?|gy;k$pCi!z3aiPyAftIqMPf~bVSds2ol~TT=U7Opw&fc)(YXZCl zXDgUWy;V~5y?paUv1$0#JyZ$LncL2va~L*DOnqa1H&YCxF*+V@%g&9m z`GTDwvW!y=O@wYVJSvK0EMy1ip&NT#vpz<TiCYRsh zONV1b+ZU_fo}u?jJV@PZO+=mtrFDW+uAqtMyDdxM#%9<5obw>#@F|DH;hm&`ciO`H z_2-RJ?efjbI+31hRL6f7t#s|;o(#+N%F>C_kJTkp_icsn>`v>>V+`jg5bcQmUzI7g zX>Yt6KlxdH#rx%UQ3m3d8@7I9f`2Qgu&-|Ma|rcCv*ZG&Z&9-TXr|XoZXJH7B0Wl` zbaZVfTtx6Oe|=Hl(#&J_nrEwyB~yOEp<1`>DZ5dk33%2Vw7O9DKK|6-#;PsqYY~j$ ziGZXWO;JGy_A@US(sf#5{fbI>m6 z8xlrzYZBlr+f~jiU(j2Baa;4GT?-5ylbxUNGFO6+09+5?BRP3M)0UVLP!r+Taq$pO z98y_wfViVUJI0e|aZ3T;6dTJUnx$Mg@r6z>(9MgyzU!o(x=TkRphpe(67krb>bjI? z%QJGU^epr^9b78H%h(wCP``CPR`k%TuVBYos^Y?SdFJMJ&T1>WQ7D_VgT6UZkqA-b z0ob&9t&nY;^I(pWxLiyvc6X$x{y@B|0ASYficLew#|mMbijl%SbJC!H z2iwr>Sj9tiwtdzu13YvMeBfw3h8R``kxJ}pZ|=z!TvYMf#4CV5`4CTx;spb&7(aPT zm zS=R+~u@+|S3TfurjaR5?V)<$${>;(?0NT~a6_5h36YT$Jvmz31l(z~HMlUB{t22__ zt0)LTJDsFrbja9Od}m=?Q2+q=&bwJ@ZinoWFWn{x?H+l)qSDZe(M6}(jjKe z$gg-W{NZEXe~GVthYE@49jgjLJ0Pz&)!eT(JqOBOO*=9-Fp0L1pONyeJsx%6Ed|$a zX?8QCJm|$pC5Q}x_mLjFk3B|*WL}$3CY?p{_;m+-7MGI>+TVXOt2}|hcuQ)5wOnA{ zHpr!ebFjxCND8$Tj6fRP3;9;wp-Xc{PaqhsB*=;ecx2Va?MO6F+fSgV&p1AAVJ+83l*sh7pHp##!is;o9sjuu9wqu{XCkc zIi1WuoK(Se+%HCNdlK#@Qxey+lI;$q5VN>BwrT`MLXc}vzMOeq%V->DpA5&6`5dOp zEY23MOu;o5(UR%Xkt?__6Ta+f6%Q||2@KyflDgA+JJI%naMi+nCuRe_;DzgnZS&*r zNaQW|kyGVxiR^O_K??yTB*dkZ9zgUcR;Rx=BP2=Dd?%5i1EB$^`}|5poTmuZbZ2Y^ zUHC>S&-S{&jZ5(z^+GO@n4xgD>o?V_4_d*MJJ|18 zhxA3$!OOrv>YtQaSXj45Qg=(#{?9W(oj(QiV^dm03R)Ja&5}v9s(~V1S9}KfuAm0{|RY{jMEh zbO-MMqdyW>B<$GD)$X`dG3CV)^tOD~2AE5n(mX3%CsSj=M+!R?0c5peZo!NCao*Jh z;?b(@agiPd8n3Ny-OXcUAy-*^}xBX29ddecM-+P{(a z^`wWMge;le%#2GXTIV!syyM^-3=$R4(u}J!bOH@|9sdS9RNa8&E*qS)RU18=z0KK= z#cE=Ya2Pr_^Jw;o)v>2=L5~LM`73<5vLR+{d8)8Xv$+6x3(!@{CTg4PNp6@EIcm4{ z97tZ}|HBhJb~uvgqRUwHcx;ng{KF$?Hwi94w|1?)q#ARN`DO+JB6~R0qp15q0TFJY zNZTkE+aG87!wKy(Vp?t`+Nh3P2jwYW zr@9E-n74Ml_`gyiIzBRmWKNZr=+#1L{{I!;qdPBgMYnY=0#haEBztHiN13ok4=1cH zN1laeBXF-qhYBDA6tAdRg!(aq?F#kkxs`NjiD0kUV4sOLhN6S_d0)TI^fbkhbL|!dk~|dl@V!R4pGTox)>~cgu)tCwAQhEb|H!B3{loM8;C}8zteR71JyRm2f(rRmPqY6xlO;Edw$zqHE2~5} z>r0WiXA1&={oM0_b1)Vi!lTh+c_V`xwE4*!y4~^U}6#aDZYxPf2Md=#O?ik zh~%bK??{)BiP2Ac$fJvu+?o8b3JLq8bgNh!_E)l#6-dX=`2-KPy5s}7u8%9?XN^0; zOh6N*XBR}8#YtjCH!UOu7+F|5+mEB;-Gl5I21$q_Q#g+!C|k%<4cnM32jPB(zz06! zGYf8U+{bet9%0zcl!9sB<9{?bRO^1e_jrLoPm~A-4CpFUUZLrpro`>fk-a2kb~MD_ z-b@@V9%>Py9dNvQ|KS?Uzj$4*-!9?slvj!TWN}Aj_GF*OM*v^Mn>gDK`66zLW&WG1 z661a+Bff`{Djdl-kktSFYkP4!d{c#GYhFC~NP$<^+#lIo4m6z|$E*1uqYfW2c>z@x z+xdf)-{bm z@M7td9@iLZhV(iW+9GV_IIipd1k4O~nR1=y0e z_e8^d=Rd~&Yh;1t;Qkd$Y12K(d=Q7}JBr>oP1ifz2lqGdA*`fkx&tm~45a@36US2V z?@Gqcp~GL@5+wgSjrVKG;HO%`Im|Z7pDV+>!{s&Tj{9yzViO~;$X`LzIRBl(le91A z+{Uf7eB3?rR2-=v1=~PDeb1^1h(Rv$QSSe`wYu8Hefxt;6DqY1Q(o1 zGy#n-zS=q)mb)>F{Ekq`+I-UTdK7WfX|O8QRm1`n4S(_eia%FG8v+j}+Y7tJXyEk@ zMkCJGW9WKXH6Scfu5L7TiliIke`HxoF*;}sT!R zG+=0lhBM;Vm*6)>zPq3}FTB7DpXFBLInH#}d^qgpNFiPz0=f+cdxQ9rKJq)>oN`uF z!_z-l@afbVlQRgPqfnFj%TgM%>cHObHBSKdRpRsfT?SCI4%3To{Oa=t+dTlsyTbrZ zGUnl_+qY3nzWExIXJ=Qr+5K~rXOA^t&f;raWi7*I^1wgQbt6F+&SfHDKHB9L3Z)?R zg^}ULUR>oi43j^-`k>$vnU0khW*`!Z-Ica4N(6 z(QK7w-52>ZWK4m9$-LA-2CfJf92yw6iv%P|W(3Jt4jEt6fCHzsux*x6$1i#0$MJHJ zq}^i1F+&9T?sjK2gg(zp4e9n>n~iUUhEvSlgaQWNG6{>5`lr$ z52|WW9+hcC9IF_+Y?<$+ui_vbLhR2qem*7 zTy;UY&&zjTDr$1$QX2Oxchygk^D1h7$M-8-PW22&_34=0r%(57eGcF3|6JUswXY*r zkg)486r5!;PAnpwn=~&QnMT1Q-zdAh+U(f#Rv}7E(3ahqD%Nz6H6j+>olAdC_#MPh z8KtMEfneL9E|ckL(DjXb*s{${euKV7wOr|-ZGv8d_ru?k>(94OT?|K$t!uQJ{+^Z!Vw!Uf@L#;R zeLCZTc%{eKN02o6&-CzPeIlFshYP%mKl>^Q&tIlBjSp9WgAy8EMgOrY5KlYUe8T1X z>@4JMnzZAhWa}+mb+oi@8tBNNM>jn6^!6zKi8L=s+l@~+qD{fW+I7EW0qX*78o`p9v##Nf^39WPM4hNvGcfLKoE#RCUQ){SOdxtPzulQzWg z`I2(ex93jQU^uc9bGKVMNfuXTS~f}h>+Boo;}WXMS#+`;q~^aEOQ4 zfr-1miS%@nIhM71e$A5?wwv+vw_?v-A6-`r1Uu?Uth&TkNqI_+j&7zSL)@ZL6JMFn zA_@o4Z^AG=ws^ayi&w@IsAlQfFiDPlch*AfX z2dhC*R;tHB_svs7c8l3X>y`k3CM+KEI)8PO!(;-zYlJCFK)A_S5RGCkT z)mzF6w2BnpURdRwXaMi(@`It)SDYu(hosoG-~RmCSvueanDOcVSx zbU5R|tE`zy7+800&@avGuauzoKV@n24+tcg3c?0Mg)@_^>9OdSFt3xxtamkkUL_H* zx@JyK2)pOK!C#?%qI6BQ9vHls;{1wScx-q*nf94+IzdbW=RBcbEoP673%1`%`qF_b zM^g5%Wm$WS_42JPOE4xrfd8>&^7Or`NtoU)x{?r?VQHXq4LFED6h*xJJk@iWGTG`o zl$y#j{CuvvP{e%`?R;5W9r(OoQADb{^;GNZtQ}q*T+RFkON44?%JtcQFiq&l=W$zy z#-Q;h8t2x6h;8xG({&-KCY|lvWWDhz3l|j=*#y35=BERh+I;#YB!TgWfIqJ=T&iu` zY3V`xrvnUPf~c0?>;TyL9K?wQJd_cO%x6LRwEvRZbZQ4fcAWQIH`PCSO-$z(K`l&t4Ze*q?L~}Xie+B&-dw& zGLi#;I9VP%X6$wkG-KCI=o2jmp3C=sx6ze81M4qM z7UEe<+kBf7dxyOll!TvC<-K^@M4V3@% zLPhOCP44HP6!ZgV!R&!{=QH4#PF7U8!6^cc>Ee(m>_=cjOFp~$lNHrB%~WFAj{~4v z1{G{4AY;^DW52!uo(>;M)7eeGk|YI7(^_|XaK6&_I(>JjpRuvAVZI)K0N=eoS6T2+ z0reW~l7V>)AZ%2TvG%i~p`e{xCVYOPv_97O@mbc7BNIH3jsjPX(!lTHE9&))#d z+=-$fJ6)&IcMu%Jd<8^b#HjUaN>HUo_X~WKD~LqzS3u~pZWRK5RNHb`{}(kCS>?R# z-4Nv@-AeTMhcs#)*0_aSCb3Mu8-Qwy3{c*`&55bpSiwA(n4CIGp?~@q0 zCVEm(H2*22{W z1x^az$FKa})~!LvAWdJmgWQr1M&1`M-jd!Z@GQQ8Sm`*1c*UFU_89QlJ_c5QaC-d+ zpu`#dfCVnF$pGjDqu|&rw|I`yWsE`0IvnaFxyL~-!pSFUB%c2GZ`KMvqR#g}nv5k? zaLtrjwB@t=5sm+BH?N;w(4?$Pf=2@$yy=Q}+(vek9x`Xmki}oqVvegYP&V%wN)>Rv z14Fgn06R)l>H?A=>J50|jUT+i3%AhfECMXB`20@hO_=|#eisbXp*U`*y7mFi5vSqM z#qKzlb~^aDV|4$I6jf9GoyQ+b$T0J94ZjP=fJvg?4e$+B{OPd7l)h{EkFeIIKqMZo zXofm!L~b|YE+6n-C>av757e3hJ*X@FqVy#dw5t#t3`OM;7>>qe!+CBJ<8Jb{D1@9w zIC8{xdU2H$4Pr++ehMs!wtNAjx_yw09_2t7jS_ctzS?z1JqsO%S~TX#Mxs;$-V1}| zRgchlrF?z%Yfvy7)KP_47DpjZQ%acsvKxt$e2>yN2$PC7^#Z7|en^9r+*}5qaF?rW z+2>v%tKBFlmV;!}8AAFV16!8GD#z_1BjdSfK!c2ahmj1TZE^s8JRt@N5?tzHQ2u&N z?lDScG!#aY_UCpRE9mBOHHsJ+H4WlsnkFY8VS6B+04Z>%x`mfzmwcfiO5$P8z}EFz z<5wyHm!-Lz9`1B{vzum3QXuLR#FHdES1UCa{Gs&?&PV)hg54$XvBfJ$Jdu(yG?-iz zU&}&)|76}NN)(A1`X9`iWh^9hR46;*Jkud^x{QnQ5n=y`y*e7`9Zam2M2-9GYMZu{ zTH2wVV*ElKb*^M8`Vd&q_B|Lw89iK=rkiX^8Q(`=lD>)g!=u7&7PgTpyvC({UETOj zLN!vO+ohVu87)e5`UdFif* z6fs&zRy?b&WTChT_SRYu>gOb`(6XpQ+XS@3&oQ2n`!h?7lZ&6KY!eheEql8UAu(kk zgj2#0qe)G6hjE6qtL$n53z7D??0Tp%c!t$A8MeZRY?Z-Up{f%|3Uu)I#&*5pt?Ko{ zG-Ygzm?^K}<7Uwm4tIEmdHh|ox;C>mBGs;uF%ye)Fb)qZzG_UZ_PqCZhVDDuD6aTZ+N3%E6b%8Wc&46$xnkzo*6UO7$__ zKwEAD+==JaeF(xX-<`j-uG%)7N)ogAmC6xQb!&|gf!8aDgw6bLwPfoX1}AKS@6j_y zw6JZnNjW8pqqL%hSh{L_3qDlm4*?+5y~imVK`>HmAX52(Etq{LKIWsR?5FMi z??Sj3J6mQloxB7#zKJ`{&==~+_j1~=N!)_NhzYP?_YyXR^^O7*_J_!I2>%iE`~QfH zvmb$Vntx*)BCji-?+7p|t06%!HsdDxGz?OLt`Ch-vC0%NTTN%+QYqOsVph$ixxZ7j zSrtE`aUMb09H1*)iF_-9VRv03>gArl*>+{L;}whvS#bvruZ={V&nj$}Kz`B-;p$Sp zW^MWeDDICVOK>|ECuT0h5^BKiIYCO^%^8HmASrD4hrWYo%9uZ>;iT;){ZffP+bigg z5t)Tk#Re=Ow^`gJ$}g=1W>}o^CEzp#K{1d8wfgaDe*X$h;2^^%D)#+QB4^S*FHsaz z+#kA`scj&b@e5Mr>|44gF_{A6f3xMLdPP0jSf9A`3>3bXRb|u?)of@oXpCS7 zSH`X)w|Bk&;MO*p_Oc(l{Vm(Z>v^!>Xw;sY5V*wV|1*W>cL z0hhV}+ZsHG35G!*w-EL>q&H;N-MZGPnVNDAK*k@e2s!Rp$I*AoEj~#z4%u!;MFp+q zTG#nejlbcSRjkz>Ys0)>+_B>&(!q&ne|H<7kYI&eP?Al6=`td!K$Q|pKHii@zF+>1 z8!xFBgNB+2Yg^^DY6DeV_bckzh8Yzve~P}kwznyoxG%f4Pd~2HF$%5>yL~~TtB(}7 zgHB`>IK-WdN15>%TkI7ahLy zTr;L2iZ%rY_WTD1cCdMvw33H|-2pc9r#txg(6SoEEp%sIBGUkDWqG7;R=TuQi`E=% z-ud!Bo+?d}%edxw8Ak9z&|iVZR@R72+FuCZxNcQhn! zhpBSf9cwrrIf_7d7`T_G!O!Ok3#iTA9!@X5-(8hYpy`$e1Bg!#=SsKW+3bAcM&`x7 z+qEafpXRpqf~mT6i>UbLAJ`+2`cc*5?EcirC*LKQ{4C?|N8%)cLDLDHCi(kuTP>TA!3qI8oC#D*Cc~{)5rjj4ue%yW?=xNAu<8A?FAYOlExuQRQ9&y-#fg*?SIt zq>=F-F4lpWdW2&A>T23C_)265v*33({SZmMfh#w^=M%vC23};iG$Gfm*{#!_{JFAt zY;rPry24MUtX#&+YaE3Gxc%==7GIFQTS|e+_4nkn5s8xDaGH>r8&1R)pZge!clu3@ z6qO3qC-NXG6+e6&yxgnq5e917yU)#!F0}_`{THUjii4UMD%oLlsjOH!=DNKTiU;j| z@2;)-0iUvPmrUPBFsSjSf-++oZ5Oqz@*A~h>eK=oZ+FV`&X&5dOueHpZDx&nhdSRyd+W`Nj7Hx~ zJR!VO=u~IykVd)Q&-0`wMz1(B$}mq?6q9)>#I;fPXtcXb{3e2}f>0G1!3# zSK8@bn+RT&n!<7mdNL_(TeLoZ6(N_9zxj!bF2sqt#NdG%BfOSzA|3%_vGPPluuBrP zvOxlA;CL+WHXYhoqxIn4d|!X8gs0yxZDt|-{2l{M>J81SSkzjT-mlqzE>ZjvviKL(XOOs4>GLCG;;VUIiMuPuGkkUH?x^cSBgXYV0(bfKHE&<6!!3NSxqjU9 zD-SWc6V*HS(Q7%-f)Dq(=7tFrqhp^6#e;(pQZ#P}{fgKzM+X@C`=uw?tqqTnY?CTJlJFpKWn>T#rXZ~*E zbNylBH0lpd)oWYwNKpn&jKJu}J)>T348+C1OyBDQey~mP1riwOgZvE z@FI?Hx!8Fa4`Lhp$gRCxn26WyU9Cbdm!5$RTW!Z`K)|_bVWm^h zb6K-DrB9>Oeon@RV&CUVN)2o^v6xyfN{8%qvFzcFrBeBwoh--?Q^M)>+G<8~h<_4F z5a5{K6PksY&s!G!oa=2>|HK6_T<~8#}Zv(MTuVBtVr@Xq+XPyZr@{f?g?S{ z(*udcvLwlYU8B>komHs>fl)Zt(kiXopE}gK=W18#6_pRO^CB#MhZQ2t0pau_P=eqU(ILNVY>p|E4D zuMLiO>tviqW%yH_#uoXSL*za$&VANkxtyxKPI<4o{I@JvisEkuX|N*sIfLUg0(^}r1zS)$qcHAfE6duQuzz=?e0 zR(mK-sGmd-syiq_4i;U-CMIwaorgWrc?qh}iPy)6B*(>&tAk5SB&bXjL%1r**U1}Z zfwiddO55jc>ot_43v2Q3?ABsvpTQIHf@l7(rz7STQl}Dj@L}>;fnN}sG4)q>zvWNV z>(D+5{1S4uQ0cUGMh~qA=F?L+SNSM(R8(hzrShyG6~JEMeZ_I*w~O5-t}}juOTtic zLzasdOjXI-_9PuQ3VbpoOYLxOHFU;hB30elZt^YDqe)zN{v8sE7mh$i?zPk~vXrz# zN$uQb57qsyzM#RcL=lxla7NbwN)9`P`ogM}jgnX0F}MqojMG;mvft%YRmrA5&)j3hlAe5@>}p@{wMHH&GV@e7yIWZV{sm7p1!_~Lrb+jMJ|z3N2#nJD!EsK+O0%_ZX<=*=(oh^&EafV z>!?B-0vRlBwjYsim>}eDt@8+w2>DUj0yE9>Y0W8NSMxPodZ$$)r@_XB!dB~31za64n#%$UjMPLiV3Y=| z=Sx#v4i;M1u$ER#@vS0c6*AD)DD?3i>|qAzx;~J%HlOaX#PO^>y@rQN>J0SK993p9 zkM+%fw*3J9KJ0@VBHtP@AGF`^fm#zJFXUl$r66sx2gx?Ae&z|;eYI7Ox$tTX21`S- z<6mS*rfF~MGF$hnQcgXdMsG+PP;Bi=#@BWanf)B}$R;ot#&PMsDhHT}NQSI5z)P_a z$)PV=Wujq(L7j3sC*rmGBKfbs&H0&sMmR^F9i@|RV}0O+OnM}(7DIZE_AKw5pCE5y zD~cg#{B}TWZ?2JHvhL?j-I>G`W625X!{80NR`?3-_s{XoV`1!NWwZ>G00SbM!6xp( z$IT2i5GpS_E7~gB>U1<|MDW>EH=Np!n|F*r z3$G7;ooDI0slk6OTtQlG;ez*ZgY-9R`Ptx*u9bEbyY0rq^QO5y!m+Zgj#mTpk=gep z`&nJ_b@ zs3B3P8Gw;2UL<}8;g?S#QWS%WW`2bZ)5(=>quCZ%duQ^v9$mD={DPuD?880Ht64(k zSLfuO%J;LR|KeVwcsm@qmrBO zbn~Hy$P3E}cI_0e4r}MQ6+`WdUJCI?mS3Cw8YeUNKW)*r)k4sp4J(*(--RcRtaZwn zgNg#*?VHog4lLL?FdP1krSalb^-F&Yw;3kmwAY%W-yDVv*0VPe0|^Q(Q3-k2b^55K zU+nb1e$#aZgU_|(b*_+R8(eGgXWj8&uY3Vu&G^0VIL!(%A6^!RR=lGV84G4{AtY@w zq&Fy{u$gH{!m*X*n8r!#=}{5v@;O~2eW*Cu?i3m18qyRwxLF-!z|AE?dQ!0WB$lm# z$$V3y-Rne$d@`e3WsT3IRTjAYyVWrBT*Clpa4SG^vnZg}aT^f+7YC?X4Nm%4-aGW^ z|0?{-$+2dijQPY%cSq=*=I~8FQcKGFyt+*@S`@g5v+>hsy)_Rvb$b}05amaPsUAufNuP%YKM}P@wnG97 zj@<6_tF#rqYDn1x{2tF|?8`7Mnby{%ol>0ZF<*){b9D(F=5Y#sl>p1>k;m&L(<9T( zv)s93bdqcjXGcdH^KM}n5sdj1@~sD#mnK=L?- zeE~a~_f8G@rH#bwEPhwj>;!c-7>25C*WE`OGK2VrXbTnafckvax_1-kx3@2>KWFm$63QitWZiJZd*{?61lB`_Wc<@N&Y$h0XZM8l>Roc9 zhz0EX!h!60^gG+=LK84Leir|Zied$n)IWhrpliRwff|V_oaII3&(C#q%e^yG?q-mv ztCr)6ExKD>_0o|unMWgx*DJe2Crmhl&Vu~k6ntw**ono>OJXl^hjMe|u=vRD z98vAHUsjM0AOv%PSq_^ba=>FK9!MrbT0($+@7)_8@Ljoj!Qo{%o5rt~HW_3MX)-kgNIjHhxtyhyv#C$&ObNa0)_&ztHSj z5EUTQyk^k=ARXk&E=dCy?Ym>SA((fVmPk8jQvC5iJjMG$WWQm+z~?ron$K?K%j_Bo zqn%xsCqM)6J#(=?e96v!qc1s^2U@EEW8>qyU^Tq}XJh3gX1yKI70d3BkTSfhw3=20 zBD7mU09wf!7?A%O7M7Xra~C#mY;5ce7LLSM1)0xLZ>Hm41{^Eor@a*BotEEIp01o|`1`-zq*FPxU6R*Ljl0BF{AVRV3AM zOig}|pS^qWnyV(scpc_#&u(@+amva4!jJKZzs3UH$Z;JVIl#yyMcziWKf*FKH> z6u&| z2Q(E+INtu>twz9ZgT*aaDzTzLgxjACDJP)mR9x^Rau(yI`dR(zi=mlqd(j%vj8*Dr ze{u;VK0UHNC*t|p5GkXe_Iv-!ndQ31>X$=^a@yZc?V$W{XjceC0Puuw)uaMyVhuOl zwW1L;L@xk&bCYfas!F`og`mL<)bD=*Zc8GQ5s=UP<}e#lmX=03T&z!$j3!Z7_P!)vDNlr>vO zS4+ToTV)550|pC6$kv{dY8rafe+YO!58!(P*!%!aEo9J(5!t?>q=fZrL_~IAAe=Bw zRi_IrFxupvoY)BmhgSSrjgfXt8d%vM&|cN5a-xe4+P6Oj$jKj84N6WGly*h+4Isb$ z0O#N2vNJ+Xwq6M&pp%8*w%chLgU`&_&cs$X6y0f!4STeQ?RKz$E`1@F%#zia(71tE z_yg(asrmUaI;j#K6~`3HKJfb&ykIX-jG(2U@SoSqysm^Pjl4#8?Bm1}>l~prUuxhR z77|ah_7W!RI-G~OfIXjq8$OZFhOa-pDct}|_Z1j@=G%L(9e({S=$|Xc4#3ObPs^kaqE-3aq&>V_CLT;@SW2(l2iCWgoNdi7)+ z&J|0a6c&|lc>ON}<5~qd26);#oVSx)qnCSD%Q#?fd~ghO}D*f)%fD9dt7 zP<&BCA(i-Y@xg_G5Kc=!kwZ|cqqDPA3WXviYxw(@7lzK_2Chd7y$1Axn;E{jO6gm= z*&sW!dHC%PdEQ7Ess&MRo4)hZUY>{)n%|yTeh!XTcwk|Nun1lPC#?ah@FOqoR_H{b z7RNRq8NDg&9>+@aI?>*rERX_n)6Cg&Ge_cc%#$2!n2@*jM6}3jyeq^fI;wLNgV;4b z#tPlgGpQzT6;HIt#u7iz<-U5as+O2Ju|Q7mlC0&Z@cd^p-*$lBQ6^8Atw61K$K+D> zf`5|6GSY*|vXTI!vO{-&U}<7;uQqM?hg^~|5`k&x3-E_;Zrr%Q#A*@Yn%v=XbNW6& z`l$gQ6w0(;=FwpCxp44_vW$$3?lhiQ;In?66J74nSAZ7E2Y2ukSlS*rC1Pu{Kgh~{ zWly6WpvpmE>+yyIH5)bX)!E?RnJ!KTMSk4r(#2Ba?wZdF(jV$w4r`*;t1ZTZ_ggR$ z`rNeHlj7Ooa@f;MYJ4_&Fiiz}?G`aUDNYY#1bo(O2Ccg9T;wA=q>XQF__HTi@MZCz zQ(DgO*PAQCWr^<>Z&1?I=r7|{>&Mt9r;L5`dt@Am25xZo^xH~ zTvxtnIp#ltrUeH(bzpNWtCc)?*T7DLN$4zKY84KAvRY@M#_bfIkfr;X+c*fCUefe}Ru<+547?xP>FcI%@^0KAwO9mitMn~uJg_yivRQ=MZ!|@t7(gFU~m#7XYinX1@OkR zh4{Aq)Y63? zCq03BgXF(r<@PSy*;*xNE|B|o;Q@+jyiI6T@Wkxkt-0GTaJXk0rYVPMg~~hyDUfjx z$Z!ypFWk7~jPPy(RaLP=Sh54B4O5M`NUdYRy$LQOpDV46qJ9qLAr$_4;a!5v$Y_O5 z3`YYIZq`PbkMg^7Mnz9&2fdDpuC+>TVD$1pOTB6+{n(5%Wm><31y>cJ!?*?3C$ZBt z>=*?8kPn$li{cjQ9as~c7&bxBaSND}cuSOcza;#mTSiDbxBzlCM+(yfk+(w%93V8$B^w$-1msr1P!w@ZDV)zg)zF+o6Yo z{Abu^)U)2)boMSLN9{$Gwrs+t5_eJ zlQ#w!kiT06^K$yHFbQ5B4fILpnufnJANUq~eZ(kZCyslpIDGmJT}f9Y6{qjkULC{h zWwF*+;2ODzTsj$&f3b18Bsr4*eW>)g{RW~U2AnTKtDW=LKVt~$Lgc=&v9SZmw(Bg9 zjP&~078I;sqfnfY{a20+B{C|4lAUKJagXI|4Cdry0z z-0e=yvQ;7hmx%et zcON{yY7iq{k;r6uTrYfPe&N3o9=iVa3H&-;w<$=*q0xSrIgkEmW5J8|T0LTSdKDRo z3TYVRNbLGVkjOilb!#1{5ao_13vh+57aUVaFz8-8rzlGBA)%w^f+$uLAYh9FdfA#w zqXK9^@`}*B&2W5UE)}8DaTZ9{uo_Iri^ne}OP=r%?7rM|G{^#9iy4w<q%yTKJP+DpGSNCb78_gb~3&2W$l;{0NGj=dcOHs7)@*`e4> z26Y2WJC=1zKf*U(0c*H@@DGr^IQP)Z{zk9|&>P161ci1jmW|@X^X;)g{>DZ%zW0^Djt5#y>_OrqA2cKMd@{uK2nhuC2D#k#5rbaISUEnI9uKULQ z`Dv)V8J9&r8#uXZA`Z}5snH$Tx^7QDyvI0dQuHMs(T^rWYKmkC*Oq}DUCnpHS}-_j zy8n!0SCiYO4WF6KaYE*ax)rqRN!pRFpIiI`Z3OK?XfV~M-ov}(s#WZh$ky%ow!4nz z1Xx2m+;d`J#yorqr?*2km99Cyol0kG#pP~yt_^nYEW-C*$U=%a4inZ)-?@#VRew(X z1%M4BClIFn@z*`8dpsOwqJGFUuaig^1&^a!^Fhb9u@m!-3oJ%!CcFHiOlfee>Yq2k ze9YQH8t-og(9dg^SwG!u!SfZ(K=*?yqe1c~i+?lc-cn!o=VT@*LO`>80_vXaf;|Q4 zn-QXTR84e?1HwuNG%Rc|(JI|7IBz@LTNO8U+0^73rOklU-VBl-H<9sjlXpX^fI8ZN2yc_JlJEb(GH!!N6_*P0iw1W=D)%V987b$>13;AyO%d}Kzp9<%;d{=n%g zURw2f%UV2T#Z!M{e1ckKyAEAbNs&k63w9C;Id0d z$>ITF`matBwNjcqxXqZs#xa!102Tqoa5C~jT3SA8qxX9}Qi;f!&YZJT_g|Tfn z-$^C{|Ffyj+b@GDd~qV?CsFqBO{_C$-moPLMrly`G+VF8Xv_h}AyuCy&Ev$cGY+Xq z^XAu7zFPRNU%zhfzQ4X3_I-*QZ2SHtY(LQ6OBYL!T$Hzs17XXyf;>im+J<5=E-fyj zn5T%Ui09649ZC~tRI0EYi(m@asiLP>1*K<$Ui<-vaD$=BZb5|X%{DWX^Eco2kmGdO zM6tL1aATD{{`2rz@!O}tM}%vJqOjTh?M=@{rDlrns^S{@HTBb!E(e+Ak3HPRK3!GF zzH(B)Bg=z}U*GU;61BwKc=WK>tR4}-iRIJ!|$8ZRkZ}uqLxby?z%i3sLz$-K)+tT6;bI8G9i5!%DOxgO&&lvP?LrW(rQDy z#vs?}Hj53Q#~*^fa3rM|Yyl|3<@zYN&G zF6j2Et`gJ3(Q&D)~!IWn&(F_HPDb1S6Z8@4 zTHgxdUaXa*Mb`fjhhrj0r<2ZGKEr6m)|t%kKKelv5iQk@QB%2UTg|Ox!T0%5w;6bh zXXeF?xpLahoYy*Dq!|SJyBmOq`I_@p;5xwpdVkCk;=R=f+CEN$66@}(76*6@L)3-T zQc`LGOCHikJEK@`5<(G z>OH!WYZ3^lXs5;z3-^=#kNPA75{j!)y>?^~fOk!hlrk`@u` zmK@F~T!M9&DyUdf0``SatpRSt6VkhG2FEe&pouI-=a{=_9fjh~!e1*HPL|Va!pYc} zk{p!tV*{a5bi!p=la1T-`D%}6a0h)Dit3r4E)Ail2pb+hMX$aMeG?CWH=|(ZKFC$% zCq3>srhHV>K1wRy;vlezmW%{wF1)CP`mr#T;=*|Gndtr zou}x({Uu+^x4#IFqbpp-J=oiwDSW(a4PgJ*FmxodZn*??XJK2-Jb!Nl??3zX@=iPg zB;hNNBK{ONs)btWR=$92GQNdt9K{pse8?;TeMnTIUsOM}LpZaU$&-gRBxVE(L^B{PDoxjl+ul;VJ_VqAYLA^39-YeCXD_irV z?{bY7lKY0kUi0R>y}4ja*2zfOzSi#RQyCGW(|lNa?NszahXE2~-rQ*WtaI8PnEpIV zYTblRPe;&ksXHlaNQIFoBt(Cv2@99k*x>iy#IdEf>fQuYTmp8^=dnaO0XG7<;`KNY>Y~TY-XgY5jo#{E})(C zk+E&w@<%C9+f8eF@M`EfIdOfsQeVMoyVll~?0#b1uzEL)&zbuHIk~;7U56&`b;*V$ zubEjd`4Z)2h0cIIlygIcnUR`f%zY31)`jc<^Go>()uv{?H;xHz8hG%2-ebrm-Nz@f zw+;9dD=~FoXKS!aB9`pXM?n`#y3Z8nV5{?=Af8e+r}*8ETA>@}%v4SpcDDHVYMdKu zxW^X)&qG3i#RvVQ{8yb2z!fTF7k}8|ZlZ5xqD|CiKfrP~0=WEgBIClhsi^6es%!3P z%-H)6A_fc@D6rDF8=IP<#61{D&to0l##mhE(~m6QF@NAy_xfE7m|eb@TktJ}#GH-t zWyCjZO|v$S0T+?+aZXnwqdG!95~q=%%DPhWUz&=7K;zq#;!5+*4d*R=bC zv!`!e8jeV&;sDKh38kQP1gzygGk03?Q;WOr^!I`v*S+V$Px(eA>N)L{Q+}F3UBp9* zarZAjB9s#%g!Q(4>|(3xOw%WO*IqxZue}4`b_D)zLMpq-WFb#}zXK8aczI*G8p+30`Z)%;B<}BwPQ-o8(`K^{=C?&%oQuuW_6QYI%vm zQQR*jt?ig7?`ykEexIjqdE;Zg3yFC&T_YwF>Ez-it-TKJy?eym4!vr!cAbi*?xV4A zVYMFkdQnNgMK7^k@fP6M_hJ4>q}X^{ZB-ZRH+Gk|u_nv&IPI%n0mUppUEyHHVd~V( z8-mrbBXl3No{IqLW>7xiT&DhZ<*`RTW+PI_i{)?o!Qhf&OYl%O{t2y~`DUPwVSULp zL-Ez&vSe0otoe*nv7*A{0E)JZBlRV668*dFUV%aae7)m1CLk{rD;z7uvmq59 zyz5>UUxyIHU1eF(>hRa%#daCtv|9MK)vOux&3OSL-5KjUFTB5_VlKl-RuSi;-Pv6p z=R-51n5$+uB!Cb@D?t2+nLkYJ*|K@iEp{besXOf9{WR;>D``e}g7ves-G}W}`ad7- zc}4wFXhJ95`|e%14LrGmmiiB3lx)Ici7*dk!;bxt_{E5lHx(Xe;_0Lp2MOL@S11OY zs2k>VH_vR?dAQAEMsV8FBYExdn-bp#c6kZyQT=)&WyVe<+s2UBj_NeixES+NV_>yr!S7GPE@rG&Hx4lg zOzHk5wXVi%wGhPg{>lJ9M1k#5GTuds-Vp$V`343mUb}WpEnhZ0K*f~(5#!}(4$C?J zia%}8NRSb5pEnQ6C|@?*pQ#d0a(M?K(Aj(0-}X6N`;(qJuQH*O!m&eiA}S`v6n?MS z2qqv_q=atF$~N8pg#3WUWQYw#B>n!Fa$xjyYoDO?Yng)V0O4yvzyX7TZk$$`jB(%* z5w%(;u5|J`xDQ*2WWHt!WjbYdpJi@x)hGDEv0Ej>8}q*mxjA7T(>J~Jw|%^Sb?8OC zBl9yG4hBpwN`z#g-;Y+WxZz8Yt7gVd$^2N=zysIWa^LoF*X*vdrR6Y+;wrwpF<`7Jj&{Exg!mC=d>w;llq{zIv0|cq}QA%#FV5+lFW_tIn6ij?przYy;Zbyt!8ADmO%SxtYX@iwmr)8cuwlgt%q+b6TXF@eYZu;DRbW7Q8^~nxjyPw zc;8#-$>RM7*o`hcD_a0yamLO=y@GXM&SO>c#fy89Kthl9ikyRyJ&cCfH%L1Jc7%VZ zlw->qlpK}NGU6JzV)fT5xhvn>u(*Ol@)8Octg1j=p-_5vhk}#VHp+97=c~)mkj3id ziDrQRv|7}AAC*v_e31`k%4G8LdX`8}mlbU|_T50#Q@dSEK_N_;`G9zi=vK_rAowO2eY3phUgo{)%wgr63h{m z`Agr#(&-HUHWls>G#8Q(c|5I~^n_$!#vb$Y_g4iTra?QZKf2ap>!Jj%x$j-5yE^rq z7$;F+YSNI^3dJs2MR0k7+!QaE0qu;5ckEbU=EKA9gHJsx?qMILv#;VK9zI81?rHn`Oveu#QIlJQ zS8u7KVwN>)m-Vbq&ZYS~Hx9@48OH3!f3;a0pY%`>Dl3P636^Ljpy(X=hkJ9qn=*AJ zL34(6>VGJ4Hhq^Cw)|=PjeL6ltG93KAr8mK6wlE0Stl&;#d0+849=ltL~bJZ^FdpsunNc;kBi73BAlvaXC z+a(%##Z~Rg`Myuzkx)qlVanZj-~Id^8oWphJKwitC1?6X6bD~CiZm2s|It>ta;*ov zp2A!AL2f8XI`hJ2uf*EtxKn~Y7>Rtc(QK+CKK0dZ;{m!uA8EVn8&QC{HH!eDpCc`{ zcmGx~*pG2>DNm`;V;R)ors{0y)xJ*l3iDH${P_F`0viiEdkK||!l$!WYswiT4`0x+ zF7Za?u5Cg4fz+p?wPXO?BIvxnNBLq@@ARwcv^(qkjuC})fAabT;edNTnSI!ch)M5f zd_i)GrVDPNug<^!dv`2Kk$AQYyQKH z(%qZ$0u#X`G+bPxM%MRm7E?OMp+(GP?EH%N@!^UUOh;eQWH6mL{t0=?eH`zdks*@J zN}R&;#4yuz`|c7!te|I7c$Dhv-%vHOI>8!{{d8o|wOT$V;kfJceN{|*{vmPsr9>~X zc0fqGp|-yW7Rc-tvjcBQ4RGE|%%%kJJz65KCC*{|`Jq;#cN51}V0*T4;&hj_5*Byy z@6`8de;&Ta23EXGOiWZXG`_heY_7AvzQi7Wbc$Wzw6GqxXr*(K$Pt$K4P{po;k-_$ z;YB)L^;iA~?k&c`Bhbxov*L~y7{{j$e3?SaGzy@{z-I-@O~DB!}{ zOmpN&fPxs=fcd>xwR=ivzX71l0M((nTeH_$hKI_GiCBz>d(|FbT)UQ-UwimV&EkdX zFv8PU0o1kpRRp7Z?i(A@UD5^At>3@`idt4!adv{f-uAoZ_a$Q3>cgJm-n(>dxoZMi zA0t$6mg;qRlo<`Z1(etEa0;vZ2^cxB0DHiTsZOKDTe0P-;kN=jKJrHBf7`a9ky2!Wubo90JOLSVn8 ze2&tS`JDtw9PaHKs@+nWpNJr{)7H_^=&!5Rh$bDR)8~KTInzVV^yw2)UnUAWuoV>u*`#B)z5l-9cSOBw=_QGiz#^`*m-D8gjE>!!&SA^K*-0(4@+A1 z=TE=Q9!cKzn`)o#vRD)`@-I|e6u89C{IXHn_n^()12P)wHa|tC#*|gAJCW}g3r1Lf+ zyod+Ji>%sEpkB-NNmpj$SZ}tfc+|7$_})Sx`QlPdO`)X;Q@4sgnv>rKsL9^&3_$XdeXh2T2YPonghW35_#t=*Zdf0X_c?{%njlL_?Gi@w)GRAm`Lb_TS2JhA42TFgKfSnai_eoc2q98mwo7z{oR#m9od@ZS z9ipnBN}F}D(zS<`U3M=D=dQWOZ{Ck=nz+uEb>l=k)arRbs&1OBjSfDYYABzU_qK(R z8mcKTG_=Um!_#B&fA9{Fb>w;a=cA&xz&ij1b8>81>=RW40DD5$_uA z=<$yZ@!rC9k~d!vp8Zyf;<6`s70DE?({UJddRAqbQQanb8yHUF(Rm#C@XJNh&R#q(m*AgsGYF^cwxh>U=n6liWzE|7}6!@eH|x zjFX^k)Vol&<4;cg(l5A$&670}25&OR)Qnih>LE^Qo%F%08^758_Wa0s%I!q>#DoOP zS3~4^5k= z=Hw2Yy)Ai9sf&mjKdm&mQU<;kYF7%d3w!jUR?vDK_g{aQcBvG@L(1uLCh3P6H|3mT z?aZhOi;EtnsFN!C?yPQf1nE&__GvmUorS50wX_(Zc|)M^`UKzkdN_s1h`J17dcI_aoM?FDE{%XB8x%wNalFYeAr!@p=ZqVQ+>#S^SevI-i_kbY7Gt zCs-(UX1)5b&=+C7tzva+$4^82+*?)60WnHvqc>R?hXab=ScFXPp<}-#tQ2g=#xqLE zxD;UWL_sF%m-9rc$&6EQe1BsG$|QeGY7cbqbuU%;r#P0t2BN&4e$Ld-CVg|#kj>wSC zd+%tQb03b_$$W_jO$ywAQpQ2ld`-_>DAThma2fA{$mrH}@@;&Du%@=diSSm?ojT0I`9_{suWpO85LiDQI@D2 z{ASxU`Q@h-kxyB##Y@*K#vm*4l3JoKz)Xf48_j~QaC4wUUlzFl7-$`;;d)|iQn!?q zy`TT(IG_iJEIi&PY#vf7ld9h+aBhYb$A!budjGirZdebQ{9+gWC;w={XW?aZXZ-2{ z^aNV=(s?n<;XYFmv^HJ%M&6pHkWs7lxSXBXYLtEc;$`&VAX(_{`|-K>@ozy89J4^Ut%n#xc0@~M~sg9A;(niOv_Q#5MkaS2F z5qiw*@aOkHSCHt&#zwV~En{aA*7K;rD*>p80dLVWgk_fRS?nHL9pG7K_RK3NMzd} zI=3(Yp(zUpB1Q`S!+8Lv4Y6_c=r@BQ?nP{)=SLAZ-SF&R{$sHew2|ouWH~)ZFVnbC zbc3+?`R{IAyq$D*NoL|8&-QCG^}jc}w?$|^E`yr*5!2b>a(=`JDL`IrgX2UdU+a-^ zpR1e0hWQ6&)(f5WpxVS9FFZ}R9H|>u2zE*p(nRhfLujhu$>$k>81$?S=9B4zEJC8X z<7#Q`3Wz=oA>)YS(cL`KE|C&kM!9)3m|sO%2*;tPH+<_2GQo1bQCjM#`67+13ZG8p zUGqIle=K5-UWlD_E}>&zPcyOxC60Hai;9ZXaAqeEDG)e0k#P8b#JL>mBZf#mSEUb# z=d)XMYF|5P6!VNac+aXCT>EpB%LX(Y?K_3}(-^7npiUKH+uC!CF&P8uL2+Xg7;{LU z_=wY^&ljI#*sWHr*D3(B($sJKit#!U$prGAel=ZJ){WIh=3#Xl0XuXAM68TJ|NR*# z5*|Szf(b1+DVzfMP}^=_YPX46-uoIM6I$qf?O>ah1L&7Q5Bl}zc9S!iMSQre*l{3 zc8tPfw{Y111bsy>0M)Hwl@h%-$owK*O|PW#DUeYphx)W#kSK5>jewS$nLh(i)L#|( zDGppUU)-9}K|pV}$kPsdJKRmce*|cPr#5DyqwAu$kPB zZxcoYviy;Y8;J2;>!-}@jg^`E4HM5m8r6a)FX0!cI4nepES=iGfjqKOuCmEhH>*eE z$y?J8?lGyD#b2*|2H2+|sLBo$bw731wSMD=domPM6pi~$#-vKt z3*TBQ>a#1>X>vzPH@0T2x!l*FfKyAmRHvR%Gj!@xT zZ&-V@hb?kmFhXQfTQ9F;NVij?CF1&Hq~40Sg%%7_I;om%$IigbI9{~Llw##Xa}Oo6 z+U^o|jAr^2YQH@b$b0zJgBvoXB8MFV90`3#IoCx{Kfp{gk}oq-j%P@*rqN3gn8zrZ zm8)^sF#^oH%;~`5K0?ZWd?O^PV|XK}oFU6)u+p2m@7* zM0-g7Dv%+lUU*5~7bBBPX*~}Uu0j#lH$F>e!PBQFgIa+GfxX4yaZHBlPEXA@#u<8< z?<9OGDQGOkFJ#ilXW89^voSH2z9{OBi1MfRf{$x0XV;hu-OVmamGd+N+`RHAk(qR9HE2v0w4?Vy11~>0vg3W(N$y{rmjyZzDL}8l)u1OHm1o&n zo*3udA^znu_z}_2jRy?ep1-v$4xW8^A^aUrh%aJ|v3d42X@QZN#urul92BKad~5+x zFKF~5K$q>N4%z{9bwrZ)4WPtVIXB6AZN?pH5^xG z`R{8#sDVzBUHGy?a9s5X)UAR+ddAiUh}T1)oNWvgoBl#AVVt!X*nYbpzB()`$*m34 zi@aCyJY&3PFp_!j>~ycrzXOqo8RvP|as#Dl72>vr7HjmXSdl88MN3d_6VZDO+yy>le_y?w& z;8M|Zb>N$9xRRF=?7EV}t@~5MbxnerFUiEsP)A!jXzr5ZTgUYz;vi$|LS%_(KD zmgw<}(V%AK47Q{n%7Qo<_Z$`yNn)r~%X0cMWt%TO!>Ig`l<*r*QSD7LiDORuALwWu zsokH(bOd#yRm5(53%tmh2`rmr^r8~=BvREBc-Ib)hKe+o$sfSLquGDfDlJT`J)ma! zX$-olN#yRr_AmbsN^O05FhA0#_?o!H=u4ljmh*(t5luf2n%i_9P%rvR4S|81>U&1A z^g`qf5ND{OpJ=^c468Kg%R2b<0mxYBbeTRHt`gw{lAtnn@<>9YnGU`-X((lVCUBPQ zYy!POO<)Kt&3ru-n&YFF5t?)=B?aJhxgt1YJ*e?$6}J5!Xe%xTWX*M5%cW%A{sMZ=L_)lzTw|2ls?AT~W zz-}9Lbp=e$VLgftkzvsNi^#wAr@bPo0%C48clJSZ;C)jdArxD7a1WSzS3@JwX;lt? zWJ988$Rm6FJeP9oo+(H)ws*mYjzFz&lGVJV8sGwZ$La$u<9Ub`svRp0$d@9r7pHEJ z>`gldDlG@9#Z_ggg?bbqx1oWuG0@oo%fudKab?nIQYF7WUn0 zQ7b*+*dbUPN>f!3Lu?Yw9vANM$-bz_aexfW;Oe1NR*BCrj9Ym7FsjH`CJ{AK@aFsR z`%>V3^Bd}5NGx(LUVc46y|R?P{shM5Rlx}`{ySN(P~%{28kpjjuJrl9h-pI6qDb;W zLWYbY6B==Ye*$nDdtS?n7rZ-y$yFg{Z3zi6vc<`S|ZihvfvksubOTgTDWSx$8J_Vf8xoh-hoqyx13- zFTp>F)*XMSxIRSFBXL+D%lFGbeX7i(CB;AJ#6c^>_3vp9LZYf-Yb|Mo{8RP0-?4_k zzbSVH?LL3i?v67o`pmH%e231 zwoDEF5646mc0RrDBwWBAqr zId@5Z{`#q#yeD7njDc#54B-A1r)VfzfkM$yiwg3~No(>Gav#zuej?Wnrn%Y$tr+)l zigg4k>l66=F5dXr<_d`BkuIoW{h2w;SvsO77a?S2g3f?C_XI7C!A2?10QMz=Tz|zr zeXh9(tsJRePxcky)~M zGF3(PeXrD$_M|gFS-qCWdcNoT^9GZJM8OHwt;PK`|3au=9-apDg)LOkD;0cu{e?Ky z9&Z#gwenxPa`F8x$Rcg0h8+jfpAk10zkNbnHVz;InK0Ka=pt3(}tY`(e_xP6sKq z!dz4B{$|kv!8;G&tLVD6(}XClW`$(5X=+DM-@&hlft6t)v3@K&P)VR+R}XgLeG`oC5R z_i6WrP+fXrOO71(1$n|^pA-&PE{ss>mc$vqrQ4@)fOY{p)qVWB`Qg}0sj_enG3EC7 zk70?GiVgzHpinzkck*VEVtntThU-J?zMirL9iO7k5~EdFG^3*DLyeWvNe;3O!$v8v zK=qH&;;gRsetM+MqVJeAa?5#uvGwsW{Zi3=F>53&Y;kUU_UXmg6t0~Nfk5gEtw?eu z>5>WxMWx(3CUF6wL3Mc^Dsw+1?>m_3G8b0PcKGVGbcY*+naW_Un`V~1cYYc-lx9e9 ze;S+$;tJjzOOcujy~54H+I4<;XuvDzBHK^3N{Ow%=4aIOG~N!XRFKT>+2J; z?+4r73UMwPNq*j>94Mh$?1q8achni;Ah#tpB$Z`-(*_NNGU_Yc(>xUqfWo$U%jdt^&ZXZBA+UqX_A#B)keV!cq$-PK9p%yF;9iqKT^HF z((~0_UXD9{`GYzVnU6kHe$PB|>)zb4)4vF@Ybe*!bUKI3FM8QWrIovxrxflG+PXE6 zO?b$L%+p;PzX0!bzptwIwxN8h`8T%<<6k6q7~x4NHr$amBnln^on0@k@yLNGc*xbvDft!5!2@iF@QNB3ScBOG0ramg^|sLBf(-M_4743b4oA1 zj*QpynaV!rkNCvzhKsbt|I#z}#oXm_`igY6A%3kR?w`bkZ%ToNj*gCem|4F&WinP7 zDBB*kKHMDdVs;jK$?o{k6|IF{l%*pY*xOT#N7#?^oj5cjOcPbUs+ar$ z3%jY!0f&UR`Vz93cSgtaGFK~?^U5sxmJ%9Qiu#F-y7zNB#LR5)f zLhvXyWL!R`W4+Y7aezW?;LT3TX%K@7_uq*-@J=n5!Sm6L^lWIT7Dpj&wl6C-;qRsj zqnu$IxK-aEB>E*`meq+XhtR=mtk5|RO1pV!7f`a z^YaNr^pSB6m7r~U1RzSTT&4^^CW^G`s>B6U(DPq$hnuYoo==K_i8TnlUDE_~NOX;W zLP+-GdY^p)8h4J14HPF0fgx0ni{g8eG%=5)hvN`|Co~!XvSZUNN;w3*Oder_b<0TV zpF>+(ZaDB8xa#+cUm-%iRnYgb0%ZC!kO+RLHKY9`K^PFeW1of10b`22W zzpFdhji%G69t>l6Z2~Qa{)m}%*_r_#y`lBV?C}LDwHq-PQKTOrCV2-V)@olrc7mM6 zX3`yf7$U*x9su_BKzuKw4)l=AUdsWB)Qu!I>$fbHbITn%mtZ&U+MxKRlCP&h?)%-f z$GYbYz+ei=FR+k(?8*LkZT%3KLHdZu7?K_D0!{%My|Zu2s8PtFMWB#X05(ezug#o; z$jN2{$-K#2h?Ps=o;V7Z0LkfF$od|z!+5mgBtF}2`9K-~l+q^EA)&MiPUerlwyc2Q zkX5G_P#F186CEo~@5Pdglnb6d$%Eedq2fd&Z$WZ#+oOQKXU>OK(JwU@s#? zs_?ay6Xa*Rb!R6X>5Bms26Au#4AwJ9cRsge7T^T@hLv`8%Sh5m`nf0-nl`kc80#~H z9P=Y+K+xh`De$x%z%;}qvFhZn<#|P5eeJsWzwQdb!UG|9YU?rCJ%1?xbc{hYeO&0d zwb1t9MuEDQ@p{!d55wDR&M{Ci*+lk8CX`yo<&Orn0^39DA8xn|;Jq`LsI9TBl3mRJ zYx=`TmcZP4qeu5>Z#}-tIUl4RY#Q+t2kFX3r&}e(#kXvxlrAQGrP^5!RBf7}fb<%- zn%NLaQGe+Rg+KTglf-gd)Il9uJU0`=pn3MyezsL5L)Ppo4*v{l8nKy;?3W9&AW zC2}JYE>?(#)0YBZaD{HM*Z30HhJEN<_q1Jf+TDG1tb zL7tl~w^9w#7UR~YAdb=x?*O(Q7bk}Q5*P?TvFmursGW*rL;~;JXEjk{1SEKOd#SHA zkQr1+Lnp4o$XGE(G?Xv;f8k4D>)dYTQ4t8%)u_wzVv`xJ(1PvzlNy67ND20eLQUFL z5MbyUgsdpH(evZ)=D`3onPPxu zF4O6JAhwBc2D4ZOJrW7pwAxb8bkw8M7V!qLmk?2+Cp;LUI# zVy7!G-LDV`(hfSo9!iB&TUmhdoO?15TAXrE2DhLDG-s}b9mwgAHgVNBrrzInvR9dh z%w~SkljHO^whBbafcGdB?a)L(fNkZ(?s%z29VOWXU5q@Nez!PpaskE zirlshjR?M&c5ITio+=y`w^2dFY`b|dF7@NTQWiufu}eTVY?uu7km^!Dc}f>ULVbPh zy;yjF^S=BI(IK%nO)>x*aYu{JV9;ceRxm}sEj?LxJ=@X@DM}7SlLs+4&CJCSJYNml zqGjCGXuA3*g^@(#kCo!KSkTL&riu!vET%kcgv zi`(Z5xbz#TJm9nm%64V~a!$xkqD_DxjhG*@iN>H$e!8dF5H@82jDKt3Ib0xr9e1VA zUuKU3k4>?hvoC;SA;I%)S*B`Y<>aE}FB^_RI{CCWZ1)Ls`+MbUIK{#Lrb2CW2V%zu(;jyx64+ma0dBO{zeHX%J8*jHEyQM|%4HV$G5^VoSZy z{vWouVo)A5zBWDrVi_K`Ixw>D+Bn7TLXdfXvg`-V>nN#St5xnn*XA>*>?BYW3N~ZB z65rhq_MJ249A0?i34!alr!e|@k?CUPB~PU6#Wy}ubDT7(zJZH4%Kuu){`obCkP_b0 z{;JQ6x#v2}&GK{QV~BIaP0WzxPfMuxbt z&wV>SIM*a7_~6iqeVx7+!*R0RV+_@wdL^AVW=s2?wwPc|eMU!*xR(bO_l-3!pp<(| zb)b?&!I6#+4%O`*ZC*V!u^>hEq#UGKp7iJRAINlfB(vmOa=Z&sktCVY{6E@bEYa`D)GZ)qK-;F7R1wr(`PCXRe2x z61H7HeN3m~&1K;AkWKYeM4^1W?CLY@PKUlmy2FFahb&HMi-{2)??`<8ym$#7&-(QxZ}2Es zHJhdQaJa6sZJ1+Ecn2O#I3oVYH@c=c8rL75^H<+_NRxuN< z+(7vLp=LttY}SrdX@uy{;RPtP59jyW*T7879Vsrc=phG4>dre#Hlf!LBn2mH-gQS{ zhXgxPh|t8oCPlE9YHy`Y62o(D4JmSqO!b^h|5=H<{Qv$4CKQxBMs8J?L&*Sby)Ejg z{;g{9l`gWG9H@@g-$5m0EDk#soCTag&de|V_P?=%s?SU@#xGO|&p+i8HG_q$INZyd zP1Kv(!=^y1lSE5CUyLYRil&j>O(T{B2)|3c~R8u7L~#yxh$~774GDSage&$i193y1BD7AVoQR5 zqbNFUw8iJ`kSN1U5V_-G2_O^r&lBhOP}CmW`6^PhoBAwHUkJ&&wm++W_YY*eqazf_ zJu8@zG8xh-{F~><2&rLs^c$Z*8eUrs&^?ddkO2sX^B@ICe(`aoI+q&A1)b}a`dyAU zgbl%gFou;vVmbuC5Wc^5m|hel=uJ=@%+Y*&rdOjIWB!8Cd;0D7w03rqqFbjDAeTz6 z_v8qQgw?B1A#2~}?1q%h5D;-B$=`+e{;0Z;Cq5eKHG!!*I*dA?%q~*}+Wo7I1h2O@ z78WV+>Qp0V-?_GcJV-8NlrAeR%UUWnf@@U^T1jQxv_Np#IBVu$6%qPVD?X=`V~M%i zjKDWY_>+Jqgok*PpipdLjzI)m(*6~?!6%>bZ*{r<%y-db%kBa{rp{(j2#39N0iM@QFi|@lJ1Hf7Bvq|GenK&49vjXrY|# z2Og7QSCUuV8N{?sQ42>)zm4t4vU3U`5qAuWkjP?Dw<^p%u|!f>AQ;xrh3ct106qVz zd|k-)c=D=-hVKu*aMoSbHLlyOhtio70=xum!~(@?{_M&xk7#bkGKS}G=_tGZ1D4!g z?ISiZ=Jid(A}ar5Clbb#fXMXUZ^+3IIV5I>W`b21OtE=}gNgsR0Q4@+s2E_y|mIa)qiHq`~mYQhP+aq}0=Ovc3~HxMwCha1;aHM#NdR5_P5<)A_9EsU`^ zUY9#kIm6!=1;YQSX@tMs5xqrCVOuQc08&?)e^hCo+P3nps8Y%fNik6DNq$e!;u=;w z&g+HGDPyfZBlSuI*TFd_vLFcP2t-*cppN+0@2dJsDlgv8l|2j7Yfu#24jFCne~k9c zN}vX0*+xnSGz?*MT=?r_A(*BW6l*^hIX)((O!&*Yvn)l-y%+|lwyE=d>h z+2y8EvCgY(wThy^Z1KIZFfpU*DiMT(FiVgOSxObL zMGG191Td}dW?(97XD&)qm+_Zi4fBj8@HDqFlB~LN{Pq!)588EeG-@1N-0#u#bIg7u5BTdQ07K+Y zY#&r+7oT=O$f{iitc&5nLM`qHSD5bQXeNHfO3`I2v9lL2k=wTE;7au^bLIs?4w;ac zCf3Dm!wk>8o(KcQn$Ok*3d>l+>?U}-Ht6u%i{a27)cJXQ-B{QsVUWV7u5uMma!w}F zA=Bp0!V)4lH&o=63%%;9Xz8J3QUGNF9YcN47~sLOnE=j1uSOuo&R(F4m1Bi!B5$%E zK3ehvFUlbdM2>?>)|O9OZsCU_<>(tl0jxLAJQv=p5_qE%TLs0xT=p=AOyp|XBgqkj zr*h<|F1IvIN=Mh;+?Oh8Ye>=dqjT)FGpjn+l1XW8IK9SqR6jIpE#-fP!&>=Ow%=>* zuHWtlgIQ1(;34lJ9etr)={0gEODa*I2`#?TU};+779C|u2X(fP?FmS^7(u#=la`R- zgJ&dWEfRM6d&gDYlZow?Xeq4}QyuzYZWmewlds@~o#!~*y<*|?tL_vh>cY19zAWlz z!aI(eGS~^_X{0IK{4+uuoT3>gjct7xbLtWlgu%k0?26XFtTOaGrb;^t(QqxNwfr zSO`*vA*0j=I==!w?9sp9fZ4H7m4%@xgkkt{ahh>czM*630c-W7ysc-8eM87tjBoX~ zFO1*C^RrA7F-4^bh^(2V!m+fSgmm5rnka5xvrf`4%sA=gV+>ke&Y&r2?w7V~@)x{EoVj!; zMql$aznmp`bzHpt?%UM?;D7xSqKfKzt)*@EtQ+q2uSxCUsrwsLQuRCrXTdeBxHOls zCbU#ZUP;wu(GbOqfubQNm;d=#*cH7}v)fiN99KOhS#S8OzGWN#S$dJfee4RM*8Yh) zgWgQem{WW_v#Zq1FU}@dsHkwM`zAa^e$mUcQ|5AR+S1_~Ru-{+Sxs59!Fbu&+TcSm z`sl>O2^>KreV%Oa0%!WBWAnO#&Os?}QX}VYsx3QU8$JmqHdWQ~**lrZWxa_eT3S6C z6yiLPz<;SDN_J9qV)Ya2_}@xvs!9aQb4yuBk|yBAkjQj=VS^;bJCx>`JTH*(Mc5Tf z6@srP7gN;GRQhTpFVI+9UdzPyi@TC?`(2;1{qB%%O_)5_((eRH^2N7I@>yC>te520 zpCy{GA&_XfFmI|PLCz9=qH+H-uMt%slQ6u?zSz8!lz}ORrTnVZ*Z1OblAQYcl1~oZ zkp_19Cp0ER`MV+yVATlt!ZjQ+ZR4eLZ{QWY=ql0Q3N!XDDZ#U8-Z5Uyuj_AezR&Jz zBF!j7NWAnpwT=Oe@qwrKA!o0w087TMM2eg%&V$9XSe`v-(lgoWMDt-$FU_#JkWVic zTqpihs+u}cX2~)w|i?p69+R1Vz@GZ|R{Bd-;(I5TM z!#^uB?PX2Oub;KDQW7}0ox1W;>V%PR6qRsarFM6BGX>BD+dkZBj`RQTAnugJ{~6*o zuDLT*#BO<)BZ%m2Y|p}4RUF2b71?~Z2KwXn0mCivXtdlRR)aRB^P+IhpM}wiVqLjR zPRlI!j}2~yUmM83%=j<)TCb0f`F)s|6J@JSbqMeqmCf4jr;iFRUDFI{3dC-jrgf-G zfkSd2GKRJpU75OoZTlzaB{u^pUNE855V6=@?JR#H*5C2HnF8}dPZ+-_`gX4?xELAI zEQ?sh_^g;@J*LF-45aK^{}M8zO0)dy=jrc2S6W=`cM^~h3eVvN(QC~0LJ({Ou-Y{h zw3U@&F2XUFBVkX7g%-Qpc@4j-h6!4+>sG;`P@xx~ij|DVx zPPDFT=il56W6%J>LB=mMM!OtYVglvWXM!^n_j3B?{c}v@ADFy_Zs?kXG^DoYkN@Lh zCi3h5FM#aGJ}s(&H)cD|IuF8whuPR!Y+H zN~3T*77^MiBR1YHsIQM0`iFWgRkAQjs5&;Drw_I8UD;#jODHe(%x-OfxBhGAk^^=@ zaSs-=g_py&w(^yqX3je#qrIGdi#r3UWD*Je_yMfjr^YR&%t9)Sqk&l`gK>~ zS3>eO9W6p=<{qw#@kfh$MbAeUo;~CB~qV{(E@b1Tfwy-7CHBDO1fF$s!lfmZzV%-(o*uw~r(1+azR zgbEcrK1A}~1HJD}?bpw+e}3GlnC3z8iJoUi@N%MO#=kcT*5a{{z5^JRqXT+kn1=n^ zZ=;#44chE2E%&u%X*ieL9z97<QC3#IJ`+3@c!0=Ig>xb276@g zeZIMDnR)%pBx}ds$qnlsSMLW|2}7gQRukQF+r_(bpAa2_1ZdvhBOsE_>~F0E~F;IXSaDdtz^ z$(8rqil?shfj}}O-(00 zV@~itXL{>Q|Anldsf2-^=WNA)Z(~>dj}xszPRZ}`2?OGh*V@MUdT;V2o!UoQL+O^| zYo;bT%Ji-6)10HmklS`%apU9h{X!S=t3Yq#!N@YNTUiemKs|! z8XsBAGS7`{uNP>GA0D+w@9=Ed7!Q{WVRNK_?lWu42cAnGhVWMN_w*|^h@bv*9R7^Q zoiNo3e{#lY)5DqfDS!R+{g(W2MbXZ}Q3|p3iqGz!nLr=|RR3A^;fenDoiO}WbVzdO zzD;IzG_g!}9+q=fU9M^!Px48;>$AZn`D~+(rL)4Kk?>;eR+sX80{Vhi(dC0L7{+7m z$ENmqj_w=1c6l#O!G`jqviu=w%`^D^l3I3}9j<^QoFPzA2t?u;cDM+alxfA{I^>3&JV8*)f~)x!n9R65ENn zc%s4b@YQNVJVV>(l#V&`HRCn|b<6uUT?0?TL&nGm3wgqSkq*zbl(nn}>D38J94)mn ztOP9Q7v+_!X(fB}8qceDKbX?BWP;l$hftAb2+aO(F!dD&sqG$xpN)GX3T*(>1Ymzy zQs&m)mtDUfBdjQ?!7nuGkL+}9&g(hH3!0C4&Z7Xt)~cpG{NEc-FmC^DnE&&H;{{Rq zAcrpRE6%^!sKXihIKmhZ>H38<{iFjD#0X%?m9u(D-uNeFf9zv2#V~6J#q=@SwTj4%~ kPynUax^fX;2ao?Z#4&CWC%nPAxG!H0od7@Mzvle@Z$JDi&j0`b literal 0 HcmV?d00001 diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/complete-system_platform-designer.png b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/complete-system_platform-designer.png new file mode 100755 index 0000000000000000000000000000000000000000..9da2422c4364902cac6cc220603904c8829ea7c4 GIT binary patch literal 61393 zcmb5WbySpL_clt0q#%McNOwr5AdR$ihlq4{w{#9jBi)@tOLuoOAl+T(LErcHecxH% zT4$XL4C|EftC>S^-1mHJJZ@h$n zf1vG^Bt)RfMhJI-2XLkzKYxURs*FN;Fn|XhBil%7*h4{~cfI_9?zJv3hJrf&D)s53 zii_?+8e;0yCxRY5HxPMCh~EcA#gA^-im&6*l^99I7(zm5)`BM(-q0h3Wc$#N($IAgfH=>dr5h zogx~s7ua-4mnKH3r$|a0_fOG&Ade&c@Z=xtDDm=6-oDGx3z~_4{tTkha{uSUQbTd% zKsr6_q626z?*Y8Zw9GA)N$;ymp|)0s5l#}7aQ)b%{TC3RZ0K8n4&P6 z*YUel3TJ7Ke1@)fC9%~5e$ln${!WPstNwB;(cJ7|hXA9fF~Yxv#lFIn-krz|LsQ47 zclqI2tlM}`psqMOaWsxwLOFV|Kd+2{Mq*XkZ88z?dIk%bnxyrv>Pe9O$(uU6n_`eMiR!zLpRt{m5d{7yWT0Lx>r~td|&u z%RG=P19H$R@Lk5FPqk{f{z!kPN$kEMX1+_85k>NqG4x=;PF;FQvt2U`<*GKHGHd78 z>|LL7IohfFJhs-`p{&=*?d|PcJuO0m>5_##Li>?GRHQWUI*h?G2uRfWzbEIbO&yo@ zud0tXG5QAKpqe|%v)nd&TP$;bK<{52wzpbg9GS%#-5g)Mi#kQdIk;SfOn;<{#jH4> zjmQ_q!o|B`x$t~<9~0iFNW^U;obGWZr6Y$FE4us|2pRIPFOu#o)Z)!(7_rzyK^c76MHPfM=5*D4Z?F6)M=hsf{J57>o!P!DiTi8@M* zQaqQHk%EMtAC!;Up1mF&H!f%OKqiBU8s=)YKQIuVAI_teoL4>14(nGnS=DyuDt}!Z zudZs!sE2o0w>K@HVANu=T>6Yv7>Mr9mS+$Oddw~03$5WFk7fB6Gm2@ku2W|H4J8?} zKQ*+G-|Np%#8hzpr`(PHos$o4!O6@)(v zFcXVaORHx1{Zr;adS0|<+Owh}F=KyEVD!nR%og6k;1!SDI)y5?D}F14cxlpgqy1>J zvZG}2+7<(MM9(JBUTG_DqwI(Dd#(f;MtT0(K}S-M!PNP?Tgy%6pGWm*`;cK!`Yhy@ z-Bhx?S5sG7(_YL?0YzF9e21=$XiOY+d3iRIby4-^g1|hgY;zy z>6|+E2iosIZaF_2O}g=JBdN__S=@gH<$LmqfAx3@WjbDH5)%h6@HwsCAlU3~m^=)*AO-t%D*kqLv^n|~A|M};Km@=K_p3`qGKc4r?+j1QDXC|7R zt>1n47Twc?Drj{R#q5ucMf zYqm&{+vVQO&*CE{omj|wLp>f_!acy%B^ zu`|;Bu-qwCXEC3nQTgdG$Y@w*R@=|QbgDD@=GamK5u8l-g+>}jEVEZ?fviI zfHJ<96!r<-X?&}H^NsWaaZ&JE`Uv)|bssUoP?IOgbu^VFuh~SIzsws)P`My6OAeBO;eO`-i54=RxHTxb; z4^2{&2X~gm7K=3>LC#k54E0Njx}Z%0K@wi!g_beqItC=OiJWO}i|YK#H!vn$L1;=1 zHU_ksH3e_zR3teq7jjO`XUo1E|Nb-nYkKbKxa}F-;~!VnW|qQf3Dg2XyMsAaj%qP0V#rjXaufPEo6~{1z>1=pCtr87f7osZB1t^(J?!#Ews}3e6>2wD zmHA^cDe8b-Y~Klafj!Rpso9KrP$OVzaD(cy9=LJiY2V--hb-fu`tEFfM&_WDjvwQ7 zD!N|p3YM8J`eL%y?yKp&ve$?G^yKMBR{1Z>gko6mzyIlhOwA4+RkPVB>h0%Es$WZI zvR=1fGg_~k^KraBymHJ}IBZ4Coh3MyJo31O?4KGLU!;@|=E~qg%IG*CvyR1Eoq;G- z5Kyh1MDAWJnGqGOhY{<$$C>>qmn&Jb`BU0PCR3(iL|q?x)pS0uWg73Jdr@8Qy10v@ zxdlp9uNYYO>pyiv8r9OFT2}Uu1Vt;lFXC2>`&Rw&l*Mma3mbA|K)NH1;op@xu?N(O zDcef4^9BjD+tj%hWm~w3ush9mW`^y)Jqz~7wfQ9C5|=D5*8Sm(ldmwC2>Cd%eJf}? z^LmK4ZhlrEP`JaUyvcEYkIjqK0>fgyN^C~}G6<4~#|gKvK80Gzw1W&3LZg1Hb3WEJ zTWxJ|%bzLLqHcCRPAs`eX$<$k`^_?w;r-;=A4eT!1mWHLUk96ndkd&Y0WTcgw&y=!w;DWdA49tlCa=CpX5 zGD7(LgFbC;R%geKmY3IQIe@%dx^JG{)cAfo!fuALq{6BW8aO=cdh6M|VC$};3`im$ zo#Z^<@r*%WZxpd@np(`9hacMZ4N}}H5qT8dkuqZd1AfVs`KLwZT4XL-ZGK* ze&5>hDzzYm>R%wSMTaSR#B6W#>IsQ@O=^zxH!imcKfK-zWvUyPyImRwcII%=*K--} z+9ADDOpS~>l8~&~YcbopfX_p}E%oj?;1#V!Tk&^Bjg#eBJ5vaL(^Kz}4x62VQ2$SX z;3b_+-F`P6CnEA-%bWKP`)mU&Q)PdKbB!0b^^EV!2_S9k&;3o1urJ_G5~BDzjK?OR zA8dvw9j(IxONxz69+#)VcBTnQRZ03+w!BnlZIz#BZ*TMGl{RMha>8Z!8I$kR=*whN zf$$DDw@@exx+udYdUm_N@~trL$0}6F5+Qs~z>KfnV(TS9zP<^-%_GwVyM3dP}J_ripiLT;3A>`jEoB=6sZ;LJb+Bdql54#Omn*nLt4^|NXW;#3l6`V^L4x4&5#GbQm3@7Q`; zKaLQR5L4rMeI+`gsXibc_)A0B!|qu&g7@aKD5)@w!CYDzBC(4weD|{RDkBcPYWzqV-#!=*Z>XJiB@G7t zRUZm7nDn^iI=xi^DxCq0Tm}>#rB)Bu-I>yqRuRHZG+Vh-xpq-MBT?Z--ndT7FpVo zBuGcDT~-ZywsvU&%6J!dGO9&*6;`Y02m4eF`6wS`pAX(^a2_KTp4-?*Exr@MeQCf% zkdWWL4|za-0{3;G9@@Wp@-re!0!iNx40$;j3dqg>ST+;mId6mRGH!p?t(hsaTOY!a z%7E-G_DfD|POYFFc*^^YnPc&nykHiJv-USI-aEn{=Y&IeT+4lum2vvObov2tujMNU z3qEtju>yL$PbyxzDa;M#3Id>+>y3VZ{d-GfzmwJ19eD28L|>u* zZ;hh%|Is-b?r`h$6aBOD^*^upjY0E2KO(-7gRU@}q}rXY&aZvzg-*y# zDld4OQ!7sHd-L!a#!|?1G`%+Q?}d_vn~L0z59gy3h04^0dTp&W)~mW-M^eo!r3ArG zcl%Wq^K9mabaJN@1{z?O%9n-)|E1kATW+fLxSUp-QGLb(AjpyT>4q3R)vgbl^PjPg z*}s03;{9D%Y?Ai-)JS3hI#@*Xc1!;!D8ajehhy*OIKHC>QNi0SY#fypNv1fK{6} z!K1wWjbFX$aUQ{c*7ts*!PdxizqI}Z#qd5Lr~fTS0w|UXH8B}pcT(xD+waWgDzd@} zxa6(_UCigJau1dom1hNPcPA*{aeR-*AEOxrAY3UUxlvCz0OJl9zMzu`T6FXFx*I(` z+-eH4r@qoH0)D;Asz|+7-u5(czPsF9kBa|6a;8j&o*8`N2fmn)antoj#F%M;=q||!QU=fW&fW(3X<4^? zDN-wE5^%d1yF?@4{Ij}R6Y+KUXaDa|Y{!)RD})u-9iq=+ydA598BTDCOgcq7a|Md; zU9Xp&Yd<&p8~s8b%R96jo^3rk>?LwZCHA^8(7Bqi`nO*?Z6a*wG^%o@3KT`%n_0Cn z%IVs!SKM=5PB)b5t#mk`)XH@kf%a~~#KNDI&-2b9?cNtk)OxcgVxnA6s6SnxS*29- zi&#If_M#wne*`p@a05xwEA~`=SEY2XJ2M{Vqg3$o)BX1nfSu4qQ?j5}$n=>i`XW=- z_T*+BW$wEkUS*i{mj4a=*P$`)cx02Hb{AkDLfW36CNJbpSK4po)A=<7?15qwYt$Rr zpCc7#JXc}BX3z;Y-{#$x2Tj8jpr0Y=>F^jO^fbmR(sa03UwnFG>20l2pzv}3U8a@y zEd*C*1?*a_QlhR1I_z~WBJg2bI}UUcPD|Q!|ADdPAqxG;kEh<__RNeRkUKath~?wE ztD|N2&+hC?E}Ic_hAh2EemxmZ4i7iBmj2(cxz&*N#KPWcXc?)udNeXhYl?d1yKG9v+v^bJ^^)f*UQlqW$UheL(JE7`O&dWFNqI zY#@>4-;(^uJW~x_7f58g*r=f%hO1na{a5`Mgtc@Fb&Jlx|v3$ zVIckv*Rn%g!XDkqUQgsC3i82eN4j;UekAq+8JPEk&7?n8Ebntl-VTr9Z=_2%Uj+;u z8K6D1aEHeGaEsiF6hlW z=GVG!p-B^2;?beWUk&}_kl}Sc0y=X8qMpGxpLSQV{m5QlJJw)qbZhmpM(Uh|@})L74!>Flj{g30X}V1uNykH~ai z+YqfCuUYEJ9|r%ec%KAkk*GsRhHyGi2!@Iq$M^NBzkXhhG|<8m56%)*M{tpX3sU}w zghnE$t!ETT<_slTOe%RIZI9QHw1wXFHtw3NCpFwdo|FV{7n4U$zkwtGnrgp`-IvV8 zWgQ+>bLif;-5+-tb<*y~HN5TzA09@$CWI)0k^PqImALKU6!WwW_6_FKc@mS}RoEcz zATNWtq~z7DNabZHBy<-i1q@8M1iCMFFN^KLCBznaWr;*g=#%BvzOs^fl24RnDR_TS z?RHeESv%o4si^Oh=?}u$Wx2puT)#Xw)pNi9hah6)=|Jlq@XS6TBVUx>_wWYVG zw4U&OlMM(aivV#^sF5NZiWZWhwmx2InKP~yT!(n)l?VLsVJrEVbv#UgF8n;}h{*`HYnXkyy4ja{n-jw#-;(=zlTAE2s50Kb^&~ z|A7)owxIuc%0qblzf`+mrVaUj@C}Iq_X`L60$~16`0=0TH(*~;|9c+k6gm+5-y#EU zeEz@QHs{BWA5Cn|N7|wP6Ni8ANb#nt=W}%;l9Y?CM^#|$8<2+i)t>dwg0%lEhvL-N zX7B8imU%>UfYHzm^8=O~VbNb^UxeJx!Ayyrg~H_ow@pB9GB0q(b0Is>^b78HuF^O+ z*@AN^tKPpih>TbP?e5?@r1_p=-NkfhCyS^Ev5Q}&r=}ikGwy&Elj2l^TdyUOCU3`w z{&ImNw-4IQ#AK9~c>o2Wb<_jHgXpDP8ZRZ#KY<>e?vJbNcjO+sc{esi?`jG+18#ej zr80;Lw_3jx=4=^`>y^A;5hxY-`wc|r_~#p_prWp$KVil90!_ANB#96cwOnd6zz}{* zO#^_A6u_`<-R+lyKA26YSE`+Ej|*Fij^-OK)kutnh2K3^g*yfQF~@jsrWESXLR;%# zAISf%M3SIO$j+&mGCr7UBJzBYx$V!fj`MPETRKwCPzP$M!(9p{7K24_0A}n(N6SMk zvApKXQp#J{nLLQ8L-K$k(fjKgOh~U&4hq61EB<*e&b!9h>VLnfcK<0$sy1I~6dVLp zwr?!^SC|anzC9s`bavaPE!-0UyW=R@dtJP)HKJw<|+x&nQr8@MD4uG3|07~??Qc6M%w!BL|xIsWhD5b<=Ktv~u zrp`buk>E+a^a4mU*Sp>PTu=8`-$lrM!$3^z0W1eA)oPkG-`+Jp9rsV#*0KMFd--6X z;P#A9ZlO<*d1mIwr-*y;+I9bXEO1qg80*08c2O{tA*A=hrsDD5?PPd=e~5W6VGQUo zO{sH){4NTrCF%yHx!MYd1!0o!&3hcY5arutRshIo&WJEHZ!uGn%%lf)QRH>_lPQ|8 z?D}{)YcgMDGJ_9YfH5WGajJTpwYF&8mcV8*(3hX#^}QQY1S>9VoJo{3Jxg_XPbt?< ztWdSK>Vx&nr`G!?_o!VgzK6pqPX)OE_x-6vT-`rp_vnNdNt|;w{U#KfB#EP`0@S6% zX0$s@+F&Xj(hglKY|VPF-$n~LSPzu?>uahp};%W~Z@BDhofD?8*FvaMouwE5J zJDECIZdSWGn1BBQ6F_ZFTPqkFjq%;uMdtYFVEz3R+VAR|QMI<*i z`wP%M_#{_*$CKbPCA2;w_Vr<`fL5R9c33Spg6Lx@WmGjP90Fz2IYp1a#eNRnbt$R* zjtim-r2d0Fer>RWfF6-hp65+pmTNu<|E4T)F+g7Mxl^=hECA$w9h*Ct=(_Z_XpI>f zh5F*@-3bg*zJ5jiHEu|H%d?IEGU_OM$0V=t-Oa1~IBI$6yNg{)0L#bHDpOr1t#jFL z5Aib75N6_D0vjz_2IR-S4YpnHgnudVOc{L6Oboq9@CBw*qGj5R0NR;)0a}GX&)sSa zx5mHbc(iHq?hp+6ORDsY6@Qknvy?N`870LV2eQz za}<#-a`{M!M)il~o(KYtqleq`Cgwe8lXf1mWuTAB+;4U|oN#c0neSCjgPEe7c)FOB zuhccRzBKNgbcK??#3UJMfQxA(!?R&_zgZ71<%nT5>M0mIUZ^!E>_Cy10WN=Oiq6I* zNHi+dd~Gq_ubvFhm-hkBbAV4eQO+i0n56)I+vH@eqjJS_it)^1svrgoK)r=|C@Q(s zf?n6H7zyLOsY3jn_^A4aw1I=&1_Af0skZy#@>V(^%H#I~1&Y5e0@>zP<6+z5!VPRr zwpsv?U)fu|S=@SXdE|h8WCD|ZCUy^qNVOM7%UTF%_&KM5P!UTe8q^m|;`QfJJM{@c z4ibC~V6km{A+rez`b5l)urN^BZ@x7-|J6T(g*kJ>xw*!>Y*pshRuNqst9fF|W=omr z9yd?!_|6YE$YwJIzTl@eHORW#b${5X5H*U<=z+vfj@TfK6=vnOJ37we(+rR7`?_yu z6|zn`iy`|r>U^`+4pB_jD`2to-32L-0MQ^ZQ==cxPIz*aR97A&B+rnm`AUnybW|t) zdeu{6Fz|7>QngH-b~(dUdhlip*3m@x`MEzZjA?VV_V8B>iS(~KjJ~)iA-d6KxH*qm z_C>Go=O;d9w4*kz0uM}UKLL67q}?iPx3(KUCT5)~6<&eUBnF##k-lH{!M(LitL~ARh>%$)SdvWl}y@?FYWoYbI43(lSX2-+`emV5PvhV+G+-oy;z3y?_X zcg+EaL)D@&gHPCA1`LSr*b=#v8#{il57;JbO^e6iE)wQ}s ztGK(%ee*>>7QeoB?NESV9}u`+`gMu;3+dj#8|v3^Yq+J80tw4pOfRt2%gsDo8%a~? zR7+?u_)mQDfRujLxE@4M6AraoaTH$%G|CG-FRkngiY7+f#f&-+D&XqjdCKb!!vz6* zq+lG$*M8OWT59+wpg!n%Uw%uytBz7mBVU`Nu?7Gc%y&__o!7F<_Zvg5Aeu9FZr^?4 zOGCd&FfQH+rtmL`C{?57cp?}g@v{npF5}Jsl0+Sl?g0^Wi781I}-L8s1eT2Bxg1D=*?To9iI1WyR+d&WU_^k{2Pa#uDI5 z)n0gpUVGajl>ANobdni~NJ3hmmz|D8tg#gdvF3M$g+YT7of^>tQ)qcF(k<3oCXkC+ z$F@B^X;FDT6`~SfwR-Ry{5ZJZbk?ZcFkRlM*>7rTdlEg{-P&a$IE$6N|6T>Y9(Q?n zGsAZ_Pi@3ePAT)Yf1(>@D@}28YxwnhlcNI??NPr3rC(PqRmP_x!=|@Ylx3Gqx=}a; zHLyJ<4T~E;mbE7wGwyXF8;|(7-qJJu5=vuuFO*EPDw{zkp<@eT(u)!DTBVP2Iw-o` zSgNyJ+-|xqiNz6Oj&l~e`=tA{3dOU*>s3R4NO{Sv(ObdmQ9<}k@QRH*gWXh4riFLf zu*-1vcDD37+G*-nx*)NDQu^*`tXnfUF@%?iSA;0a9G|oawW)y&v5k(RZG+yA*>h~V-Au|^9XJS#T;pITNEp{F!0H|}$h06%8l>+h++Kg#P8+bf7|LtVzzdQ><8?MMtHZAOeWXlC*9yzrr z=o5l(f?vItXK~n?(?RUpOrdBv#WPb5I?dOy&MU+WINF!NP5yuA+>~wm)7mn(U5{Ze zO%`VuBOOESAbrU)(yN+ss|G%s9jRh1`aGU_Lw1wHlv}l}Eh@53UUm7#qX~R+w|>Y^ zSQR#Y1_r2}dZaomqD%U7Q$wy|ZIfFVlED{G_!28D*~lin%*18t;_`+xAFW#-xo^-Q zwyAKjuiwYOx$PS$h;Fsd`S^&W))Q-wSmS6PM%aK7XZ($Fc5Zw!3vg}` zPDnojQEyq!s#aLcw?@8mV^gBt>{mz?9PgHxx6LhAhU zlX~KZ?&Zw`#sHXn9xiU?=9}+}b)~hG2vfO2mk815oin=6UvF6UhOHhptszsV8xH)~ z$Av?3y^e@5=zi<|7=qD2iSPa)>8v0q4>|dw*J{ICuYcyqi)-z;zRNAi=kP~icl=jy z-r-7%yG1V|*>66Ui%o*|8(N`0wN>VLa;~@bp;<%t-t#8E)kt>gF z4n2W%t^p!Usk>~G)8AAA;FER8x4u8*%8c8sIIa#S@U_Wko7jZrCBzCyFae64T(430 zkvaUm?Q18CRVw&bIJU@P31@=~9BeMXX_C*n$Qvu?hUr9@nkV#`Ys%p#9oP4@Ih>$J_u6H z@!{<~d5c6jg#s2Ua7Ln~9vh6rbrz-|%bdk+d4_7~`Ewa)Bhp^+;ddjf_3NO;_6k~n zy?aG~#6XCvixm&ugPw>shq!;^{&8#L{ixh6)J2F9_iHcT`WmR)Y(WLx5GlACY{J2G zCPMCM+5woXsLd{SQ@5Lz>9~$vevfHUmIl4&6%mid%Nec9g#8n%PEiB!yO3TR9v~Uj zhPzsFJM&>)7syZHZiBK$S`-2DlV~rn=B8O+7h`*Losur;{nsF6L*jWrV6!}g2)&eF zrgl#_Z+q?Xo96Z75O+WY-mm@D8S<{i(?Pt$-rr-nyG`g4!hFRBpHe>A$UPl6X=^ju z0j6IfVflnJD$8z>gtIN%A{K6K5}{MJW8TS(aU~U%cSdWy6P0x*G>%B**aw66xuWQvlr!5{T-fdGPlwk4jJKP_liBT8YW#$9A%!*4!L2GujT8i z)}2tSWkcEOn<7tt31XlV&oR3@A5Yb|p~kP~)F;9Y_vTD`>U6{}5(B*-1xd?w9sm7C zah3g|>aF7>Lg}E;)q#@$J;vTGbGne)j|7HIbxuUURj3l zf`m&w^*lie!-ZYQhr9kjYKg~*2!gzZy6J|Bwd7$cG=M;3>VjL=6l zu1;6iS4Tk=L>=!6gYkO0H7&)q7VWh0Ix%in_}I?E&5nTv19hJ*LEIy7=1h`@xQ6D( z;sYI^miZoSW30~Lqzg0f)EL+1B(qaN#4V?THSG+VhMFHc&thd_!-M4laRbIA$RKYqa~^3U-Gxl4k^c9z;KJo5$GAu$zCDiUq-%ANSgh{{z3fmpLS+hPiHo`V>jcIl z*Q>37$4hfb$FPIt5eD;FSsl*ISn-3N<3o?4@ z{h|BveI|zQsI18Bs7zBvF8x7mK&>omen$86swPZ~0DSS(sQgD<2i9f6?_il5)Kso~2O! z8jdML6xVa8eL^5BSlOX!g`MtRRhxKzx8w>@1wnmZ-PI7dMqy%%?l2(%pNo6%K3YgL z9!9>hyVprb-N%Ad4SW;1m7X@~Y>25cUgxLPT)ISU`31h%9T#(RbQd`nO%?ED>CjVZ zBz~o)-|%UNuJAP)-P=h$=Mw;f6YwQLp>z=lSYk@Cgy^fRclZWJ>3n!mL}p@lz^DLI z7&jADQ!`_zj+r2x_#CInnJ!IaJGJr|5awa{rnK_HC%YJdu1sm8F11(<8hp9~(&9-?AQRz)b`rxUAkp3RL zK%D*oZ=S*y zz!ehDbt{pkQ#)U4lpc&vT=#^r9oC^#6?MA4FpQM~&l8_#(zD424nPwfa2^*oMoCCs zhla>Z>k7UEX>DYbzKvLzfKosUZ$Bhh_U+!t+$n`7{H+;Y6vtjEL2~F>DW0xjYqu03j z?N!Py?ZRe&>znk7FskOHS3R45DPycx`mmgq_^D`;k{(H{T^j5GLz`R7J?F;P!meF$ zSQpgB86@w=PQotZ(F3CM^`G76Hj!U=RV-}JKu%au7({QW3bm43`ky}e_4>C2Y|jl$ zPRZQZeXIU2q$vg2$p_mEU$P~j&&4mol}-)v3v?q6ug`MJ`n`zRq!2szzgXRUj&H`L zuVZb7(l{mo3$ho=f5_=h_yFZ)M1sGp=lPC@#DA#nScEKM$4`=^|3h+1MpN8q{a2Ew zpcVc9L5V}RePNy=(S%?Xe8?VA=qv; zFLCbD759N3p{T7XPr0+wRO?+e$TI?DtS3K7DQsMpqix!qAVM)pYOMnu$Ny#SC87Rj zeIXc~ zOB69QGP2H4(k|ztKY|}__nhmRFXFeF7-M8A=+zge0Y0Y%4N%MDx`HvZw7NuDu9a52 zr3xzRJ+b{bYNR$^@75XF1rq0f+8#)tKiexVm+p_HgaDjVEYLv>0EF2KJE!{NcNQRz zRDGYO(~$!)r2)1@P%PDFd>!h35a4?455=Y~;Sh=C(x@{2tMRwqY@jrrkMQLJLbkyn z&B?Xyz&$fESKeWYkGpq6MZGB&KKZnhEONjn?%SV}TjuT}RVTq_6U|0OyVF@&L&<_2 zKXB$7+zP>W4)hTjPq&ivoDVN10l)=B9VKv;WIX)y%PSl@3c%7318AOqu7Demp3M6U ziIE_Vd2!_~0lXU|5LY)Er0XW-E{%vmT!I^;%&%T&kz8XwD|deP=U3DRbOxO!l}!SU z?-YReR;JzRp|M;;uUaw|W;c?`v#@!4(uJYr4yQ`xqZN2+d$;rOXeAFd$cX8Hp}bj@AmGQ z$(~@k2#8UAIOl53)qoI(_By@duNAxIJYGqc`gUT%w{Uvl43mDO;j}%P!92=;jw+GX z5R=U>_nan3S)J!e)v`+X-ZR*foUh&@-aC>c23rxZ{LW%;-98-;?32hQwmmITYk5f} zt-MA>dokbUNGA;Zc>TiCPL*n@B{J)kaWUeR9D~mzR~$}!;JhDBgPUfkWs^u3>#b+Q z`e>i#Dvj=ZNH{H$dX87y^i%=&0$|hxU9WoUS$~_0tYXltkqp8hVfs?6n&1nIH~_RK z1-JMxjFZ4uTrL~xjOwR@sSm@_=iLSK<@ zCi4QMGWvN6ziSmbb!UX@#h>xB87=F6KnN(}GI|Ly1)z^2Tc*ezPVahcJ21mj%coBn zeCQ#q_21MFAuYpQlCTX|Sn)=-g+ZwEEFcc>08R!3;DQ%M##-Gk{*VDTbTuc}QY+@3 zay^qSb>dP@bjbaf241d)dg^h>+dNJLXm9#NpEs1LfbdKwW<^K)YsE$5?Ql9%DPJ2Y zPx&Z4U!6s?(Dh-=v%sXLo4{$sL!E6Y;e!#VR?X#<^T7}x*%l^~01cm3y(069q6|<6 z>H(5XTBnA`Zfh*87q2pXgUe!$)cyJ>0idy7+%_LD@OriCtr7q??XKV-ThGJVS>PL@ z`x%Apn}{qx#v*=qby(bUbv`P@?0U8}enD|23Czk|X?p_{nyp*1-OdQ(UynlVH^6JN z;_x}8YZb3673lYX*d+j`UIq{`Y6XmeftGXI@BRUwu>ME|lWk1trX88C9OVLo2~(CV z!ppwFC<5IL8Jz_H5tUNg&ECO}jdU7tuh4?e@%`Ik@8dHtqcL;Oz3}E_>Lq~ImgxZq z-oZz_f1~&GbZ>q6cH=3ijWSfG%6xiv!ZiAPXH>(SHC4!2Zqruz&x&_I&F;jc$(Tv( z`eS-b9Ch}GVVy6>F)_-x-9c`j7tK8yJ{pNz=cQfl&kh2a&(Wg!vBy9C9tD?4-Q3m| zKha>re7Xo{hYEQVCfwNF8r}_u&2+?~)Rk(Cjm$f|MQjx3?0BVxuPu*geaUIj(hhLy z6r1+@q%d36VXB;O4=W$XVPA2=gKIyEkR!W%|7USsD4u*|NOCXadjda@y%~iQ0YgV& zFW`9z7%Z5PTE+wrTi5*}%5RIScTapLc6PgyVeWTVk?XR%xK)o< zY;s?|uS33HQli7DmmT?J3Bl8~YQ6nxS(20*o_-71n~G#O0FRVXmI(aqQS-6G5DQEX zNmnM?8yD!cpO1jo>jDquEyEabAdsl`72yxN^Kj@-$vKz=*BbmMnCcucO zc%*-Q286IG<+?h|BG3qEw6PWfDpEch93dIc^Qc!!YVg&oUms$!odr zp&!JY@ky-P#r(0O5DhCfDan->FBVbswf)brk8A%uR4YC){+@unq{P)A5(Wu~6(;r4 z4);1Gw4V24?bdLL8k%_x^H69`14wjwViy0wys$HAzY9mfE_%J@YVeB7V1u&%`(jZ~J@QG=pa@W^V zM>)lu(~UUB8V`zfgJoCp}UZ|FrMF&BW1)C&rrO$}+QI6@9ZDMuE837$nmM*Mf%Un;**O>;{1 zY))m1p_uP#`n{IB5rvax>0Jwq;r_o}5NH?RSU?;!6ZoUjs5i)?fS7KrQSPvmV-@^- z`a3hS1LeJ~{{Q8^UqO)Wol~P-X+#?OVp&U9eJL>ZmNoiJPXhBjmqaTpG}=V&1m_j5 z4)2D4oMv#yZ11&7JoA3*=C;4vLcZNi3ANjvYEwleL!NzlAfv4vznLNcMhv&asyvBY zXTo>EK?~ExznS8;x&XS3(7$a>W>=1k_GGlO&E#;x)$X8Ns1z?Y_U3-nlg;WIiEF7A z%DX!y35|!YDW3&zpzs(Zyh067VguS8fjKF1y~Jl3dxl3}8q;udN03-P%9*hmWp+K91HVeq3Jc z+lpF=2U2uNDeJ*v%jateUirDv(b-dAe*{EUyvolmZJmt9OBHnPX9XOAWODeiRmf8* zZ@%3HMq<#<_G|RPrJS3`*f8N)c0J7W41F6+BBK(yMN* zh+F0iD>KVfPSg*Acd=F0e0DciL7$63lAmY2FzQ@HyjGuzi&48AQw%l-#P06*n=&AP zZqsxCC`B&-oyg#|oU4~~`FdOAFh`Q3I}(?vwjWZK)8zpE!M^^?1EkV6AmC@MjQ7;a z6ZB|J>~2OY{Wxf&u6hvk%j7EFc3~fN^RRa}qt^}I6APWXesR8d@fxvttChqty>xw>-Xv*(=jYf>6lW>ptnNF{0Y<;BXQ(?x6Ek{ct^F4 zN=nvyV(T?40VRy-ef`oGv7h{RHD$;1bsACEi_G+pvZCvz0A=>s)jxuTvm3TRGvawr z$)p4RgC#rYsE4#(T6XcYw(9~ko+2{fz)4^jYcoJ{{Y5skgNIEdm?|wBR5oRdT(*)I zjYTX}%59=@F**toGV&L?k@mi6KW4Mvpy4pYuW?i2EYU9MDM*_R+vyt>@}$1zU(zgE zT~$vUNiH)3qUyPcpZt7?tMp4(xv_YmMYY6PpJIpJ!GFrNApt11{sQCuNt+Uea7yWZ zVi&ml6Srcn1&-9_j6pVaZJ{Kn@m^{8&2WPhjmiAnso|0(+?rIG1^hRT_22s9R0+Q{B^ag!tnGkDZ9KK zkZ+#(1q7n7pV`kDB1+imNF?$b!1Z9Y3;7`ocb(;n`K#G`F=vpcY?NM6;(3DGWfK)s zK1yI!Zz3;jS7E8uwKP@0UQvxjE9cLf03+8+jLl;FhL7SMEkyfoRf@V zRNt6S)(?w^anwQJi{5gc;B|a8>^7u%nPOi49M^&%6I$i0sbw_R;^@CM5T{qPJgX2f zwht7`w6?PA#^b0-YRRkAMu=}-lqTzw;;;#!TFDoA(0UAMf83PW#2;(ASt09y>PHT* z3$tBTmzt%;irNyLvtI}EZ;kr=w~6$|Hs|NtOq;ic(nhDn38bd)@ii)0VRr94;B$Lm zKj(a34lY%9QSlyM4P5o6RPI4nE+5KzWVPl$3%cj90puad7as^P*9K?Fa7CpjVpA{D7%_quzSb8#o7h;MLju-jp#Nv<}jpD~n-<4nW1 zM8GR9)ZTBs8n*Q$=6UU?!r9wpzO|e~CG`%8)aKw=^bY03&$m&}`)g@8=ExO@(VeOP z5R1QFttfXt`08+6Z*BbEz1yCD07T^&j#*>n(A`l%PQJ&>|bR!+rKhFXO z8hX;4Va{N-zTR8Ad+cAp?;5UO%IaYZl6mB}&O=|P)wp8HxEH)zDpmr^@(?Ngo{hkE zW;tNcx=Q8sHrp%`<8?+pL?XuFTetA`eyWtIv)242E<|JFe{BtGXoVgb<*JZmWZY2s zNrT7k#;3<1C2Ok`QX7ux?_O^i%Pfwjh@r{yGWxbE62cbDrss1`r&E^whCx&N99~14 zyyigXi}B__VAWbP^X27{1p48mrDV11FdINz%w#2O2V$QzS=!`Y2mVXHjAXcS_pRT* zF;X&p#ajuE+xcFBF>H@PaSP?&L3<+u%motq3+e0njl|rTA_`IW1-ukvU90Y zOmN*wIC2%7Wfdn#$Z+rUOm)p+mQOxRfMY%zr!}aS;L1+*=iyh4nUUaYMaW99c5gY^ zd24b``4a-(j}Wd?4%Y(>9De2A2!$-XgT-4LD?D;UgSP`7E#nubI}WkSF}{BQ0%L+xR$#C#_VU^YX)T5+XU{ii?M2T2p3Z%W@V+czI9SBeR!mo{G|YSF7yD`8>@ z-7t`?`y38Py`Hbp*q{Rbfe` zT=R@9+fJk9do3*_sfAP?M7G)gPe4 zJ>8_BJrR1z;V$#RpvA22N0aRTT-X z4fsr*QE7bv^MR(!=?*~oy$lKc9gP6rY=kw*h7h^)A-}1kkHyje$f+go=f^6O!MC@- zRJg;t+1F$g5G) z#{9+?)0zAWeW0zQTj;HJ};A?;jXHXhnh_i(OKR4HGM5t!JhH4I?}%u|e-HDj~E!EBftfHarh z84YSuFT^5|hlrja@XG=7HB*pU^I7T9bb(yw;}y3q0yg82^k!@s5Yt~01ekn*yqL%V zBcGO5nMsEq{MiuuXn=z{X{g1Rxy%2<*qg^gx%dC$jCB}hP?_w5Y?Y;yp|OrMlqGE{ zF-VcDsm7XRjD1Z}A)>UGPPRg0CoMt<$(AM2*th8Sx;owGbKjrk@%{aEI`s%$b6wZ_ z`Fd`z$sTRL?*;YpV6e9axLIDG1vXVP?<)u^_}8hodB8huJOAzA=Jt!?Gx;a93*BZt z92@M5Jw8LQMFGkE#7C-!8El&!Yuz(ra4bqMsuF2qIF3f^j#6DZ9%mLIso8HqU1(pk z?6hErwE$$#LZS(zsTvV~>A;z{T3?aKHpHCW^}%r0GGPNh!JV~%UcME!1^<7MatbOkhlR!@?Aj)IIekJ!iqWM=dnpiNm*NU@i7E$7DGd-?}8s#%-fJ z2X2H!h?E(#h!NWsSIm`Cd?=7?kkPwL!9E=r;4DL-@Cpp z9a<$WVD%OaK*P;9`2m^rxw>(5ARn7nJ+Q>4clKR-Xp_=oc*Ed5;juKw@O3b&bR3Iy z0Hcjcl8miM9G-%5t^B~-CW>k2f2AG7$3$FtvOZV78S^%Lj zdoRYW->iVxW{~hhZ_vUC_lt;0Z8F^6R|kv<$45TqxXUKO^Kk#JQ8&Gz3kZh`XB=F1 zb`dy*CmTyT7QTIKn(9n{5;K21-$HWzL7;i#bazfAW;4EhD&IXNAOo=*c*NCjDc=qe zS7IFg+K^0+x64nUKRRA)26Mh<5QJf#M@pI8-UZKHF$UURUR?a~*e@lOgK^1!#zssg zMV&|G(%Mh!r&Pm3a}T|| z;J)6zL{a1ydig7k#>T+srfQ!%1u?{Y%bQo> zwrHZGMal%f$~9s!rJWG!0<{5t*LiB7w*44p(j7g)N!BkbELbesY&p?26z;Svx5$g; zncs=!6II;;F7o2`ffOxIyNRzCU)|yL=BeV56_=6~>HEqK58(%UUis%cXi;8I-U1nt zp>y7BZFRxk-Ho*@CouW8WY0~aw^6Hs3*j*(S@wEj>5m(wW)}5&kaW6Wn{!#9cZ)&d z0V?O#4BlvLRt*Df8bMdx?9ckJoljJ6_#w5o0r=WSkB%tYm6iBHHt^ZWMp+267dV=l zv0Vxv1!`mnmM0>7r(iTW@pdN21Pwq$t074O1{lg3!SFCq7$0%}ghQadR45{!b#yB&)j7EfpLmf*r?;ic@j{k)BtC}QNjACsN zu6nA^JB(=w6z!cI^HD-%i+YAjRR!>vZ6lo7UVIpcd;Daoe&0uNq1rmeZ66MxQqcZ6 z>K32S`ySR4g9IbYw3&+mV2u9?vUqEnUfIv-re!a%S3kQV%l*(Pottqf+dN0ofs&=~ zzNKPGXFIdqF}?Cb`wPz;Dpwm2py99cJQ3Ep?ol>nGER|&pZ8_VEl4dM>(G@Hr+P?D zK5=#R6KO>VB317mQmYHJ8l{7{^2A!<-_~N+(-J zy6ye?z53Z6&9P4frG*=`F~5e!qqZC|liAd!>v4Y`M(eM?z)}us)IiF?$LQHRw?^8^ z=Ax5o=xbvFGpL*(PjxWYvE)cfm;&c0orcK|4P8*Oc4!nyDyF@q`g|Hj49o4 zwpH`-b2{!v_I8fy^nKYbNMix@+A}{hx+v1+M-q3zht&sU+%|8$$rHyy2iO^Fk zvincGM_wB@UHt9SfBc5eKT2t1d=kIn3X8PzRM%)AeD$Sj%_StBoT+oZ~YsSNC`W`QQm&+=hG1`^2$)-GRj7G79?F3yVjp8x%G+1)Xt&qQz*L^V&m1|z^)UU=*)KNqg}Z~W6@qtP$7>2o+) zHe;5fM9TOwA%SLADb3u`%ggA?B@@s zftuLf?sgQ4BamRYn3l&Dq`3g}#!p>7TY;cYI-TXm@c4PM4&=?RJ*DTSJDn0u+aDgi z4c74%(6j-J#9bLs$?B3}eN4#c5{$!Pru=?R)o(gpbQXGrG{&IqD)`OD#qNr~33wg0Elb>H6vdVFnWA5Tg+)9LZ z?{^5C4kn9Mfez^mA9X=5$4i=q-+&lB^7U9R_I;Y^MaT(lo=Z3~VZT>@pl*cM&`gfIh%2EmY!%ETpJ;vf^xrVbfV=$C`pF1}Gp zyw#XJfOfU+4&6VP%+A5x08*n% zZ5`M7If$TAp!sK(5gAzt{@{m?yb+7~yiuiG(r-ymo`-cYvR)DX-r+b3V3ItK&oAI4 zv~X`evTM5i0yVYAx79pFXYOM?U%Su`0m}(-6S3DUh|{V5^@@%tl*GG_N~p+(tHXo<(*ae_6OS@9G+<3l3KQ zF*94=Kd2mp&pyJdwe2FSZzGBJ^iNlSLv6|sigA)QzFU)6Xy`f7aHPA^`*WhZs8=YP z=la(X(;puku>}A6DR+YWGjCDDI&%1Vnz@%b^KK+N){qm5*5)bU&(&E4XQ!*S<2szW zp1bbQzbsY7?&o;(?p>wzIyMYkm`jL5Tu1_MUiDf7zY%Y*%elbIY31K7#>~Zdh4aE$ zEZ$nfReJDH<&>4a4D=QpQzLSJs~saM=)wf9Nr*2G?60~x;zOLQ zwUAN64A$w5QnyQ4T&!})l~*)U$Y?0Ue=r`{BOj$G7yOy5-gnC5Qga`7g7~fh)>B^Y z1=0tOD}Q6D(b@-4_pmQryw{3X-$g=>kw$p(>YQf~Le_lmofQG%}C2LBj?lvny z-?3e?d{$+1O%g}kdlU6Ohmc-EE60eSe+tsiwL+zF)Tsqr zPfd*64@bfIlIm3D12A0JGQWsok6`)@uv;084kb5MhJ5mOjy{rHIHSCvt`aH~6)-a*aPDs<`*VQrU-dIa4Nr~0Tbg4fdHBq9c zj^*vU&aO^zz}+~_7w10|O3SNn!h{G>)jbGONot%Z&g-9~>+3JzyLoZzP4EPPNq!g% z+J1ibbJ)1#a4COQN&j7SnL$-hgQS69h){CxyY4<;sgm9TL7|AB->bQV68#Nz+G1P1 zpWf6~>-+PXl2{m66y_7J?N!aVdRYauD$t2u13hCToU(a#=WPkr#S7TpyMV#k5REZl zQaiKrD9j}1E2|^yiq0N&g()&&b5X#j`-dLf;eOgG{@RO7w!=I1fJr3CO3q$U{hG*S zqBf_BAA5Bl(t-FTc*R_Ct^%N43$zBgpSzIJm90O~aBrfovlELwToxlyU)Q6u{gq16 zya0y_l##)3A*yU&QTDDi<~{PtXU~;#ho7x2pO3 z+S!Y9xetRt-a*U%nz!#a*_FPyKJ!7IiRN9KeV58Z^{IEg&oB|gVV2~L<8H~-EX#`d zW2%};_S~9iLHqMJdknD^tA-JF`JNR^b;snClZSlG`yTnHbH;0F3JF_SFaIU4i~_4Q zCwa^6Z=}yPE=MZ6xT4)HjbHDG^1)1g{IoyzpE$QIF`|0!A8}AABWhP*r;uZtBO4_b57smPt)g{GPPXc3-HLBnNvYibO|Eo)EwnT7 zU&-z~`GHLtF-6O&qd1Ff366jDr4ASa39Q?3ul&oO!c?QO72~RjrH;WSmds*2wHgv`l1bV)`%_gA}vfN@nV}sBkLyW z>-V^cgRcw@{pUq>wf7zkJowcqEqyEzyU43PeN8Xu#d;BcFH7|iH=~vL31@hs3&s}* zf)TULU+?w)nve0jy-n||-+SGK(fUpH{$rc8AvavOg8?C~u{FvFdSz)zM+%hCX55Cv zRv1)Cj33;u2)}w}LJn%rL|)kz_*+`+>vyyyTkj0@zl<`MHbWY9{Gi3;QK4JuL{aw; z4BsixfKweqP)-(<)IsWTl##?+aj*Yg7*we4Xn~3@LV`XZjZ%msA^g(JqVo#H^+CnE zT4||EKfO1Cp@|`->u*yaix|xi6Y@=M>EOj2J_#1kdi=eoVpoALP=y!%t2-DVnp*4w zqkkdE(tk;b0t6uo*Ziz#0R|N4Xj-_OyQpz*r)!Myk6t;E1@n~6gNioF)zuq8vw%6Ezw%1mJN#;o5w+qqb)t~9&6Fy3K#rv0I^C25L2KYV!zYmDv!ZbtX^ILji0 zekPKrH~kXQ1Lt+2C$qy=biODi5E{&!-Sb_ZFo3Rv^lI6yDWV71AckOO{^*|ddYIl? z*f(a2YU~J^G4`jwoP5y~vtIegD zw}I*qt4ey%FGxb0|G;J?K%s!VFZlmXoVm7XCrx&lg(@wX@NQ&L^pbtYYih)tADcsdfKunXr{+gC0dd@wrV1mj`B@GZJOq+)??6D-Pgo z_Bb9zn;tTqqx3u7tXmnvPS!)`gs@K4wu4wH1@dggMjwaWdpfGLO%GgnaPr%g6TPao zd#v?;#a)pl*_QYo4bO27?^@pFI$YK1KIuxCW=4qxuo$mUO?{sJZ_Js6Y~*!;Fe7w{ z#7PaotVPK&ikKZA8SU2tf*1n@AMxh-vk(!w0!>SMhu>V=1SsixoiwztYzBu~>sPp^ z#?(xE@%^YD`@p+%8=ic0mtb-*^r|j0s{pm{~@>VkTXJ z&V%!%HU*B}^kAds)i8KH=&MZ9dK$EHVUkIk^q#K?zWO$9s@C~eFjw?bpKIxR?53wdgTekGK1RWTk=nXao|I=(9owD384tFi zk22L(5|~(!($gLuD>Mrja8P&9<7Hssjbs`*MZC>}bku@*xaQqaLXC*af%N)wD-*@z z-DB!E6$#yhfi$S50xj>*{nRy`&{A!p!mwfGjB^Q?bHBo!*g-tIowoUScIW#|sY|E~ z(cyzvR8Jh6;ewI#pqg-**d{ouNWp+wy$P)E+&abZ;QXQAy%J)_>k7+5y2H9nDwv(#VJH0&lY zUd(;}bl_D0z2AwZ5w4{kIEj!_T9xil>1ChF#u7%;s??9X4isdQQu1`>U$;_9-)8UG zcUvUAgYGmy1XpUukra3p*>DMyE)XxyR7E2-gBO1EZiQj#xer*jQ}DlwQ^GoKnT*6- zE9=g7)L1=_x=hpXkd)rKrR&>Ew~8j;rBO5O((EfIDI$-?@3lBzp538f_HEqBj$QC^ zK;OAf3x~)jibh7F9R}|-_St1V+VN|2m+!;2fV%~S!#7Ix1I61k$|g9-pY0Pl(HKBB z)=YJ&UFo@%9oYPRDdRgr(+sJd8;2+Qu6$-u`*(~(ZE+!pbfmzm|CrD3NLU;@*?zrz z`G&%o(?4}BaE9|q_gbjRJ#!BquF94FT(#eMZ^u`3%rAm!_0Pfzh*{Mcl+$ zad4ZiMSpm?HoYdIgOdgF>Xf zoW4o9xA{QA)3@G6bvc6a&JGoihLrO-kv0vtzx#INxrp`A@?xw8KfYw8te)Bvfv;&$ zKH=J1R%*PbK5#rP$;ukI$kng!BXg9 z`1OkTS;aUhEZ>si}i{oSw|VgTM%$umjNuIdgzBP}t$to~jqL zJX#ts(40fIeia;Kz5<7?OAkm%UqS!7s&oEZLzIx5rXY0Hi7w|@)g+2*y^ER4kovO! zILIg9ekNC}f=*+hnrD%D%%k+{2Ib>L55I$OGAR74oLD#k6&Nm3(0q3V-0)5CJ$6V{ z@uC`8pvs()I(t*TXJ4kyA>G?JBGQdn7X;YJ`iY&cd+x85FTaKmQ?!M*Bw}{=H!oYA z@Ag@mcCMdYq@Fu?Fg5sA#6Wb?5Y!PxQ)Kj5Nz?0=%XI6^u8=zaI-MvM4VL^=c&j(S z#Q$(>htEI_ItY&iaRqvJWRV6ws9rN!Kx#UiL2>edLojIOEA;BkIaGLj)>#5pQL+;fhp?&MEW}D%?6$k?z9zkSey%P}g&^>8iO| zn(EfiFE6I4z4~b#usrj%X(T;MUZ1s4E0K@D&b?~o^3WbfzV%!*zv#rXlcvhnc~R>i z1P-HvJh)UJ)QO~|WAN$*QbDu(*Bi4*@&v8Se@t7-o)Co!Z1_p?Br&*O5Z>q*f1?}( zNP5mL6Uc|gE1T2q5a{ymVbjEZcKm$ASc8)zEk`y-rx}Q@RQ*Q>0zO=f8}# z=8qTBweP`=exzU1`SrTYV$LVRj2mk}!X}KN35{66k8;srLWtBvC}{Gpm%Qj=sfal_ z>*Xl1iU^K|34R2`j-DeoI#$!X8Q$q?_d^Qa6z6P`Zf;$z;#V8R6@$LJ`(VH)(4&!R zpqUl8>xY0TKj5h{?C3!J2LAU!T5+eIsZp5L0V533cJj?{0#3q;v(5lSkR#XqN&2hE zalVO8W!(l~cLk5uo;Tq&U4}T$0MF!rYQb(1gCD06-tVm|3qx%Qf-`$Af(`7HSD_aJ zX>mt{OsHIuqAG1*WFn<+9j4Q4)jFOp#uO$tCFaee-fv*ws(5P)9vpe{n7l00F6BQ; zKpNzS-eq>1y`47|-te4U_J%!-=M`=)s`}}n=a#&=5OO%Phr>>|KUM1a*-zFZ7UuAz zb-=xL;~;d}92tI>x%oq>*%{;TnBK_u4i?r*k)2DgJv&QWg_gKZ+1u|j`EfuV-_e+y z$~xo`cSFn54W(|Q0cwGz{B1WB>U+KPr8cb;p_KGI`f0Q&?T?2xbr4kM0>^=EZsu=R z@GZs@dG*mr6wd10@8|X^UH|P@5ElL2C5EnpVP~%=Oq@ybrWCq}!W6ggA3uYhd{QXBMn&?t5n2lZy z0qNg8+iX$Q?AyrDj{15+mg$py_PTq?c1^}8OFVAb5t|^5PzXgv{ z|G6^)5R__hleLktPKw+GLujkzAv!9+Zgf!(MoraS-ryN%MC1Sy%4^w^RcO)ct&zEG zswT8tUNmP^5=GP;&5rr-dlLnEucOor&Uzq?PXZo8m}#Wt6XD3)uQvBRGN*Q%Ykii7 z@h=5&k;5BG{F-h+QQ^mHi$&Xu4hSp%1e}GmB_X8^C*NfpMJl6!w>tDpQpQ6^Y> zoXL94z-m2F#Q$5@1PDLzr(deUBdE=Js>`mlDinMar^At{(T; zFUMQnF4N=g2w$@+lyQLuDbaiVi%^tQe`lsRUd`sI!5}g}fRW=W;+H6wfx1Bgnv1jz zw+r;u6bNm&^#E-)E84+Bq9DEgh7n7Byex}rt^y?qJ3V_Jx=Z;H9@@VG!t9eQ_*&Y4 z{lqylhtPx&H_0VGlyhf^ckZ3PF5XEm^CATXem*;sx&~+Eb$yZrQMF}|^c`u6J2UQ( zwd)-hO~>;h2^ez5i_i|i?t-|osn}(M;CcTkUkT1U7*=1l%S~TUlP0VQ3-{9*-_)`g z(?UP+a*|qwsT&65EM(W?b0MG7LD;8m4WHG$x|<@nqcFNa0fpb5NIPwBIMa{}0Ad-4 zd>afPdE2Qs__xy7XV8EDU_^AuJcGEuV)@(VAOqx_OBh?#-5E`yZb9~Y#K!;?p>5d3 z8MirVQ`An5grGhqyW89CS<^LB(4lL74dP7?ZA+~aCpf#6qu0TbnJ3((LOV(}uq0mk zj-|weK#l`hD}n3}Ip`4PCLkq2EhE&L|^UsMAO644HbN+N1MjGR5JumGslUaGb1!{Mf zfHHlwm2Rv$DceAEc`8Vj>foTWV`#jx_*L~q_7<6~oS3WTq{kfiCHUGMKlhR79Md&B zBDL_|dlLzC4>m8P|kbaM$(1RBt+ zBeXyI0F6W_#i4MF1Wx8OL zDR8X*qCh=qcs9peoXT0r;;1b0#%s&8!PAvfry3UG_W?!PgflMrl`A|5XXTsXY zWNE#m8QQVpWCR|w2l%t~hn?o(6tv@YLh0zKA1vB;ZJXHi$onU~8}c|x7)koC9p5(v zpS2$=5NI0idhYaIgb37b{tO%5oIPm|s4;_W{59*Rt1EiHiFyxv4%q1#p+c*o<1Y~2pm7URgHRHg6({9Vq!P8_+CnRxZd4;H@`2eCoH z%*&il<8oYwyVKOad4DEIjZJOi2pJcd!g0FHn2@fO4&yh(4m$0s5kVP82$@jA-FP~5 zpN>RK;5j=)1SLGzV;N22x(7!IH4^_Y0QdatBW>2^l!p6a2@QSpyIM`AV6cA*{Iea?%G_LL& z8LKM~J-5BLV}GUybB7sv*2n*5#e; zl=opan`4ecRkK;xb97HJjKFW=nN4Oa$0&poV+(!mjn~1S>IAH`)qd{VNAm9rMQt10 z$kvaomg+r>!E)fNKpn_oV-M-S>oPL>JOtDMY=7c-1MQ~QTf>l?zu0CjBuN>u8F4Ug z(8u9F?6M6a_~{sj(%}g7sV=&%nw)yb0smC=*nYd##)00Wp{rKGtse$Ji-K3MG47q@ zT==<2t;RRbMr)n(aQfG^gSujMYGtaYX%9sv&*wzve?TI|*Exm^G0)30jQAT0;Q{B1 zz})$pL_ZQ3fsMGlz2ci*X?W>oTGB=q7529jfWcL^apHOiWd*p3uMk5la^ywpDz6?* zSr>YQPx?!3(>9qRS)qSTt6u(}K7+fpOA*@PnJ_wQ=Rno`ugYfSl`@gvldCd2?M6t@ zqyhnL%B(_5fhDLfZ#Xht72M<@o;#HnmW$ zR-s>OG#mVZVHWbo6I=h){fR)U8u7-%MCZoz_8$f*Jn5ptn-{BE^(I4c!hTzh7wvnM zSOlig%?i%pKi1-;_3bXnNv=)6C>n8cbH=k%`$l%OJ+|9);hMbk8y**3u1l5V9%Xq& z1qkrMl$N?j%FkHAGV3g8xK%K*wc%G07+yM()#|*!f?poHw;Sn>_Fjy7C|R~9>J23Q z6{HD0gq_1^Z9pvFNs$}rDt`uTs>ZK2Ix&lH+Vpf4ObE)Oz(PPB~Hdjny3EKsi<(p5x~`XMFj@wrcKb2b!xJwL zgAF3D&I@smg6z}B)KX9RN%{QswkP)X9njh+c3KiCEWHFgnb;n6jQGxd(50V; zdI^S>XMQuM{J`4@pb1?Rq$9aY194*5DRQ?N2BJUCb%SlO|Wa~;npL{ z2kyingSAKb+~Lg%-n_Ni#b-X6b#FF;?*L`!{fn=Dty)f&3<@p*a#}LqWkl&j$9frA zcHG{py5DE{xrB~yLyWl%)J+N*O?+ATN3o5Z%pLR`|40Gz|5R#2D}O zRvv1eX`>(||K>bS^))%7-Lq`De>ng(P@wyh#fN0t{bxsWsy&)#+%d`E-aQ=8H=8i{ zQcGRu)ym{9UA-3H$?r?CJvdW%aUXQTuQ>UENYj2erKbc&ex!@9;AXHAaKjnrpNmIb zh5v5^?;kR@izUt@iQ}fAh0po!$o>kID(K(46wmbby!qk7hk^-w-S)2X`~=N>CntN_ zp}whQc=LVyDwd1Kw3A0hA~@ z!ui~p49&5HQdzKJ=)@O*j%&h3loH;5n@^6tc^XoKv;03K1~A%w+)*ixzi={4fANM? zhanh-+lYQpPx>*t1iBudi{iV@Qk4kOfvnJZJY=@51k?gMFxX#kDJfu?-GMZ}BGnEs z&|d*oX)naQpLYOKxpV~_F84av$OY6sM|}=b1w!z(4}^KHH`sD*xzYy+mclD}nh>LZ zG{DBawI+3nt-n`*;MHobHKr3n3o8(qI$2*Hc9eB7 zgzDOy9lB1Hy8f>}uT7DY=lS%B5UY83P&X&?nFQst%NM`@gebwsHzZ z_33HiCQ!FF09doUgeJ(_!W1W|?PDCk{kf7XWOtW7RCT*a46{|dt^JGXcF{VIb4c)u zmh^(hlT&B*-Iv)>$wzkOFM7PH1}zHn7ohgwgAy$ZhY(%UT8iD_?$LocX>c@T__MaZk{g{NztbEbH*uZ`m3{- zkvJ_3`M2FSFzzZ61k^%dY2>b&o^C}_I;#u+1bemP;ZdjGm(CL?w6`Kl2E_gsB|tAp zJ`vwlksx3RwHvy5O%{^eCBsnan_H(#vIOp~EqNWIrYyMMA=Kpz?6v~xVDmfmS&CvQ*6OyLEjBSSm>T0)G;FY)N1%H9rj7(;;@ta5FyEX;4){CEe%rZ8j7&RC% z;npOguX$B*RFB2JWYhi5GTF3F^h6kM<-5hP8K*&{%b8o2>+%bYPvRm28i_SEqDoc< zkxhu(pt#yCBD!-DKNViEI$BuEF9o|TcE@vM)g%*Oboqv^p2AU?i<>1(hr+a_>cUZ2 zHp-3ml^f&_pSYgz>Qu-?v08ALt<@|QUO^1U_PPn69DRvt`h6vn?l`|sQy0#DkA24z zh2n%mvGu%D{Y|G8)K}&dA*4?kM)iaY{Y$-F-)s};CBf&uzP=sC^4Rrbq&QR&ra)}oy=8S0q3a| z`8(v_50g2`5$dE-c*GV0vV;{53@*|{)}t^+voV+R-a^sA$EZlr(@>t$rAPJk=P=@T z$8c9%3&G|}xC`5%XrTZQU4TuRAEB2AfvLxIYn#dBxv4Ty&6eYSntmFl{3CWel7uR5ixc?p{AB=26PtxPIAd0vK7fT-A}23sF- zH=mV?+&?$Z`HtU_ZzM60-K3bBuu;b+^C~@0q%5em**li`syvYY*ccHhNzOloTI9 z{ZOLw+u_(U9*gf2H=1+Q*(zd0dHQc1_UpGhJU6UO zFW#aZ+W2JHd|wXpNbuig5snp)I`E2I^~Wx0WpKV(?eES`=y#XK1jHonk*!!!JRSH^ zH=3Ve@F|o)gcOKdygU!hJc$Zg8sO%g0Bv`Sd&1}U@uJ3!MYIdXc>o@T&` zYBFZfBc&;oPvQKXeexLw0xedAf!XNjPN3(VR7Y{DB_JzQKITTfSIk@Y0ZN-HleR>N zw7F%6CJpfoAL|T%vV?=}vFvsyLkZ{bCOm4hut3ZM{x)tP`sBFxpKSn1R)+uBeE)q% z0OzI*mO_~o7b2Pf9E|pbNDb{g?Jn7es(}F?7%J(+Y@fV+O%b~MrZet z?5DcIDchKvzXb((ibx056}MBo5V)u>687UM*K4r&X0HqcPsW0cV^ph)wby75vkEq1 zx)QLB=!;G_2b5_n$p@5s`l;2rIN|6%j+@9y21U{~2+h-)Z&_SKPXie}D0?t1DfP{l zOTV_lan!;Bda?mt4G*`5awLb5dMfL$P9=|m<#rqnL4@r;abW;xn*!o~)W z>%;0ZM6AGIuz^N7?UU?%O{_+CMW^c~7g)wAG@r;zM}%DwGw|BNt6!$k!kdPmfj<>- zWWc$%6`K8@Tg&Uh-KO??DJpMtAhMbl8TC8LPeZRs#JYhn_7$j){c!AMjJAmqOm-v3 zKB?T^#M9rkxfPq3x~{`)gqZ4=gC6W zd?g#Xgu^~5mU15uO^ymUYR;+!Qs6dR8f5X&s?r27K##U|Jfl{k{D!Zcey*5=^Zfol zyNmK94wXO3&O?1n{quY^cJUv_Rq}A%2maFGwH4?Te% z7^GN!qqa|89T{&Zvk_irxqdQRjiqa3{4LO?e6~=YUn}^y67(CljH!XXV{{(Zg~`t< zcbnIQ`ZBle`~cM4Ng%+)63cMvih+pJSLy`f^flsTlE2Q9LPwhNpc5p0eZUSi3GCNx z-K5*EfOWbPUXT9U>FbHXlC}Hh*#38$uY=qFwE2c6JV3TJt@@5O=PVaL5BGtppJU*x zxIZumXgvCnxc$nla~Qidup=DR@UGNd2N`)n0K}KFgNp?ghQO)Z1Rv$2RBvw;k!gcq zC7$`(ZCP^2W~SwuzX+S6^0OmE&={Hp3SIgAvTgXi*df%ruVDO50>jZ5_^~H{FAf@f z2COi`ts#q|Kvy|gD?G6A`E8(e&F&w(_Yj{97{L_0(~k=Ah@%*Vu5zx45<(Ve1eGkG zxe@w&4_*z~02T;wooCtxwF z);-RI+V%VCVU_59R9pwoxJ{iJ=5SOh$!~geje?yD`U;nNHle(AYR?>Egv<;W1Zye*7@v3`&J+BhQ{u*Ls0^Ns>G_^l<5t!GrVE5$z4b znPx%xm0k!pN+``TkYZ%$D!VINcf1?(Tvry0*=T$$O1t$&f+(s#op=HG?OApUicjSU z&W%`s_Wm8ANn!i398zJtCgmF6let|T`kX!uMU2Qit_NS{nP3H7gyYi#y+y_MDTZU? zE%wayyrT~dbiOB+$_)H!h>9EN(|0 zKZ8Di`=p)O3(_4P!1?ZArq|BJ`*IH@KI@!$Cf$9+|7`WM{D4qh%aHSyBkuKMB(~mJ zo~M#ao#R~R!>F$Z559`peo16uI)Y!KRd8*?a0W)HI6x=k#*-j|poV_t0r+)hJpUe@l)Xbd#>W@nc6W5ABEdzBjv4tV*^dbt|1#{;KWXDF(ST&rTTM(ffAn|c!N2WiVHeV6GrzmPZlHj-Y{Ow}V z9ujq`x!#6G%GTiFCojLgy7ht6H;oa#-cuZPi`7I{Td4sh@3+UeP5~3}+!^DHD#@bu z<+ie+*rXisv-rc@-W*QSQWCcHbqB)6xlkC%jri*kv+9fS#hiXd5;6j)=_$?@L*r`> zUie@x#G75u)!UMbAqu|Zs;c`uPG3!`!#7yKZ3mYYECb7xrMV)tKp|=u2=po)fQQjg zZ+@^eP0f~SvyHJ6QYG)FfvhqE+rVrs=7Rb~k?MX#fSE|D+BOh4!JiX5LHzYQ&6ZPn zZ{I@Cxy0W5S5Q;9-gGeluihi>=mrDj@=E}p?(dN+{9CBacFa9@r7enkefg!N4PQ=F z9l!ovz@z!G=JcAhYmM~CiI+wnrr&Kn5+JDi_4H|wZ(IIyItS%N__f9kyQcMD98Q@! zq#twae=vzQb(O#3hVuMa-K4;}N-`1_*V~&S#oEw6_!74_S?8vLM%R@UepxH61MZ%C z&&zhM8tu-r4Ogn~vwQbnJ|7p7#j2Tc(>bkWDU%QP`@Rxy{aHG7DWn*USKAoP?%DSj z59Y7=Nb17gM*7(Vk(vV?;u*X5H=)wLhCkC7G=omiJkpuAyN00s%Dbr73zGvhdJ=58 z&h4J)htynA5lyjk)p{EtNV|q<{h{SfbD^h=YwC|@ab;Kj^}RshT{=G3`|@jwrkd9w zl5Xn4v$&U;|NN;h=)WlG&;F)-&SkO-Bc)rU4}d@VH)>5GLa`3jDL!_(Rx(ZAy~oq# z&mT}yEzcfs^TiH z3<(5wqn~gp%5O62U*}K~8(~lrenP3=$yG)G~>aI}i z>+9IB!YM7;UNJHmaZ?8IzH--2#qi*u|)M1Y}A^{_L^11}c2li*N zo6w(CI|WUxQD4N&Zt9h&^7AL{ELVul7ry6v)wRatQqhS=Pt48Yh-8^SuKwMf* zND*=Z?ybO4HkP6$9c`06Q`m1|{hmsuwZ2OaRdxU#)-Gsvj20guH5B=tecxHQgn%g1tC-Kx7sHy^&0V79kKJ2f6IMI-@4{QIV@WJv#Ff}t6>g(Emi(4|CjiGDIHn~ueHUezdkM4g9 zoYkI_kp0id8T1>O&yb)>Glu74^AvYwh%aLIOujJLQ%dy9`40I$Kt-wRUA=9i$Pyib zI@k~1;o#6}`XhWghw|2zZRRBevURlmAE7f)Kf{DA@XH#oC@P85XT73#-xHS-km+VG z!VTmdY#wOMsns_mf1UX!S@sRhj2AEeu8slT5G9odkbf_j#U9$c@2k9k*jcOD7;63> z5sMVer}xC}j8;~22-WmhHK^c6yjKB(``^K5q?~_#2+Hb=Jp~F#pd$8lBS!#HMO(CDFQve$4)`215Kyv z3e*TrhcF>#PdnQHD`)kNK=S_~yQC-%``@!?{yRVYzp`hbhT>q~Mj9{3Y1}hO3L5z8 z?lksEy3k(Jqne@A_xd@!MS-IcU!J>OPN=N20vZQ8QY#8!d%71A@{d#ij=MHy&Kwy1 z^BxV5@O+*ocrLH6ybHWz??gjoB4nzo*O8xPv~ZBt(SQwm2w$cvATB2e?-TCEQOUeON#VmusJA%uRJU?q`A9p}yFO@yPO##rQn-+M zPG<9-)7ShSGw<$^irV9Edzs0r&Wo}gY!L0x`V%sXQsj){lZ#8@J{Ke?MY_B6X{Ku1 zboH9W*#6**NWW0}O46>7X*N08^2}n`+u)_o)G=N+{TEi$nDmWn3>hgZgNMsPep@Jj z`|z6<;}U1{P@x-dD~Wy?NevB+O4FsjGXe8s;VRVT*LV4ERX?Ml-20_}A3mWOOg%wk zoTQrcIP6q}C|4@NS$a71nnv)g*93L=?%_w*-;t-}zf*?7`)?pCY~N&PZ@<;Wg~vz?$xz%Dka-qvHIdBz-Z=r+aqQvD8BXbTNUxcmuXRv2epg z2WOm?`h0(-Miq}=|1sE0tSHWCmes`s4{kNg!tqeTLjp;mzPSCT@DhyI;JEtt=-5;5 z1sw-Sy=D&lC$QuAUw~a=k^yD?`t|3z$U3+F24S&dPefQmLl*sh<=<|({{gqAK1)B5 zvHT{bs2KP$$cKBz@HL#n-=<9Oo&n>3+naAnHbfYax*H>=X z{7aP8C`tQk!?nUwPuA{4cQqD&6G}-nP>c+Eheo3LNvWtZb0)2k*VN_rqHuZqjx%l9 z#nCw7b$I7chjP5f9*X0?E&1e)_ELGFo;kFn{9mc96k*5&WyaWEb<@8zeC9&!tNfbYyyP&uSKItdAl2iiH4-}<&{U*6>jl5s;4AA z^Fbp;U0(5tNcm}?1}U`4i^;4<@}7O&7PL)7$UY@iNH|jOxwsxsnv85V$PG+$9(uIS zJbJgasATe*xk})L4KkVffV4HP^$LkT1-SQg; z`1~7x>82AmB*bo`RUHts^kcVlX3uH~P?1Apfl9p!-YPTbOLUC?8(*gt0{iG3P5W zaPeuK-``d|V@X)GRe52HoafJ5dP&H{>o{K9>Wxd1lBC>4`T+EdenJCqW9#Pn_b(rJ z-;K>GPNvtY8l@aFD7`HAr?uuma*X&I&^tr9iFzqWk`LO!pJ@5^bi%()6}HRYL$=Bz z7R>l+j*~#FA|v5Hm@5Ecf9h}*V}9GM16hVa%>YwP8G@23;G8YhJBcW+_4VX3fCkgx z#2R5~BZLG&_V&~c6~Y}vR1doQf`bEJ z?v|*xd{@)G>tMX)><~MN7hDGOMDEAC<~1$+w6D~57nm*@W;!K^tVuzL=lMF7gGz>L zj5`-Q5;P<@xO(ryBK0$gy6>E!N~wK=k*w%Hc73E2^C9C{xA2lMBiIX(8g2vIg}Rga&GJIJJ-dxsuet&m`HVqWoYu=MlWyjLmF z9K}(st3eX%&4Q=yctQU!aidYHjZB;{2jeYs6h++7bs;6?6<0Ti44Ask1kaZ}jr;lZ75LMnM;z>A`t^ncvq{V-isy*C zmk!o;+$J*0D0sd>^J869#qgMayEt6rt)3n#@0mzR$L)I;DC?U)Jo`GW02ul3HhZ}ol=t7#X5fgSO$6kE` z6C?WsRF1JB`Tko-zF!IQ{k%#&tsgdmgU=1at;@J#*u(6Ml zKjZyx)QPl6;2gFLmN1X|r?S^qmKt=H1Y|yyZpoJ8KrEpDr27-z32je9L%iQt{W@ZD zoGy@l|N9|PNh4hN4bf`xcE8sODXK@8LyaZD&8uQse5c3t*?)J%^`F`(&7p&cvi(C3 zCQYeKaVl&nAlBK6rub4kIyjBHK#!T->PM&Z|4ooN5Y=mh%Le}|h5sLYO|wLylorGK z=pZTn-}sEe*{eV;`~TSc>$j@*bq^S(krE^XX#}K{l9Ddz4pABrgGT9Ylul78X_!ce zbRz^7I5v3Z?}$f8FN)V``S)3D>l>)+=0T#KoS*L51?f`9 zjjnJO9PvGNLwJwFgQNP`U{KY{i*Na8E7Zswj$<-C!;@?}Y{4X6a=06KSmZuEhuN?` zZCMXs6C_>+DP7}{G;RMuwK`w_jcT2!$AyVOSXmNS zMQ__|wWSZiQ~n3Y;p%>3NwV8WCaN~*LPy*wubcM)fa^QVEiC-dQGbC*UMVLcPYEM* z0yv{Lg7G?sh{h5Kp+q=sKZPrcZ#F^}%EE0MK1A9MJNw<@n-`Uf8#06UW4iqvh+uj% zMI!ds@MOEZ{n)k2%)q!)Tv_zO5^-a==TUIz$S0@yJO0|GV`c6DyyX?h6OduOa$dbz#t5N68Uii4K{|B4qJEmorDa z5>O+1r{baZ8;fo@FNf1@2$_~A8|#OJ?mvKuqOK88zPFE{N`MQgXLf~QkNFa$RVp%L zWt95QRKtY8rBLAmqr`+^M60gR?!F;V0P)YYd-lEAr8LuI@`{SU!nsv z4YD=oooEvs=0C<6vT1C!^m$-<^FX3tp6HiFD8#*GYwnIBo8CAL!s-P4tdhv;zvE|( z=RreP9EiV$hCa!$9ctkoz7O(`t&ap2kfnrF@ggz10MYk(*GuY}G5+*tXG{j2}1aAeyFoN1O{N2=^c=~5|Z?@{~&ST=0ab~gpy4ict<((3Sy&EZ~^?+{OMQ< z_L!wQU7B~8ZS=(6RL2k>MdH0roQ2mS-AW=4w?mGXS zxc4qYVk;RhDPKi*FoMsQE!)~DK%y~k`b2vG2i)iwIge=a^hm_B`v)Ai->fXv}cVas4WGv=xpAYWP zeI$u-&ST6;lsFOlGI<(1iR=MdNg zG{n&LEc%+}G(9g^Ey49hBDF&k0C#37%#L?sjoZoSg%CxaBo+`vT(C$zhlLHnh%Ffm zYihH@-uyj1J~WyBdvd|N^B6lD_B&zc6=+pVREGytpA2MiHLS}W#UP= zo9G6pp$kX?wQ;uy;ui4w@y;eeBF)?SueYl05A)1q*b{Bu-{v327^v+)=#?X5SRr#Y zrD2mWI4(oT&hYE^9K~bB^kFtmPL+`J&zXW5sk`F>&;p{JA=YW;m2^G-zldiUFGbM` z?c+)dfA`>NSRbSQyg~jxj@K|~iFYxf@F#aS(DF4+5L=>>5n^Z0n8>)Y}!2F6dMTta{J?P(uRiZRxSdB8X6lc2TSzxg_ z-4SD^iNodZWGnoJ*Y+8UnYr-^!lA*Vy&7?vuH;Nl9Sq zq(2O@AX>96W;H!dp&Q0G z8po@l&!e+ix2;eEqt-9B*gni{*gZ%83xo8Ns!;c$ zuF#!F4+s)^h#`7$y3l{|68ajgpI6RxM!&@N^yJgwrd~^$=Mt4p|2E{#IkmgaTb5Gx z;{|fW=$>BwcA`H1Iho`&Eqf5UUj{OK+ZgcCyE+GoOh_rsg8MrjE0dfflO^@X`!)>W zTRR%X;6UqaoUu?DQg?&f&-IEr(4;3%VbQr^y_;9ek6^4Gf!>=?x=fk@2M0W9ehJ#%rK9(hn{R1iDU>D|kE>8+ie&)DY zI~Dxb>Em+j2plO%$ouvf_`N|UeD`zNoedyf`2|@0>Io^8($nk5=B8NoAE}-rz-C%- z__Jx=bf@cAK2bK@Bu>8~Ms*Q~nYRDU9bKXe+gX_TLicgy=6Idg5aRk%7l~PV=!*jk z9Q4q^mfLQBuIy!c1wC+V7E^t9=PIrC4B8U=qnMJE zh=ZowRb$ycfX$4NL6ebAo?Kp_D{LmE1um_V@$@x`F8{e{V@D~c{YZ1bKGW3@eFP7!+ z5|2ASDHN`W{{gpQxcy5K^E2WQ1V$xCZ(0mCHELjo_p?B|E!*G|M>+{96;)Tbu*cmR zlbj%rNUAGDrMiEOq5>K73wA0fmS>rsju|(peZr!54Y?z=J8GuQpKY8S+`^k2B)_^P z;VZJGzaN|<@W0|C&Vx_=kIg2Q1d(JWTE6}#K`T|Miavi)v~pkUk9%46sc?L;W7pqJ zKD<1i4gM7Q+zpCZLQHBf7)x?p5udIX$X19_>2@%Y=YK<7)%YQBSLPg3oJ=`|#+~bV z+tk(urKI(0@x<<#A-bEC-cQ;&g7LjaXc^LJ#e~**we#J@+;jQAEkZ5)ySwi(n=bxF zI4mS=>qX92BmxwKp@=*E6VS88w>O4=)1UQs0&lp3S%IWWH#J@(wHQ-%C(T*|{v1yV zd_ieQ(ayBRz5hzXvNjh-I$V#Eeme#dv(H&(BIY{^vRdgk4M!_QgQJzx5huuq^b-1E z+ypT$^x~N90+xs`7`>%`fEa?zWRSvo8=GJLb{mG5Gm(EvnF=nB=p3p1xymAgL3160mDI;=gu7fUinBLpFW&_&C1`j}h_lVXpd|w`>^m%yn9+`w8HNBf@ zuuQ0cox<7AJAVOYj>kZ;d;CZ;5IDcQX?+BVgF|m^)BQ=L*)V<6qUSysnE9}Rbu9s6 zELQhz9HSA(1u}|3;KOT-?7QvZ<&ewJ*^r%`jY#$$L+Dh2Q~0TcGr-gOpRp||Ft8WH zGSvWbbrLY&3i0rEodJn>_1#pbBd_7rHZl_BgQ|~k(YcYNEbdan%C6B@yk%>-U#gIh zDhs8i_sb2cqd-iv%g)=uH;S$WrpJ92+MX+D=W{`AtA-uHB(m^cK zW+IY5RD3)`%liTEM~5RTX5=*D1e9nI2?>~lCo2%w2tp2jsj+e)P5O-9)m&Qc>Pw+8 zB=qTy5gATZW8%mjqO>4{&z8x5%9`S~ZNP&*DhQk6It6KFxD5&lZlHDa|zuon(0i zw1=?17$6ZZBM`9h{1Q&)NVKW1*t$oXk#3EnMKRe2N>~|@?rW~fk6=L)px=!Zb+P`G zdgI-ESJLNEYVm**iOWP)5>*oLOqyXH!OxH2mI*e0aI$mwc56>siCrc}$g|F(Va5u* z<*6{$opi@=V)A1r#M$xLwIS&mNyC~Y7OUqvgSWbr5kJz2UQG=ZgBXSQu%SQkG3htfv`Ja{s-f*=|T(jk>uR!7X+ zJfM?A@-M?DqaAqZZDZ~EM+ zcP-vo8Bq1wnR``8LGEOhuldW@kWcy!)^@7JGX((6+|A!SpAwhRD8DdLBaQs?$ zX}&DB+;eKsp)yy}f$Rfkof8m-7qkWN?xTye* zTZR}?-g9_w=E?tu)TQ%&!DMDrh~Nr28~@#l0P7!o2fqD35HJfgo?B&qtO)yH0ay~Y z2t+US>2M1==M=aWK{6Ww9Sa6hSZh&`mh%}H{5OK6>_|Q3_Y1?#tcyJG;2ZLBlG?|X zJc$wx6K#Z|S=l0iM*D_cJ1L_UmlbT9a$A86u5DSL^@C3cEiQp|;DJO$4i#|=F*pE0F zp$D+_>};sY!asY?i9herJzPzeXKZc(DkiAKD~~OA2JVld z{Q@|2{;0c?shAqR#j%|`$Rt5%Fdt_5LLABLt6k1Z>G!|sh#SgX@(E5oRY3fH z09rYk3BlzUM4fHr2nLnPhIkdcqSL_dqxwqVk+YfY6Hx~-jm3a+>EO!}Sa;xN`d6e# z_`gSryvLTmB$~k}-OU%Q!S<9%4CzIkBb8S65^!Ox!Q+XK`3w`13M|OqxE6ssh9sai9=pGYOT>*t_fvYh7b4Dkk0kVb zB$?%xq^oyyh$w065#=Kq8%ympcVJ`~&MNObQw}4cd5auL>rz-NaV*XwCi61eS*OO9 zkDy~{TzD|cL>tSN9=9~_&xQ>$vL&p5WNh@w%aCebGf6}~KokEOE#~g5Gi?IB9NSEYBDL4y`;bfc z2Or2ZmD)my!1KhX1CxM_4IyIE7q#!piwb8vDTacS2VhTt!jE_!GfBj#^oHuUe|0lF ztLh?;ejx^hk14{XgB+uBDrFMvsj`IBb#(hKvr-_`$oxx>mR%+F(D5B$eG!!xF?**K z?zOa$6XQ4>&$j+$P?GSh$YbLmrXpQRsszKrpJS9X+sC7h+q}U)$FZ1fmKd=qL|p6+ zK$E#w!e=Z;!qw zm6)E~-PVz4b~M;2W-oF`dF~R1CTRYzNgJryivZ~9 zWs=H~LlV4?GtZ)CHXy?reJji|8d4>iAThw_Ge;=*SO6u`4>Y%lqc=|DZ^=k1cIq;>@#3%uaB3P)I&WTJ<{RHM&a1BD`Q=p2ZNTw}8W?bH_Lxc`J)*|fqL z;1G}8;c2^jKN#=Gl~)`;fgd6Qoe&wbedmFN-`?6(bF)UyB1u6aE`0@w%8T34u3Lz_ z6W#(O`s-FW73n*lR)3i@gqg(^Sb#zwS_j|v@=Kt~ZRbPrb}%do*bH9f3XT1Utss$3 zyu|2l5o4jTc@ga#JJo@^$qg(tQD#R8^V^p`5JmbplT3>WO|lWP1zm{H!2U8O<9QDK z91+@g%o56TL|9K5HO(Jh6_xlxK-T>U@9L5t)4j1cZg>Sv9QQzk3b-M^T=OYZ!OO{L5!HaAZQ72~5e+wbv?A6P16| zm6d);6EpZ*D%U2g%}wCAFW^yTloP3HRq6wsOT>amE&#{IvC z*51DhpD#1G-$o1l5m#iclp)aoqs!pM4Xk=ImC*O#QBVr}Cp-m@x?zuciut{x*kg(> z6qUFQ*gXt^6FjVP|IzjOe@&Vf&Y5D{|0cp}2&HYk%4QXK!w(rqv2dFrf*n{rFd2$E zxLTjNZ5E3)tk=U6;MSMQ=`oY_Dc4_=-ftS7EZUg;h}zhjsX5rA#FHS`xDZ-@`<%S^ za7g@NsIJaM&4XyYoTqGmJg<7ihWZSz4p8Efh6i9jLXtxzgP&Q}`;jEXCSpmp)cu__ z7Y_uM5z>Ey?NRg2VM-DyN~^pm_0uCw+(RdtUIO)5W4f*4!2jyj*W&6)P7sY(4U&ce zQlJbYS+O9gHGAsib8MF4TFetquY~7sl0N&fGV(5eQb4&2$1KIH(mDys;N+2tw9~s@ z?8-~79j@x}qz8jqP?V4(|BN&q#00=n#iT;<-6%EHM=ogL`o~gG3OzSUh;DY|8SJx1 z;#C(c zyDkLl9vhXJ2Q2hd*%>to6VZw4%`rtX#u6ggCJQqgTj!pUPsareBYBr#Rx_T1*($FY zu?cv_0tZ_ZxB@5{^>o_%cj$;x1xb+`!u}=OQuYQ3AO)C25M<2qmw3V6I&G-vn=XAx z$bP(F`DYr(6&_j#h`N4@7+f7^0vCv5$f6^5M2N*;*RMYTfM~^|qZUnbaV;9Gl_Ofh zo1+&0FreQ}dD5eU{CCEx9fmyRC)aRwkZ(mq}3o*s&&fpiaf8CvWEFjWKg!+oNg6OKS3}+ zgz^N?Y&Y|r_u*qY{(zJv0C|2OVeny}U?g38LI21}*Jm-!&H3rYmLNG{A3fd4>_{?`91e$JMS*8=Ed4C@;SAN4?*QbR z+Cxz0v+(fVX(YID#}l4G)d>6pO+Z(H>5WdH#G;N4vqD;IL^MINRtBUS^#gX}nlgxI zRoHJA`eU|aCy;0Fouf|#tI2R(JI^o0#_9CgB46zVaK{!Hd^K$fMr&hm`YzY9xh(bj zL>JLq!9=rE5GV~(WxT67)Z~3*Hang@J6z7sSXsi*6=w0)61hpDG23F+gEoipBGY4G z=mXo$%@$?*EWGI%EfDVb6l6Uh6h4MSt4m^kJUetAK3Y2qfY&`j=zgSdYSc0VhNI4% zbpPs15RM;}wPL>S!%Sk4>gML{EQGt`7o1+7h=j*U2LJjXbKNP=q2}TJ2pCCDLIIxg z`I-pExy)8kLXYunrvES$7XND~v|wS@6lb^kk7RCwm=sKm{r*z1w8tXGjlH>7PBVi1wZKPlrnPvtL|pj^r^re5DJ@t z7H4eh&k!fD=jhC{YRtHP7cOHLzjN~oL30yj=^~$6iw7aD*kyZ5~m(a}U zOS1G)212S>V=-l=E>N{0Np+&cj8DxAvWw*yE!n{10q4`#s6KZhmE zK^BO9_fv3=TG?WTRxrvN!OHgpTD#jZEnq(xjo3kg>Q2t@q|S6aon#>tb5tSTrfgpfV>U zOs1cA_nejq=)_SFi!`CyfB4y*NHCpglLh^18*{`CS_fZ=*dI5lqt9h}(6(Xsw$_CH z_rVUkH`5lb7Qz3FsN3{?ZM!L6i}~Lp>Kr)!Q$!uj)(mo2?S>rc7pSIUip#%9zLyVE zE5F^SK-y|3;$ibqMOFLEG$&Pm)#DZ9(PgVr;Mp$NP*4wh?k#(Pu>zurST&RRpQ7WDo#Xre4B(G zKwoXUY~g6S`b1%wCGQmB=r07G5CY zyz)isV)~8^7lc3?3!2 zQ>f@&Vb(i))f~s#7c3ib)lZd4_1@H@EUGhhJ=aUBma2XVUGX|AX8a@8XCDc5}cV*vn6JDs}2K?aBAXeK)`6smAJ|?X3gJ^~S~YwRErN8;jn_x@w)l7`%eE^rFrW zd9e!Uja9>ARC0GVO$Q@0)Wok_6} zIphB=-@8X{ZO1mncInPVQ0O?<;u2qc=l%PNovz(jR8;!BUn@4{u$)!B+s3*=3_YE< zE}!!8BaZb1Y5gPE`NpAGz4u>*2*?d z6F~Os8?O3GEKPiAa+aGM71JF0E+r}HqmoXu@&*8rLTvjd0eFI*(2QHsl0BzLhiD+Yw}f z>orbuZ+2vNG9LNpXT^T~rKgg)g2k5V7`O@!UXZ@MI4z~l^We<>4eML-v3gx!;UTT( zQs#P`BK=#7(uQB*pgGPETTFdo40F%~=qUTv$*|I0ofrsx^D@goyw zV{22xe1MU97jjnvRKl_lc4i&q!c|*4Cjw@kIWBdOcV}0#FxO6`==;G$xGn2jPrN{} zz2UN&V7zAeuJ&)ubeL^;q&h_b`azT$ZZfcUDK#z=iDxw^|D$3PlkP4 zGnn1$MW#T3w+?|#P7FRfaVbzjEjAx3C!`nW2_(?klVKqJ?6$S3rFCDNpI>qIQm-Jbc7-r!j?U5g{5UBT4eSd&G)`v&YA8z zJ&M=-drm4Qxje8DS zKSd6zM3$N#!ZPx5PDOtYNTTPvLQ!Ly*W=ckvGKpc4_FQ*X0TtZ=PIdr|LxuA2DoEv z^wXW+DK3UUJ7gV1B#c1A>39B8d~0VinU}Y)b)2>@Oxzv@1a}-JKl{aP2$-%zlt=VB zD9f9|m)@s)|58r5B`l~Rz9qj85cwFtyv%@x*xJ3R<@>Lo_OM|7PT%rLzN>&JH^o8J zrRyEvu%V7K&jylUc&j4lqQfKVHNx7{vJ>(Kc6S1B>C0$L;MervB~J>0n=3n^`M{im zR-j#(QvC3BAymx{8oA~_u3xKqM;c(_I?^5rEWjAIb)Cp+;2X3#I5?`sH0#hJ_{(8( zd%Hgg``S-#E1-&v!Jq!~a;aFT({==@aLE}awkp1)-mBLUkGMbEKr#^Qb1!Yc`YHwj zxV5_((cBlUd9t_MhAnX2zVLMppU8jK4}A@Y$3+(Q*of?-AJh-V?5Zp6khFf>slA?xs5d>5Y#QcB#Zi($?KwC^VJoQ^rPtWSurCJvBb`-#e-+9KemFUlWm z=-*|jE6lPie4Ivp2%#Dw%FgT%aetNHOuc+oKR5nCByQpvmMN^h9zs>GC<( z1_VU?AjkQ0YxOsk&EKodXP+lmakR;k1d5!CK&)D&k(DANO1vWe+9Ozu`zZ}_jqO9> z8uzImgHrc)Y{{zriexaHAi)hPMCrZQ>gmMkI=XyV>V};Ki7ebfMX!}8tupkI*>rz#QCfPq)!$#4Uf2)sdB1ES;=T*!)@5;9X z?&0NyWKMhIw5O%@>!L-^vve-&yM4CuK#gVz@gJQ)kH;P6huR&+t;*KCmC(0tSQ!T~ zs^jsahJ{hmRn7(m1KoN9GOODRzqc4Jy8FU`GN@nV8`RAFW>3aC<=t1IvEdLUw~g>( zRJuxtv&`vdv$MOu1NKVcW*EU}wXJ?M?n_;Ls?&a2EuGop^g41G4h{>}MMSAibDq<~ zXDKu6=Sk6=%0SXhx8H(iATM1?_u0F%+Nd*tnq8PXTyaCyy?GM1l=tGBw&?HRLM+wJ zv<`zY)ify=!Uu8z=_R_T(cC8$OWX8bKP|R(DJ1n(_hv)0XJ<=NESwgXocmBhovg{m zcLlFhlxF?9AcB5rv(;GShYH(!XyK>H_Sh5s9p@5qFUeSpy{oSzNC*OZpcoe9-3dGQt zt3NwhwL5->VzQp-PnXtbalXJ+<#`4*l3u8;sHzd5o>rqpSJ;d0zg$C}igQ(FsG8pw z*#$;325tmlP&KZk=2?JDx$H^fC_|xL{OIcf{G!nt9NQ?JTwvoyopOcbowm31@Jr*- zU8J+;bOh2wGqlP`^Qr<+S@~beMmd&!s@Aaee`s_T4Ys>6W~RuXX7j&YUawo_uCL9Z z=VP@O;pAQyrMu`B{q3Hi$zuNnO&vjZz40r+jo*PQ!BU-P!9~;E=%ea&jl4}U+9~Kb z*{{XGg+}0KQ=oCFw>Hk3%dG*Zl^^jvU*!uOj=S>GGvD7l!ngOPL3O=GQz5#O)LL*= z|1<@ks1qx;5hZHhPnJ%-QS^jb{~d~u6PrNySuJWQYvs=vO0Tb|9E)MDe@TClIpmF2 ziHWboEWM2XdRqQ3NAL1VwS5~V5Z%vn^S+;1MCLN`i%8IGrLsn*KRH z8V$3=(k+wFPM4d6^Rg3hMJWf)jVo4V|&=uXH=whx7V zwLlu;$Z^Kd%NJSh07g#``i4@Nw!IkiT?4&ErU2jtX?_&OU?WeJB}&&xQrXPOZ_`W_ z=^22~IjTS%x8>aA(e_GI`!$1rNvmX&`)-;Pyf7L0JsvXkDn5od-XK2zu5A14e6^Re z@VKQUME8G)rNOQw=^m~kcjkVd_ttKNp;WFKhdDB;3F##v$|td(Wo_edTrMHCL^OLa zv(>|qGV)Hkq-GX>AHc*Kn1)9d0dlDWC+O&2e8F-;!3X7=$MJPnzZ!fuhiJ0|ec>gD zSH5NjIufkl{qH2_~jkl9QyzdvkA>;}CE_0)ocW?5N-JQGfia9q}6o)>A^ z8v*}8f2i`6W-=OvHC4{Fo>iD=EH+B(Rybi4?i}&GVcvo^)(OfSajz&Y3QyQ;#-Ui* zc5dm=#kT>tiyjl-!yiH?@ER*DNQG&0AHi#JJ<~32`+Hg@YB~(ryOfr3USdaUAFP#F zatZnBUsYfKA`!`C*>II%L$p^ykHW)nvjpn>!6ErgAuaS3kbV$ z*i!DzJze3S@V|NIKNI3<)eVB~cJwN+bae%x;u zf?NX;r;Ja&6M&GmQ<;wPYivhT&RdNzy-ZwCq>UT!O+LC2z2E`Cx`q@dcySy#7>r}XS;HZE`n-!1H^Eam!__N7w@w_@9xy5?TLhPiZO6R zF-QR`p^+|rXo`^((SnEFiL0Lb6IP_M`ms9I z$ubK$8X>Pe+j>Oj)VKbetL+f3*_ay`z#c=s>0+qZCYagZMmR&-zA>cL6gxesTYh)J zbPy)7ap2_E0Z*KT6da4s@z<)yd(+kYW}%uOj5Otcj6F7o4)_3mu!REQ$c* z^cW!IC%`dOo+?J=B(P@}nk)7dCaN4iM{VXBH5W|n4;netf^-B4Klui}j`6kf&)h=x zLd|4a`q~q%2GS*`H82tl?s2CfWi!i(9s04{b(uRbw~dKATQZJoOOHDy(wnGKxUZ(_ zLp_&RXJHPXnoRsCjZMa|MHt;UUni~QVxe=N!Vgxh+8UMDqrpX0A-a6n>ND|Wjw)H9 zEg zfB}t!SviMqMkGNO-k|V#@NICuV<@~=0QY`t{;q(AyPwviwEl9RI!$u2^pBaRH!Hi_ zS-2@8!rv6Fe>8jiiS^dwZHQYQ;T2RHq{{~~`i@I1MCeSeU$H`E^(pp<576Iyd3#r+ z64Etk&OkS5n37~yHPWFmTGw||zi~FRMK+)$@RjjC4fYL0C93}J`R2*{=UBz(3`*K zilW{fYCao44d%Xs4YQv6p?Bqt3qFJ5&f*3587Lty#KDg8lM8N}_x^5kS4@6w6 zI@h6gt7kd8Ks_9FuAs{wg;_AuR3Lc<&w9%V#Z|Gi&BAOmaXtMtkSe*7T~Yh!(X35z z1DhF!nrPapNzc`3ng_YDD>w}XrKVLGKY_{+5^osi)mJ^-_DTq!h}vLxX^hA2K9527M2V9 zT-bbd+In=XGWZ_>L-;T7$|l(?rY6i>^F?J-mHJoKZFVONp)067a{IZs(y&O3mX3L7 zDY%*dvHa1~G}Dxv%5PSprFPseOkr~WifO@QTbQ9&UljYD6Bb{*6d6qD` z7Lwq33HyD8*+V~VhfICt7|ZU*1dSDiw_*PLdrE*WXiCz6g~5M#Fp9u`jOYPmU0a$3 z-*z4?C*{^9oBiC0)nueZn(dZb-6KA9}9lI645Qxb@!epIryyZy`DLF zvU@OF)Iw~+Xz`tQSEvG`;LP%#-5a&x@haAUw((+YZ5;Y5_^?Qub1A%!_4r0 z8#-BD+5mIV7z1~A_y?HkD;dd+tj!GNH%o}s=cjtGP8 zp1h2#3v2U+VGQ-+;SBJhgtwN_1jfmrPXhb^##;_$oyvP{c%Nn*R z#p0m!)Y4rfr)7dUM*MCYi*?OHas5qb8+NKyE35`0noB_n?m;_G$PezMdvJ+Zdms!@ z$$?2lJFYj)Bd>pJA185>oHa*}Mmvp(>-YP;vTw$>fi^>{@=1@yH1ntLd|J#tGysh< z!k77D99UW*HGTC8`_V<`HxKWKx>oS4$Wk>W&{Rej9QJF_2w`0H8(vt zMd<&!$1uQJ=n9_M}0so(^L=Ujems;rLqiSx+ZF$8g%?a8A&q*r!=qSs|L>w8t`q(iG?=HY@Ikw2Y+|h$Q z56U*T?@**_at}!l*k36s9mP{d{|E(hiSCLL;(k_5&X*11ssk^6HF#NCGjn7jIx_{E z>-tmX=yMCV2O%cL7-U}K!*4Aoz%epz86$DOO^;{9K=%*+S7%rGRyQ;gaD@_>He9u} z6lAihEXRe2BaSs$t(#SH38T)o5qG-e>bH7+KFKo5%0fhkIsvv0n{AyABqMV#?Q9i3 zfW0buMq33O}OF!R& zpJM-J%~Y|A0Y^;7fPhmjh0|}B@waLAV&HdsNn6dn3TSKvVJ)#WWK>!R_f>3g>WV?S zk5}w^wAlK?fM?%~I9o56h0m!v@1Sg^?x)z@|NU+cGpcm@=5`KXUkP2Pfu7SK9{%sxqydTRx;|IPbyJD5b*kPl;-@5{cRgVN&qldNs}rh92R%~ks^02UZXW4})U5j69{0HV$dIlL z@#IX{ZjI%UFB|J!sC&tBwon!5XVUam$WVi8m`og*4_acw%6T;SPyXdzt)N)9Bs z-`6Eqxl1+nJdaM`@OpTOZ(`RD^zQ1}5uX5(dPF%R0-uL+n68EEmzHER3F6luuGlwl zhj%%%N*qdx`=xyu2vzA@xm>u%(DkOB==%qN@@>`x1RD8w>uxWtXRbGJBye@9xi0sz zu2qc=1Ht`duQ}Y*B{QjDjjH-_c|T?Dz{4+C<4;IMK91^B;Uq zzon9O2*bniURrbOcir{w$Myd3_?+neK*6y^0A^onO+wJiU67_6p zjS7b&mtl}TuN@5XSD4H`pX)RjP^KfMW^3@(XIiggxadZ&DqM_Vn3T6lUBGzJ3T$5= zOb3>qNhuy%WVMVY-?|^(f@hV3!%>(~q=O)m%>{|k8vQc_QQVS9jf(}6EK38^v`Nj; z-kMRSLi_SVx=L{q>BpHOYvN}&EaBckap!TGamw_1zbF4# zIN!~dM0yjCK`hJaeqD6eZFXRxTXC;Fp-Afac+cnhh<%pFO+4b5;|b+8vcA)y<>)oF z>LnjI(b+e%XP{LVe)rfqm=I!Y`Mz4vnSAj>9f?+LcZ zD6V&}I*V0VWhoa&c0upOD7GU9LBC>Echeawwy4sL9Z72j;_te%zJFcqBLJ~-=(ngl7dDsjl~D0G0=FzQd^R-PF<)HwPIAX60}ZU==%%UKN~xI=X^Cb zS*sPDqU-Ys>yt%C3G1{g(K&-`y2vQ5udS2jjkG`4f~SVZwPr~+fBFjd^+K_rI>x2I z-=j9Ack0hKN4aF_#?`H_tLi%x-OaS7KO%mA$#S=5p=c@BzSDVZTy;O={#-$JbUDhb z>)^nZA${-Wgq^+@o>e#q=MRZ?yRXN66-CvhqblX~`v_F4o0h9mM%9+y4Vtma!Y}Fd z6M6K_a7w7S+2$RW&PA^oS3T)Ht?{2nQJ%4@IxQ!Qz#(M2Kr!r}y z0Y^p$<(k=1jDYc6(RIw8T8%aelBMPz_by{D>AoFKyn$c4jeDA`*c8;7t9ecpQcJX) zGak!c*bZF{ol&K`LmS;LYO4!H0ihh`7wj;V_v;&LrZPF*IXSdAN(TjvO|<47`BH0+ zvD)8wr7<>z%TTy#Krvf#44CR$=f0J^uL!1E$?MFFYqh)F*Ezb6@+?P5t(-n%OMf`E zY*^r!$bJzQL_|z)_0ol4OU#$s=qcm|9&Lo95B>WDlMFZ8ZY=DAC+Di-F0H) z_eD==wZJJ!TaS%sImc+qc})G10NohyEag7VkaQPAN55a6bZ4bIGbpME=S!VTEa!wD zoty1qd0IlWQDUxIV+k>SV$xd!K{0(|vNg7@=^{!VEC0%vN6p>8i>4J``d}AyO&|BN zol4=iIFF+yqB{&2UrWpBy~;V&3kZ$hTVv;Ry0u`q_FRvDrpPaJ@dqa1Ga9qioUO{H zvrv6&t>Wd1Gf8&1DJ`^{#JgX>s3s>jjnt(n<~VAKE>_yxkofCIl1m%kEYM} zMnV2Hs?zB*Fnu?7iYDoCu1}ud@3UK8(#jixYR>fiQ9N|nBg6BHm|kfsj-`)knou(P zd-{D^+`9i8uZ?Q$Xj)6+z^DAQ`hI1s9t#hx|G4aF?F^DIbfb^lC7T$+1{6r6r zh;GMhYu~T<&NU6=jPRRqg(eOX?d&x`opIy_BWO(T%tqT;@oo`Br_5&Sa7cI?q*(=z zp~y*_%{ZR+sE4hvx3MnXysvqTSlcw4AsBWMy$WE(8?%MQ(gaqD47)>{j@x2nkpes&~mbSs10qVef7= zGptakgVzN^mfgS_e%dJCO2=z@F0CZzahuu@(^0)Q=TaZbZ~5+8jA2?isiBR`)yPhpAAv@fESm}^&|KA#h|3CZS|Mx%tPtnK!>n~UtASbGWkWl!k_|b3|jxDeqjQ%KO{c;^yHoICH{(Gf9k#0EAbVj8$9gBrvfmJGefQ4> zlScD%XlU&ZKKl4oufF8RL-XI|B;`7IWrwAK&LC1b##gOGj^;1RyYy`cFBOduJ3v?I z3Qac1UhE;ltu?>gd%E9Z$S#lx?bnYi3!eJ1BRBSae8%6--T;e8-&wvJ;>;Il>l~0E z^ppi#Bdo%Pnh-sf$68M07fR!YDf)bd!#h;~Oq!MOQ(3q*h6^y*n)z62KRg;UKj-gV z`*8Tn$CgJUT?4IDXuocDf}92|Q9tgsMK)gQdm7nzjC@xyA;M6&A~J4G7cYriJM*>T z#qsy<*;0U?X=Oz%qHNtDJ)QU-S7Qf-JD=fs7>=KM@v7;?NOVTCC4FMFuA;7%!q7%8 zS*HM<)QnrDtZ_;n8ctaq@RdRp&41jAMogY#v_-jm1g*%oX(?7I=Oj-=doErnC!7^c z+WyxC0cCAmjrirz+m#E81jNteyKKVA1i7Edqi$oM-QzKnNg(%`W_f8FTVPGhLRxs2 zL!-Y2`HF`Yo92z9u0u-PqTcCt{_WxY6dXCU`MwRevBA@|#?r1vjE|*Z8Q#g?n9vw+ z-n<)PD;75V5F_7EP&Lbu2(2vcC?kK76fQ76Mn1{u>(3fe_uGgu;kn}P&GE8D8H|!7 z4S)Udg!APeE(>L-ERIHrJb6&~|Gy)3o@ z8O>35s37rq1!0~hf5bcoX!eMXCBv|ag|UIc`#lq&an5YRwPVEz3MS9P%#wc?fHx5Y?{;$d13&RelDjB~NKUGzK3=e%B0U z{@%3}EII!#Ukz*CW8`mZY>*KazNlA-z6`>##KbJ`=!Eozl$br`VMRDsiD<#dev z{`s5g$*LG-PAL6%3Z|rJXt{3+N}N@beHl^=cRUBJQ^Ci)%fK%_adI3iLQb{?9ke7StS+i_2n#;n8(U!Xo>tK z&e#k4cEV16#}5vBbJXB%$xwH6d62eRmFoM#W>dbcLV50?v3kNi(7vn#VBm~|m8J+g zn2zQ+36$iaYtd!}zBWdFy+|&TaI-aEjhFL2cbaWpCSJpqf0x-TTidLku4xfbZ%W7 z`M2HHR+Q!dTy;iFl*eOJXA2OB06OjOemIu5nnC6Ng$~S?d#|DZ;~RG9v9WRoCEA32 z{n`S(`*eW$IsA6ifX((_?*M#U1Xsq2j5%4IT6J}%D;iGERhNH7GuS@%s~g=IzsIU< z)HK|u(@1$%Hq#jY_sbm>fhVXF&_CrmIbcc{REgK4(^O_vIs31_q2>eq?Z|Ta1k_%R z2yI9s;ptqfQ@OIUDD`_}Fdvz)D4_EF*2-CM4U%}kKT_$JR)V|AV(POo0? z&oRAuAq1bL{Dn9i<0DZ-l4zdHtT>{Jx*h`}_GKvZObyhXmkZZ-$UBl0s8q zsNGH)ODVHJTnV<7tO@ks1yedScU*a$x_i33emWQ$ufu>8ub~<^FT(xrLJ>&5@0%*_ z+tqL|S*h8C0P@)Ks_-51 z$_A8o(NnJ}t0TdhLJ-#oxr8ynqahTb8UMkXmuqbtTL; z+`>~!;2aSvAjEFRwb**7VF$qz_MOKf+AGlhXXOC}^*TG>I8l&TIytlkyA*o^9ge1Y zi!;${1I~Gtea!^dhkgFn&NdBQux*C(ilI zL%C8PYW+H@ZU*DV$V~`S1M+VafEnc>AiM~|oK)NqFm42~rW%1FF*uJ&7jHYj|AfUQE9upxPNyh3KcGHo0HyfUPWIu%*gB43? zcD{b|Y19nD1h(3ZxtIBPfKMX}o^`fNO0(FB?3BI(<~_M}R`X+=C>M<@-K>5g<_~43 ztaZ+9IcpXX9_;vw%olVF!TgmQrmMfME#~AWNqzKMnEfm!MCAe9qy!ovo}F2p^w9ia zN$fC8t&|1HEmT2|yXGCtV+W2k6&jbT^;0ar*e}9p z!J!!c&O_}o-~$Fc@Ko@_Wh)4Rc=VA16lK&m#!2kPPi%7CrSjTlDb(~&T05<(=i9Ml z>msq!^AbnP`?(_KUd|lhyC$8SCrr#$+gYO%A1HaJS=BP^E)R?JbdH=+|&$tuJFeFVwN; zC2{B5ixbC4^`bmSR-ngmpH`2Gn<}UmEcM=SST(gto3&|L4pZV@QlmP*s;uBv+88cm z>;##uV3f}1Mdrkh+jwEPF;+^Kt$0bEOvGTF4S^0K&#T-n?M^F=_i9!8f*}yXw}k`J zH~lfup!%9dK7U@-S6lZ7{5G?|@s<)^5G68VLEhAvGM-2ii%J!$nYVyqs**2x39v|#m` z2LSmF_j_X|KL1&kqf|N>tI&74(ck-WhKCn%S|Z zS9MPTu@L)3$BpA6aV#)Or=Dfmk_L=B;J@>Cqc;#?QYEwHPjdE6wpiq}??H^U4JS}^ zUUmkb&Wqif3!V_UK95mlqSCRqo92quT9q-_EFD+8+2}dSA@}=}k#4f#=;)G|;x)&f zzxCYx7vleLB6L6S;V5G0-vAWbskeo)Gm`-}xhciDIWk4sPr*__Zylg*edCI1mWE-6 z`CT>O^Lq-~VFzeau-j-8=3a3+$8@#hX}8|78=IlRVi_rLe_J+nmh_v(@oo^z{aSFN zrruvhI4$U_4BgXw`@l3VUi&2JE3vK^+hYS$f4KS0j3&Hl^WdP}dZxSF-WD>ss{^du3Zq^g>(mOm_7>j!Q=*0OEZW&BV3U%Qa1_%${aT(_QQYUJPIQ7J@ObwU zR#u5J!Tt8pHYvwhW&VgHjzDwTyhYtu{?&zre!*YAY(c;XD@dr17m~*4JuW)wktVHX z!_AoCfDz*1fRR|nEcHR1%zO_#RtIj;m#|6Dw-ZdE>{^977p_!Vk(^=+|)e zTV0P*-eoRdix-PHQ?1irfCkN+!H^5HSydadyP mix<`uRCRF8CW6vbyQaK+@ZSkZHpa%tXAZ|)Y|H;~Hs(K)@alp9 literal 0 HcmV?d00001 diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/complete-system_platform-designer_2023-0.png b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/complete-system_platform-designer_2023-0.png new file mode 100644 index 0000000000000000000000000000000000000000..7a86ca8f12089356173e1af6e0ca8d332a2f48b7 GIT binary patch literal 140004 zcmYhibyOVP(mjm31b4T=-QC@t;1V2yI|O%!;O@aCxZB_!+%>qneRH4Z-uL_cG1IGi zt?tvOx~fjq-upzVC`ltD;3I&6fg#JvNT`8 zgvX#0C`(aAQ82Ljc*HjoXwW(QcNtw5Fff#X|NOv#j^*ZHV4o$j5~3QOhG#j@Kd>}$ zfYa`?WD(`Yv!qm1CXjM1t`Q-U!A~nc@-4Lg7ORVLu5Q?=M2W(r#wC^54&^x zIyd|rJt9M2gF75|7uVaIrmC;m(o2LtWRML#1G8Bfl8HO3j3#4;=3R}NouLIk^$kuH zlCgBVeR==X8g22-d-gY2jQ@Q;%4?b8z>k$GnzdRV@aan$S^}u3WbdvFS|^tvZDz2^ zfj1Lqiv;RDj889SP}3)+r&|$O3kag0l+S2RFV4pOcUKe%`8ueixvUciro0MSWQpebE_Ufzxe6 zh`cN=r#8|k(i=MKKNk4U`|L4oR!Q=vkm7PCQS@^XsO)9@ymK9h)95gi$E#2ila*DgsD0KYw0np-}_PeV-JPhJ>(Mm z1xvo~=;IwI7Y24@5=7S4*18q95iid#O;1nr&HZB+m$E%ZaTz?|n(;M$kgGzrXg!0y zbbaJEc!3y2hOG$st3#hn%)D*+dMCsEOA@hZfsK&da`pI34jWNc)q0KBNSwc;Wdw7{ z(;UVFz-a;H-^*{Ez7=q{+Pmly`PxM!?k0xtHxIVfcuy5^sb2vIEUlK07{eFXNACPQ z%>W1gKK7?XJgST|SS^HI#w2H8r*hreFe)skbDdFvI*-LmLjU-ii{;)yYFjYO=KeWFkz6E0pqVRT zLl_izM({M`+naeq8ndlE%-2@uWAyh^aDHU${nFQ(eR_d%jg6UR>l4Q zz0A`T38Mv@6+^F&b`0P4p*~IbWLHj%Y)D)z7|a>^KU-u9c(KKj2pd8LaNeJ#Y8xin zvhXbGBVtZOV$jT`8Trdzc0K0mi@%6^y__{p?{CU8cTuK(`=*`!Z^~#O&Y(pd@%-6$ zQ+cBII^DL_65A2o7-$wL3288Ch0X>Ndn{Zj7uouQrRD9 zddFv@k;vAfb9zNKAFf3w6eUgn{NhaM%~T<@WxcK%+Rj`z^HD*;(7}j2@Nx1*1>Tg~ z6&CI;ryms*pqY}$MGFH_<)rtd7_Cl!8en4KQH@Etx)OHXFY=K4tcN_|{Ve0jWXU3#Ay$gAGKPjLOWm!zpm3Cca3b_e0TulisQ zxT%zK_=YZjx4Hd9f^3x|Nv5Es$?azc;K=UNT`H}bs>b;EkbeEs%~So4=gK^QW@nDjRSP8*3L>G(r| zk&GGm-!(FVx2wNxL1SJS?o4m@1s@Os-A)z~%`Dj{h<3tnEMM-9lN@ThU+5GwIb=(( z$UgME{z(~!Q<84Qs|Vn2c1FTE#5ytD()rt}5c0c`*O8dh{mOO<97B@8Th`esJfntC z+)Vyg{wQ-v@YiQa$>G^6uc+tP1y>)g({H&RJ=H@Qc^iSC?f&Ox%4(Izhs% zXdH5hMA3GU&0qn->0`oiYXUs9X_H~uOD`l_u~OE(pGm4w*dpFYUbl)TOO9}5E)XVo zBju_jvm=QlyoWyBymtY2D`gavcDMkO6CIOj9qYiOkgf5bj78xj{^|a2*V3m-&c>*h z!>JHpk1E6ohIM6c5`1Mio!kj(fdGy2FYk|A0ogRQdfE|8M%@h8h)Cw=ThLSfJAz(G zS{M0J`Itpgu}O(oLj;bUqFes2)owq%CUW?-H+Lp7*l8IUVmAF>?c3syz>6F8&R32j-Nj z0&~SsJ|$~EzV$XU1}X#!o@<8vI`q^ZnrfT-Vy|I27HpCDPic)-hN|*=;sgd2jw#&4C$k3Bh1i& z=`CeU00~Ke_wxa9ll`f?01Q3T4jU(|n30uLIb5oa_*}7*85+cFwExGOS6f)FZ=LI? zv(29yN$=~SW^%&*_!;_wg>G@5;~n5%$cfB9mDbxo0vCR1%44@0rn>$h{kwNQFnu9C zEpUtU{&qW!i9H} zZ@UHqr~-{0y-Xo{ye@|`AU^?I4x!{$SkIR()SF3z79ukGal$XbM}x1wzP2#^wqN`C zZ6cja4uOpfGpoj+BdP(cg@D@ule_2Rr80X!2+Fim8kf*41hELK3#`nQ8fXk=Wt zB&RABNok;pxp|60#lS+>8E->$S40E=`%w4q<6}!_opHhEc0qvEfYGbfWj7>4HbIja zkQi-_)h3kI+a2;#K8;QsRqzfDv5Ls5FQ|m!hh*JMj!e9;Vf4?>JB*>a?Sn}OCa8lG zPMevrJZ-fCAB5RfW#JM>ngD5K!F$a6)1|zi;2_DspzRP^^V`G8WMXT$1FxI?61;iK zx~(18t6nniPASFiM^3?eP!<|`x@J2^KJxGWxO=^Z0#{xv7{+ul>_n8f|BGmn3D`)Bl44}FJ$WE46P-LZPA3&Ej_5A1Yty8psnzvuO`uTQefyI}%k{kvf2?Ty|@}e1&r`9e@K` zWg@Z0e5^Lw?{|ggb_P(xW${S`uk2*x!6ZEv%Ht)U`xh#Edr8A@4hIlk7pvC*9vABz z0Uy2@4lq4JuV*fqf}3hu4$xH3HiP7 zNjb0~%po7UhNij>%7Rl-q2F3;kXJZ=$GM-n7GkB-DU^_<#1v56B_worz$!F7)%IBePaAlTIPBr z?z+a{05-Zfh+tiv_^5nO>?jC`#@Ro0fNpHlmwaQBV&eiajbEm z>Svyf0q}39dwbHhOEowJJ(lsr3k{MkT6BwZL(w=DVna7o9QI`rTBaz(JTi*-+yygo6#<^#b`M6*Qz2sA-j-4_(#$oMJ zwLMf6aOuN<%QPa<>jC~a%TNRu_YFy@n&r2v0be{tFcq;;0` zoZNuG(EH#wyU(H=evjyYKb1@Q1|9CR(j>9y9yKB_|8WzIkksmc4_*e_OJct!fu3M} zHuPV(!XNZ_eP;_580SYGVFIB%qQ~@xo$1RJhF$pd2s?KD`vMfCjNT7tk{C4plU_Ws z8KI`Z$TWst2b`yJHlDvEymY5So47Z2b!S9D<)n~o*2RX844+8bkl=i-H8(bY1&imU z{aQ0da9kJ$jglO(gf54y^X;Ab^vuUQ>~W6AX7W~y!ba14k+iZb{|`3s;Mo;g^)i*q z%~}~D1tNC;|F-%FF8O3`dy|Vgy&Teo3sx{pKK0IDLaR4BeE|YV(8)hY*>psrj7#YF zxDv%0j`EF~a|$g8;%DLmh)R8-5fVt&B6~Mi&q@_m8c+_vDu3_KX+!_B=X-4nuOSawa7qFTERe#(3v3|qZJATz| zq}s%-4%)&c3sO^SR?t;#>dxg+l`499IJKmTL{C2pO7>zHD@ujAg8Yzb3<4iQKF#N{ z-yB2`^QyNLf=oem*^Ab1bB+>;L#vkEQYfrYFCD3|{W}C~CjdAPJ$r!?r8vL)h4~IB zZPjSVu8a2t7LIPp=fX?O3yiMmlU~XfHZcU|s1We|ALQdxJXK7XGOpQI9Rw*16>o$ICK!2c& z-L}jV@}oW&Pm`_@e7~E&8>K0-{y<$)IvOZPx%t}la&LWIIMm<|^8g6}Nn|_mlkqh& z^>>fCAF-6F+)oDDqU`1LJ-Q=C{&c=?B)oFWeyAD)0LcE5z$s`InfkKFnD1cMwlfNph8_}^@?c|hf zBwcT}myX&oa_kY2ySgb%$mShc+ls$v`}Aki`4RQ4k2~|d*Hst}SWuv?`&Sv?K$QZI zMMZ*T2&HS0!ASmzXF9J3%%I&fGS}-xceTL+5fm>uHVdBmP#o((B`=kkI;C$%H943> zSd39JJcw=S4p4m_uMg+0cgw73uFMAQgAgCQr%v^8a7FgA{mgMrlfM3156i}@1ncBe zxI_TGCb-EA!SGzu0s{5@ndn@rF3gxiOp_gqB4r#VkwoL6h&0owU9V~P5mD}B5wVHY<+I=Z9=6H6hj!+ZrVFQ3s&P!#)T zz1&|aQ%J||Tgqel2yJej4f|4CTvgq4F5WjqM8za-fZ;IckRnX{((H*eZTvQQdB-D6 zu*jdvWRUrAwnA~b4(9GM@OpiJUkFR=DT1l0umTnE@mv<;00r}+9!I#ckFPSXMX&V> zaB1`mEmmvXG>AEq=l>$BTifVx&KI5|mr56L({A_7#@mt{i{%3Md9NF(cfUr*=lb`H z;Qa!IGwLOQ2t4!fCE_%m_W|AcMn@WxQ5ypS>73O#cGWxu9ylUAe1U+M^Qf0ptMfsW zTL@!^5!Qig|B}(zUxpOr1l=O88WCKb*ZYNeWz|IT$N2$NK?b?&4ECb~p%W+{f&A|~ z_+z`EVvN!{>aoG>6-A3n5t`4a+xN!yqSxB_;6~F)yUkhhWUaQg%3~bx7fIv@Nn|ya zq#jX(5>lsF^Fbx&Yu$#?|`DbWZTKnTSO~fJ? z7)i+x^=<*)5)b`AYuj|bua+ax7IR9k8cbZF^B#WxAb5x_&f$J~gd4>T2^oUf^uM5S6iWUn+i z56S&{)3L}13!M#dq*g5K%_qf^{QIKDjg3N*G)Z|ll|vIXeW;ivkw73|9s!WYp!St~ z-0%{_2fpahiNFwEL`^oXTfjWR9dKGV$hCogooxNaMX98|hD6=rQ^%Y%XN1#)s zN&z{eUZ>9Dov{seuJ1jlv{Mc*s59s%VZT-@@K@0D?}g67mpWpKq|<45>#_xMLiQDG+0t{jQ&J8qnaSDp|(d6H}K!i$j7QMlfy6!B}ddndEO9 zPOE`1H|H3%80jcMvD(f#`H)xd`_oZDfEnZqYQBt?0Be-a-wQE~qewoDLJ4@A_44}t zT8d8-$W)z|@5|x78`+y_h6(PT?g}ddjUrxZB2HfSf3){k3UG^ulc>g@y3(_FTOsH0 zPm>co@GZ}2BY!<6zncaM3n`0io zNy1+?e&6Y58`J0(^YVVFC_A41Z7X5z{jg$beSfm`H=fhhdI4Buo=JZ56oE$Zw+}X2 zkF1nLVQuI&o#U9GA^_|Lzx2jLz`32hp(7rY=I4FEk@sh~d-l9-`z^U%XELB; z;%$&Z%Fg-)paJ=i9nh1L?d$W+w@%x?dL+Z)DJ@izPz?U|{{9;@b=;=kk^KsIt=$S6 z`HZNJTEyoWv{YC=)Jou-$#6f1`vN|EOfT4Ln>Y2_&|hRstU=`%H`FnLh^RR99klCy z0CWnC@q?WihNq*}_S=wA3Dju(c}ad5F@XS&M_AU<7p6s?j1RuxS$4}W%Bl&t^9FM) zrC#y#xSvl+sPrvPRq0T537sBCTrDZ(1d(bi4$v$Juoh#wqaB(vQ6ew1)@A18zC@O1Ih2B2?(EfI%9k)cA(Y>%VtC3_A6{0!(>Gf?s5cv) zYpvfipByQc-TDutNyWc!vcG)_Zxk&Omum|kcQ+dz)%Y?f;$;dMz`B8&rMUe#BmAib z75>>PjyirPQU&Z~(jP?vBHFTCWldZO{+8@uQ(?M7v;bz8S{efD`ND}@Qo=~G+`g0t z=_H33+^}q*MqlqOGa+%M^a=uGpRg1okk^eB@e~MiGipT()L>4>6wH)f#aE58qz*Y< z#NoP!6P>tZj4Xo(H80h}vY)g!f^Te$&k5cRJYp=deOlu!yN6meF#$gk)m~A;RIdKZ z@^BnWk54 zQ{W%EizAaCPa7d_1F)XDrBCZx6SFiS869IvC9iIdRB0)cK6NCmgJBVuB4U4&s+wLP zzEzw^A)G{pKVaM;Ran*2r$?wDIN&OjaRM`xcxjSp;Ok2$jZ2~Q_Qei%eu2{2Za*Op zaj7m0mO|tyG*5?x7=vJ72N->2qm(u<0xzXLQBRz{kQk93?of!GVJ3l+UNbqEmX^N> zxh1kYN`{_zN%qkSF@#|3su)`1pXzdpqpj|bqR<9uLzjP4w{-^mJvB)m!Ca~U^YS{k z6Z~4P7;GtX3PT$_t6Fslsj_}eW}aWOq41O8IS~XW_ksAnASM2P=Iy|M8v~DoWGqOQ zSQkQ58N_SldOe2~b+pwg!G3*VY?4W!GRkno5HEhT2(xRzljN?rk;)7+ZH#Otr8KHHglU@ z68=$WYFf>y7ePS(t!iN?(!?X;mJ?>Fi#9hk!_zLo1n*XFu8rQT{hn+9m>0`@2v3b? zdnbz&SAA;O?SGTi3m>e=n-FKJ6DTXfS10N%lS#?mI*qW#3FDBQE1lC}i%vKUED_Z+ zEoPYVX2z`-7kFyGjkP@+Lw7G8c&^SD$+$vXD8|Tf|EHc@m&q3itf~?LS1kl9-OWIV zv)HXVZ(${h!6Ik%HHdL@P#pM7-O_PKP411-N(wT@Z{9WkKFM*lm0j}<|34G5h2$PHaDKG0bq}xKG8_2h zRg!fQ#pkItQ-gU_aH$r?<06EQ$-FwM)(VHVhptS3-=>1F_IMnv+& z^rb&o&%dka>KGxAN|8zx<}|noS6FMKQ4d2;gKpLk)_BV?3orJ^kk{{)iJm0M6g#T| zu2uzF9KQCn{wM}EDUb;9y4(PMJ3JLD9Es&{81z&c=~d{9TzeMJ{+r4FDvLM(*(xZ>;aGu)ZQVzmonc;9Bo`z&^@o%Q`VvUEZY9`Yqdk;lG!Z4o&v( zMY8R-TSk^DH~zXF`APuYqrT65+BWIvvN3YS*@F0suoTA?^IxKSwm4)d2wFa7`>f5} zEL4IEru60-+1C=D0_#gRSpp?3l%38@Xu7@9F}q??67+T2lMs}9TSy=(;yP<8jXE^s zR8-WBsDTHM5=7CrGf0IOmc2~$DUW2+{5Uli=2b+3L6V;Dd%Jl05U*pg_!x4G3^Y$f z>BmuZ*Imq75+!GvK)Jbn+x|qjY2Tf zC|?Q_pZt-E1+^&Lw>wHuFV*FIww;OSuuYg==D%+DNd3)k-=RNaSv=`-XcX(?zL($t zNR;u3d%h{r0W>-{>{z<^?L*iReh`EzkjAIE92L{fEi3quzH@Lt5JVFDMXRv$4Z?x~ z)((J%i#c+!O~nOZNyW310Naun9tL1+u}dQ;6yVGcXz1*sQ}7;Eoc&EJ)<}1{ITXi| zN&+9lu^>4*$%H7v(wQ_1JLjD;p_Z;$B_1ZcZ2(eGJ+{X4?07@u-PBqojTz|G`Gpg3 zwoUQIEU;=4qkK&Pd|**U1@Nfv#y$5L34h#MZVYN~k{K4oqfL8EcpyKs2JV@JojI&m zr_-H!VxGB zDC9A}BzE;oCFF_T+sqc@476+tZpq<6)%lm-JU18MtQ&EH00{`$2qW!j@Q$(Kw3t>! zsd-&r3zZ0 zYGj{b5(xpnoG0vsKvh%}`mYVAJ%j_)T{lj)KxY`UL7KL@I5P#;XmdphTv#s93ksd} zGaq@DaQoAu5!TEpQwUhC*gt`L(AzcAxI z6@comCqQteXPgKPGy+d}ZLX>yYBv@Y4A4$v)qKHx+#H&Ye%oPF#hlXU2meNwoa5VS z{Kf&u8;XI*D#vM?t`jGhMjg|*tA4{X7wlo~d8|#ZF^Q^=Q@uTRxZ5Y~{4ma)?*fd2 zn|G4J1Z2FoDk8>T{?zEHVNwR1ezVyQt^w=#+Xg{$e1Cmk^7pR{0uoYl&8D7lP8tJJ zWE(08r3ayOcMSJZfWG_lHyfFDIt$VQ|TXvxT|i)QH9*m6hHc_m@K%q2qS67IKy z7{99qDx6woAXP4H|=amKBFZb(UT#k-obTN$s5E(nuxy>z9;qty&eu z{^xJ9+RA}be`t24jwxhgrOsuoL_W=GuNjiV|IPE4VG&=~cuBDRq3_d{E~c2`q5@*YBjO-?X^g}{PK&<6O7Qiy03BTJ3)NlJ& z^7EAr?Wv{euj4yh1@7l-^IsjiM#oGT47y!2_U0o%VEoul%Rj7O|G+@G=IKuk_cNZ8 znR>xLl}2e=)i087U_EaQNts;sbWSJpM{XI|c<4zvZ@%?xW2p&$B|+eOF$j_vS;^$A ztPtoaDlZ4{lCYXWG3vLf>~4aHkC%rv#|q6#t-GVVLm7&xL@v;riafTwq-!K4CBGAX zotLJNb{4?@?u$SFvpVZJ4#%L=Q+0ExT(xM~0MV;PG3&z}AZNSyrgXmA1+ZrIyb_5F z(AO9EZ9T8IX`jVa{Wo6t<4eckZTU($0wbI)8^lfg|2*2|H0vv}wv#K@#GPahuJBv) ziq6fE(w=^kiALpph-!nmY>~lQ^YG?|Pt4#G)LwX-OKdo?XYZ5GfRU7#ezxlG8#Ad# zhmDvOc1t`9`83v2KW@nh5L*%}*x`Q7p8aiWiLxiWHyF9Mt8Mict4+wHUt4OU-RGxwIS8Hbhe@HAGHe#CpFws`Df^;f*FEe@>c7#Nf>IEJ2^aHyy_K2@y| zMs<&Wi{i&_@uUjZgNX!=erZOm ztM~iYveQtpY;p{(dUx_3nRpoP`C1FNj%woV%l#?A=f^8EP;d;OU6WmJ+y~KifuPk8 z^Zxqi!WVwsL2Gih+9hA(xYa#rwOm&~2)Umu=;J3l;3udiMkd?XJ2P!dJbJ zAk-jy{+DbrwKMYe>eIE+7+k9NBMy_kv5!y+=sVFF{AyaJ*S=6~_kCuozY#AeC`h7_ zO99Y6{)bijyx;W<8+{e>y5Z=$@`aQ|96aa`jdmuvwmi^WH@?HT)cC*8CP$e(QqM;t zT_@ZHau*KULk3-(+xe(GfaQh0MwhpnUb8P=^_y2o6_t0*g$o0Zu-xflwPk2YumbGq zhqK2+U-a%Sx=!K^4F$Lm{^1Lm)=!XzN>sf}sqE5nvcR$X`6s#sT>&@ZwV_77h^P>? zRyw&Zt9-tI*NqI1$_NYeqyaXw;e_0XcjnVQ_kZ+7YJcedtV~;FEW!j}so-O&kmoM| z_UQ+kUzd8Xk&(OT5QzHK)em>~_KqWIa`T^WkLH7*5zXbyK0n@xu2B&Xl8nHhp{GlG z7sRlH2VqZVx2HS3Zmm`tEEZ~wgyCVY2mQ1KZbm3v|IUzQFzK{8Tf}Yc6}CEn$c~c* zul{w_#J!pnm4nGbNqMWi;hy91Ya`io&}VWFDcG%b)(|q z3eley0bs`Z+a60xgr`ZLKLv5S{#jilw%m=e+Ad@j4v9xgU$a!v0{Umu9>)_!T; zk#u;S)zei`4mR}HYe?z1pDoM6q7a%F;AbJ@vfW^kSUQ{;JKPwLyx{#nBc|%z!pvLB5}D<|3~%CIjzcgXnF{H{WyVh+8=8BvmglvE`p1f-4_v5Lg6@6#j7(~y?w zl<2eF>h7w7_67mz2R5m6nk(mdt}l0ZT9gx$wEY7V=1o*QJQ8PsE16Ps>m6& z+v$p{-()iPh+v>$Z(iE~6mf8{V_&3xayhI6Om?}Ay5BHyaRHam7c4d~3At@9N2MJX z?a{;mouvQ=kTx~h2kiI@5fMdH>A%Y1SgGVx6J%&Qa4FSY04ieNS1$WP?r@}1(2aBB z8%Cv|sVAkyb8A*>rAA@X_6J?-Y8B!~nZYgR1$;o?Uu;BACu*bi>L;eLR88CZR zo9(41vs#QTObOO*(1(WRprHY&HVCG^zU0D|0`yehGD&Ml{5Yc8d+ykNTfdVFzSoFIh8nF`U4_2Qj$4UR@x=5_FzN13qcnNn z`R-DDrk5N=!cmCPE%2->|6A$Jnp<>!V|p8co63+bwGuRHtnxw&V37+dK={bRC1Ive z7BspLw#2D{Y$sq1`Hf3~cmc({ZWy!%{GJ0zHtSpM(-HwNz{sI6trX+<4 z06QpEJZ)wZ1F6C2S#jY97JyAMjCQZ=C5Neg`(t>6;||{U-b3xdvt723;YJb3G}b|h zMJc@=g)H{->P-+O|Fy&D36E4^KJG$=Zf-+kjBVN}tKemIOW2qmz*icMfbeOVOQIFy zJ27KBaglV*6(wN|+YUwB$A>I|`Le8X_CPkqXJLS8RK>s(bKMG=67$2zTzAKIhz%7W`y)z={<=pS<6Kh{{Upj_J(fEY^( z6%T~qDtJ@U$nTBS%7aU9L5q)rW>PZ`Fb=9_M*U5}mG8f_Bj`YV zo(TBAXBExze4!f4i3i&J{|_8iE39KDiTQt?rAD*jD%2G|X#c}M^1pc(f6{Get-D|_ z^!uquvfC_V)c z^nDX(x?_guVAw>=QK)Q}!Pwnzp;;(w^cDZEB%b@}V<_Hm@31+FrNPz-Wd$#X% zK2r~=M(%Aqxybz?kps}tmGv;8*P-9L(FPI&1v`AJ-c+uG!0C`)*|)Xf_vn1JVE_R& zPL9OXh|?=z7MEJi0e1_MEqNq>YlG0Nq&$#z~t9)8_kwSf8e728lkk zs@1!R@o~(<^%bZ|WBxG2$iZF~h~#vusJ7LjFsCGU3_$udIkG6V2ZJG*}px#`JzM9JUWD-dPLsX{)*wI@D)NgD>cyD z$*?|vLk)P+Yq;|3w7-^F5e9qQELE5GH72|awTFVTEL$=QKX_1VomhzQb)bh zqGa9N4%yph*;+df&ZvDZ$?P%wYxuv=+!cxR-iy^fz@0B;Pz6%V?NPIjmM?oX?gcak zqJKNKvxewEARNF;A$=>QAsQ0&&mr8yd`2UGD$5HHhurc!#`hbg(p3$FD7+`0qln9bsl57|cEXu~#UKrqvjZ$be-%jDEI=OQygwp(?ewrxk&E%=iwLM2 zqW&ZeP@kQfc08UHNna6e`8efFfg$k+;0LD*TWd3 z(BheH=s@DiCtM(% z;;@%Z7cbiDH3|ZVvXSVh<`T)bl-_=}yI`~#f9oH7#c`uZ?JT0#Odhd&@Nj*wR> z{Nq`B;u?bnBpDCd-JOv1iG+t48a7qNz}6rv;=XZV^d*oZNP()4PQO-$di97I;Bh*m ze0RArV&#d8R>H4{ijDdmmjs7^(j1p@rErOCMyy-3mB?-mXQX1iZfvmR1tNsf->@Vl) z&|<$Qg`S^0=TpbEkKdke-w5Oq(GpQyp64vu{15N&REz8CgL`^v59TN($#R%!I)Em* z!ajjY**v1Ns9J_1mk0CXzi{aNz2K!J{N^4SqkLr?{dr+!zSZfUk8iB zI{YPplz9%345r*yLl+ove%0$?ulSR({k+U{Z@zwTex!J3TQmRUd6zO{3|zJBDN9P+ z{UJFO0eW%uGQ~2G^soWqKr&57wf*}J1ikEap$e5fb2?!D3M>v0n?VLt>4O?@^|F5E zCG}%a(;v&{dLeSndhL3AW1%(2q^_frUgg-Y6&@HUT8ch2hPj9r==*%5y4g{KEUhT- z0$n=n=2a2x_7hx(Eo*8zd2XTiSe?yeHGe2&RJ}sIERN}qVX8xoh#s+^yTW36s5yI2 zF(Dc;Q;&O8Dg*T@@}hPhGhB`}{7*CCA+6#=nIePd{(5|`!N26C><|jGgltx%Lr$AF z2c5;x+KS)Mu<*o1`*ROFLkG;u4m)L*lmEQ=xK(EH($5HP7mx3&na)d)z-TTR-@QmP^1h#+o9Zz)#PAa{kU$A7<)Cr zwnGu?X(ZOAe!lsD1i{#Ebu;Y= z0)FS$Au0l9CrG!;!~x^X+ScVz)<>>#@C-N_>f!a_(KJt{pK3H;>|!&2^DJ_+7;Mj7M`YtCAeC>DBe(u#GE+j9kE^5-f zeZ{}+J>0}=qKMc0Wtu|;OVVGZTlCW_rnBHpcBqFNmMQ=TC40T<#Y;2l{)fv(;#qc4 zz3a7_%|;>TZ@Wddian-O9(b)x{+=b<6IxJ-SD{h+4E5V;BQEo|l{n+q-$m#Ak9r;s z24foKVvI&-5{#>^#oo*uCDTCVh6{a?T<_9cH;KE&wac&48RFG^%IcL|=M`S#I73xW z&)la|_moWB`myBVNd$8a^RMsV+IRw7^S&h^*`d!Faa{!wT7v6 zGiykFB1;@WS`sVkBiSsxs zsnIhm16^cR=?}pDofjGoCZtZe?O1C2z8=7?>-Cj4$t3R2%A&=n$gOMQ^8lBi(Ydlo zH?y$=^@-2($m_~#1#^@1wTR`h1L$keQNKQYd(oje?o}@n@?WZ{2qE$JpE~6=L5hlq zNDz4GgC!Z^ED@;iGa@e{FByP{`g!Xz$Wu)vA@XY;X1aO~m7ei!-SJ@^c20D3$#-Kw6zW}nIoJg<{Xl~WN%H$ zBdHwQ%A2-kE2LR4YXwzCp5ouxY5j19#}Tmk$Z?XaT(0f7q~5Gf!IbK~M5HemUAf9P z_N(^o4Fc3V({fzCRy5rKpGRTRU+Aasdk~K$b2A@I zC1*MBPl)#Qk=?u(-v!O0bMgg!zd4#_?qPWf!%U%Fsh&Deq#K8AX zbv~S+Rfj`EfqLrg74hm0f=1A3X3G3jUWrg$#$H0glOTU>o z-v9c;6sp*|(q+~%h>klgO0YD3!7@jsaAj8^fZf z+yw29O1gEQBh zGSPG39QM+W^x_N34QnpWHSWU>OIyqoLyYMTo?*Z}a$DGJ75F>Mh|iECT*d&deG>vWB zb{gBZji#|}H&$cYjcwf6<~z^#_x=SlcjnwP`|Q2eXB{-(&o_$kSk086BjLVuwID@G z7n#xV{41N;D|c;AE%VHzZ?t|CxVxc&JSqoeDAp{&s<6IB+STUz=}I7FHWh;Kn)B`W zUT5o6G@huH64kRUKwV@7lq6Xn_I`rsrIX3tk?NKCVRSso<5=yA`d!F8)Kfw_k0KDv zEeBs>P12)cXF?x8c!Di9UXWaN&(z>SC7+p8&PRhWeBbRL!~G~P$c(wxF$pXuK#66x zr8)Sei;Y&3;$6n|N5lGMn+TI-GLIU^r&28YI6^j+LQIa=A%{oOn)qrp&y6}Lk<4XM zw-1#bF{PMQ?f-6(wC9LU|Bmtvxc2VqAGLxqRbn?GkH%|Cl`61m-jlxOAf5l2ok=RV zen*kv>YR8%nO-jQ)LwPIq6`fiRtf%5WqDVM{@u?b3@P*B7hlmMLj_0u#>3q@0$rK$ zlE(4(QrPu9-@ljZkzHAw*%?l&pKXWsxF6S8fC&GK)y!YK&ih^pmm8z+_a`i(vt4?H zi;Xr5+}9j)Jws?RpUWgaV%TD#*r#Ma+3*I2X`=IW0_n}kgZHGY{Qr#)b^Rd5VO9wr!b@`F}gBvoxx(B;}|u!HZ_5>g$J6}i!)XijndcnpdO|@!WNjww*Nkm zUN^wfkVhUb{?XG8N&AFTnK$CQ4D5ETy$?PGa^F0*3^n=?F)t<&Y><{PKWhK+ znm#sg>WxGOK&u2N!*UHZRb@7F^$B_rE_)NFf6{v3#P28##rwx%``bThpZ)fw>D_52 z{lPqBa4>Ye>29}1H68X;8(^+eI}2k->EC;@rH)spROeLLb4yx7O3+(<-oBsymHqAY zJasFIrF7~S(Uo@JKIcyQht7LxOD|uGk|nIbcR$2F5v?^LF9aLki$~`{1x$P-^(6dj zkyYZ)JwD=GGnjtys1*GHwAjFXH5gZX;TW^v*La(-uFo%@ohj0>YHV7KR<^A6Gh-h4 zLS%(Xi6!C@%Ei$LSPZ}zuTFe2qiJB1O1_y|?GKKjA*#5nY}WNcDtZ(!`;))O=5!ph zm~Bbdfh7}iT}=CA>| z)RfTCL4|m<727^x5062yBpSqXn{0XgP@BNwDBnD)9G4x~WwFh%Q;c^Ro))Vu$?z`} z8U!<=dc%W}C$m0|gm6^Mv4GB;O2z6RR}*k|%=6X8#5UMz2=7b|yOh%rSfmq0cy;ZFe{|FrA#0sDiTo~5tsG)hjtL4MmGutn98kuiyF(HP>bu+$np6Wq*E ziR(Eevb;Rl_cOyoMKu&sPsK7RZu_&W zGj_1k?>iM!+wY*jFZzCTmto0V4R33+l7+2_I9!L3Ca!GK={zuQJ1{VySoLz-kb)p{ zzfb3enccx^C!Z6amYEh$Z?cSWd_;tep`>(#D1`hv@fnF01FwvQ_ebASzpjUG2a`9j-F;u#aUAy8M?D=JNRrW2R;$kyerjp z_hc=y9CwY9u6=HihfM7}wjbjj`Pql$(|!#9G73@C;MKa9+B5Pf7$EWsX$ZwUZ^Mh! zcq3V=zR8vB0-q}}W-wmMAv5asSg{%+@;_%T;4HvvCSc5HxK|snW;>Z@s}}u^ zjhZD`IOInTx_X^m;M}XjRgl8fL5}4htkN0(wSP6`_&qYU+~}>zG>ozHaY4((>;>N|B};W-sev~>X0M9FO~T0>&UU9RB&sgA3JyVdTK1< z-tGd_Ui@q<4$I*Or5_nez)e;R*n_|3LwgZNx1N->q!eQu_}9N1WxP<`S<&~u_n-P5 zw|?>EeH)ms6L)3AK!oZ1byjE$pBv?%dE2nNhiGbH{^301!wFK=k{=~BveVC;Wzk9^(;=mzV~Bh!hrH1KR>^O6c6^O zubd_GMPEBrcUtz^b+9`le#fLdRiOT`CzAEQOYzpEfxe1#*{&o3O|_syN0E98OZ%z+ zQ4P%Td$HtpxB`1;v=5B>PD1zQ<3pL-)Nj1m;d$U2z*4F(4hJXhEV$Dbdc0dKgC-b( zMt*b@Pj)la*VkXBJSbf+$6u0dxLSI0t}%DD%^=>Q`77}jIDd#DCP@?;;U4@ zy}u3G^LXe*A%hs=6sAy@fZ?6YEaV3XpHhxUjZQqLG46M9$?Ghji6*g5d2y{~JOn+Z6;OPV!n}_72botu?jz9-YACs8(sm)h!WtYE%R-ExC5;U z97|i2?GFQvG8r5}LL<|jtOSeV$P8tUkH~9gh~SwTNLZAyL-ty9d^BMUq6$hkLOOkU zcJzd4^<~X=J37GS5d~07Bq|3+ZgpEcdfUbw6sPcliDT8bxuK`E&*F_-_K%cKk((9% zy6`(}2kf^3GNjR8vp~@=zJt1hR)fFdtQai5*v4Lwe0O{A+<}#}fWu-o0*5A^6+_5H z-9Fkkoj@+FT+Q41-Od0Is${{+t5l@Wm5YSOB)~H6>U4@Dy?D?4@B(GK4|M8L6wjo& zGDzb{H&MV8!-~hOBzo9oW;9!gDa_jn8wrS|_v~H1gwv z?^%^@wZ)Rf(4osQv83@PRe$i~MBt+cp4J~K{K<*$Z_Ytn;(U`f%Ad7bB-_pUWceI! zx{K=$3+|Z{!+r?aC_#is?-s&u-zJ=D8N}*B?Yn^m(SBEgobC1Rg3p^O%M^BCT7Wo? zuu4&D*TFx&z$M`{(^+Hhh*H4(fLe`;IPJjl@6xY5tey`N-=ANIgAYzct4rh5@!<^7 zBoOzvwuz~A7iGf>)(^AV9dhVH$p7sWN|gBw!qYN5{qnEU_lbvSeHU({2{!Nk&CX7m z(3Sm0F!|>9V={*&S(@J^cKy|2pYp|Pm!r{n$?jyasj7npvMtmftW<+4Lc4AkrOqY@ z>14O%edYUcHibQMkc;}|vpJ{jXI>=gdP+m(>G%aMhwmo7@BXVK2QSKDX=oUpYWal=w6 zn1;1c-Z#p?PGW?poIEJW7PZqH*-uTdeW<}7XjXXl0fNpv#(-g66MF*j;bMitF+ebo zN}Dmw%Gf@HB}h4eF?4!sO98P&+z1`1=zPZ?W&GWxw+14}NyjG(?tq$3Ov>00`;w8D zR~SmW26C%DOYt}1kwC^WC#J>6vg2GuY?7qC z&JEowyShkoKKG9fSp4g$o>*}}hQJ#>0@Z{Jk zG>;c6_S~|t7QYnd2OPf5GkyDk4KkMHVRTkNlWNj;npldB)~ZeU=aQUxYs8z%16=}1 zVL<-{9Uos-jj%!(u}NX&l$frpJefqbGAs3*L{*|1+1tKOoRGtd<8^5aHc zYp-_P$$!)Fr1Fl9#&ndANlPy;Nkg3Fm7hQ-HT@?^>B_z3lgk~P?w$UZn+yIdc7PP{ z0{hIqXvN?VRbH_6*S2tFt6>di?znC&xftIVR=r&~_-W^-l7D#{LI_m2!wa7{;Jhsg zmBE}XD2}w)?g?DfO*Hu^3VfM>?9o~~S+M>Gs3R<p|2Dlzbgxs3nHhwmkEAI_OANha2 z5&@bCiplz_ne_|F_vn{MB)<(dw^t@w@L@-Sw~ZuAR^P)%m+Kph@;G8u;}6&Cgkp=q zo9DZYd3(zX6c&r4Pndd$1WCV-Kw1_D0_tmn;vgh%3R^MKVFXYsz$me(_WoIuPl*g` z=y@%r>A`C{eIPL?om7zPw<6eGi}1ElV}}jP zq4d4cd-hpUZ8w3G(7xlb1xCBv7I{!6YGuR@4pS&Y3>}G{1-%39^e}klw9QMndH9y1 zv~a!iOP&4|%J0}?eb!e1;Y1V6j^zgv>YzJ*F8!6mcBax-XV(mF+T-F)OrC*A9p3o( zER+&{pAl8RQmzXdmtqbb^&-6iM43Q9N>ANMwqj39gFKoef<~W_E1=NTUg?&F#3O1A zHV9vpTGNe1qu*OOAXj+8Mp*jU`Hn1?*(uxS%>KX|Vj0BvVC;%)OyN0hDMBTAL?F_S z=(Im3?|FN;<8m$4~)9AqG`?ShBkg8CMM6bQvvl#aBE5*&sPBU~C)QWQ`mM;#k7ge4BeEYqtBPr2w0 zUDp$d$_uwlO5CsuBZPE(Ml0BgkOZsHQynwvFooxX7X-J-c9WKulU_(E%;mhK`z$xO zc!NA9eZ4z)fDJ*uSb4V68Gh0J=?9O99A`f83l_>H@ZAMY4{T1XR z2~?0VehS$IK1vY{KQ&VA<`=S}70wzO_;uX?tA#(NG1v~8E$x!k@1kXP^(Th-^Oz%Hbvlt=VuKtmfYls?z_zuMS zJI2H_7r~yy-htI1GDQ6zbcRf%#GuFJGF41B&ZEhQodN3;b+RVE7zqEac&L+%G>7xZk`oTOgJy=;rz*H71a^cBs1dOU88q?#Ha|-+V^24 zlR_;9&;&7{2@LoYp5ZY}bT^A5Q$?8uSO1Pvx=$EaxUhM$a5)g67z_C#A?+SpP|=lk;MDlq$HAueZtJE)G%_h-4bhE zCKy9zKTtf@2V3<%{4Ro^JCS8dk?reYqEY~rHd~%3 zWlgtJ!*l96^N>Vu9D{6Ri!P4eP#2_LEUmWMY8;;Qvq->CroIP842^8&is%yCRC4y$ z^msCjM5n%I=HHAa zuhvFujj5FVe0PsR#^;{~nPob>$YOsE)vb9b=@H9P)N5nUTa_^*Rx3K_-Q|Z{-Y%ZI z9Bs`NNWR^y;Zna^KvyHw7P2b6gYf<-2)s|6uXpQ6q^3{^rvVQ__7x>79|3Fcmn#al zFTeWkmtt^o!eNbm(iVbFn6N=1srU9G^q3v1|?o0b_^_{W~FexSMi> zMGb{ApvXRuowpGDrN38ldbNQ9|;>hMwP$xujENJ6Y)$vzyDGK*_`Rn zkWl`^bo~Af!Dy7g>Wkc0f_9@57>|6nD)M#nud778xPaOdN%A(>r&xs14#Zpv@kk!i zfMgN@9CVsr$T3>F(C93Anaj%Tox^qb!Cpi0cyh||k66BkG*dF;GD71g{Xeo)Olc*B zvI{5G&2O%-tX+(u@K^~m$ae{Qx`JOrg!9C4iLa9BRkWb^)50Uur!xrWU!_q<1)Vno z3<8n9FzTK+t994)sD=FI)f_PGats-6#SCKi3aUH8SBVxC5|$enx$BC=nw+675$p{j zod=EPN=%_vuM(UB1hqzs8PXTe1L@U9%S5;mun)ujFrdQFA^Qa`yC#&?To>>*uc8o< zt3j`u-?}xOy!lAyqf2Gm>U5a2X-laMALX&riu!qfx>zTuC0?yjp_PnDt4SHTf+|62 zylerE@C2I7kx12{T*dYsr&P?yn;=60aDV6tgfxCyBr4C+$?SL#QH?->osRb__dEYr z+;ie{^=eHif%m6G7x`_MG0Pulj`rj;(e-cRRuMJl=zh}mW=>Z04xz?yZ ztQ)|kkQxz*7Q#5An}Ea3ejUi)HuS1{`z@8EM8S$^L2OXe2a?qKIUJb&j+hF;c0%Dz zkVc_E2%sHPW!vT%K~(>|8ok-wMv|)^juja1Ukqyzb(xBQY6DW^#QePwqacW^3d%=`8k;V!gW023&$nfD52Cjr=0e7OTRMMtSRUG{9kR^##2^~ zo0hinmR4BpH#1Hvrd&c!DWf`G8zyjYpjSq%uv+K>^}q5>y7fgmfu_Vd^?jo^U$)lf z3)Cc1h*BH~{^bg{c!vMeYoFR{%sCwf_*r#tV`ES>vYxkQg@7)4mgcNlCbhb9-Zgbf zbU+Qt`7e6Eba-xVw@VqT)qK|J0-?*6uUHE*PS5$*8E4nina%fCQ|_%1C8AqT-?5xv zQ-lfLU3gSS_3I%?6q7RH$8|a2Gnk*M=amisSuxTNv8GnzRvsg~Up^9 z-)OHC&K$XSHJ_I{z1{7M^$Jx=ryRVosE&;}93s71MTX_)yY^(}i=?!*>UK3U*p2BA z#{IE|-@o{E(Kq^n=(W22@MuJOb=)`QWKwAJQ@!ts7=~g?-M4&m51>QRC1zj!vo`6p zT~qG-oR758a?8rFV+2yP(;P#8{pz@4ZuSRe;U$i_@_7I>%wcUceeLk!ZXu+mmC#Rj z7xZ_B71cPKC$dv-S(8-5ufe^;ZnA!sohyUnJ8|h&CC=7di6rP-Z8&Ts<@)6 zYtbMNewa_`FqabcK?+XeYQ2!+>cGf$F-A>41^b)J9Mcd5TaLXgrBye|2MAkYaLPm9<*S2e_A6K+L(Y*1}kGV8@i7;SY*S-(&i7*q4qw z3rUAFzR%l}iAMu>(56ywSC)=M)Wc9BorXlFzo+l=M4KZJ`*LqX*G|GEfj*Xc3x^zH zgZ(NQGfZ5N;yhojsHf{V*%?)oOD9Y0w}=0sTl$!QhTWu`E&ZQ<SXquyu6#l zuE5F(OVv=bBKlzVs~eLyG{N=7SNjz)5B@W#KF$E&hDLf0`WI?Xo??%kHjqthd}GzAP7MCn5eb? zvTkU%)LI;Mz0Jn;0HDI8O$)eFY$|0GQ+=px#F+dFLjOA*kt?h^T%ZP1HoJ%Z(fl5k!kbyKh)#hfIF(9Pq!7?b7h-Vn01Z#N}z zmElWNT|Wsmrj5yAO78N^^{Es&c>PxsHm(cozEADw!{!Ns@0;wOE(5yGXtQAb@Q8vI zfL6<76gA*cy=6psc9LwZm&@(0o(G1TgGi;=gPG@SY$%bGHPJU(W3p2MurmX1?}UK2 z1#Uq)UlwE>(u)LnO}fJMwhRAlvX)1DC6q^&Po^zrUC&BfoaSqnYfP7ayZ#i+jvETF@{Df zbcof)a^KbsvR9rFQb*R7rgw-ItylJf^O*X=kZ9J~(x$L5CeN8)QJOuF*<9u2rjwMU zp2opB@jA#n6?|w5#pEBeqwDQCe!*^`?Y;3L?-kU^w?>#PjU@f!6*CAx0qbso-Lf@c zhkFa}Wda;?xB`knQDHXA1{d0~@o^pBf!XPhq{cbYFDlf$`D`LP0owjcF4(Wh@bR{Ipp@CQ?@>1*(Q?@wlPzmsW>0UBQu z{Y{1N-Ea^VliSqOt?_tOiRI!@5I*w(A7e;0TV6!EG^VUVAg7Q>^KGISflnvx{8=bN z1K-tq#+W9Bu`BQJUgS{58T>Cccc_!x@fjNs%DGd+c}s8Yqlx7*&Gg51Pwe)q!pYQ` z+ADrMmdvi9`t&`+NNcV(=Gn!_n6R&~&zZ$jzp?o7pFPfp4>U_|o2)b63~8C2xwj^c zsTA-U6@n)y)25bMWw27=3nZrd)6tzp>&RBwt~zn%HoRda-LUU49e;MhtXa=6Dn8UL z?u5x2k6G-RyFRjSV<7g_jQzPH1nF>${jITl|BVe(ct1V7x<_TWLMo>yr+u)>A3z)J0X zD9Ny!dNV|YA4-Pr2UBN1NXOTbaZ>hnV=)j})ux=c|6T@Sx|RRaLoGZgE2udfSMxr@ zoYU8gb&?58;>HpzO<1oR+Po>YvzaNw7^OWStWJNqV9NdHE-*ohqhRz!gbCu7h4}1U zwYyz1)4b|=WIBh*O;ybN5`l;Lw!u{Im*v0kLlk=^2n}qopJ31C@vv2)Xk^5rF9E6Y zOTWE|Dx#R!@R+BGD82wq4%RdQ1I+XVW0n~;T@twFVsN@?nj01Y`nYt)vA!s0pH%&+ zr1v<($~qMiI#w#>xRU`9OBwOpAA2U@m|<5+v0p5HD$FOXNxlkE&(B0LD7zD3i7M5G zfLFAVcXY_p=B$VtEp&#kBLEP>-Ud_0_p{XU{8s+)RY|tV^42KR+G~$wfC2FLx~(;| zUz>QP6LiEK(rJy<-P%bkA0W=H&O+`M?}iKv+!nF!-oH<*BwQyY6zZva)e6KKvyR~fjR19aH&%t?dMPNb zG=Yl2o0xDtOWNe1wyyIG>)vu1XYaT*DN#F~d&nf77xytLk(f6^9EDga2S2h(dAcl` zo!*U)hq-}f`uzluc@dB)S;~Xj^EnaHMsr8_bc$*+q+Qzsl<3N}?Z5-^Ya|grXQY$x z)*&us6GQ$OxpXa9ux4ZiTVXPZY!^CiDJLG%J>$A*466C~s7v7nSX=WCsA+8T1WLK_ z)vU>-!ZBI@g;!)o#6-KU`1Z}bSb~bejU2kjRDZ{Zh)e+NA!%}-UI)@YNndL2<0+L5 z*SvU=3wa6h3;0Z2?J_ZS%Md`aRG%WB4I=V$aOTcE&?+fNw{-D!j6otCQrSZkfGklS zbzwtz9hPYzRm|JZcJSKyd9|YqJWwtwHN5}omey;@*lt7kO3mP14 zD(qz`x3hf5mb&Nkrl@rF8(N>7j5>j4o(C1?(YGFk>Q+PLoBr`%d-|uH<9xS|Ubq-U z-kK+#2{)nz`_tbSe&3$bv7xzk_S{lSjrwIJw~C3gs=5X3R!bDD3;zE=kv-zxgz>A} z>*X3M`d*#z;CM>eb7p7_bevX6ZdY(|5m|)eWC=$2WHpI`iL}xT!6kx_oH}gc^BVgX z0qppoQEJ^yk#8ZDdi7?lD$EISfI{Lx4;kHyU| z8S^a!w*~(*3LJ~FMWQmnK*M+_NhjtSzz)&Bv{=dS5J}prRIHn;sd5*mM)CWrOA3HE zcXbZ9Uim1c#{!%U9g|qvi3W$zOdmlmU1UjRYp5LuQAub3^Q`;-oL&j}P)qX}67FYU zaQ(H3nzMZ#rA{#hqM*-4-`a4dj}NNNA==lk1_Z@X?uW4d8SgB2r7dTFC;hkc@qd+_ zLn~VFH4jwBaTTERrouUxQd_pf!Pv}>;zP1;RI;quEan-CY4C?%dHN1*x0+D~1*xAB zLqSBOf73gKWfy>2zTBb-k9gNyZE*pmbFkIuG%>1TURjtKSz(XI>3=Ke=GQ8xh%vVj z3P0wlelRdPmvCAA4wftBwUrh~ph?@&!9}z@#U{0`-J;kwhn>|XHs&whin=9US2+8Y z<1L#`ykW9nV@n>;`DV=v+7!Mx$X(<2Y|!G`#xja^@+x3B7~+-Xz!}AIh`gHH3wKIP zSbGvxPx&dN{78a2`;Ea@#fdqWFXhKoyazn?Pi+3mJ~&I6v{YG+ zMkI^WhcR23$-i)81viH)l!vY%8sPuFQRwRr*SX3$@5Q|(_KIqpM-BNhH@t0H95Ap5 zMiJN|jU%?5l@cXKS?2F2Ri^1@Ihqi#FDGqmu(qf%-U=8^4vl9{lZfnT+Ifo_!5mB% zpaK7`fF%n&W))CEnsnRsU1X55>zIzDkzKXp?nw{eO5hokrP~tQl+ZJHX*AGZ;q8 z95?#V&18SL(DGk^-(otj5D|&E64qjc7JnWxTi$#-StOT2k(SbL%l9ZXE;=%Ex<64n zm2@r?QUI%E_-Mdec#NC(&ucAT)|XUgWOq2Bjdt%j)lE*gcEm6 zo#Z{^g5Xh%h6`ixJOA)7K$kQSm&uiVL}tvw4*ZPaI}J7-r)%VHteGR$Ecvd^e|LJiEVcZZ<729Rb6Enjx4*}rD8QD{YhH6io=*Lw-0R0= z%w#OCJO-uia|FD~l4V#gCB8lC|NiQnVMIBW@71#HbuV0Wb#>g!)!Tc&T&%c9D1E(X z!gOan=OGw0=S~;rt7A$sKMmZ{SMEBt^bqv|)}`RG5z5nFl*EY#t{~pba_M<4_)^RY z7^QQh8s)0{8pQ^En14UJQqaO^Kcv&O)h=$jARlx%l>ON_@RPBCU?{datoyQ&{jIR} zg`14SL*p%MSP3nqSx$7KQu7cK*SiuWjuf8a36EEgABBa{N<%8lY7y|IoZPrR$?^vs zlBFy7NUhTGF_#efGFrEW_6rbh6mU0s=@~4{F=OyvOI4K-om(W7oX2Yp*bXiKyF{YJ zT$^h|_w_(AsmAk$m4;fLE(B(E00ieZ^eu2k%#CV|g_2ZDOshtB&*W7_k!nlJmpW;>g8vRI`6 zKp>yqy=DW6KEL{nm2{X6zuZ}babhnYW0XI2JEm4QGWy)c+GNQqlSQ$ySQ;PIL|@w5bh{kV^^Tw6o9t#Xa%vtS z=zg|{l3oM4bQMsHZdvawlBM9MkPF%BCD8HvS8pmXyG;`OpU9>)Y%2iF85Aqb(<+3Zvhr zFA~6I2?LltVt`ZIomHp%{jb*B*}r^r8X5Z;fD(IrG($H3*Vg-*2C(D((eT$f6Al-$ z7jJhX7^tDwZJTLrz}hG5s~J9iSt-?598aktw>w?5byz>$>@1CHW3yfr_R?3TKJo}2 z1M@yy(k?LbCG8_SYFg4OMA`fd*eYEy4w;78A4`{s>3(wx+OL5@!d1%Ue=l;!_5Aw$ z@ctMs)!K9YaLz-nK$3g!(VE%00~iqw#&8u zu|X)MznanJW#w;pzBln3p#W(%O5!tw1_N-WSHs2QgY;tztE^FO`A9?9)Z4|H zdjP#o%lG<~viRH)1|U9-pj|c`(S)1a`u^gbI-A?nuA9K?;7?Nb7k#;Jp4NLqa(6qh z{l|biOu3}%X;-EW)=naR?5F&|imSJ*kB$2=5zoMYb9eOD{ij;&btU%V9A})6K7VLSR6l!6Xr7Mnq3t3S z+N?-aHGKc0T5TmNF@%i(Vqo5U-fBM-^ZQ4WDjeNVI*miH=FYlnycaF%Cg3)~xmWQ8 zU5m5j8Skv8L~tmwd76b$olmKASuok7Tc$BA2NJHU1Wmh2rpeKcpC|hed@G zQk&Umb}LPNet0+ZA|>MQ=|!LwM+tmr(pc76&S3^)(6wR_5}LAFFZLM(s!j=b_@^=X zy=e>q&oUZlum4YKtD~cQ28<6Gy06fete5#NyK89g8 zt!A&8rR#!L?vF@B?63qRy7QG1?2&w-KKAwK`)Vs>keW zqT-;^owKcSK)(s4S(F+yYu2F+{k7%U-vris^vgXUy$gw4bbcD`E5Wz6!~dg8^l_cNltbp;Uqk$GIM^d)c%Ol4M;lSKCYlgQKu_6f%n(q|8%LJ_dWxyGPt zQ*pL+{@P>l@3%?j?@KDkT1CBiG`gDX&PXOX=L#W@NwtdH)LNY@>=X21)*9gd)dVFH zn(?#0EkpgjX7hQLR*vI1A#2Z~{6>H-qQG~{3UIA5H3Rd4!;zI@vbZd67wH;vn{Le} zGgWRe>5fVyA5uxm>p2d z>qU@iz7h2C7h3JVwAtL25A}mXLkZp80%}!SYF=CbwMU9VAv?+Ybmjec&b&-*Tz$5% z&U4(X&E*=+cC#^^8pwtqGf4Ye3WvDwZiY%gK<*ft3y}8_Zo%oU9;Nm)TE0@W3|B6PSsRC%O(Pr%Ow$Bez zWp*GRN9;cZ!elG2agiGU9Cvw^ei?5Ncc$30DgrAUvOxI@xRGqfEOErFHvX_xX}8Od zZOYxB*4*X_w zfWKbwADyPt>0nGz)C4LtTw<)XGg`PkVC9EJ;lt&Y!a{{cDd21#1Ckz20lpH)m?Okm zD}co-HyV^U=m5yvF0)nwY#cFoWX~HJ#M26IW~7rD_j~|PD|W5k$mtzO3M-A!S1@@# zVr;tnCsb|N$S(1KpYTYM%XfDL$$U=0;=Nd&x&GSdAPx`cN1WcgJ($Mu~Gh6QDqb0A8+w3bmGd#`s?m!=H$~;p}FX)sA zhoS|A>N@%*QSfpAcpZALZD~Jh+?+^Z%H!hJjAo1B@oWl*zGU>X8>|F6Jkx1H;ajYU z!nXU9+4d|$bEhBTVu-~CGrOTq_CT^vnZj0Aip0j{@yX}5I4Da@Nw9RITIl%6XlCI*9?OZ(x>2q5a| zFxPwlyJx}UmUnIno%W$aE?Tu+>5gb(sNlg?`yDzY~TqxK~ zf^)DH&1CR1lsFE1elGRxuGrYvLZr@YB{mqpIb&WD5ouLF_`LLKU&-!8e2EI{s6x-! z=scep9V+2%a{_AjG=a%Kcl=e=>@j}+KD3j*cG&Gqp^kAY?P?-F|EQEA`*N6OxQ1Gc z%^%-A?ZwKJba*`f)~V2GC3W>K$9unj6sK12;Iv)sNdMq*lD*jZQrNO9kK|q8nH0bd zARnZFgp|}xSW1~cgc7{g3zbxS?q8{Ufk;jjHB3Zsc;XQ8yB~s5LVNk*=KosqD8Bj$ zjS4e_DK|1#mNFGaKl4t+|2KOx(lu+_Joz%Yp8_nIA z!cPLFmnwNDG2l3G)Q=)iWS;A*zW4>g1v8J=Kn{JZr$kPh(!Q&MSy;PCOKlWnMKC{0 zlsZQHJs@2^Zs%*5l{NUPY?84~us`lnp19%=4oHE)Gbz0D%s%+~(Em}9kx!QE2z*Qm zMVWpqrzfM1qvY~gDL*dAhk*g3_{@dKw2-&b<#{VPvlq6}{8G;= z-_Y1j+Ivmt!b^HKM=9HYhB!}*;}=ga(G5s3`eV{HI53Av$CMjTDZlLCW?D@mu- zoDb5skmPYoi_}mdW${wTqZJAiuF(dL7$z^Mm~ZE+P2o-lQ$m2Etthp<+r}=i)dLkE zleVYu2q?*`+C$(%EwqPihCe-dNL<2r(aiI)@?wJnNp^|AJVLb`klN1#qhKa)_WhTk z2gDne`F{!(fOX!C(aeX)dv0?+%2F55kRRvRU3 zNI-(#Sm?=M7-P6ou-Lxzl@b#8RQ><}+50s<1$OeG>^^F*Inot=3ie-X_UIQ7(UsjO z2N3%5fkcj(+MRwAC<-81h1F~V`}mv6THfWsUv~hGw?4$05d9mde-XTjA8DAO2@Ze- zrVhl7QP8j1OP9iaBf7t~ZA3p34J3tTY#{ajcxyDdMvLeV@8?fqR{_s&0IpMC2Xzv* ztl7{2MK*uw@bqm$QA=YF|4TLOeMS`0tpWDmToK`6MuDzyG+k0^oN-4fig*H#*Z>v@YMvdUprYY(^c#p=4#H#j^g#vVhQi|%C3gl{%e zUqys2Yhj%*3Y%$`dv+bM_BdELxoUnj_*Chm_E;$tKDn}?=s`MO{WWCpzDF(7jpnbd zJ85$et!trFMdk9P@g8iGp|sW!Z}z~I>~b31=rOgFgik_zG^%nXfLnpA_~Ekrf&E<_Lg90POCpT8w?=hc05G7QY=vZ-ra*=-lK9N zo6_Za_S!3^{vXNbkcao!9DVKo=(539q46`dfuX*BdI04E4k{bc+%bTUg2g-L>V?n-xgJS zL!B`8M!r_-Q!WYyoZh$XwDWNVz3E=6?$SnaQS3&4Cle|iuG)xJZkr8u&XK=#UY}@O zGC>4sfOM+Q4A9e(ByA-~UJHI^tJTw6HQ-NH$!VERY#{S%%zY za?MQ|z=%zvY;s^BVN&3N2(NqjoayWoROFc9*Ikk&d`)8y~YEKY1Ww(JP)pZl+ik z)-L?naOnOlCxsI{yDvqMcN(dUaCTH7g%bt$`LM>|6xEUW)AsOO54pCYl$0;~6Z@a| zlxizWKyrQB6V&hc4c^4*qFc#`zA%`c;k8^yDOrA|X?Ro_QL^PVIQ87!yp$e@OsEg6 z@gFgAy!yX5&_;%5|8)B3Snp~Gi&PaB!78I9W2)C0>A$bWF8G>e)wDvWjK)19X8s5z zbO!Bc${iNYF6TS|o!bXd*OW3j*jb2$pbd}TZnyoOtmrAGy8h8dhnW})-Szt`S;+ujrF;vWrf@2ss8t$uSKm)giM z6{~Qn`bj=}XF0dLCz>7x0_`zqphtP&^a3ZPrx=Do4^I_R{VOB2(WPxcM+gpnN1qL1 zqO<8?w~o%|k+n?j#zBce&LR4tKyhE@u$RY68GshcWw^uzZKG$+^X19RLGuavgoBIf zHGpUHOtKGkaI_HtT<+%QQW0r(eV^1!>Dz{OYez5@JVXM*F zJ;xTlEKw{jotvH7UHkMZXR7IBG_))2*t2=AABpMahoKtJpDeCaj@MV2b6u;)r8bR+ z^NTER{p@#_r^KO=pp35Ml-Ga%6mK)bKm5?xyCRJ3Q35>Almr;Vjrk>{kD)&eC`ba= zT==9D=&y3F_zLDXk-7|VaB!q{c6RPA+U@n{a(KFPd7zU~uHSk|dT*Xlⅆ=u@1nN z)VexU=0*tevQTiCe{})IE*euXu5m~aFIX@G ziY)QpXvyi6e1>OOd*#zKod>uM+7Z%GvBl&vss9#!G5(H~`M&i8R)>9xF2B4tJq|}= z-=ErnzBdwHtY@!&kT*2oIh_7Dn!14fVf|f^6fgY*v?rI%<65{pU~x0tT%2_!8sUWH z?(*39M#Of5j>}or?OM{hX+bVs*xG;%j3}Sgvw`+_#u`?YsAVz!vJhQ_Pk7VqTW%Wr< zTgx&|qo<5Ac(T`o0^8CLuno76?Cs#f^v2H%Qp#^Q0MR6s3Rm=^?xEDi?I% zZykp6!_+ultVTxK(AU;gXgbb(NowTb=5M!7c(Yb14}O_d%T8Z}m`gJHvlO#QnD?*9 zbk@p7x7Cr|GQZE^gT1$0#r$X|JaP_8-{*LlT7P8_nR&{w!5uyzkwQVGPD1)0fTXt8 zm2$fL4PWAup~ZfB1rH9!c$8kpDV+N_O=p5zilm-#udfYz0=>oF)dX(m0p5eCMD%gsYsRM z?fud0<#yV2apnJUb(T?8w&9m2r5mI}c!5JncXxM5w}2qs-6b6o(hUNV(%m5~9nziB zAk58wX3bhNU-@!a>)hvg?(5on|8~Q|5z{O$h)N{(FOCa5Si#Gp5QO|VED9&{k{Abi zgRHW^1ukHk^XTZu(*SAU?d|XH!b<-hX^k3LUcy5+JKRoa_5If257^9yRAj~5;zm-+ zWr#qNT9AX0M_yjlEeDZeaUi{dtp9j04ge~;6{;COP27T&|-~Stww5yH%h}b`(g6)R(cyBM&jy7Oy!@;MM>qs{H{QZ^pY9uWc4SsXA zQEkFlDl7r&k`o?*f04hjoULL6YlJfPWCw0XZgtQuXTe%F6kd(!pV&Q!HSxos&DSlX(GXu#Wd)KhVyfwA+{w zEZy2NTXeVQ8xCjMCCis`W>(3o3NCf%j4Qogaqv0}S1GSM$L7>?%2@JiG{mKkaJez$ z3$5(9Le!ipzJ@NcIc8bt zjqdL~`B$1gWxh*hGN?^XNyRTL!EMhUjLX7(hbkc{HQZa zo_BGa{t=JBO+CsiRYOTh$p}9t4VTpz*1^=?%2->;5n8O+R$%ilc)X ztfu6=>=Dq2HZcuXurfF@h-DcWu>WipeYyHOlA_V+=bOG2s}vX~MD#wWiC+D%H|qO* zMRAMkkvagoThxELJvDG51wG;+@c;JA>o?O&asW4oS*Aon!8SVC;=HqQEdeX$`iOg^ znbgQgm|mqC3Xj<^b9A+UO>K8@Ugamt17OWlf?vC<%)u`XPW?{_V`) zYhslcqJ~oEk}8+u1*GO|q7Qodz^dwXSVp^lG5B2_lxOlD_O^LmUV3{e;R^KG+*Yl! zeQGp*hAG$iPE#^S;Prg(HCyD2|Jtu`03~S!t*UHiufyZF6?K^CC1m+LkV0kV%)a+_f5ZN$H1T(e)lq*F{{Xcz)k4l^wUr^&aI zK+{#e@XJ#$SEYgY@=x2HtzU4z&5dl`_z2_UlpfwprjtCoEDTA#-0c;3@Az`sV!I&04np^;<6ks;^5{idYLc~=`U|swE zCXA=3g`eXp3ef_y;y6?iN!RT>hK0Yn!~ezawc|0FR@v?WSea)5;CY(r%Nfd_M|8~i z*8n^~KNt6eqRi}173_EuuC}`4?;Lgoiq|p_dYMVb5J>`pKei|m1-eQh1qIWKH7|-m zj>=+f(T<_q_E@@lHtZ20Xh30xkvidXwE`IMdNYK9$c-OQRve9}cS;x`mnX|VM;n83 zoBrs#`iaE<40f6UQ|^0)Pzh@h9q;QDZ8{nnt>P1tD@y;4r;q8MrqfR8V1M^{PL(eM8RW0YEa|H@%LgsGZI zN!hcr{2!nPBuyol_LJpl8~LUHy87tOFFmPzus~Cnl2|`i7SGIHJz&?N~B7QD-Hf%WL9%grUHiWYNMFzl|8MD=2WX3lW zrR6k&l3baMgsXU9bxe?ot$vWOF^$hNL^Lq{^%F4H;`|<&HPHX47L*#-i_hGs@zv&J z2(JwPJ`P%R4)>!Y-4sKB=^X_nTLLAOX|rh5&X$K)p$DPehj#1Hub*-8#9dfNa@n=F z78Da-PWz;sZGxp%79o?Eu=;ALDY?0G6n|jj_60TPQ&bmz@G39De&e#o-hf(fDl_VQ z-@dX%JvEb;WG79>y{#^7$Tt6GU@Dt1mN?Mh#r?cmOq7t;INqTyxppV zNFYCy=n-gWE&&ZOfCMH?H?Ia`A|WLqr;me;&08@r+vF(zslSJ;_<@AaWwN#mm{W3B z@>lu>`bWaGSx4fhBVdl7fe66HH`{6CpRPMsp~KGSewyimTVdF)JEAPCRm%c14XVK% z_s;g1Ew1M^JEynLXw;dt_*6cs=RXzf9E6km#n<|t{}BaE>2ZA+3PndNW$dnJYcVO= zrl#D$G23_aComYBYlXvPrxN6M^lwb*==tPMMR~oqVuY~mz`jcIpiAaAz|hmppkcW~ z0Nm{C6+1tuYQNZxAg?-*k_Zit?J8Hdt5_-?j-EdY(l1e4T57mx(VMw;fs~DAC5s6# zp+&SMqlp7_U+8xc`gIa)Fsw?$nf!X7pb%jWTg2`Qs+P|d1G_@9KNeky zK*OkvO-}aa#Q(u`#0W$Z`5-po-Qjaz7>@U523ZpmlZ@H0?LR+&3{a^Bp|lp41G)0{ z3TweuDd+D&SU4)6OPvk{)U>2vVWbqXCYlV+&udFjskl+tnk5tLz!ykBZ0>t3Ix%ob*5Tg|K^%T724t}!r7S)b2M3mukNt1tvaxqhL>!cj zi=fp5S)<@~pu4w721TC~ATMb&rH9acC>O&CR3)=`e^%Ys3)0y?PHzvdPlI}wZK64D zXm7j_p3=+MaU#pf$lxh5h!25IkY1l4AHD7-yJ?>^NJW|=nSryr`R3q_utAK(#ta2$ ze=0bm@s|d7{aoZ72A<7e)!ws?M5Yd+2_oe0A8YW0v#d?O$c7R>6*6e}0#a@q{n zZRxP;Hu*lH^LZW?Rx}U^nKl&?7nApN{PW%VM`4&oRN3`drr*r=D_c+x_t1UqK1YAP zK&6qZC1%>(by_1|-@;MTeXF3EhwB5?FkWWT(}%z)8FS_h29iyhSSExupI_k$8<$=b zqPm>Cxc{lkviWmAU2}6AKW`tM$z$o?(h#f+)tFjTONDab*ck|Zm)b&7aR~Z=*%NfM zGpaq=q&ztMfCBkwwLc}V-=bih{syjlf8NNy;DG((q=EaYB!=)+wL(Ln*!6F1qvGqK5!S|rM!T*np(xPh-jVWpg`krO{C5Xk@N1@SB}udX6F)p z=bbp1=biDCZ{=Fv(Rib5xVW}Ik37gAK`MS{9jyWS!I?fU|3b^aX{|RO#(r>m@&LaI z?9kBgVeiQ3sAMd+=n*uauFxoD%9u&T~ETD0t*3s%cd~JFh}0qgO3IbbQt{t`v;!7RzxLBRP3CgX`~?z%*&$J2VQ1 z>4(YWR)E}l4bmEnqZa0O&T(IfZ1NQ!eO{~$-P8%_m-Z-VYVX}Et8U9l8(yjG~ROs#1;Dd3*BE3Y95J$9s}@DFm%>!*krfNx z9Lz{3Q$swr24V~9EXSw20$~r5;)E0Ex&FA+K9FQfhCT=d0v%x_C@ zJdIWSupRiWhWAxpBe)wql8Le4HIxr*E-EK{oEHCRq^|uR4ob|`d28lefI&fx*6n02 zWw!p4^X!z5QenbUHB3}i)iWrhzV5Plc*2wVzZ=JH)_%#V=nA6%FNf)R>)A?igugI# zeyd){=a)ryAIPI(CZukK45B|N@qu2U=2UE!2eK~C^m+LFrcsG!p=~^3=^`pKE7R|n zSD5RDBSB_>N7T=(4Ll$m*GKbl4AMtIp_y`nd@mPKji0QA2~{&#thqR?mx8vw4dGeC zXzxave@NlkUh~NGxOdn(P{zVyt%Yjz&lzVE3iDc`gQNoWA(41;d%bZ|Uw9JAwAJ*A zeiLQS_n~dWDh;pfI)i>%?LW{CnH*5PAy6V**F|Y}g>2N~BkN^$BKVs~Q44OT&3>J` zf_*+0(xPf!hkCb*Q;ci(p;HtWi=g$=p+Iyn(Kn+`DrwPJdgS@vBe3Qw?gqpJy+J*; z%(5UUC7wj1_~$$3>6Xhh(Ne&^AhTvV9He#gE_-umkUI?Keo0XDV%zxwH{2})VoEC? z=Z~|)HzYT!ba}HbpWyd0bhBIaI3my=75BM(x*0G$1Q?_93-TfFxuiOpvG8%^Yo?~0 zvOx*@g)L<-)%^y}aOzfE_seoAQwNnQl>}XSvQ+Fb&vLkI1h@b1>no>rT*$dZ7H#RP zDWsK4NJ>|W9uruJX}>e5fbl#(5cbWe)>hib2)fT7zNIj@qZ6idq$A@_BES023uD9O zk^!~s?}{rv^_`b$v^MI!xjg1)Ch1vTdbz!W(~y7qNM|%HHW;2eYYH8U+^Ql&G*PX4 znE$YU#^%#mKWIFNGDJzAn--0sl7I29VVKNZth2hgWmjOg;Uj*|Lh2o4FPVPgU7xvS zFIF?nB*eKZ#4B6IN7Sz>&Mt&I?iGnw?a_VXQhJ0eR2vz(#9lm+OTPOe0(e>Vr(j2j z^V`Jn``6|%DDu$NR{I|nTVBAaSL#u-c?GUay6*oIy!xJW=ptlEqx?n6(BslnPLhA_ zxWP1Uma>WQNN)3THokmYL>yaHKz^vl;AIX)Q_}ZhUw8iJE0+#p6u7eb;=e`kZ^>Ji z5(NZ}I|R8ZE;&Hpq%cO1>=SDPs5~MR^kSU<=UX+r-iR{3gWEb`a)snN`im@Z+R6_1 zl_&2Nzj%-A1$%|Qk4~5|z6VQApm|OE!A$Mj%aY8uxfe-<=ZA0Owkwi*KkbYEweG1O zUu0>-HzZ%|gsY;_i{gBbrCAS=So*vEK30SBG0E#_|L~M^-uzM1{_^HBLB}`JV~Ing zV#?2?-~&l-AsLRM7Hu6a1nbZa3lQA~#TitHepSXf^SU0}mEgU$y9~2=6iO@#v%wqm z&#MV;nwbuFakFlkLcGWDMsR!+PXEg0W~yQ(uTP{7RNTks(}hVraRk9^`IcV!##QUV zWf8)VKbnKHE@dJwHObeV%~li08D!m6-+#i<$Pr@Z4cXOrB9DR0hVku`%SsLz zC6^k%6#j^BMM)gs^^7-cnY18{M^a}i+ZaCeYNS{3S|fV+Ny0CztUIw+ZpacX0lMrg zw+X(?riA{r4#eh%QSl2=x$@5$Dr z5-n&9G}{7%$0YlNfMr(m$BmwTjRGmAa_uvCz^XTrCZ-y7AWUwom#KeyP^|G&)vu<# znbg3IlPKwRRXvXG5ZXMt^M;X@72+)WWC!V_7clyy2@`q)BLj7Qos6ef7w;Y zma1XZqDqcZd(*62FHCDDeQfTbpy*5`svO8rfJ-NYd;Z(A|N3bzv8UsY9+J>_6j?Mw zkwHH%=bxAG-ZlL0@q14P^gveXz%RkBPvvHa)<}#BFbYNR5#cQuq7zvj)*Ox4caw~l zOe1|@eR2S{6Gg8TWXETgGZi{@rCu?IKI;w;z43)nOsK+~Ty|#!e*x`+Z-o}=homG0B{bLZ9tSa}8+mq-ew-Z9?}y*vZx?SKuXj`3)Cjh4<%3{Dnk_((VKt zby7jE=x0Hfw41ZliI3zb>y{Znhgu$k=0dO*{uXR|*17t)KePtx;;=5t*R{(+PQL0M z@NDKB@lOWjxTR$H;X`e#9z+sZ@J+E;iuoJV{nUz*+mHF1aG@h)(%QZK<@o%-o_8ia z>L?)r_xZsaN6@g2=GA!D{REA9YP(9U6Mn- z_Bn-{D@F47H6V#&eH0~?cw=}Qfr`=4(n*WQh z*LioolR@AV<3b>IC`+Ep$y)ojS2=;s`>gFRdd!Pc+d@oaWS;LzHt^P_eDoC#HuOH> z1eubEg0;UJZ-9rHu;1@n!2o!tqr->8$$OqI-=cX6HC+^T%z`(@;|DSzt#FrFCFFB_ zyt4WCp0%@&Al#4#AX&8vi#f4m{BrAl{Ee60K;O>>L4(uBiR@L|M!r?oc>||dmr#edczASs z=gVOIfm+j@m;2GWuR4HMdoAD z`<0oS5vi{N`bYZSSG$==^Ku$A6$1m{MZgc_`$li3eD@_UT%aGUEspz=lQjR$tDSw1)4jsmY=ot)f92?(} zX1{AIdu>K8IT;i<_UHIl6$B$-OoPIN1F(6qxF3o$v;dYK<$DGSWdT;Nbi_C| z8J42=?MVt(p6ASfMvDs}GFmJBjEuj|HA5DUXA~YiJ2O4daoJj($4FmX^b)TxBp?AW z@D{R2^5e$3zbchnG?q}tXX*&vqRE+?7m7zpWaJMTx=O*pznKEBhtiLgx>s9q!YvKw z1_jM{sy*t!`@BEdEzU-7ZiErY7SI9}6_fdR|3xj7EzhkD1uU4Lq5W`w`AJIB(6K^2 z8@0Kpj*I|8qD6T&DMZ-HiZx3)<_Z*RM&@rvtu#9)Fb^VJNUVl>i0FvjOJs#{8g}WU z97qG<5UW*$E)weEx7Ddc^(j(T(2bG=V*8qYt^-B^G*$wZ%gwP4*c3%nB(ynfs6}PP zf~=Cg4caO&j}H$Hh6_Djv1^q+8;2g?mut0Wtmw74M7qpT|M%Z3>SbrCI~d`=i4;1$ zFw-Wqg?i7VdeNcaeO&SIw02S$aNN^lHj0faoBstEZ;Xfps-2ew$f+rAsH<<-!OzMN z&e@eEu%#ux&4eYF=kE(6grvF6HtT8}DGVo4TLW~G%VF~3BPo_iacIWopS?5XSGRbT z0snAvLF4o7SN%rj+Ig#5m~PSTM0;moP>j>`R4Cr()4hR$xeY_RFvp{{>sanW>JSHuJGG3TYx(8(3F2 z%ezXAL@}h^tEh8Tdh!SuB!w8l1n;j;qws`h7HZ7O6py$P2f>e*=>||%8R|S=F;qmi z2PMGs@1ME8ROfHF@^&Y2oZGtuzo|?vd3RhwGps6_Ay?0s;6)3@F%)3esg;ZI%X`oo zg_Ph8vKV%z(!u?s0O-rZD(r8?Oy4sX6PHOQTZN3d{CBw4PW*}&2IlG$%sGyX3YcV) z6#E)}a<9_mwuLa=23zWHBFAfWdY7$48^hnYxf#T$%*Xk-taYl5p?zjk%KzRFL7``A5Jzgtq0^%PFjv*>a`E6{9*xHl2MU4_e#6^A=f znpdn+r~iwf0%*TZEo*{?p5s{SlZc9pO>i5pz<=6*empIK)-Qg!+fvS8caL2F&-G7x zzl#;Wsew{&3*>zeU^|%Jk*^J$oXit113E+I-deKtANG%9@huN$ivH>srv3XMgK!1B zzM#WZu+*ZCCS>WM*)pdHl#oV_Sq%Qa`;PB&vULw z$j)~uN=}B??m|2E2ab#?^G99nGt}9-??zxMM?e{Tyz*S-bN&_c9)p0c_CRPlF#o5v z6m0a=`tR@lNk@k>s2@fG56C|$O3RT-x(OU4gW-wIx?!*7*Gyq}oOH}b>SfIduGa|*W zeWVs!Qu9b|@W>0DCgIj(3;Hl3HDW8q=D1lCtmSWD;pv#bz@>0n?`D+5YdjD@dx>?p zUpgeScwXYL(KDwx?r&S+$M$Xk!`0<7rgyqhLQ=;@{q(&`JTRJ2qcl-g+FZ=tR2m8i z;d=2Vqm%H+Sd1hW1%;j_e4y6lXSU|Z-V{joYGtz=za1XyiR!ugHO)kQx(045%>Cb)_RzB;ptw(iV9|b0{}-2=6&?DAF4$ylBO&0=*iadCVJMjL z2>P2$34k(x&t3Xt7nO%!Tl#i)F^v^Ve%e3|7rtRUE%Ho+$=%8%1BPiz&TC+4FfJz- zlzgiXs}(_Vl3?Jg4RXOyO0K&AHV$Y4C+8Ye8gzuObNGsWbz}&3okl~Z+KX%P*!MaV z*GQzu?Y$#fqu{|o4D~G~o%MWKB`LT!$GJ|lrpnAV1M8{C~_{9Smmx}shS#pRykK0#Kc2~z~aA7|fnxeY; zBaWd42=)h)dHx5R{iAaRF*I8Xoxhygn>B^~B9VIxHZ8&xa2mYR*mWaj%q8tYFAokj zEneFn&CW^{%2weBt@$^&sBgz_w^yRneSE~qPXivHUb2wiIICgfttt`~aV&}|Ie-t9 zj10;FuJL?ObM*Sn%D=j4#6pp$eQ#Ig0UMt=OUQSwHl4)%XU%V`cxz3q<^;K97?To> z^XkKf*EM3 zsTlwANqXK#-v`=^)}r`5es0(j+T1{PA6W+hT^(tnm;pS9B?x6Do~4rs-2Hgk%wWrg z;pTTccAAxRbFiPU5_Gx0#5k%i>n-^(6446o-W1 z3Ye;->bJN^3aOyh_C(D7{=Pvs7jr<}EoZBJCMZC;@(zbCUg?vmerBcFSo5ba)D&wV zNT2M0lIEEUv%!N6X|k_BettuX76q<~qRsvJ#(=dL05w z6V!Q?^t*?QFVw#gzX~$3w_Z*IYa}pZ4R_xCoCcG65xd>#N;Ajf<01%jGL{<_@U3$T zU!5X*--|7V2;VqGX3JSNj$4rUq3#QdbfDDQ-mB@hxX>wP-o+$~`w(N@n5dj$YV#lZ zT+tTn2tA1js8p%LelBBo`1@O1HiyRnUA|xnQ&PHLCJU2*Kn4g23HFUy!tSCYIiH9% z7iG(0&@tkYjeIEh6D_o?C$`3v2)q~DiAJK(myv$DeR3{Q;G1WTz}bven({`$|7sxk zcV|?d*V!WVJcX2?evzP5#0Lf@(Z2+-)Qu!+0bD6Rd}Jl|ykA*SK6`}2W{h^fdc@4JS^!Qt@*xugWBBjP)7qz-8pGxb1L)Y$R?DW}^tm8tx z$e=F7@vL?c@0;V|$J5R@0M59#7{GF4(f%gAJ(Q3Yh1(qGR+n{tj@Vo*qae=aHh0J2 z{?_9~Wo~CHMN6qY!Bb4rtBRgd0#+=6L9M(=gBr-k{whaWl|W7TI@@q0uNK(#V{1@J zZHp#`v}X~-IuDxn4kN#9^a>~1*8opbRA`f<6B-5C&3`(rZdq4fdks7N{uS-@EiWqw zAjV#faw2(ce&e!MLckzPv;pfzI3ivS3@fAEiJS?~=A2}#D3hnl#V)ge*|y*-ldei#F_YC~?z>Aubj|lw`W^HI`j0oH@y_rFwPa0lpK)J`*+s)5@~ckn350eZ-PLjOh6sn&XA}5fety@ z3(c|Fsh?yqDmFG>KK1<9{?F<#}50hp$Y6ECnVgn-20F)(phN&v|g*Xht)GBN>hBfWK4) z1HgyB6Tf1zyP0R6q{6a5ikU*$Tfz;mK~}Z-CJDRBOG8C9 z5O6^!t4(?jmhC%>$o7NlHoahV88TI9F@L{@wir48V$y zZkVJRO2Kc?uscoc$I_IyM^{HMyfeX5P~qiq{iPJBr*nAVy#);eJM!Euj!-uAPjZ`I z0m?$&!i*yf%7P&iKD%+v>kD|I_j&ri=d_)SU-Lin#X_GX{`dc{Kq%lOr3clHxGE2_ z8rSo%siTHn`^U5R4}joU+?5L@otFEd#3h`46yN#6cQ(* z`jzko$_XX*M@X2{%IVjK3oy<(F*6D%6bo-_5;tG`gkU=%Y z{-H4>4ES6MK<8rOE_0eR5Ln}nWQZZ+3xg(i5gQx_#P!6^rH)B7SBKw!VACRHZF!gG zluV?F{@oiZmNoLFv%4U9wRf|$n15(rbjwYLSy3(v!azl>jb&U}NoNkW_MB0Lai(nYM%RXPUTPvX4J>3BZ>9$c-#0esSTq8HTPf7e}C z;B;+{F#1c6YsM^2kOq&Lr3CX{zBgBd_xSgBd`{bi_z`W0rNM72JEln)SQmP@H|gYV z;V?xZ1j$%qULvruwV`cBmAaf*P+fHsf{F}U6_^_)K)Pl7hcRRR$55(%0qg1X0?_4E z95mkoN6^RL;&bpQh&WL5szOtXc~Uth8CYgdr@nQ7-7z1K}NyTK3~Ao$uTRQ!h-zs zd)$IH&%aUL5Dv`!DU)|QobL_c9>MxT)xklf)(uzg1E!I9I)^l;=}v1Yn9vi?b2Ust z-cWI>Fiz^g<`x=XAqQhXjmW9Q(-E5?ll4UIdBI$S1qI!GoFJo=Gx?9f)sbX8oxMad zJ#ZS1MXag|qKPQXaEKB8$xPyCBxUqbr)*=ba; zNnBrol|fA-nNk4bSj1Xl@2xp%5s#F#r2NC%KX)efaFD7st)iHg)Au)LV9WQ`0_zOP z`grgZi+gs|gNB5EzBxN+PlEUYJdh^~;)LkszXU8I4Z1Rv;9L#?$6u*h(MU2wBV8P) zM+e#G)s_^N1UuqJVk`A?GK$bVc@(GgoCX20jRb5wVq$T_Cnq*o)RHBs75zjq=o>8< zq*y6D_33kn&Xc1Z&&QV46^Xi$PU*sA`zeM(sSFj*(hYNsZHJ%)U5zfvZ5`+WY%vQA z*x4TpD(T{->M8VozsiE1)jUB|KuM*cT+@20M^YCuvrc>@Qw#xgO5pWJ#gg8|t*vA_;my<-RM)>VM5WxPb7Jw z7#d#44CbZk982vNtc1*Fr)di<%3QdGz(28GFegQyY&OVFm_`_+jB*L`lHPpg9I5I# z?qWU5d+xpfem-WZSqy>m0GB!Ak8`W=XK$(DTvfo@?JjSGKfpBf9LuuEJddo`N)wks zIL!&w0mD(eJ?Kufw2{FDxsM@xRV+*embg^h7@rL)pu*%V6S^9<69tdH?^>5t#}9|Z z)=l4_!~C?WlFwD;tVd`QmKysyNx@qp{D-EtXu2k;5h5{_cPV+1*}|c*SP2eabl zj&!ta9LIj<&F@{`!ziQfHrvb5AQa!ap=7E26g{M7_qs_eWn5%by>;mYrX$AIY6}(q zC@73Ie5u;pG|)G*VC4D=KR7luXvcGkcq11mHt=(#bU-}bPDb3@Yq_Lt1%ioSi9?pH z52;I1>yYXXG)!Rn)+o~-EEa3f2qX!{+u-0J3j*>Kny@qNN?q#ti#vLx@odG6y<=3V zJg(1c+i55aA~hFg|v29 z(=);clD8@7RJ_K?AtxK--m==Tf_6+}K|Pl{AW`TmhGp zrAR<@mj*Vp?3KD;L#-!nOc*!?ZWRN3BD+?qC@@4-x#3_I+vg}+%MNDig!4_gdfG5^0wHSP!6Fv&* z@>DU7zl%Juz6lQs!7q{(RuNM@x91##|5LRUiVUGloYJ(4utGNP7aUluu++Ae%!xh!A)4lO;3@}EY9Ota;I8>Zwhfv)1f*mGz`?+Sz zEf|KE7fKPk$V4X2&Nrw^wa*M|6M8{s5D1tECwZq0usi&L^19ziweWUH#!?^_)e%`d zTr8kv5Gw&pEeo~rfKRi7aWDE(k(eJ}D}svbm{mJF{*``e7%W`LHLI~ntpaMG4cF(w z&(-b^SEs9bTuCD7p$@^;Jn~=PC-B6Qv1drd5>dO7944Kv8Wn@aOx?F4M$JBwAy~O1 zLQjO;r_KgioJ6$M?_i}&SPoq_`;h2tB%|J@utmiZxM&$WBc|C_KuoS+Zz*ui9!QdL zll3_1gVNQ~mco@VAsG9!s_GrH5v)rZ)a&n7z?Nkg@ok8sH=*VZ@zM9((o8;A-KQ|8 za4an6|3;3JC9_JBV?gu)u&wqKsAINraWVT|UXHWieeecx2Ez$hDVlyZwYE0kG8nF) z6yZWn-}h%x7A0RYpRTDz{p{DRXws{Oi)5I3dEQ;6NgecN#f~_>?M-ZLZ*6b)ZmeVH zXBbLlog_DA>Gp=9dXsxZA^bS1ZTq38DSk_y+QV)i{k*)FAEW(^6v36}kPK}J>Ix!C zFZQ3c2eH=fcDH#g|pr@P}@`RBGQG$zJ9GRbEscQ`JF9+QEOlHBI6B>%f_x6Xnvmpi{k`EXsTc7N%ea~-}r zc$ZwL)A`r9NqsJ}ZKlbM@Mrm^xsK$QnnXhGgSaTmh4VbeHu{TneoG+?TrLD5Ib0H4 zY+Mb=o`4{3d%LSMp|YU1BX}DzXbWZ6zp8~CZ<%z(fVq0^Mj`mE5rmOEasSd-f0vc& zBe>;zxG62JO6AG?8q4X72dmfO6Kds6y~fV(N|-q<Q(x@ zIFco@h?5H-d?50fnclMBxxtzWqxE;MXrllqJGRdt?j^c~uksl>@rjlqY4j;GNA ze?G>!QX9c{+H989SKF1;JYiq?P>_**dSO>uP#7jih8H8`qSlg38&wKBH%xH(sf24*Dqt3s&pU`m~o_5}PfZ8cYH32pyBo+7quq)L(jDZ$ccZ~4K# zJ<3#~fA|0nwp=fcxlHdidsh7EWU&t4_kKsaWl|5W@7)#FhD||0)7oYW^TCmQ2Np)& zKNyoGbwX%yrneLKYk_#^Hl)zP5l^aDf6S8nr%WkY!y(vjoX-Rss@>1*mVSOlQ66pf ze=*dp``Eyii%S=_z#-OOjP0ZC5VwDdvn=q(k>w4Pf$XOEr?0M2$tVNw+8NGTZToKg zBAIeFlRC<5qPND1P<-*X)TVlox1tiAm>GBjQ|ZoqYJA-86#emP;8V2xpJsYgo+IbUKM*r z)GVoJ=uvDjOaqO22a)=Ifm}i93hh>z!ioJ5`yt2m$8MJtKyZ;IH;wH~yh15K&Frs%Wuh+qo4K#nLv!>Y$4oH8Q$2ChU*g9pMM5aB z#2^M4#b%ArmF@Ck&c5q8ffVtJ!w{ zMA`mei7hD19_$o~BF;cb9ZG4J#@@D(7e&_>0#2j28q^Voev^ajGP`oaCr+!Qxs#=A zu>=7+5I(?TQp+4k`QC-Hl+EKP$Ddfu!!I1eb&^Oe?`(M9==V?AdL-F;32R29Qa8)( zbfNM@vKA0+{Kympk}-3+CCk(-$`lTqUL93Ta{}+*Gw%;v>n#~x8SA=jU#A+)S+geK zLR2DvO}po|pa1@T&xof30NZ>N0lm&c^rG{HZ}=kd^Rk;t63wqtr=oI=x{stpEEI=c z?+0W54Ns`&iBU7{e*QR?*1z}X`Hl%R5{2?IYtm~MeEg+5nI|0U&<4)G!GFc!GaoRb zQ?Ww7*bXU&G%mI{;-9R!#?O6TlPBgnD>nG{b0)`TZkqbtl@__s|Xo?Cz3a(!^^H0_T9^fj-;g}efm zH$T%k-v0;IGKI`bKj&^JYv#1tluHda_ElBeJXpucPYH3rKwY2+mWSR`W)`9FTTDaK zmnV@-wzjq*HPg{rFKroI73(4(h{V;Wx2#gR)L_*f;XLw3qTTB@Kb4uKA9t!k$2kO= zBUmn#UQ+nSeLA2*%5d7uZku6mqoH^{+&`p$W+W2usAef2O{}cUn&d_eqn{i7uGP-R zq}LG*rfMnia5Tl_GYN1E|GU86Vku%AifY}t*7XeX`sa`)^=w<}!^Xp_dc+k|=3N5T zrRp}HW}10{#XmHYyRtyv@+B|q$EkYPC8v*_!hor%%PoUZ%^Uc($jn7b1wz#_A~R+j z+~BSN5iJtTb2Q3A`Mse*stImEy}6_L(-qU$xmoZ+oi0r<;~`^O0Xgd3x!)iRoG}6W zLD+UE-bCZ4t!KHx_xHatdB1HIMi8wz|Mt>U-i#(Hpe*U1*t5VhR+(Pbu^!xk#wqy05Ki%T`?>C?i<|+~HA586Z zOqoXlVG*q6s|@tt0?Bpa!mFa90^)wUvT3+es(k!;>bPuTIjKI8-&D3hgT8`?o~;b;88-VcH9 zh_xt}1IxsJ_oE(IIig8ON&4$LeAiVR8MDmz0EY@Yv^L^2-tVS#SrpKK~(xb1m1(?SqP=ZSFjG1 zIJG;i&>57&2p<2 zhDBg$SsdE9)NhGq;qm&y_IAuHq(mu8?(y`Q+ZPTvoUI%kKpArSb}a)`XW)-XVPmV)_0G6;s%T#DZj@o%w2~myMY#7jiOE}~OGV_B9AbnS zOBg5BjdUMr#BBA!|ViO+8;ETSH$R0a8N`w^twCT~GWt{ow! zRG@v3gopSV^oB;gglETkGs@nOHpwj-%_TlJA`XmEf>XRyoRCDgW2&&mSZ!+zUGxO^ zfEocK&m3?U0$vy_SO|zioJ)q5Mlx=W7ZtZ?qe1ezY8psgcRrBMEKzfndeWz-r(#Gp z{Y;xyJ8N~`7z~{A_WE&Fs=tzG@rhlmiYhhAf)*R{%xz@ThEQ;M8602M+P!Bi(S(bB zJY2oA$txA`x{IGsg(UI~#*yPkdB`rcd7=P8;2ZD*$b>)hNTA=KSCqz)35Hb}v<^Ve zRvCOFAti0v=vrR>2At4JgR$f|azDudLG;T&46!t~{c0fyO#Zzm_s#q^`uX|kzRYPS z3d^Q|0NA@MmKvP#0gxe~-6ZWFXXR(z)0?ocxYN~EwbK>e#fU@$Z2R>NMY3!%TYX@( zj<tc^!3p#+WJ;MiuMRdKS3Vnu(ByV;?T)?DN)J%mpXj;6>%qA52h_z9J>E( zNM&$s`ly?s0-Y~ZVY%0gc>_<_|Cw@d>)AhIz1Zv3<9F2%&mA9}=0@-aI~F$DI#D#J z%JbZAgH)?RBVp^$Z*KT(S>@{Z^!-?*UQ9G~-_8Su=#!@<)z|anv3U}Sj4zi_=2&y& zZkKQ5K34E$IV|ZVM>s)o5;jlA3%dx1M(gia7n+4I9}drGNpGACmfFIuKPswNp;1>e z4nm{a6_97$={%~zp+Vt@Gh&Ku9x*FZbFqtVk^WC4`MnDZV%qQO^D}zye9SO0sJn-U zWxu?uZEUnGumHv}{bBL+;6!L-+#%^#d7hYOo%uc+sB>L!@O!{$cJBj;2R6T*zt96D z5|;Z1NEjG6o?Zyybz&FL|BI)q42UvX(}Z-1)X?2Egmibebc&R;pmcY)fOLm}Al)D( zAT8b9-MNRmclWa=$3y#qKJ=YtLV>Pzt-CL5F21T;DfN1N!+`ZKL)`D zA-;4kH^rqGwl?JmQ(Bs2J1~&JYFzj5AQDoj&+(1cw6+e9r`N82R~fImD*Sk3HE|W_ zY+dYcXnU(l|rB9K{y?ZOuLS{W5)f1;N$W8YA<;-qNUWtcP0rK9ox-cC4bVoc6c)Wipv#rfsW2)6DzTp$u@Pw5!d}H$j>m=pfXay4`*FO^ zS=^7;A3xwiKo%D6taR-3rc8sjvvl0N$abB<<%CQSFlVBqb`Y+7*uapBpbenX44>%+M2WDp5=_C;e6eLET` zl;t~mPke#7z@;5EJ71gp8lBz9!Aw&a&@%k4+C1Ok@@8w6H8wW3dr1`JZ8ek#NE$Y= z?nu1Rw-HUG`At;KUXzmvh-pDVtgmgxf-xU`;{kLhE+QggrzIcc0a6}unb13c+VgCh zTS-^FKnBBXpKR?%fal1<2@rQ@BJpDmi9;eG#Ge6(v#7&>8`(u?jQ>K)7?|VYPzmoo zN`9Fwp;f-Eq9q?loXlDj5|XdoVP%{*(Pg}(4S(+=`EEgsL|GEn=9ilPw8WgI(!$MZ zPzc>VD@*r-^er+0TjtgeA08f?ZWCv#lL=^*L^phUyGEh0TEGB|TA4Xa16hlNm3J$D zuACgmIaOf(T#>sqoP8#?mkxS0;Sif!TIvVX!H9Ts4e+FfJv9A=9AQ7YA#yR`i_V{N zNKjblQ!j8g?Azuj*Z~?~{r$PFWZCC!bN|8L3O|~dHxwNGo#uB{mVXB$c^j93j4gHZ zZ%*%;hkr>J{ofqXoJO~!Aq)H4^PObIB%Fu)Ga~K9m7A>LE!o&%pxozHW#T%X3piij z(PZU$#VwbsNZLaS5|LwP>l}x<);cIS9o_|W!05qIzmP%fad>ds5&a_Q##ZxwA(CF) zA0Dx%_Za)P$36b3E`=W~&-wCS`P89+UMtF{v;?OBXs782B#12p`h&s+N;i<)0beKt z5%nc$XlNLZ?~v@9x#6&IQji^>bPT@lfN=KFyQ;p}?%&Gw_!BKIiG|K<4ka7cx)S|q z+97n?JhN48-ivu#HI+)Wq%-pq?zq-sC{eF@s#dGnUH!RqFpjx<9lu0XI?L1d@|&LP z<{;rEAP;*u+J((rnQ&3N@2LLXW+DB~s(P!1qrNt4FtJ_@aRDa<^!wUiZ*@mrM3p!;vwa@(_OH??93|PnMbEUG`r3-Xrze9U%g|+kIPk~ z@%VYE{@xIsbdTI@eyYYc^%*nUIDJU8;I=-ld*0olG@EWUrhhbuMEEqG;*yAE%Hu}H z_gUb|><-kmzE6sko0RarDPKqw8A{CRpS;OtTRbTupd=qZf8(c7^JRfibw)-j_Y+dT z&M)dB6jy2kXW=>*+C+)SfU<2*vp)|--NM1*1Y|@Cc^%@oM6XyLTRH4({qX*fLwGqt z(x4w>oaG`-O?fTRf46(Fh@c>7<;e|o6^m2TzQo0qaM>E%qJ0@l(xLlDwDjGdKE@%u z=M}&;A_<$;>PfselGu;6K$d=UY#~W;=XObSyavb1=DzfgR;>hC`O=CP)71M{-)G!H z9{E3h$u@ora>$%ks8hAiD|xb`LT?DePU#us9zBAKF3IsYX}hSlS@WE&vI(NJn-??S zb<91;;2n$oofX9$6yDjyI}RSY0Qf z;>=n}qll@mRMtgCur(J)r)kE1ZE0;nB>0UzhHx=X21EKf^tW}TsAU?m zd-kd$`xg%5zsm)3i@2=%X}w0K#UM_QhxedCBEe8jIfj;)_eY7#)>9FX2utowK1k?Q z<^BQ)9{{}soX@4ww})u<1neo8e*RQpzO3A4ST$t`Ns8ec?(YozHvapkguu$X1Uj2f zaT+v6$@B_&ayNa(SpPwlXz-%LK6d>Hud1ppJP@pPxyt)U_3f$KRk!YvzV#)g~%n^!})kzbA0aq!cott6b=1bQ9<%%HOpcZA1l-8bU6W$UuP{?6Z_ue zvC>hWff_leMi{(ruQ+(oO2GBYUpjMx8zHVFaN7j6TA?BmW~p+BY++`oL}$qLwEhp& zCHZmg%`>Ft4b8r%%#AL6(WQH$6RLGDPASK9gmnYOM2YdG z@UfOVm(a+QQ)V%&Pji^B7Y8{%cs&!Avu%FtAdx@41gUPh24)Qu4p-D#d{Gts{v5QG z50C&*OCCkYWod1I8bZ}7=BSd?6F63)stOdGCI0^Y$ZIsM)3dW*Snka7BQMXc3aV`? zmsRfr#NSz2vE#ZZb;+EoXA9j=k>suRfEzTaK7DmF#$Z<=+)PAI}eru*kZZ zTdSvmS9p;VfvrMz;O;M0$@+Fc7(af!H{A4Lg9r@kF`k#((&jzUQDX|;E1k6Oms+Wx zrE2u68X8+Y_lyCe zvxPGE!?=a4+dtS1Q~YVaheEhH3cw1Qa^aL_eNe_;C*fGwdGZqn2Qn7;i$wvuj7IVw z9vA&F7ewiFu}BCACxRU(UCYOL1?L{)oAX~`TCk3domBgts%vd-NY3s2quF#?seERd zuofDw{MR~8l1fVDqdsdDOGv+8Y{bwrkbYgBf_*_ICS4(MAL#8TkGX^hQ)P+OwG+D(>aMIEzs~0mF481 zsV*-eFXhs?EQ;-Be#Hm1z_)l4&ZO<^Y{-AAt!>6IM~Z1eK*Oh!MUpj>OTYZqUfTXq zcbo$>WRq-d9I&E`1W}PgAPneSK?%X!&QHJ=f!grySOqjpoCuFHoXv0Wm*jaF_Kc1O zv{=z9CPyDT$V<|Jyrp+$W;SE-oDe^==4oChHYkG=TA-9pZClYGXHi2LbVV>XzzM}Y5G_X_UNScKdA+Nu9D zD>3WMqnEml>$1DBGiUzcLW8VoX3mJDS2lu#j8{rh4doyQi&W4vx^6h9P446FgvVE6^nSxS2VoHV6l*y^*f^8F7xp4D zScm}4K-3*F^A-g`j>lc>CLj@ZgzAxwp(^FgHpjhT;)vQmADujR9ie&Q>F@-1v?3!S z+JMe>2@eab6bAo}PF*;5lV%z(Gdox}=ayEl;{lxt1}iqn->Cg9t+AJ97v}Kj*LO(A zHA6#jAYD-wqIom(^?a#&0Go`!{gaT85Tk4?NwcG^Z9R`zUjQ)boq=b8%x~eeYTt(m zF%bJicz$_GXCi9S=&?rUb3Q6dNARzgYwd=@oMt4aP&|oIQ-(49OrN@(_Zb&LB7(`iD6aLB8BOZ?TAU1R&e4}etn zrPnN0$zyuMr2d@DPL0S;5#0hJ=$#~ICKxr#EHBC@K%$%(w5^bN%5e;|-gP-1D6aL! zmE6|6*Qkpn?K?FRQonXSUfUqhHt*;}pnm*<8iGoY-x;FxLPR^B(_)B9WU()`jGn)I za1-|-`5jENBSp2w?A`CP?T|`Fry&sPF9YHL$KK*bPJ~og`s1=2OF+c8JOl;cf~jzd z35{RPbfSgyYNbnV%mNXO{;!_<<+p_>)N+9*_?yU>u>yT0WDGEp!(*HpU&T|&9+ubB z)&nH+!U>u4?n{PW>WSn*w*H(YFobP$5|qid`v+dZlaab+rIHb=s$yMg!DhyOV8*~B z&`GTu`-v}u+lcPv<)vPx(LLiX0i##-F8>s-Uj~BHhei}sctR5p?SF;*lfGYs)y-{u zfCQy6o04oNjVn05_uEX>d#})J_IQ`XsS1-{TXJ#U-=3v>qxm7!=xh{CFA!h6K!qPP zU515defS1JmrFM(+&Chi5eq(%B;@}6a!W3qMczCiv}#N4I-BRTuJh=HW9nlae0&4M z1aWP)r{~Mng7EweglOm|7JFt$A9-uS%PhOpo?kR7GPojR!MiZlwpPU5Rmv!kC$*lt z$myWC>4`f<1yrO{D<&yf-~?4-3c1~qAOabL&)fD`7m9jsAOmh0?2N$vvMDoq*0A;E zenYck^ttYv3iT{7Sq{#@tYHr5l(x@(-1}Dy;5Qdu0bw;6&+}~=`?=Z}2)zZWd#HI( zSeThNj2OXZrF8UuR9t#j?1cT9Ci=*ZqNCjsHHKA8bSii5#TP_Etq|E@Pux@ZevxE1 zc2#mSwd)Tv0}0e$!+%j4V8>PRA#d*@h7ez0Usw4?+Q##Y_1`%giy;1nv%t6ct@zH- zYIvasW{4L7_aj7ukFA%Ol9Z25?ZVt(7xOr6%{!C+9|}pB8>&qDie581lIyY|!?gw- zTxL`#xloQbrce_{xU8Gpyr$@9Tp5B!94aGzW(ssJ*Mgp=E?uZlDmVTKi_Q4#>0tP2 zx|8Vq((LT+_eB`@-l|GQj#8s0o+oX9ycdA}uTfx^=Ob%-9ZlQ(1d`s49|LdBb{w6D zfEw#2-34v!M_{R>)8bf>;?8{0K=u2->>#Mpx$3QHOo$CROjA^~vdkfIZ-!*^{&e=2 z%yzV058E!=b_HooM0m-XKn2H5z+ zm<7=>d$_lN;cFfCZ@U8V)C*}FfKtp0W8ui{Pge%vvl+JcwzoSXX_O3QxktL{ZNB?z zeY7aNvr-UtzV`^<%zkrMk>q+ltq!6Wk3!(qdYwm8yw1m_LHEJM?gd^9gd-E5UH=;? zW%i2dCOHP3S~CqW$>lvKs#aMrS3^Q1unE+xI)5F{9=ukKji;0hMXq?+u1mhvJ0wJh zWYq63zM?wtk!9CnyH4XzSYC+3C+N$=#TMYQSdZSO3agY)YZix7?O&shn{oPmQ!LiF zeTg`X-uM8UiKdEve1(G;rhNn-jneILRfB@*^dqLG13r=33Nz^{VR&tKmnxPRozi{G z^revZwHic-Em?6dyA)}v11h$dE&RLsAhh~~Y;+{}&<)ykxWpT6e|mMb=3`=F;!DuO zg_=LGe741wnN2c`0FSZoBBn!%klmPOu}kl`Tsf0F0-(mdA1;BUnS?w#f;O1Nt$(}A z=;yP}Z*i2Gh|h__PcUr|8F%oG<8LNMlEYGiWQ*5DJ}^X9su$7!cWWn_cZ;!2HT&A1 zX>oD9DA{i!=ACwnlV42FS^V(&9-BO3MV1q>mt4rT7?{giYbM!ZDIJEI5x70qonjRg zx~hq)_}L>@7dq^;A<^H}7&O?`;ghQYJ{U#Y41Va!JX zJPS!DtVt_G`rHko7Q$;&f3oOSC5K|gPCWgcQLKbVO#b<)(^TAcQisUwJ9YbeS$|lI z{_ObBB+l3Wq3`MWKV4|!NCD(28M8;0KP`QjqU=~C3sPB8CY8N@`x<-sy%~mHS{kiX z9HT03{0~4y)^3+@Yl9ys^xt*Q1-~l%<>eelcwb}LtAf2zgAM@| zGMT9G9YSn8t9mP`0=A&UpAVR5B*{aqLZ#^dUe7+P0y~G%%Z&B*s04}4( zAezEv5UKE)O`d$~E{%obE@JfE|H~S{dT1ElhD}?M>}Qr4anL(7wC{n6g%*{Tjg6>N z?>lIJe^f5F(e>#s{`1E9{PI+J(CpRwg};Oe#-+8$Q#e~CLT#;CEu_PX*!V;Wapc05 z@XVd?h*+Obr5INub~g+D^HUOe?n~QKXK+UjQW3cE+vB~%3{VRHKuL+d;o+Z+oGi6~ zfNpd-Q(4dAj!U7s2ox#Wlu!!Z(Oy&ep_I<4Jf|R#De_POHb)v(kmM@PYEZk`T~--? z*wUnr74~AaGemJ#TMLk~Dk;owig>=yX{f1%<7XTLPl53qc-JDjaq z@tzllMcs7h05YDa%|G2KxhRt#r{i`%Hm$0x9D9qCy;Hu=h#N6Uf zW2k2~6bNp`Xch3s-!96uvE`M$M(vFwlNP-{hTkjALs`fg9vDahCa1qBYlxdpS574a zZ0vHZX9eN0Y3PiK3;VI;iEbQ)AbTy(9vk@kg0#Qs%9~v7E9ZEh5*jspP!@dqMa1WJ zZ1gA}M>i6;$9rj&63t#V?oa#fvG5>*W%;_+er|lpFKeBzy0Ol7D!{4-87ed9DNUzFv$jR2=x`XO>1$KQ|oE}*om1rXV&;jKBSwO|} zA1?U~SL&cFiS#3;0mA?B68j3ovASfEDaF%>UxZc#pCVQ0f>#9~>)fpRTSvlv)vwz2 zrCwLr=g3Ag2-X<(V}*o{@Z9fw7pwUl%`F}POY7_@`r|%g4NO>}Q6m^2dP50FH>Lk( z)D`AQhPm87OHueiG6YMiGcPYzEO1riFSgvNbw{SmMEQR}e~U4O?CHAgVbdPrf(lk5_F^qhJ@Rp)Th4x?};nmx&f)Mwxd=)wf($gU0B|3KPYQ zI=jMV@-4hMVm&oLQ-rO#?N7^m+?`zA+ZxW01(?Rh=k&pJ&hg?H1M9x{0DwZ!C;xtv ze@ZmC#fU49?JD>eXaZ_wxy^gf9}nFQXI1!JHVSKOr(AfOS@f!$2yZT?d?u=G%(aV` zyJu^>ko@kz9#;rtKqKpaaAp`J4Rb_VYP1So;X7D+NR767oYDO&e-qX6mZ9QI$|G^U z#Vb|ddR7EZ~U9+H3mm8nQ7)}3ba`sgMrmLp8>OVn3^|T)(+Fj6bQRp0yDT_Qv zbavvr)F{zRU}~5sQsjLeRWW}uswNT za1O4@d?Dd27e~W3aV&D7b=T#H?z*Ghw+sZewYB%WJj;f9#x7e!@^NJRXFPJLY@{1~ z2`P0^xQu<~LE`n$PN9}&cZ0wlS(IeS5USTfWOQcvzE7JOj@wbS-x&=qSWYhZ_C;PGg+O|4$L56{T-`E0Y|q+)N4~i zc39dLJb6?bF~+xHSy{qByq?YDV93stAv}Kygc>krb~7K)e8z`G3GhZBvn^iiMU`@r zuWcvSUGl>7--s}JT;ig-v}brOw^yuh9`-D(zz8)05C4WEp)tMpFtrRiPET}@+7E3_ zpxm_v3A)v8N4^gArG`bECGe^&{r$NeOCJP$eSPnzaC>6VcE*YIX_fPiERo+~VK6f@ zS5-!Qs}>e+{_~7Eav5q4c zQ`Z~}6pR&_*ys5970Iw25X_<8BX)Cpt1cH#l$`Sg%99@`8Cc*t%7bt|Jo#Ne%JXd# zzIpptXJAmJFOe6u!XFQ5xWKqMt?)no5?>uOU_D;rEz;9Q5|jW~dLS~LQ6Ys1K&~qJ zzr(OJ|COgS(6YCSj^WTnDB3nloKU`84FF|3!>|+I^uP+#N)w$cw#bVJA0dlQ-m&Cc zv-{>bPlv9}hn}9^e$Rg7<8P|Z@E*cKLfZhVY<^hopuXgUH!vW+Oq0LdU%=^JuBwfk zWhz%I(fs`Is34aVvt zl4^9%AwOvpnZMS#3T;GaG`pQ6hB=3$Xm(yozRYND#oFH=AIs$bRpu3UIIoNnbrvuM-c!ZudqF!pF|h)+!OJ5 zd-mc81bxI!R2Hl>^#)^Nkqe9iO4wrr+Ui{WHjacpa@eTmeF_7XQT-lyBaAUXHP>Wg zK{+{_L2cj_Z5rlH*Al%o;GWm_n431!*{{^bcpPU3lcd_+pBvOZ1p@=IxKR3weg>vb z(Xa`ohOz}R%G+BxK=2G;cf=A`Lz5QIhe#mVkp{xUtslEE*%rwaKNcDjqoUAKU4oEq zt&ELJu5?;#L~l|Ol#zO5 zuzR_#9jEHSy=&Jq&s7(4B*g?mC>>{bDY5K(aVDtt0h zCgyceK*B0#Ova0x4wMm``L3NO$FTX=2n5e!5P0&bgK@#;>9J)t;d5MJCb1Eygk}+v>pij7xnlOix$7K~DBGv3h5I5dH2-OW66?1)i|8 z@AGs@2PSrB)VM>@eA(5btT{Ii1e*|v6P~ntadOKcEwm3zxbk@aPQ;$s9sEw;Rrz4w zYcu{hlsI;9XcRBZ)s6+u{962C$Z#o>+@~bx1l?dy%cUO02t}vGhq#o`U&?4f@R60K^@1fr z)Gci+9?g<;UYGxOXa>6iRobSZYNn7nO6&)TXO5;5`87w}f7vI_{PVB3bT4e8Sp@!X zJO>C7*3mCP_f>rkjzQn-`P&xe{~Pur3*}FF@(WjJ9zVpP|6`UsiDfXK^EZTCNjmLM z=gfYVIPoo0FTiKlm}~J;HGX;fEE-M-rY!yt@>=$%(`)>J?)+v@veLHNk`21stqqPs z4Gnek)Y7)V83BZn)QxUeuq~b&#oayREc)amcAh(dfaKBee5F+BqtYLjJ4@` zFO=GFFQ=ut-FLVwE>8I@E;cq4ZuBg8|8I8|U;VqlMfG>NO@NlgWYpyvn_LyWEGS}m zwHW^D_E7VL5@dP_yj>!h7gl>%j4I)Qp4SAC9t+>Q z%kMzx%U-=2MsD3VLaA@p3AI_30_R&OCaDT$EG9Vk9c~ZWeQzxe*v~<>SHiC|&4cLe zbSS0Sq?1^mH5hO^_2*B-a~@iSaVwS1l+J8IJ?IWl`Sj`XojvJCBMO)eA#R#v za00#OIH6YBUiE(y;n_@Y-MEAZJ?( zn{LfS$Jyopg=Cf<=i;9u7_4KCiZbYgN_$h^*Iwt_+1uCX!lPJ8*^re2TIJ8cfF?w} z0!#?lCKNAYQp$qKQm@#$rc5RFK%g?|bEC@n#nb}>6dJw;E~uR-o&$G-v2S{4k4;W1 zZyWudMCwXeOdjET)ALnxTB|)Th#WXlzb{+c9L?4UE`b=h6B49Q3}W$x#*5tlpZqhU zwEgC-HV0=&>fBE>JnyeLyx@L*SMz27VhW~RJa+rx7jF>qI~2M&dWyl9Oy>Fi8iRx{ zUi$}kvN(f&ec1Zn4AV<}*ksL#u;;Z!^x>SVH;*JAeZ{x_aI~P8B+hGvkOmM)6Q$}n zi$M002c69!dZ+-Not=WI4%1b&PP&7kg`SHEL4ZaONMJ8 zR3;`*40q2oS8X^P+&%xYK(c1K2r@c4E@E<4t(6?{6qOG|W>btb&c#Wjol|th43Tu} z!qyG^CD@Me>w7DbR+m3u-M`w|V_XGiCfIBPkzuR*C$z`CgM+W#M5kf?=Yz08IE$~j zU;eT3w^H)#b!xE_3T&2WZfc?@7WX`Y)G9Av-Si5Z`ga;2#}6+ro3^t1Mg>!`Oqj;E zZ&%kv-DknLlJ*(*Ei-BsJ7+Q)v1#42{ME0aOPxZDEA|ufm*eH`6icE>!$vTgx=8=( zPDx2Me%T3EjY}Rv>{Zm6Z-BMR!wm8F| zZT$EPs?<38%nw2Il>i3ePP}|F&R@KCVD=mRt3`pdMZ3Q*iB;q;t6>eGO|&`t9HaHa zkPzQ`;4@nh2Jz&{gUIbRH3(^fM@tm)I32mYu(j!pwbv?fU~Tn%Z1$Mnjk|j{l$_3E zKQ94*wsm|`_y7IysN_7RqOP;&9uT%p0nGK7H0X|#<0nXu6OO$$CleB9c82<7hbGR3+xWrCYmtRiw@05LA^U}$o-&3JLd?OUV) z@PVUqHvGb!@PXKKi#Xv32w6vg=bq8(^B_swl7U|~&7(|mg6|!8*uL_*@dV^tB22pW z?q+dW$_8zDs|DIpSc}v;KUc$)?O}(r#;%XrqO|fP5F8lcK>*6j$Q7GKOjOLb;uXC{ z$fPL!8cJdmKDRbL_QR%H{Gz^t-;bwi&@j)GB%5XveWUHe=Dg`ZWp=abkW<^Q7FL%U&TGV zq$=EDnQfW1^I>pbnUI@kFZw&fM=tJVzp}`aNQi%di1-tm`sD0t4Q76R9#{(u=kYL6 zKl%H?^m?I~!-T@QpPWi3pT-{gLvx)lcHgvcV)7?ZN+K>|A|t=tX+rzGiG6c@`!m2_ z0B^0Va0_vRu!;h-k~KS;n|J#?+}}@|BRUBMS)Plhe*OTr=(v2aq1@`*>U!3r+)p1Z zu1SHRSY~KFJv}W2F--4jQQE`~m9lF)koa;zDa74~U&PWuB2mAYr@1s3+3hDb5<_#(|AKXqjQ<#jHRa62nBxgA-+s{gW779q$6M1|YP@ropW*7dvrm?xJ>A0DnuX8KYW z7)`$=vub{B)A1fS=3McTj-nI#2C}Ae<&)?}he(?me9N^OUe$@L8b&)O8j~{6758PZ zlP`eApSh^@`;aq`6@tAe6ZO^jBycP=(trw&HG*aD6_#pTI3gaz^c5D?F!F{Z&^Vy4 zi+^TGuNGFH49G{;WO>Z(~3H zL8w`DlSnH;X3|ctMp&}WRH))bs8UaK!V$9EMU`m)oWu`2{2ogc$zZWhl|h3kYqc;! zho^eF+BP@R`j3wZ3#X=O0s=Bb$~}v85Wz9#)Ob1bUR^htD|y9%?F}YZ(g{)^fE{^D z74T^DEvRQH{FT_YmxuefqFD-3WIrq-u3-So+_hK&rF-FWWH2S3<+{FRnhcRz8L4LI z#2ums6mJ#2SMG%qv~*Aq-1wIw_YkPPi( z7z#dr#_vo!-tccU@U*lWFUCWZSL<@8-}w`$6hIZrp~P@jPv^K8cLPDkako%2nVZ&i zaWCUXIbSP2&t2ixP4*#4WrB{0x31T|xRL(iPIu#ZYr919tJ*=yH=CYlYBf86!Qy)ekZ-aU1D6KRGfMmqeU+Qy#04T@N# zGmt#r?bR-*f<8mHhh;9@sFo+;dh+)C*VoR_o%u@P8oPgyJxWm5t0W5HHN*`!uKZx< z^V4p$p?6Ml$V(*b1iTXQY@C^4K01HDq%JaaG2(LMvA>XyxL!wNH30GyY=vnZp+4`c z!v$`~N1+3T9ubU!o|ueRhy?|-%1gsu%k7RHkyhy3ajdnqJltMGcF!1{+m`LWa*Qj# zPy=EcN-WJ1r5H$Rjol3A?&vQhHxKYHbh^%mkF{6sQ$)Y5`h=`Xj+DPa^IW;5dP|iI zykH3euKVpvJgus(OM)MF(N!q|*!;&!RIMaY-(W=Nuo*QvU5UQn-#7qe<{r6~nfKzu z_ct!O9^wrhH0+>ZuMkW_YCw-(!xjFFtBmKEuOhi_jS^dch~7km8jmd_N-OWZ2v$!nzF5%!Zt4k<}h?GSm@95p5T-eJU6UnzhP)La_@*H z!g^o&@4}UU!egQBVwM>Ec>Z$$%|V3WtEWa8(kDQg+|8ER}GFt zXQ7_=9niCjiw(qrxM~iASIu69X8<-1KQV-{*$Kc#t#~=4Y+dMJX=iRamQZQlbGk0N zJsT4dGC10zNbh;lnc^#Kot7q-Pu_$&_HD@nI?wpE!)NM0=J-K9ZLA~V!h0tjnZG*C z4SrX!cq}v`u3S5Rv%uybL-ON*mK55g;R?Th^1CH!_36h{$-7pQs%S)vN9{QvJEuv5w7e0NS9m0lKB4>56x;0}wC*cNxN8>b zZaO{w!}W#3B^26uHhMi;P(AH-F4e*;Z`1f*`n27>IAsD=Uwv6xB8rDD0K(O?EDz`$ zc>SO(lbwV;VaehyxU)eCeqJlG_o+&hBK zCIW4}#RBGQ1vvqz*25At8t&Vj z7Ccnxeww^uJ{^X0n&8->%TQ$97OXksVvU$2Yztc}+2I_aExijeQBS2ItjwL!2U#Io za=2A7%t>bsS(ma)M*^%JgoZF$-l1@wZo4krF5&U`B~GRgjsce1_R7T z4oHqmKwrAGP7m2X&(oC-x0gv6WEn26h|`hKPuz1nA_jha^;Aljfc|))dBz)QrTS;s z@o*+_oU3H>=*$S&{2vf7dy4r;_$Sc3VbnCS&5SOM6B&|h5b5t8PxQ+?GVeE_VBcU) zi#sLgAI<{+QY9u|n{`BfrbAywU&?HOq)4(ih46U+xMu zB8Y1avRN-R<9>gR{99TcnKl~lNWn0s=E`QC5%+|)l5W#`iF4}OmqR#}ge-I~dN{?V zWsj@*$Ss$i7Tu4FUQ%@3OWFE-iM+OQd$%b*&^U$)fyy33uNtQd=i^xq>!pwxEVM;u zXEE!}^=fi~0oM7})cZbU01d#SU#qq6uZ-f8_`7SOOch>zD1-gxWRH4(2xQ#8wwhBA zBw;!BA4^!;CzC;Vo$7>U(kIhSz+7^BP`6Yg41X&GDZzT%Pp$oKsn#CpO@(n1(4(mW zf!c=mA9J9x?~yS0Lk{FdiQup9(fs#T{|SgST?|2M#*G)NdOohO_x9ixkS(P4C$se~ zRRLRRul%ucg;7(s$jv%exnUX8>+5Pt3^HylL825;T?+7OS@5zw8w`zAl`g#wLnaL$ z@Twv3Rfe#@&-+L`E)|?@oSjTREL(kkXW{tKFHlY**_eArmBT8R(os4Jw*<6>%C7%e zSV-zmt&ju$l00xwJ7-FzUvWhWKYY);dm1OO=ojOYEpe&bK8ldokDh?I(4s8_URV8 z9MB*`nawwjf{u28HCX@KjcZ3z@9=e9hi7sRE{kqjjwE8kHNUTFGQ43JLWVl#xoLtW zi%86w8jt72ZR8p463$TXMUg_v>x{ZG&60?t1`^RL`W$a2-yCQw;!V5H-qcg_xH{=a zTt*Z|{lA2YKm!Oy2a91%+zO36IEiii!cJ*aS(NZ`q_s6dPCk?lJPDyANs{pLo}Rc> z+I|}YiFR7K$jGaZO3DHxBmY5!+_s7OvCklzRfp;xn4?6-PbL6Vl2Q$Dw=9&xnii7TAYR*-N$V$to1Uois+N>czn7d7@{yoL zw7{DmQhuu3KVGzWNCHi%c&4R2pJiV*NG;pDss#Z%B|iAm)Rh{aDiXwQ@Ql zU!}+-%>#dCf@a;Bam4^pL&KR3`QaH+$t7Sixm^oGX3~5>hqw zGpeh1d*3u~>N%;sOkvaf@|=kPaHwLCVi9%M_#Swz7<0hAG>=-$e7-*ycr3=`j9x2Y z6KwjM#S=xIZ>b&l;B+Xk$QP7+@sAD>G@C{9x$@tliLCW0j4zv2OR(d0k*eNL>#_FvKMh3E>tya&I& z+^AxXNrRJX^H3K}zDeSJ1JyDncXHP8Z>*2w!16q~ufaVR{q z7+yRFMIR+cR7_metN9;a+W3vviRQ2;M0SFh>frpB3eUcfe`_cENKbs$+OV1ouZVqa z0#Emrt<`pcd{;ilS3l=;V^|gwGZqA3yeZeL!zbphFm4!)$>1%0Gw?ZChrtW5s2mgO zaF913n819^wxjcAqPcr(;%wlsxm?)EwR)?qH1;$5Q^4c>ndJYJtL+w<$mP^Y z+x8jA#E4`VKbWi8GKTcz4%WAPC{cWRkX6p$E)r@u8yPwfL|6Vu#eUFgt*T7K8^K^e z9TrzOMyr@q(nS9^OE{H?$66|dNxLxiKyVDGXXfiykG6*U--jauzOqeIlhU9+g>iUC zxcMo3&7`q4|5dlbSYwSXe^$Q)CI+bx%loYFD|>stuRxoQiDv;JUvJkPlXf*^X<%+3 zX$^nSK<;91(#jEDh)_eM#mPt92V^z8|5qyg`s-BTNxUkvU;cQ!Y8z=TFc*$z8+qOE zUmaqfmg#Yo>o*Yj%oGp^`&~w}gb0OH#aJx?`|-#9kp#r^qv0bI*k0^!EG+J3!~H2t z&x!Wa;ZCn)0_VO?-QU+EEq3!Ro#T0YD5|(S&og4? z?sB{jx{k3$^N8^Nn<=6Qkt(|8ZFh84>zf+R;K5naX5D2xMN4-;kIoh9v=;M4C18|O zB^O=0zpvhvz0LnnC5i81*zmY zaq$B?P}%dSfmT$Tow1{xGfU;tpzBYv(U2argw?tBBd3f0H}5HT>>J3 z+8@x{GQb@D1L|w3q+voAxEl*{w`{?8@J~i5?Fjd%0ZH*l2~mci zRFJrbvaYf+kvjBm|C?`JAZeyMIG>1#X}wn!9S;_HYvzt>S6}*7Z|2D>;`8r+$NZr^ ziVRo*0Ri6RI~{PN3pzRYLue={#cxC(<=~Ng6}uw|KtKtvv*OZ-nPlJaR4S-?_@1TQ zDORqKM6X=vY~DLUy(L?%q~&@{1N?@`%pE`sI1YMC6!Dm}-burmKSts+c7Cq}rk8aG zDzJ-{T$Ekh#q_KymTQ8?<6ok+R{9yY_%3DLvdO+2p!sPpqM#(e~wE!Eb|4 z7gI}GKuh%D6)MvZDTrE8bCLzSR-84E&1I4ieH;N4h>dg{8Q(Z)7Lq0R%S;6_C;7$2 z#q$(~DR)G2a`LLGs(jEDslL?e1B536nW^JeLrwyoV2x1tT|yWIW5aE2l$5=F1t^6yiZ z%fEaBUWakF@nYwBzP^$z#2Z`^5+IcJqs#%G4%+MjRVnJP6G|f%`@prKC4XOxL#LFR zFCC@!yw^e&IZpr8+8Yp2EfT-Ws)(;pTX&qV|C9X%qy=G7+ zRXsb1A1%}R-gYeU^hc*atxtqGSNX2!P_d}7c(G>d+{lTSkL7@N2tirP2U5SBF{NxC z(OOs_p)6TC<^3S}2YCL2D*TY~*!1-wYWS`ztA4o0p?$waGmSk9;JDvWyl0ABM`hw# zqe%GvH#~9l8WDS)LhqYQi~<}U{3Ikv{Jq?%x8sqVWAPzA90^td@YBl`e?P{qUh*?(XWT-$)HS zLHP~lO3km@$_YBM+Wu+@;%57ESH$W&O&_j=)=`X<)%ZW{%!dd7;JflIZzL%? z&Hd~Bu<;CDM?N`kX^U?{>XBF>#$*LZQt{V40WXixS52WnRP6PBcu_c+!(65x;F|xw z=|#nn^Lr*YG3(7uJMoqQ0~4c~_ZA+Lc7FN4uj(K3xbyF&XlNt=7~i$z)Styn@1s#6 z!k%+-H1q46ZW|+pi0ccH_hh^PiuBCy@gz2*2)mD|9*YgO1k8VDsVroy+8=i<<-Msf$0eh>f6my_fB2%3t|OHd1_DWLJ$4MJPC$AfajH=*Jn zBS0L!l~hbQ{c8Z>P86>zspSEycw8UYnhpy8Q=VF@Q0nk z|4SY2Y1v!;A=_BPhEj!^@?=^AOZ6pV++m1P?-TeOn+NTL#QV5~cvn@`uI`;&F`M3r|9k)`m}geX{3%+}jb6O*P^7#H1i)=NE_X|2v0n_T247AaOy$OtVi903- z2yJFHyC74n)_y`-h~0O9JKuD9(VdA1VUch*m(xPw#2Ki>UeZ&e_D?nu3n%2# zZY_uh@Zi4p|CqOk?8C>P%g{lC+JX!WQ=4tFv5$=Cr7tD0M4WXEEyP#!-9(U4UPzzH z5b@u>Bpn;+tfxWIKY76v5sK+#ozQ zRx9IxNjI31bz>XrJDqQK{@@8XNuG+7NR0&lr2W$IeKy zUbpK>s@6xXKIeBGOAVvNp=#cKcL%%)b!QVrwmSN4X2SdyuK5&s6Kh05^yp%OdD{bR zrwh5nKiZ&g-?_;)6L=`SZN^$I>S;*%s+FfnuR2<})*Aw6oRu>w+Ma{A0US@rv=2hO z?e|rmSiO`fa>VjF5v-RUeZ0}vT$WRC;usT^3OrV%=!FR4 zJ-B$~k$is}Hn?bZE8qoFPK0D;W=@ZYU%e+8-=n^dF*SK11n)}@p*c40T<$#bzi&V( z;F5!U8cT{`o4+Xg=`mgiKn5 zUNv0-Jd~20se6{izr)_>9=s{97XOE;w~VT4YutG0?%Z^DZ9+OXDIhJ~A)quU-QBgN zMA$S2DN1(=N*_YHL8Xz7J9+=(jytX&^~*WBSZmHFeh+a7rC)=`L0;kP(P1oqAvBA} z=z}I$5G(>|#=47fb%+c@@ELL0*RNZ?G2Y)?SHJ+B*tTn%fZxY)<;8#fxF7YtCP3E* zkGv65VqH92v%Hq%)YQiXDA5sY8%G2atBrwUmXZN~IRlSDdN_)G*mlq?aX%q~?DOHM zb&~jK@+I}PE7AM$c)}sNm=fmak;rUGtbF-bJD;HiEzt#N6N<)>v9AV)*NI zx-4uaO+6$6j77Ka;De7y%RR! zo46|)eb5ihN^{%)^)*_-`YGssu9-+Sx-7w{qHWTJ9kmP-CYDC@IKNG*399ON5Sie- zss(-Z+x)>401k=SEr{`x@tY;2mqs{_Sqxcj64CNYwXcOw^x7;J5`73&>XM%5kftuz^t2^p5J zKx9XJ)bCF1oN+ynq!V2_({Bv)8#g>1^tQoCI+h-|)}2?;xH}RA7Get1)2puJlF5Dp zDCjs?ia5)q^|#AMqiMd=bY=aG7-JuT3a?IrH4B5!skEs9v=Qe#sT&uQWfoQ%yF#=w zRSR+#7}Ze72%N7sCMR(O*A3crh%^42WT}6`0eq%+Xk$gZwnmke6Ua(=O@xlG7TXQc z?Amb@iqxF@e#QyS^z`wY3RPQlsEF091V}A5v7sf|-25*4bT&6H5m3ToiHM?6m!LGz zU1w^-tI~|I1It7RBBr6L&#psO({{#qg}BXF&b(F4%%TB8$~b*+;&69rh0g70E*2~t zg0vkCfsgm*du0juYN%CB%83+ZKi`R+LO7E`dzvuZ`pNf-qw7OE?_GGm9}@#G%m&3X zO%&w*GVAVJShMjEUwny5YB5m#Rh{YHBL1To$W@Xn3jph=&8=&IN##$gu%q?fqAD~g<@qJ1V{aiF|D90TNbQNU=8F6ENgpqmWGDr%-$XCdobqQkDdtg3$kC+u43KOm z=}+4=o%iY{U+l~1Wdg9G+sdIr+(*FjfdMCzC%cvV`A4j1Vcb9^YBA@Y+3%hre`c!x zhmA`;kh!ErQYIJvs?a=EC?_z+i{*aY7f(8$`PFA$@8B11ZbW<@UV%@?G_ThW{S;ag z|8M5g+0ZydQi&PEz`Tg-FZjkcwTz^Xe3zErLrNDauidrNPUCh4`Y z3{`;BHMX4t6VG9ZN^Usa92xFui zetwq%wX-$CmBqo(ooza9y|y?7n7mqICnPO_f0 zh!>|y<&H7UrxfFr>4MF%4(PAC2gZm0Gpl??!x;v{^0ZomD!wAJRSHq8%^b*Z*>{QZ z2@F~Y#8jHHHK+Mu6DV+Vo}W#@j0UW#MMQUo>V^%}LI_zYZhQ!u(AZHY(LYQc71r13?uV;|F_-mHZ z(ZAc8%#RBF$Qvz)j>;W;ji;-j8xf`|4AHxM*hcZjJ~9P6FAT^VQ7f>8~1;1<(KDw-8~i>MP%fpA*&cp(cn^ z|IjgaK)MdzuqM#o$-^PhAGF1pu%KM3+l{zy+3dO@D={|kJK5xFn?|EBP%%>RA)fY) zywPEu4pdY5F9VkTIlhqCAu6(j#mJ}aAQM_@t>h@U_AF&x>DeHhj_Om?X55L%DbfaLg7M z;$5UU12=m{rYD)%k=nz}7NxH2n+cGJ^ds^jt&&QIrNg_7bE0u6CV^t?C#{O%houx` zdPYhzWQocs`X-`IFuWc?xE=RXqCD`+CLE_GXpchAe``xH7*3x^{-JPEGHRPInahw<(myc$T3WUAc5!U3isT4fwY;Hky z+&EWQmy`0$pk<3VwR;%}Q%+KU`g-TaBj?X3CF$-k##!*eM&hljUU3nK!J?Okh()qL zqaE|25~9`@L~Y)EGLUTi5`+9VPjD-(Epc{E*_5%gBH8Xnsgr!Zr6%O?&jdrJbFP%p zvD?tG(TTvoN1LE`pE+2)A_)FT_0d^)#>qcWdz!!A(PzbY+9_2?CG_I^5Iq-?GU-NP z{fj3;2ohT9h-l7y=Z30A+>g9Jt~)){c3UGksdDQTl&PkYp4XudWCDJ7LLVwAJJQe# zGBb$g470o&i#5#XW=)DjVSO$WkyBCJa7q|s+olltXJRA2c+<{OMzmP>Scsy6qJC<$G-IVEyWbl-x+ zmQY%~bkS41GAeb67UC~n^v`~sEMo_UD*sJCw7eq##YRz9bD7ew%Qnyd{FU(10S`6H zpgf!4(YRAs1``*Ru8M{gaAuW1qCHRGYUbTIGQz;#lz_gruqB}pn2Kc-wR{5V!*a`x z&DjnTq7ITR*bQW!m~z4f-unO4ZAG@J4E?jYHrz=bWJrs9p1*y|%%o$tMuwwHkTmmB zn4^R^m55m@dtrO@KRd0fc-Ld$4*JAub~0=(6u1~$$g0>lW;Cq$fxTAEJ1Bmnv47_! zGx3IEa!sc5cfZf^M;RY+T6_I5;>Y zJ>SpBb^fKk|4QiG-9tkR-CG@%% z8DAnxg@lakc`go4g8AGpT3Oi;-V0El7`V|pOwV-Ul%>7?&4K+~Il&2w!r@>hdExAG z!Y<%wl^B%fsOxk*f|~tL&&^t>lBl8p?T%tF!mg?{xBivROpST7%IK)J$&1C-o3J)% zd|cB%-C}Y5*`C^W>l|rm)Lnyq#IEIm2ZdD$8dDDeE#Xg~a_EfaA%-`yes!?%Ouq?1X5#CahC0q~;Q~oN^ zX|}y~c64<7)#fa`Ki~fDo7=qlBOVfOZz}N=k326K6wF>m;@egy%x1G`Xz<)89;Ph=0`gN95n$r&hu z2-VNo8BkF0@aH*=OoSYZy1L|TblSGX;R10uZ~>FXJeWHzNGxKra3oEoubRU3fz4I_ zt&X_9H(|uXCF#jV3}uj6Wi^hhA&08uv zZY@I7>PNlsD?>tZWDD(gu{Um~LU;7ZzgCOTgVoKs?~#UUB~=*e?is zf`Lqi!W#p6h-6;18XC0%wEZhddvxD{C=UIvdGkkZ=m+oFgR_20*S&WksJm+=gTIK2 z6+>ws2>?2vh~oru>cwr*SY;!HsW!*|fzO6_A~fx-1f0d7{)j*k8RoF~%{8z+*kLNbRZf(dn^@iOa}hjJQjYr+{WgP3Jmh=+tYFv-k&*wiGV%z zbgA|A#uiP}r_qIdVernO*4e+lx;lANu1UoejG^krnyx|yzjqufm;lYp{*|4-@fe_j z^yLDxi>Sr>Mzp!v|EQy)g3@>C;K<5+hXs#CRaLcUd|@2;m*0D*JotPfjA|Ce-Stuc_y-GhBIPw2;5cdZ z_HUsx^qwk=`Me3~^Y;oli!-;dIu;BIVxn7Im|N{_ja=-ONDBucsZ_rh0CAd{;u!Oz z@xnu1wOCzl!mN%I!}cOYUT8Wv-*3%-NE;`baa^_O4eVQ?nRP$D>1yZYA>kr*Xc$lSu=`frrXE?CXOtZl#VyLS>(&qq71oDxz3Ja&J%-$$Okc zr4e=6RCag#k@KC8*?FoblPl`<9pmwA#O>XR&KSLn^W3i1NRum|yb;O)fET^6 zzrX);P}j|Y1-Cj94`!OhOg|ztH)o8yG2~-ZPbpyDOib=CZ~1rs?-jjao*0^pmHl;f zwSbaj2fUjLH5k6ba<13y2V>zIjqFb6apWJ)6>e3VN@L28RAJi`1|#QiehbNSO@;gD zgdG@dn-4&ZZ=AA05!F^=B8*$3@6F72)R2*p+YWi~^wA*N_sI8~tGivtPK!NK;py=W z#{^J*GP^#IrykO$9m^HwkmImtKRW)$L`bNuRbyQgaa;Yls;a4oTf0CS4zkpiHTVM# zc&-zID7lFu)=pY^(oZ+pF!@aCbAEkwR|PjsCSO9|deQ*OWsa6$tvvDg!rq^MI4Y5Dd5EK54ph5{j*jn~OV#iQ zS^W`gSeU@jKRLvgrPobJo=sHvO3zlF!I}u+Js8vJ7>E-gQLWZLN+#yEhyYic%o6q_ zB~ME9!-KOmec zm5az(`(gEu<%?wj%VP1ymu4oW*bXpGgEgDS2Ckv`@LQf#2KEA8Cq0nw>0^Pb>oD!6KtR+$hC~2Y8S08{Q6aA zgtbM4&uM%iVpL5VL@*gUfuuOn-~T8NHU;$}JjgLf@E9Nzz)6d37d+}?v|fPT3+9l& zE-eI<05{(7?u}LJF(K5ehQ`LkqYQ-u`=5Cxn+vrs=-VuYF6?#&;S&1WabJHjW}XSL z=$C8Svn7%G2%b}pt@0;POUIU!$c)fQmGFl{BaRBBWn^{%d-fL3>%Ht|cKbPOl!D*D zxOBhIzyFK9&#>Bjy`xPl(v8z?|4o=pE{p1$^cWVJytGuLvAk!SEPyM<%wU-B-lp%9 zsI<)ZaHKgma1&~@YA@-3QtF3!KV4%bcYQW>J^jVeS2jj^EtrK6G)^3P4?f8T?|#83 ztS$Pt-j6F8c)$xX^KzpbX+?^aA??>9E~||_M=8I)@M@_Tj7m#zS#<}2I%5d8aTC%* ze~3A38O48ZBZxttUbiTi_461U1sb}M74CLV}2b&I&9>n_+RZ=BExsk!Yy}$vo zV9cL03k32eO$*ZY$h%Y!Ve1`3aVHDg!5T<^`wmcJ-L~d@D9!1+!o$m5Em0V;;@bXlI}eQ> zDZAFH_q14v+Or`+T_<#Y(+0@T0%0?Qp%``Ajp8P92@!aN5*yY>gO8dZ4H3_26idiZ z3}!*RlubdkKr-}R+Ltt3@O=9jQKMFI@!?d37&wd+0PkaXc2A)>5+(d3+0}%Y1s|y& zb(Ov{Z6e|ZP&#R2I|2P+({K}bgnJ-YBdvw>ccD@HQ;vW3X}Bj!y;%zQywEt+hW+Sj zVuH|GS{C$Rd0M+;ClNzr+}sYN>4`IO#3dm~Xkn5x%gmgUM>xoS&#cqBaZ~Elk^TvF zU4bn7Rw0dNpXg|8P`C}d!hE(RE8KI4#GigxO4=Cum(hq(%DR%#YKVv0o(R*9fK+`| z5~2w8StXCmriqEC?`?Xiac=+J4r-bv=QGwT&Mj?sPCI{{SCDoi z%d}*4^#dhohOvkX{9KH7%mP7k)FbKpko#LL8%Ph&R`juhtr>NUe82|s!P~SG0oFMk zBLt85!712)JZ-AzPt5kBbn{{BYYnS2tv2`1ItKf^)RIA)5LW=zd|Y1v<(YE8y~3WS zS5KtmD@Kh?87GvhVz(;EeOR|wijMDF4Te$T`oY&pOT}>ZAQya^*lPV&_sY>D4EfYh zS`K^4j?$M>h%o`2A~e_-W0ZrK%#?M|RKsDp?n=$g3DOyOkJoubrJ<;?7<=zr+>|re z7}i^~zDe*)(c{!ZcVdF`T3pLya0y+Oo9)C31ti{_alRKn7OOIE z&S>pJGU$Nj0WF6u?LxwPyLc(^jehGJI;ZQTy`WG7Q~(e2V7TG8X!Xcd{Z!@h0Ud%1 zq*?*f29Ig`>M%x92FloW{?+(ZOI21+Z&(h3&)1(TM-IWR4@_y6u2 zWA;PnlY}FZx^tMYhb8eyAP^)W40(mvSk!(7PWY!-H)-ydb`d&8y{f3 z#uQzz&{FY}@U*lyu}_efP?@r=vAWIhQE>+ac|H`~m>ZNKT}2~DI)>|Fug1rQM>?L7 zV315ITb{|sfOVquR)LnL7N=`9@fDiAq6OQyCWaJLi4PbjXfkS}oLFg?kYZ?`wfI_q z-+CJR=98Ac$V19LucC@@QsK+Og+yxZx?98K(SI36m#PPos1(8A!-h2St_$=x@F3NA zLXEkW8+kz6D6Unp`fBBY^L;xXIPm2GzUq5==^(X7ts4aTaaqLl4kAVV_|oI~4(Db1 zQqS`D8oren!Lek8C}1mV{(tfMV85f@jn?;KzR1b64w6>TJl?9}09~JVb$T(n;%BoJ zFODeAYFxV4*+g81RyiN3oeqMpW@xFU-ZTJO0z|%EFGWDFd=R4nKI|qWAIf)fvd2+4 z8J`(S#cZo!eo&>uDyHcSy&j_*bR5gou#5J(u9n{u6WbT{5rQxbw4-pEoy8rY*gd>1IX;dghs z3Yko2k{m~ee(Tcdojx><F2dVsYHVt<0E{FfG#LtDRc^~Gf2`nWxnm=%{LIoGWnbYO@ zxE9ZS_OWli7c&+FzHZBF9ybH~jVS9TJca~(k1hQig=$v7OaT8mgzQ7|X8a!gV)_aK;B(E$6ML%83 zUS%@c6;HnM?xQI=l-h+0vOM4$X>lsks^JS^yo!6e8K67BY4hoS2m)u4`jqL}@5bKh z5rMn-6-}c<&OdH`fBk$G+sD$)p2cbY87g2Nz4uVdNo8o?SS#{b$Gpv6P`zY{E7=Q{ zt5iM1i?4nAmgme)-bxdZ2IkJMwuf4PgI=;9l`Stbp z$r~pp&i_7LJneJm@Tbg{=)mVvN}hV665`FFRjF{2Kp5L`3$S(Xe>J#ifYq%MuthNS zmSf~6t8xNYm42&JNzW&>gMW|>N=_q@`V@xM)ymiaMu7Vm90iJ6`Pdfo0LJFt$jHVv%e%uk-^SI>sdmc;;| zEgWnU>#1;XSW;1K6nw7wybC6*FO73X>y6s*XBY)VX={mSx{^2rJ;5%nB_B19p3!IH z6r+t!&kYQL-!I&VTT1a-qSk{~QSWrDQfRZogCMcclCLPWC*pDY#sqiHM3csEX``Yb zXzxRw<;~$h^mo=SDCf73m|nKcBzwNuS}zlR@nf|UFf*+8TfR1UZUmS%c`$)ITj7Rn z#w#ys8}EUv+nF7(SrGb&T{J)BP!&)=MHvYzRj{r0=Pta~#pQZEa4fZ(+Et;nVQxlUUp zs+KCF?QU+OobmVa@L3i2M&y@R&Sd*z+oQCZ8B*B=0-FYSR{>`1L>y_>i1l;d_Gh0gC{JBj)89ACHsTk^-85CM-$XKMsJz0NZcS71F9J5Ng@**1iqS*cksM`*IWFwv~6kq*)yVmM^tO zvLA?Efu6G(uYEJOF;wo6mMkhBd~J1I{7mZH0B|ky$muv~RzjrWI#)o0Z*o~C@Ny9t zpA%pVYF+4w{ScI&a%12bFw_e`sg}=ac@aIR0L@tfhwZzbSrUl@s)OS}{#B4%DQaq` zbc~RU6~w;Eta1Cq=XCp-?Dd8|l#^B%M0V#b9AD#iY?X?%XKo2rMM{YC3LUOnSsnRS4r(5{|<8c}s zS^GYa{b2E_0w@NolD?Q^C~--#iPXDVNzz4h~mBIX8P;?-M2GmzE+Yf0a9+ z?p%2r>F#u{zp`#9y3cm*@F!*6EZ`78NwTy&GVGq({{2#%VKw()rE_eMK|;>98Fd^C z=DqUO!n}wc)S^~E`X{xX|Guz3`{7{eW^;dk#6QNR=e3{KZM*l5tQ@8EY12cA>Zs43 z8M^bJ?~Vha2gw&nrw+bqZIph$JZR|^Hz^0}4yKo+e6OyOlfRa#QSbY{1=oXwV#b6h z*mBf3>G8VY&#V75jP|bo`{Sd18y5m&!XuVj{6{0!mlz^H%Wt*8HOjC|iX$VGR$FeC zfO)uNUQ2{Q2Lsd5AN0yjbW?x=5VLbOJII!ewDDaJ?dp~xVO-~wqVoq29yeMup^Y;E zRw3x?Pk;$B*drH?oF_}y&sZFjF_{xi#5uoDB!IYSHzThq_?&Ph628HQq=aWZm zfWi{wF^b;GRhI%}md=1C)s-c*)@x_@=fz^HZ=()|SuND8#f9sx;hF<1kfg3HKHR}b z*;7g$(Q+wt3r>Itp^H`xkWy)UpB`y@Z0ck?u7G=+C+$z-y7Vpi&A~4PP<19*xg7&` zCYRfM{{=w1BLg>qoQDp~p~}$7-eT^rbY_Dbs4{ry%W{P)zJPRX&5hG$CMP%?QHurq+V z?UjlQ^%>H!^hWmwKWxkZFqhC|bA%L&W!EQJyjV}bl*A|^YDp^j5#TDxId#I486Z4R zdL0Uz93;5=0eSJ0Jj6ShQROSbBSLEyjAh%%IwJasrW0_*cbf~Jh(Wk-PMm^nf>>4v zU{>3^yKC=FO6WjW##T)tY%nAu&L%FC?=)fMHhQA@k!{h5cnDmkwrBJ84kfe_^(Uvu zV3zMb(b5|9pV%vswDPlJV`I}o3*Z0%8)CSN7huh5+3B{+oIL++s?3{g7Se*c9+Y$w zQHScaHO#e)=`I9*$0WvaptajQ%#~1^a5!BCb3WegB*bqPs(IW9)UkC*DVuzgc;7X7 zbQ}sqK6B`Rj6(*=_>ZGq=cH?u_Dl)8w=?Pn{K&--Uskh|hmjcGcf%UDDc)!Rs@c~s zq=pqWYp74lEi31k2SeCcIco)@6Dj#Uc@kw0{?4DCt%PR#OKEcMVO?*0X9^R`3oqKa z%YE+INs3k~;kMlL6a>&-Afqr<%h*QwlA_Q!R9JO}OgBBX11X9o@mU|=QIU1KNU!b`iIO4<6XlKdeQtA9ZLEYA>gEgwkG#*+l%5h&Zzk5j;kmL zc3JdfsCP=Z_$C5&T;#m)jW00W@UAH#i_c=flak+Zb!P2+|JMYi>#viG#5){vo;dXf zf}aI4*=Ev32JbsP3i98-pZCTc`!7C|qwk)DKXkyt`yYfX+vDIRDjV)Ua}e2Wsu!?q z6X)SXO28$f_bCI51Fs8GQw0tcuN3@Mb7553BSBrFcm(kee(KRM8zcwKH=k7Lwi#Bn zi+Yf-aFw-*b!CNLFk=?gqWb0Nob&dDPR2$2m7k9);11VCuAyjCu4lrud}kzJRTq+Y z5DMgA^BJ2t#618XGte-Jxi7AVx$a#J7s|=eNP!HNqd!tfk0r#{i^jQFmH1!_P!lgy zE@bzpB5lx7d0L@}(h1hsV5BQ)sO9QXPdoTEPoyYq4_VGcJ0UFKUYyn6q$}XaFVuxt zBh40>u`XMI;9t`xR{NYzD7OvNHr=z7M<%4+NNY4-f-6#A@;HT$IfS(I-4ioI(dwg= zW6dUl0sb?}T~_SqX}T5i(uhX3POMwykbiwvTeFi~#+5|me7f)iGJ&2<4Ki-Mar;W+ zX6E30G53TVV-$40BEgFi7Fp3@mn$5HW~6Qpl!yDk6kOUiT_h8&C>IWD2rTx3mbqYM zJ91mOG$k1Zf97 zp&@~SPrdccA>vU>AGKI`Z27_^yj8#$pKv2wJ69-orrKhxrzYs?q~Ya%+P0x|jfhk_ zsXTHeMzb?;@cvoTxnBV~h_xBaG_)uvD3x0KlDAbXt2cDQ0eFomwzmfDE&p~~4?9W# z{<1bhA8;t@+TV_#wdn#v{MHF3+XyX%Gp{kh)3k4BRf}L!u#FCkB22IuMR+BL9dPTg z>MZM)18K5^7a62UI$-Ih;kJohQIh!m*!W30zjQ5iMRjAU)}E96$J?e}89VWwpeqci zGFw+m_n~{YTXy(^%VpT20+py{-U}wOP_y%O>sF7Vp2bycZOXoAeGHDrkOQVm?+r6P zKDIsTr2PgvYtBEhQfx&qlCe=`ZQX4wy!kV4@EJOKr%W?iK+TbG+>VkgG9GK6f;Q6J z%m~dU`9|$TCcD*gkU_Z*RS1P&N!Xd1f`EeT<|zxEl#LE@nN3?4j2~|R7fUI$j zat}Zvf#?&|dFFK_J#1>mdVVasx;IQ6WE9kCLNC$^WSXXqjW8Na-<25G+4}7NdUC+P z8(!OYh{0&ERt{pnnNUC35&#p$DY`!YEO}{wxGkbVhyl&F58{AmAO&*$#?b-?u*Hm(;V=1jSEp%#{^RR|{N#bOL3()*+8HRz&XCE?Z&Jhq% zvr#TKzpDS0z8P=TMN>~<#WBqkHJ6)?je91}V!@AcD@!DD>ALw@Y=S8{9pqrgo9Z@p zM+7=I`F$cW@g2fZ*96iR00Dvww(=HaaYjL0+#Ty#uo2@X`Vtzj`TCZSrF;O?JR7=y z2etqzU;i;=u4V!G#8iwBsk9q??RS#N7zDIxR)8=w_Ha1Kru7Nb1s9`V9<(*L)#$Wo zkGFinB!9BISO${+gQ;{SfIEG{n*qAXKQpC8ZrUV#wPiwXwF`!jGv&b_fph<%DwU8u z0vPd=M>f698df`67P}ypp8o=@tbXuM+?KY9LK_}ASPG2R^+^HzStYQdVrQbEzlfzpYElFp|F@%@&I%DbBo>KA0G;RqlzCg}+ zkNRfs>{xc|#hPEt*`A5&c;FB+p3ov{vV_;~*M9!1&0gN#jx0DTNymRf)4qa%q)p$| z_1`^Xc=IuVr&n59L2|k5NfK>hKYEfc2w1Q!E|3OFCE@~mj+8vSh9NfMYq@7FBs6~O z!M#YLAz7PB|G%7{;Mvtid*lD%C(Oe*;9Y)|SQqlrg;wQ`DgT$|)5W}DN2lO5Rs!nU zf9J(0G+3lEYIdB}yETJvf;#v(e}cpF^m&GWUnG_v`pFYsq>P7z2Hx zbXYaJ(y5ciDSS=t<_fY~ufH3A`IG5nhKbVEay|t%SHx4LN2tJe1K@2u^=s&M;c0S7Uo!r6c!vDk zLz~#cQBx!c7P?5v$nhbtgGx}eJUEPH$qY2uX_%i9kq-P*4eI7mN)si%=QpmE-+f4b z6E6|SWjYsz;yr$*@8UJfa%lZh&>WI1f$?0b7a zaDRk2yT?is#@%b2{#f}@L$36K%F?Kgpf1HS_xAu|&j5)dVu@55K=y9e4C`1hu|?7* zkQPL``qGf}qtvtglU(_rxwJgBU&mbB&)rEujF^hYfB~_lT6%sO#`F#QxglOl7WSX1 zt8n3^R`0?J9@Q|hrx<;zln2W#G;G$?KK(bye5Bv;z5BVZC>k#xTY8V9xZ2T4dqcCI zP3R`@<%`tzSQTivadr(8tNFg`jsnOgvGXA$))-Z}+t_5hpNfVjfW&N>G5AiVcqFme zZo&f9R+2w{-yez8)Vi2fLKi)ZlIsa6py1}`Hp*KPJc6lj(NFd7VOPyk1$gBzwx%$D zELRZ5p-Pvlr&sosAz0ZmoWI$z%0^&TJ@ImR3jq9*rP_yTlmgT*RNit%0+2Jq1UcRF z&$4(qPAE%+b?235#a!#QN_(p&=Oj9<&#fKVcNO}GX|4*4hLcyznAjJ z5~3J8NKaYW;0gdKsfV+@Y4S4L8_-3Vb{(sGh9@r$mZ#1$YGzs9&S|jg7H~&1XY|#6 zFqk!tW+o?5H7Rb{;x1OC(&a+ii_d`6IK8(;3$N$WY4wWG%v7Q_ z^>+2dSXO+SZJvE|SGC|rT5d9Ijn5`_C<$`3NFLw49LPb3!KXqx&CUO?&;`b}vdGIrk}BQ`e{v6t~_Vc50oN zk{+Y`XET+z*@iirr|*GQ{hMdbtpW5>bz`Kwk@%Qx>F5Cvfp{@BZv}Cb^16|59jO_wp zS*40UedL>BOjCg?kAFkf!)iU;Q=C5HS!w9I!NlAmD1kJu0_+-I`~b&^_+w*MEaNu) z&s}_xWzVTaFEzPdU*d1N+XpR9yR#Ub&+wQxSsu_-2!eKC`dAu-TqGA0A!nr3oWOXR zuyO5Ois;3YnJA)+6T&Zzl@_q-Fqg8GFJy>@+1fP3jeu&aTHwV&Iag-Icoa6NxK`Fv zeG9APPKyoKpc3aknY4kntJ4M+-B#tuwceP-wo<^+IG-s0N#g{diMRMxlnI36g#xGH z7({TZMZ4{!T-u7kX)y*{R#cd>NqRE5nmah(wDEOsuYO?9E79(@CUcYG#wp5y*xa| zZGYJDCZ0hk=D&h+LcLCl4*`6Fiv@77kE>4W2w8Cd7}+FqdCO;e1g}v$Sjc?f*)5zh zxF%^%?$i?#oYA#E8Q9BVF84l=^XqCy$>0G$9^D2X4Z?@vT*^SWssJ$A9D|umZX&oS zD8S^*D{<(qpq9f$IAN3Hg6u8Fnqai5+|8oZ>yN1Ex7IiNT0m1OeFXkz^Dpf#xMM2c zYK>%7A;1Uuaj?*-=kh7-&d!duRp?Tx$E-t4`d2}XH6gDLam+>r}0QwdW_ zwt}XI1Q)BYs`QO(eUI167K_0H=_xx4!IIhB&wi*P-+8?{BkpmO8W}`4Nh@gEFr>kV z>Ea8tL27_Q?mUp|LOH)!c~}kn>b9gp%kUj%ZL!&V2vpDtrR)ZHwe*YP@b@OmHafxF z1BK3JTt(lEMq{*T)-uXih#=gF&I z`N{NQULafY3eZ#C`P)5SmsP)Sorv(q{XRI7Z7TBW=@;esSVw$^zw6N&`6-_gc^EQB zg8lsc^}dX~JND+ctdA;?4b^%d6_g_t%EXWzfPJq{NCtI$q=(?c#r3;c*LyGi9k2aN z%EgOO1Jk$P_2Dejjzu-9RA?d)5t&(3Ht(`GG2p>y%yU=PoWTyZJgl078`y4}lMW6~It*BH{y+4*+?u4Wd$y z0RE%qm7uBA#|;QDxLHmqU^NbAFZTempd1KGr^T&6L8TnFqM?2gfF>a>>9IJZ+iRlc zx>WzXwDBotni=5uyR08lxGi-C{}BzoJyum_b-C*6bZ};e*AI!jUTl;nivZ?-N9^W6@}KpE zpUUUw=P`MDa9rL&T~K5OtaxVk4O7l1M=(@C#lo3F>#7tiiHfj(N+ViTvBnZxhqB9^ z^l?nqwacN>eWgPL2Ju$I97`m`?6tN#78U=qrzV-fT@=P!>h2;+=Tv0{kH?men@ zG{WW@NiYZ|`$SVTJPIP)yK&J`aWM#zMA2N{^=w^vcdV!~1pinT!o)PodIe2FqE1q_s5p038cX{I(A| zNwA zy(OIp4KtKLvXY6wMy_9e9RE3sz89Jly5R$3L;?@7>%_>aYnY6~GMO z`~B-HPvCp4_mcgy>!LbZXBGxqRgqD!uzD9JJk|rZ3ha_DvGC1G{{ib=g!xmQ4qhJ% zXPsrXm}-S?1JEW|t}$yA<G$+_34P>hgPq zq)jKlAkR2jBAI)3NZIJmUD$9JT0)P!W@Ivt|MsJ>$=3!>T!3v z7hNMsSfbedgQ-;E@$<@Ho ztZ`=|{3wA86Nea1Oy=Wq+Tr=^ol-X~HdD`PB=jncJTwSLG%A8i=X`uPnu6(rW6>da zG3ENxz|i@$h_XOKvmBraYIk;KtuAx{X!gp&exx9}UGb3*yEj!aRc#R{Zec>K2Kb`j zUGaItlh*8;TTziBrgvL=q|8HdiVmKNaL?#yN&=;TmXxpSj>s`3J#^FYlNvB)2f#>@ zvD<`dv|gLBrz{~oO2e%-M6RGy(MKOd4u*le=;+Q3hl}*ogYnJTZ;$d~FcTs_iApAh zoXZb1%BxgYf-l}*V)$aAf6pH21N@Gwh3HruOHQ)qoEic4$3Nc*2uR4i*>-KWIa^(0 z;WnsD`-bVRy9q(}CA{DiE}=GRB7m91%P(Ta5D!$x#0%}P!SVJcU?z=`n3||wi-swg z(Q_@>9!6I{dP$@)vH_4 zHe?V;7mi$lB=t=i{lGJT;ko9_JP8;=h43zpGkwD)<5UBovmF(kd<;8${4%V$7>>mD z5lM8sJw&zg^7vLtGPabND6e%~Ev9+cfX61X|7)^;(kJM2-4KYw5MdGxS2g1TV`>_| z{M{#a1kBPC|12fQ|5P`3(xDP4oPU#1CRw5fblX@;aU*g3qr~vL#4`@@$08Xy<`p*h z@Yp3ZxY`WG2{9N7aQEanx=-0&AN`@RH#hk3DXEeS2S>V1%SpEzlW!!M*Ze6RZ$$Fy z7NzwJr@xi_E(FtR1!;eG0g@b_DlZ$eyhNygqrj4pgoHA=^z%LW6D*oDfsUXpp~Bb| zQj`kQf85ic5P7g1>*JZ6T|vn3$@DAtd%4hp)>UK!w0br?95c@9E{OH^lM+~k!Pe1B(pwNWv+TkC$R1S^*0+!K*VWDSq59C9w+Biz0 zp-Ipwi)xYxJpAQH7+uapq)hY0LotIFLV5 zs zk~3cOlV?7INGXG2Kt@3E309QBjOG||2oOOK>^u0v2L=eyQIhM&7fJLTDn z4iBCF1US_$P2v(%(oiR#Zb3gsa|??(kD>hU<8$#UuxY!aVGp8(`{IzuBF4Zdd5XDT zWm{pc5L(C$sypRx&3s&Ug2`w>jQ2(7JJwh~dLJ{D`y_nA zA5_MJzuy2E6Tw7z0i!2cTwLnAiD07Y8?buA^lrS25Z@T-B4#4i z_x|_WcSTIbfnrD(N&$NOiYn{P$SF_LwA<_;0crxxVau2S;Hwv*P=YDz;U})cIi7*o zL-`(acK(fdjDdY>Vu^y^-}|bkRW#PcIYT}S7B}=NjlE6%NTjHhzUAa+{u6x&*FO{M zLg4fR?8|r5&Dm-*4Y2OL{s#l^G7H4GHNkcoNyHrRGR@Ui62vu8!k=>TTU@8|Kq85U zvU8N*C``&>wvcHSNatb@(ra3UT(S8UUhn*n0~j0h#~~?gRQcBqNEMU_`|9z{^CPw7OU`o8Z z5DRFdL_S8*IntcY{k;!DL(L&VRiytJE50-}iHyKU8$!7iRIQRO{ zhx_R^W$yxnGNGG9;JM4ecj?F@ zO&%lRXEkWh(h1lau33@*b;muE*DZ+?CE4w_Zq?XPMiZQuZv9bZ5#V25Bs{@|uU zQSkUQA;b`(A$l^21^P+F4dMG9q;MOSRvgYfcAS(3zb&6}RC z-d2zQ6F~8#?E-XGK(Qp9M$#gxJI*mCJf_Yvr~}G7afxF`;E^4a?&vpqya>%0Cqhf} z#l#Fe=C||;bJU^MN^`va-UOws(s$SzveWHR@ma#Z!gF89#yLshm;*1`dr@raZf<^;&W<_mmqhC9}7hauGPR0?(JS9UiHO#z$NXog( z*~C35*$91CKt4rw8U3%5``m%{K2NCJLo?>m_ST_4%eTFTdCU4Y&15KzxNP94Iki*( zoT-O*yF(?HS{jPcK0*e?LZDKilJoGl1e%Yf66`6%I?}3bK6)#z4_pXlhk75&mlMAW zkZJMJ49~q9zY_@jHe`bhh^zmv5Ly$3B$22}UXw{vKY*92DfMAaBLNKq2UAx4%7*&I zwthlAGj~oCihfbARMbqMW+4IcXFmpnOCC-gTgNP0@Ft!K$zFWG3W8Ujc%mdVbK#Ok zzqxyj$kn4o+R8Gr)6YH$T{$|r6KkEpXwk`6CC#MUidOg@<;*JlgLwRXj_2dxPkDzP z+EeN;7-aH|KKgzCF{*Q-;xK9pS*aNns&3rmjC3~pF;2xL&n5BG*)jf+mT4avo#9t_ z{XI$qu`CAUilU(`0)x>xQA0E`oUnKXUTC!aEfH<>U zT9va>*nNx;sebcX$JsioL^)LQY;TRQo)NXnN{c@aq1x#VdL6;kp7np>e>e{yH?hfhh5b5rc7NkKyy1Tm@DFug?kOt}QP+Aa>2I=m87teis zzrJ4_1H-U~*?X^bovBo^9it>jgcIZt<&uv=>HcdbCVEdI87Ighk)4x~^3PL}Vifgh zlnPaBg;TE=S~zucNR`2J(cllo404JMH>gbp7~dF4^uS3v5)k>Wk5N-D!=-)NH{40> z124Y?Z>@+`gxST<{*4ly)okc2|M0!%V< zQ#69IC}iFn2jx-xt=alIb>g_sdlZ=urouI00)=Ful>5rfWLbiEhTGzHW7`po&cPSL zpMPkt2l0HvN@6dL_hR!KLea}fY=Q%Aq-XyDt9&DaMMA6hFs>-oasTXlSNbH0)lvF= zW!aOmQj=ihK%Z(CRF5So9*K%${SOEh1A4)Z=`o;;OrpYuRXv7&>gI^dLuIWk(a=1n4X~t(-Q!Zugj0(8(@e~OB zx`x#W_ytL{&jJWH1%bJ*2dbrI7(%1LZW5306**Cgk8f;e_sWr?Girb9wnTo*!)|H< z7czpV&A?FolrD*l>(eiYg#Jd*iBvL6&bBKWqfY9`RF^Q2@YwxaJi}HOizDTOeBp7F06o-E?}guoY@o=b zz+MW3w8y_`pJakjKt>WNS2eY^0L#hR8-~<)t_JkW7Nn2e(HQMmQlbPLM&*YxDM&5x zlBY&dHbhv5f0{#M@Yr55MMz1-YY$E+4z(iddE1DIa7G|ZK`0oPb!pWpK!xz03gwMW z(bk1@60Q)`gADO&$ZtH0Uy6LDnA-cE0S}pN?S4Oq*6o1>*Itzo8CqhJnJg1Z)>!*( znm)_-A!PH5Mal(P`djgksPlSK{%=ZhiC-x`Wo5Olk;S+gw|gmof!nbtdPRzaTccF^ zRUCFUs*H60^Pw^^y$_9=hF`mRv? zfuQiS&ED)&z2z%SX;qUh($lZ+V_T-vxy^^VIu8NybCW565A%9@k70F6^^O*ub_g}q z*S|fX{-t5$4&#@pB7-45MCDxaMD>1aL@5MlPYrv8Vb}i3+DJf9B5DeLTIt=CkQif4Q1M z(oIQEjfqgY7tbgsCkc67GqXs9TRtDDSpoIgFpG^RD9>$i+m!`5Ir$I~AN9e6uO-Hv z(Ab8zAU*gkU>1sBwoGBCpZGB<)BsPtrM&P@Y?grYLj{nk;s>kLvspN1e5$U%MYo~Tn=sYWbm7cd*D2I=y;Db~pqqMT-L_YENY(ZPB zeXr{Hr){#ju_5=X&mENWt=29SD!jfsUbjd`9;h?*K`|@+`0Go(|2;2TgjXw&682zm z#>Fe!T1s_3wd4r8AM{+4a(&>*a1MJxMap$uOTdQC?^VSj;KQXrQs_6}TB*Zce zlbQiTvpPgSTG*WdnB7%K2M`EGjA}s6vhAEo>aOODUbgFcRp%|g(a#I(X{+lo!#2_w zPf8;zr&UsI9Q_6x1>k7HarVn>uwPQl@t^Igrs;YF0tq(rcYGbsc&DV|yIuZ^4fdn) zd;q2-bSYy24aVUcrsC8bufx_S>nv55JN#~I)2Syx&2S0Gd;`hC3?yO!!p5QZ2g|^n zj%~uapBzCr7J3%bW~L7VJG8tEIrn(DaaMu7KAbE-e9^H6`V#5FBB14Rblij_0_jOC zsH#^62eD<@dmQgsp{kSYhXhJHjwyk7^2L`4?6~bW1R_jYRz0RYF(HcXgoujUcIaMt-jZyq;_72j6zKaw}Zxiar-xRr?(%D zRxexK_c6SEylhL6KQlIf;ce?W#?>Tum1L86eCOy$q0w<=t1?M`WqDbm&^fkB!734N zV0J->C_<;Jr1v!t&lgYR=%F70wotv56T%`w+?n|%%ZZ;?PSzT8b;^d|wbpoie8qLDLWO1O_+z}M6h&bjdj3~v#r zp{##bI5^wv=FirEm>HE2AD%*!F@^g4AKOGjPw(^XwSgBX)$?wZDrR2`{K}7i#L=%)L|J0py$rJyDX=?S5CShEuMU^)9+TW&8S_q$I!sY zr5RKsb7Y95qNetL=vNGTzT1ZuCA9cqF*@r}I$?TNoutr>hUI`>;3{zJGG3rIyI&x9 zEl=|ME})if0EpQWenw#g`nFEC$8%hLdXSI_2ZEaDGZWK5YM9^ga=Raz9ax?H)^Cuh z6HV8k1D@90ZGK~1UtLTk$ZE8HtT>sgG74|BT};33m6Ytw;Zx=PNscP z*Eh0l`&}m>p*#XWli3?AS|ya`b1b5clld}hK&Z2AZ&s#Nit_}T*dkGB*bRu1))%cf z0;)c&k&~1A9jl5x@)$4{`#G9sh6i5$*2x|OYM`iN8%TN#bYBLWZPHh-?Vj9?eY|6g z<$ULf(8tep+e98XP^M~OVjclyhvAW8p8muE=l|^4^|#p{2%k20&z<-%t4;=0A>pX- zIU7|*?by!ooB=(6Cm6h>^`ahYb>1MDEATx2yX_y%N!}Aq)x8)c=fh!O?A+DB1bD=* zxtlu#CnGAkuw-oKz|G6a0)}jkP1r%oVoHWwL=y@LBAR@(W#sF#v$cInO{hvK5^!>w z6Vr>CnP#8dM)kH=vn6vMP8G5EIkv%OC)x)+P;(%8A!@9M3wo*SkN`7s*EuDd-G**5 z!CCA+)Rg4%$${745XV^WrG}0|Nj`(Sn=qnzBit>B8m1ccM?TXnkhNdFIz>gQ(tpOS zG#2zYA_+Jh`R(Kw*n91yuw%UB8;IZGw9CDmL2Ip=%4o5mJtf)3XU}30NBQH@;FgFAy2y|i&uv;`R`bk31S58|28Eb^j)K34khU(*yBshEMaplBP8g4M%&!fa(0QJ3Y)C@ z^Q+^XPn!e|c|SEX#te6EYBp$7{W}P>%HIc%2Ar<<3;bCiX+Z)#+%c^*-E|tJ8gw8G zfnksjL^Xz)_OMMMVPIgq1VW1<0CHLW86u=)il_4YaF3bxT@5m2Azwun4`&z+z$tH; zj4v#6m1iQrrPD`F2IU=mIxxTRiwGAilQOlUQX6^|HN-l?m;#2Y z9?0UxP>-C~df?OZ2s;}=rs5P}P53dE?pEhvyfVs^jL+aKNGueM zF%jTxmQMRT9V~5?h`(}c&=+4wRv~X3lUiUHZoGO$b|Y+TES8MXrev)fA+EiNYeBrU zU*7MDO=NO|;Ec9Sjc%%SawF^&tv0aqcWGxM@!Vw`GtOADi?_|dQ958MuT9{O#!K}f zo0l~fq9LlqujS>0^H{%Jr4O^^pNE;ue6HZ~&?r?I#pdfQiZ6D_1kjrUa3P2*Ji;8p zN1AOYUd3wkAQ$wPAOb|n!y;|9RgO^JlaKFcIo5JGdtMFWwdL4PL8Yi6{a_gQCO>h^ zFgsT_rwo2gC(jEFZnjQ}*R7G@B-olsu4Z05^fvtH}m2Qi#lz=Vx?evTYiw{K%x<9|C&ykv|>2R_|0z(>XWmC$kkHm`5b zPxpynLpovsbNM$^9Q4@ZvDc?yb)OphDr7yH&PIJ)(X=*X zy#FDx(i3Dte*>bv+8T|T4>H=IVi0+R_$<;tJJuhrFuGE8h-R!;6pd9$Nol!KEiWX= zcE3r8>5$o=*Doe3x@Lvq4NzIrQhov1QdT@ zZ9ZX>LU5YL9U#E7^m8a_w9v&QSou;^1Rq$y*qcNLbZU45z6wCp-zhJIP71F!i(P$4 zu{wUqL1InYgt%TFMip6UKO{C1`H-G0Do%slLPWj1JO=4J7I$W=4W$&*k>cy)`5Ui3 zKvgw?p2VIsUWB+J1|jw4&~GRoay3m)gW5`8$>B^*(%A_bA|)~5=C@dNHQ||$x!Ahz zzTL@3-2Cb3CAvv^ywKz&!#BXvu`^ozld$W2e+vJ#XF?;z;=S z?<_1aP>**K;9j`92)!~TX8E+{U;iy{oJ&uOIkifhK?SlZIsg@cpCIwa)uKSLojRIk zbhtY-P%W+O-OkMC*KQ9(RCgex{|{pj4#rDz3#jEN2J=@;OcnW{#Qabe9|x%^IZ(B1 z+_@*n#a6!(N2}`Ve1^mm@l{)ni!$pEtdd3K=Ob>>(+j#;$sq=ab#2vy`Ai)zlsY_i zV&2u#`xW#Ec`7xdQ+Z~t@E2@kwF{H?;x*lw&;mva~G7wR|f;x7vFONs3+eEz$Wk5bAT_&&3 z?uCU5M{{<0H4}}?a6^gfr00f>dK+YaW!Ng)aV zH{7Vh>z7FOlt9nDnH5ym_4d9cpvuXupkUFANL7;)Vq;kXU9C>A0*A(pQw^0^)alV6 zWT6ZLIz+D!s_@33iMDAOqC&7g@m8<_&3LU2iYz&)cK*YLkQ_PXYoPtujHWm50F{M@ zz$BWDL1M0!@E{#vn!6JVJ@N{ID+}Ew1La*eHP!Q;&MWN#y|jt^4E=UcsLOi-AL?vp zV`J2aiO4I{38AYX!ZY%I*tKqZXe{uQ6=S{qKE~}tNSq>A2jv+4xQi)t?Gfgpq1(65 zRh+&j=`G`lFEpy@!Cr87@IyBdSI~4}IV^!>rRn`B`BDkEzw>yHnDeHXSMh7MZ=?zW zbwU~G`p;IQD#R81FmYD5C~2B@#-zJ+IHYJezdkQBGg!itMR;cVi78^z7LUW87%GGm z)hyol)_w5_iRkVwE3^xvx{v7SHy%(qD63X;L4C}NvqmzQM6P5wd7mP5bCr* zM$wnBgZ=f<9qOT7Dt&l<=U{E(DeDG$C}$aN>3p22b`lZnRDRHn-=fKzR?qT3w1mQ`ZA3y!C0U}Ze#5`%{}_o(k0 zQx&fvnYV3>lNX%o!?IDOclZ!8B10=A@7q0mQc_MMCkihqwXvE++qKV)r`D4UZNQ2cguyMNY4vBZ^-o1ewBMP*c>}(wxCI$MOV=j(V{} zIbzBW4Hy!6dj)I^q=RzT=_qU(-B}ZtXlU4uc&qoY+C5Pz7YDOxk?MBK4PRG61*S|s zAQzWMbj*SzThs5%&^cRQGhbVFl)%YZ`6?j(Ea&~u$7#>Oe6z;++uaY(4msck)8F4p z{s!?41#2KR^|6{G&&53k98=Tm>B46lM96MJT#aN$>Fk{G!J= zL#~l3N-RcFqklldJ0?JO&~WOrv(AE`;|jX|AexkMVGd9vnI+RUiH-5OzqM0C(AtCl zgqPWyefB^lMhFgGtnxPc$nUd}!E_ulQQ^*d6ua-SqU82l%oZ-mK$*}tE&B})(_e~t z5eN3Q6*fpWtZTw4-w5~#TAe}Oc#6LLEd06kiTIlQM$P>bHF3}|tpAh+m$ROiz1dXKIDg zIEu|vpGZbf2J}qY#sOki3PyvE=wzBhuF0~>U8v#F#DzKvm;;6;y6{0QQ(g~K_mmyW zto`>g#6O1-#l!;1X^h*v)XDGRQufA+bN0xWF2yB(vL9w%9Wt$_VdG=w<4!QWrKkO| zT@x3oksC$+E|4jOdnYdx1tq5xE#}XsKLe)XE!rO&r%q*X>q^tIrh*ShlUK{|#-L5mrnKq&khL3w7L{Li~yucaA0 zOX;;ObPCZh*|=0w&PY)GXuTM5lUxu1I8do@i9%u0VdTdz>My^Jv()nH zAI@}?;whx$dW}v4SSk<$K4nTOXSNLR)6zcc*QG0_GWJhLkepAeelIm2PFa9hzvNXK zy~neyeYn|3)U#X1A;6LRVKb9!uit3HHH@nK=y$fMV9(*>alHH%7@~^ah&`A0_x+5) zXYtarRzN?k1d92h!*=we;C_>ue`7$O_2Yf`sborx>EXhq0c2DBg9xLog<{6^m9p#R z5LcP;vu2rE9!~M4=*j6RZs#*Yz2|WN8@=}_sLZ|F&Izn<-|23|_#6@LX*OE+PVCe% z<~j_-T(T`RPy2blxEQV#%G`SKm<<#caR|PXRA!e)Rfa}_ld*mTg!4}{*GeE(_#_L>DU7P>>cpNy> zA%*yIAibDkC*>cwxZL-&FfyqZ##dBhe$xcz4$F*B6?EBub;A6HKj}@LX!pza`D{15JQmDds4B>O7IGBIU4A7KE(D>kYjDSuu z0Rp`0>!KUEww%E*WZfS_QKD7Kjg7Je8nSom#!1vq*Xc@K849<0PQRU;Ux5Hq{pqrI z--i|#v)b9g3*vh_$OHWSBOQYv#w&jDoWLi6cjfPs=V~RCc!SpUnq4ejLQ;pgncVw<{>b z5{Yenl2{W!dU0CMbx->fGm8_^dAAf5)SW zE#k5%|I$dwlHnzWLLImyD8&-+5KZ#NUY1TVqo`8ECuKAiz}Yw}i?U_9br>L{AcWnx zS-HWZ0oww1xv<53KlW@QrP83e$1w8e@DVg3QKGDaPVwBb6dWO7HP5J&F{pyl%gKjFQ)i0F|Fo&WI3^ZepsqTvIAVO<0ovE)mi z8%VA?H$DN$1^(AdZX+2S6aYjJmXrYH7J}0;TP*LrgCmg#U?KRnm6`dVus2oI-$y&@ z>S##`2$D^Y@#E@WO1HtbQQ#~1xNA&<3CxJxLlMyPMSMM6ZQHdzt|9{yAlfh7?KZ#L zke4mx={KY~qo~k!k@;9CGgA<3|91p9{;j`=`0}ny<3S)09@8E;QOwv`i9FD4f=98x z*vJ9WL9-HR*o2=!Y{e~c@;Erg2~a|J>s^C^5ywGDNO*U*U&UlL5GUzuI1)oHEC^-0 zY|^dT21}&gM4(7RF!CK>$BhuFU>-yW@)E77^yk;+(0GnsoF=I+^(YR@ZN#Q{LV|*_ zwoa`rEw$Kbz+}HX4o1sCrl_fCPli&%?*!$3bKBt*jc`d83xw_n0IUB6NT$#`f4&-n z<&0Uk-*EIPLJbMQOQUX|hr&OIxiG>XHCBg%hF7m)VO+Y3jzW2F1>>PEm_G%_H065? z0@^G(y+3-oW^Ld!(RRT@D3m=sJRBRwe%>oDo^D(UMLP;hln7t{g`x{6+rNN21#$ce zk3ySt07aaen{IX`B%|oS#w8%AJc}@$>hMSO55W2eWoQHnnaaWqw1Gud#=cY6(6JeX z@7B|vTWsF^{vENupqQcY4w*d}FYU8XXN>BZSi|I=+dQ;Xw@1?NZi%v>*>Ij7%1$cK zv8hM=p7Q*uBe;77u!8nYfaj1CI=Nm^`jO1%buv2DbXsu+R_1-DDBwJs9VuYLsC_f!d-d~|XmbvmW=ne7*DldTWj-HYIf^lX6ZG&i2dO||v$ zYH=$sl6(rGKsP?<{A=!2prX(9E}QT5p*jpa%oraQRnu8mpR|G&#-JTo9S69e6LwK& zK1gBtKR;gktN_2dEzK|jn->-~XA|hLX8`!ZECL>c%BFvE3O+f^RUBOi&CG7FgUENL z-PeA`T2MvWhcTdW@?n7=QC8Y|jlJlo3 z?S(Gc-=r4%UZ$4}F}nZ6pM`t|gjJ=q+~7##%9s|+6TEKChav~(I%2V>*YmBGRRqe3 z!e>#HgGv}tE3Zfp~6(qn$~Ad`}`IrU>VdN_i% z^zkn+yQ4Fjw;OtNb^RdYM7s>wVfXu%q#+X!0lR$f``Y`APmfmkT$w_oW9!TJD)q_C z`-t!eYyXI+N2m>0sPzyoB65OCGR}VgB<_K_BSnNz#0n1HCT%3rAb!)r-b}gJaN{ON$}Z7lnH#`%*T7T1*I7N* zG4$RP6?svuVO)rvU8M@>7~WIht|9e4HHii}h&2VUI+Hhv)@ulKH?-j~^LLz+^*U3P zjUf=_gP_}AR@UPC`oOeP|E$3<%RN-A@~EBu)UE~DyDjwN+ZSrS`eMti_vys%>oLWY z$`{_53ZA0n4U_Lhh=!^)Rf}`1>;zs3QPT+fSeU%(lj?W3Ed~y3n}auBzgKaJj~Z-ql(<{SUecLP?UMWw8_kw`hAWj{z#Zs> zyE}m=XGza0ELkkJ8LEq2C7pFH^%<*JUUf`YS3rt|idL;@M_NlwEsEW5Ds9ci;9H?$-lEO(Q9!u%Y}!; zdP~{d?!pP7k)K35hcOdadgl<(3@R3WT)^QpyUTH#9)wl;ZbR^PzcvuLxruda$llH<$t9CFyzDOGFh%1Ek1lqGFst zvAdLy0F5v~hiNA36i;LuY%8lY`&-Aj0$nGHR9{{|f(1_xk@ISVTr7pG;pArw5VGvu zNBYLP_7M@(r5*n?@k5MLi)-(B-QC{%p7TQtdC-wV<{#Kygmxre$AxSvW=>f|eE42D zv<&!j!d>=EtoJvPA`nyv*>Z+V?9)U=7UxxOPb~qDd|fy5Tj;i8uWKM7pai(y+>#6x z=iY273uqXfJtk{Gt$bgjIA|a0+ItLI0Vh3)xPhfwhuP+1ft1X@>SJyQ1+oii*i==ReglZQ9 zGtI5XT_d;SGR#sMrZwDPlTy2{UTXVe`f>!%`({N8Cd0d!xEMr7Xk2V^CJ-_+Surj@ zZ3%N~c}?{*i}Y`~48s&IWS4KnuVPBGzD4DneEsu+Hw;ynffc(XwuO;~6DJBCk+?)z zu6`a0w-kO~Udtu2PCV;;(UZ7I@`IF-k`dle11u+_a__vS#9@0U9}og1+x-5{v|%21 zh*g*9iIlQLVfTBPYvG@~kw+pOU4JN$$l~7hIID#^kXrIv*7s48yYWdA_ILQ- zf2`+~FzBuhc`wPQFq62L@$s2Tl^*u!l1O?vwZ?K@@k~=it>| zkqgUoX(UErOat72SY*E1FT=+gy(-2)X`Td7)qP$3XWiRG;mNwOpt z&?-BD%kyUu_-?*2h`n#Ksv*aWV*eT*i#NMi3)2JgbxDE<2-_9`Yx5}sv9r9j6`lMc z1x&Hxu_$hd`Za#xacVG?lD8;#BC-vWZ8E5jm6Cr7iY}4eU@v?SzfwAiE1~vL=rtr= zQ$C6lJvFY0EwwlHZRr*jQ~Nw%>2bGu?0=g^Y}pH5>y;aMdPJP@CuRar|E%-6Ag8;Z zl~v|UnW287h%_C-fSr+tuDZWH*15cDQC-=n~wMM0Kp!QD-?c z86MTuQt@gTcU<8N1n{dZWwkLi=TR#w^D;B$;OL zz358GqRp*~Y{2tlv-yw^4V&>tEv_nqq%H0@R2EII*Y^3A+0_)3<++)4I>v4e>c%UQ z%GD7d>fg25Y}vLMxy%8(J$bVp%TbPn>EOA%Njp3|yw}1^3Q~_6CC>=xCRizuX_x)Z z?MFRL<&~89z{qYI_k8hl7kOK+R0AKpMmB$d;^6u1Hg0)R8v{FgcZo*Po`47fmF4J3 zasev^mG@xlF-D`G_js$(@qx@7TIev8+C3yCoA#()OJrJ4&!)EU8?AzdWLsfrkq{eQ zZxM^!nb=s3@?QJZ?pRpWidQQtwCGbbX84CYGv%y@r+W%Lec`6R4s}8r1k=u)xBs$y zP(I8gJfHMpTE4lGjV2U%%TFKQrEI=Ky#x2--_C59HihHF5(b+==G$?Ih5$qE8>sA3 zB`uZia-Ym$EKQ!?WB+&+Crr)+)B2;Ri*E~ zC-^j0O>1V-7=rCc&%%1Q#Se1JHxYXkjS)kbE6=pR=#fkj_@FSp=SlBm;3u1_(a$VM z*7=&}d@zk`8LL9NqeN|uBn@MK|6KOfjhB-OXDeoochA>sjm~BCyM>XAPQ7iNOlnb< zq^jSQhB{)Qq>gR6vI(#3?Ww|&9we~z@=*vmigb>wwWK^9Q1L9@XyJ3iB3v}ijwMjh zh!MCoV-PgLS@I}mT2b6V5W{zR;Am<4hHXRdte)q&ZDxF@iW3EJKXa&wZw;)D9e0Xl zvlb+R8vk6tPI^>3y}^gJ3Uc{M2pZ8TO$9MSvb`ZD&Y;OCev_W zrZaA6>30%lt5#mm>Met|A?QbSqqtuZMN*%qiuzlRs00Mwsm{k=%+ze`QlvXffBOpQ zcs1S$qWI4SvK)63yFVg!5QZy)1*#sKnC;<7K^1gLq{ z(Yc}iUs37>MUFYkvm{jBu*?H@*L-5vNponL$992(LcWvHCFjsGGvQ``qPV6ykBjK? zk(y`3zxTZ!pJ<}q{18DMlZ`r79EF0{EV@*^qjKQ6a0ts3uZp8a%ns6V z8ZtK%%8yo>zwF&fx-QzkWzBis{MQ%;M}2kRz>CWeP-@w?KUI{))6qp zXY?=3_o#XN?DpeuN@tPt#^R;(#s+T1M4RR9Sz3A`RthPv;}W}&$6<2CqQ_8Ps9gC( zb|^6|mu4|XgWWQ{wRMMB@G2(IFboeFAI!7Wt=lCK=emY`)k*o3!j|I*7OUYXj*d*L z@NAL)4pgcQJrJcdJCuG}yg85`(=lUi^CPdcGL6bme;CUzi`$d$t`{rxE{?w%geif^2^uA_wWt)R}3?;e_w{rIqKTx z*O=DW8cNRgM3qf`g-uMAoLn3j1Cx`eq^y`)&AGB-A*@dsm7kyg`i#)eflBCPspU1< zdFLf5tML<5j^mImbwTZOwqkwD_KmWFO_^hGHretOg^U(?a+;|>JKB*Fys;#+hzMty zuFJW>vu?8@@bnif*;cjZ^=1P*XQQ~F#||r4M>4?VGpu#ab3eD@Ztu4}W@xe6B_W8m z(gr>B2RmT3i>h5kJ^+5j35L_WfX3n9*jEm)SD3Q~dWep@RwZ7L zW|4GtD71GNirAUon@x=ZqH2XqE4-1AF(y}}>bj3te2-zhHCt+nl;OWMOcMA4pKfb zte3Oxd5%COMxXi-VmPlhS}{rbjK|;(s_y!q9LfcaP`Caj3vnDABU`|%9`|tXXc&r$ zRhn4IfaQ10&hT8?|Mpg&j-U4ZY`Jbm>7`#)iwA#S27VN#H$f%-%2zlm;yV4o6JI;n zCPJX3o|p?gS9*J?<i&N_;a)wwea=CaQFja5;x_K=k z%dI1JLmX;DDE+FD}m2byy120I`&Z*YGyD_RHSeWS<4yYgL49I9*pd{&`9!Ie;o4Mqs}9vHY}P`wh_f za5Qi*Hn|H%^;V{2M{v7Sx2IcxWl#XQzvh3NY6RIZynUlE1_ylrWX1C9o$3AjcFqWp zZ*T1u|2m#G&GP)7@%3ZQ(PL^@MA%3ea!yX&@fR!?rl7Vi-KQRYPhI@DEY^Z1$=lj> zk7n+$X%M98X2B+&(l@wePnpWzdcge-LNM1Za#G`#)zrivoxscDMCsx5*S4ynM87** zatK)(KEdV>E3N2au-_k<35hxiP}U>6mu7N_dlovD_8N6txAN~h z!B@A$V;l|Q(Eb!BYtE!M&MVHsP{D8*WTLE~G-VFo++y_0T$pQoLPD}_WryC@;8S3n z7|TK8s?>!D->LwUK~r_@cUgtjtHTRtwbMAWjb@3NOE5`jm8eOG-mFUbKZ*EUOmvEs zg}HSGJQi+lWsL4{nHBoCPK&9jV7rrxQ(q2lZStvj<^|?tjENFrssuinZ498h?6Wn# zwK((qTIHNJ*ono@B`U7pM2cS67Z0uY_~;8SExv-tEP()KlQId-NlA z9pu5M20;xK02LX%P?}dvY@+6Xmw=&ei_Py&Vm5ZGd4Yh3FdTEb%a$b>P9`Rn(E3)GiEqe|78FLw zs@63fg18pt)qei3Z5_}c>er7=ZKngIExsPtM~3cA_QpMrg7-R?uTnN|B|wQ5^Felv1ND)exJ>fzy8-EXZ>Lu!4&x$S7n(&0NXj3HH}5Iu$Op=x5KguW{Y^kFrSzFV{{!LdA>d(19bz)BY`ZGp z$56MZVAGliS?`nQyJ#ZOO3LPU1|jnX{_fs~;^5*b1k4-p2bjUIoilRd@LBG9a_A)- ze6qZ8KH5IKKow3=)U~KVp{kl-GW9lTXWL(RZ>$rX#jxVBc$YJKipvQcN}y^b=J@KR zCFJ@0G1rkW`NpwB#ygI&zOc&~MOv!0H5FUNvEkTHP;2EkZTp?0v@*a}qE`4Wdzfe9 z1b9Vwv10{SS0qrp9vn9{-n_?Z?CzFWps$NmhAPg4!&CQ;x(+=vVz5l)fX264eei2O zXnMNaw|IK+p8XQp*9X^L4uEA={SM{(Zs6SoAECq46Tm!1DPo@|0!Yo9tjdn2iVB2J zx?s;1Y#sIVk%l{SKVs$X%Yag4aP=Dh-e3L$zktp+lOdMQIvH?sf9!f$h_%|XUpc*W z(#GVW%LVd=)7%dJs6zsu;8}QH?>w#dwZ21pGtP^AiVP~y0~ZpxkUcWUX8w^2?Gdj& zopXrU!u}d)oeINRr%+J**){Q2Q8|UDkqU%T{q}~{)8fR29oaHtP*KRoQNhyEF;LgA z$DF&*ZRO~LVP-t!;&ygE6|68j!0aOkFm5QHPeZ@A>D9MDUt2R>n12lo5yY>`ST>;` zI*1x4cMRiFvU(#agZj{L7QRvsQnKV=xnpRp70q* zTrlr@v>enj1ZN}dc414!qzevwB_qt3s}{|q8Q+psQ$xd$nUAV7j#!sEzP+i*xzaF&6E~YIReU~*6z2>?a3$Smir&LF(twS0bW-rn{ncu zfdV3(6BBB;Ck2Ux*355A7p4Tc8U;oSw4FHQ|4M7f1TXAGT(Y);|@7xik1h=2r*CmjVeyqLQKyt5UYHL(k-a!e%iZadhHrCBM!?A#>Ju zU-Np)u_U9!y57rqHkl134$rdbyTM0@1R$EUp|)&ycVki-QObK9{3#vPt|wSb<31^% zgF$!#1X_{Su!c`ykb||=Fcea2Ti;f#FDNRkWc*(GLtUld5|vG2=L!+4aVd?DOCp+4 z4gw_veQ*C`z{S*9NR_oT&eyo7w*vx;cx7v91&dwpJLe$awqufB_beg4zT6d1&2ZUG ziz^e0|GUCQCKYR;qc?k%nu|+)dk}CpS|4^Z@mThDC6TKSSGz-99{QY_j62#ItS1C5 z8k+==-@zbWvscyVUvohYi@HNCKKVrWLv{;*}Hy>=? zNtZ;T3YON;sO^)^SuS%cT@}H`0^?O!QV>kV+AVS%q0?9w7^nzoCMVT>VB(bUk87i; zV>Vgh9xU{+C0)b=aMg)89~T`v^=!kiT%1Fg{&H9_=D$o}JhwGM6ySwW6VJ1ZyyHFR zrLkrHo8pGAvqu&AV;I4ohDO(adGcnMD|7xU%}Ln*z8PM{afJ(hN{G`t^iU!Ga*>d` zrLQ2!B9de*JofT^bW!|7@2S`E6OEKt^2-}rDoo)C1AwSGhU4JecoAyl1d2+0;kBnf zT=blw_yuZGnlZ-rmc&Sp+RhzDw@Llhp3?OlrYVM(I-hg#zg+*!YV0}#Z>INO#iGSv zrAV#&B8Gt5NfiDU*OPAD?MU0NtG)_Xa!sziVA`N#XP3D5Jo@?6TlWX@`}WUfzrdE~ z)Y9isOfc2$&$UX>zr9;P85VfCZ#S-~Ql+_0ydq`1#@t>zJo_>ANc`X+G}z zy85mOqiOA2E~6FbTMdg2pNv#5Q#6X$8zs*nAcR6+R8n5WS=Yn0I|P1fgrzEVrd~_H zWiyjF6Lx}zm6cVwr&rYxUscJKC4)XMuelDMGHQK&y-*zbyj2M(Yi5;l5kL22!X%Vy3d4ty8|dGAkI=U;?8 zA#Mi~3N7x3>>TYUDMSuZ8k#8;71S)+(f<}*TgiRfEy@_TYTg4&ix(BK3!AU!8-tVhysEPB79r8ZM$eyI`URRYN$C^ zJQ&6YS?c3my*04k>iaAqXvV-=Qbo;{YO^iMgMp~XxYLr3Y4ZG3zn(b{{<~o-#@XKY zs(ntKFHHGr*psDZggLuVyTY_Hf|>dHjfh+A*P=<}BIL<{ix>uS6*vAkg1*OpS&sV` z(FApGvl{m7p>}`&Hz*#XkQ+f~dp*43k9zVoTlm+P&%B& zrY?g2Y1Ce^i-6MBVsoWJWo^3ya{i6`nQjRhf|32?eiMdxzE#W@Yz-dF5q%1 zzrIX@KKhD^U)rt~Y`N7RkM9h62M!lIOCw914N(V?hphdfGs|W7?vl zBdbg-UfRmXarKB@Aw@U$a){hs5}DJEaGu_s@L} zYUJFAsQLnvEcr)`3-vQbl`XRxG9?TDzj4y7K?TI;Xl!$+tE;zI^d4Rj{Toc6(7f%p zSippKy7;5cLV`YVlIU7I5{H5Cuco%)4XmM$8#sT?{+ zjb*|9_ty$*Ju>z2)xe>KZ=@J06s_)!Jl6Q3|2rKouU;gXh>yXx*&mAQK>`aE%8%6* zezz_*#N}hZ@dQeK_IOK(M?^*{eE$B^wcEXqp^rtsAPJAd$RL_Vl~4L+X|KcBSw?~F z;b3FUh(g3i3kMgMdyH(3f`Fi`ii@7!vfa6Mqbs=g?7($g@pLq1G!qPpX2mLZQr%4y zH&#y7BTZDxK39H{Am59UBH$uf6g1Mb?ypb?`zS*K?yQ^Jq>+i=_|(_iFRDZe}ECM^%RoDW^Y>b@mC&|GP&r z%apiB^XE*~v*pcWO>HlLka<@S^z0XI-n1JMk&pFu^R+V3^}O1QRKcN3?fBuWHmP+m z;gs)dT*9@=Hm$v7ETG{q#o0FA@-3xK`dEZIR3|=H71skX!vDrih)RiB3aT4^%k4kX z`cAv~sangbL9d{0Q_uU>e+wus>wUF)0ChO@b!=Y2n%v)1{fvKF%#od4d}FRw2o zKUA~6|NW@}F%m^YvyUi!E{`AdqM4%CnvIqYB$`u>30`DT6{)-+X#s>Rgb9o2E&W!D zvG32m52F7hakJNfhBsZ~7XMaPO;1M`I`&DgMG=rhd6#e!5)$~tg`pvz`)=COH2A)b7~yI-2B(AWH74z_Uj#-84{YT5f@9QSU85p$=JdPa!X&*eGki}C$h zv{d=dqBGB~wf2TyQGR6nJSVr%eJU~#Y;YImNBdM^AKZq1>NJ*Tuz2&mjh)>hX1 zsj*FUM)rvzK`*L=PU83Wt?|i4%q`Aa1p;s$Q;ur*C-E`{fQ2 z`#gyp?|HT-gKC)$C5Si=UAhmUGJIJLK+ksD48z(}QILHdD{i1dRayRzGatWx>L6Xp zFwDe~pYW6`(uSJ_@^w-(E3WULVe>hw5IVu<7;sHi2=M?1zMAG|D^ifsFBkgvwj?6r zRjh^)|5a23s(MwpB+X3Unmx8rdTji1Kft>&4e((4~Hq2 z>8g}vy>O~EnO)L;iNiL^fA>my>mXo);ReOkG(3^Pqmp4RhGxifoFMUOqg}0DDs^JUbsZSRkfhF(+T|~4caoF_exKwlOYnzoaU z3h>6K4Me2=ao!!P_nmV)^uS-`eb%XziPW-|C5QN5l4df8^-X;4y_Ax~^g(FSjG=%;Wxn#_cr}a^WG0$I+oX$RFC(!G}Azj;( zkdzn=uvGjWm0gcMT>~j&bClBdO!V{5#QGcYeHs-(zNXaTax_ z+K?W$9S+N2#?rrXSRm02LI`?BkV33E31Y94Q3(lDqQBl&)VeZ;2mS{{2|}nF3;(I) zZj}!ZtYG_KZieiN5t&1^mz^UOB5&03RuLYK0YmKh*Bt^Xf$r&F`WPHu6L>5bF6`xmdi!rEYw-BLT=}h z=&+KPyj+JHtsMc8F!y6%GKbCYgETmjj-j$g?^qTy3aQY zC{OE=SRbaQ;>F%{N#n$H#`nLR)6xY|Ird3ne}k+3wh_EXT|w4Qex_-?mqwo8Fo>f0 z2iR#-nH2s4110kbZP2?QJS&nh>yRg)`dVM9T3vzDc`1V^UL&(@JP#C){`@eP3`#Bx z>@R(FejGU0v5UqW0kHah7m+l8ega_CF&(sDIOq16&!=jQ4|T~!FeRpK*Xc<(6YOWS*siOA>P+-=#{@n#(kpK?+S|rlS_}19A811%Sv{z z>+QHoZX4#gUYQpqCWFi)yrP5pW!FLg=go z_C3=1((ngPlICjLw6_-1r2<0Vli#Or>zBM1q8HP3S!Z2G(t=$3YFSx)LVf$MYT^Z{ z@%N7W;Ss2u6(n>GnbAg!l$;OVMW_(?4J2WngX$2MzN6to3D^SBZ91I-Q25|q8mraa z!8fjhS9FYuiUOT+qDP7y)6E3(BCml)NR=|Lb&9$WE&EES`!7;164V$CO?fDJM|+u& z{eQ6R2l+;9tMv-4f7(3(&``SoYVhZpIcGeb!ovT)AY%?A&>OM3 z|M#B*>ri2UQX^89DqFTqg`aRNSw?rb{u6Z7o~zSIbd!)5LBw;^8%Vj>{tmtm@y(7$##>t>5r?R?ACe5#8WN zL>Uu7?!8{+Y0@EKHrRUAC;sJOV~lzb_i|K4_voBm546rNc7xbdHt67=h-+E~k9fIz zV*Ty`_gWbDVZ;;c1nGXQOqW5sLBs{*b(9{fx?-X~Ao3eSTh^rbKVqZ4(N~P%ke@N# z-PVwPgm=BGD=TE5KReYv3vusI%J^|Tp92P*9YfWx#U|x>o;{R6ev7THW}zy1wgP)( z(`;#KzYY#LD!0Dg*_E)>8Se#mbDb>{Qv3%Rf$m;Lel1^KC=65Nf6=GwiWjfpiQ3|q zTef|}`6LIfy)tsI?epAAUJ_qDca1<&UylYgV&H~ME=32qo?r~UX4g>rgE^Hzn87}` z?V8DSwP`dMHFW_@$!RaYkCx+$E!An;NPM-` zq5_~e%GzI$K43rTlI#C}x?~U;dG6L*a)LZTuM?3JTgr7Xg*TkvcG$@MmDSdE&uxMO zdZZScRbOom*f5sWAZl<~+U&~9M5+*6O|>7mG+X{H`U2s-(`ar9eu)w_wJE;7sLeu) z=1jR40GtD(vZ+6zLZTOikU-E(6o_NXRflBWRr4Vno&sIlCX#fd5<|iKLf%z+^P9i(%kRgS-kL^0grm}2ch3V_W=+W z+vR{OX@1_PRvHyX^LUWm`?D*3hn(6{4zfB38;mR;l85wvUpdnYIm9iUpqC+>F44|7 zx|kKF)BDO~yN1^n@02et7#~`N&*q-J&h2%@mA*HZn6G!~s(;+ZU(6c2F)|W*pwp-Y z2{>LxCdMDl>t~GdHgUf@rc})jlud0==WBO1-eLrPdyN@AkQr)zzP%lwkSEK#I!Nxd zdw#ylj@Mg0>L~=D%D&*-cY@!E&OT>wji$dnvK~NlSIpXOGX1+|o#k{r7#lWn>%2K! zxWq=Zx6rQ162hdvz;4ukBY0cZSem4Jvyv09%eFD7Wm1xOOOqvdd$~7l3`i7>+g9LB zguLgn7rA`|2ZGIJsuP4?-e=$hUrbT)XQoI@jS=3tvZp|IPWO|yNbg|UX(^(@a25m7 z&j^Gn^J0xE-CK5x1+zWXZJe(KAYB*ZuXj7xh!mjT&RT zvg>t5GKTvnlDd8TQNba)-w@EGHF>~ne4stuXjL|63lY|+v(9X~+1bS+PS3vtYmamG zrYe*sQ?hDrFF9em4zJ$tNenoP+ALZ&ZM!z>f~kGF*$sMujkWcLes7yEaKKELuH}31#M*eGb-LTZbv-H@a9L;mH zJihoG1oCDt9gcbIgo{S(p3~29WBo36X*ij-YCHNoRZr|snoI8-+Pew zJda2p)D7+;Ys@BtI=&v8=D0U2qgPp^xLy~zKA%j_kxSQg)iXAN`~I7SUB6NLIxqcmeKk-RBEaJgmmLa3RzU)W ztzP&e!G)diqP|VNkjYsvt$hNuDJlU;Qz1+21QdU4*|XSf zK%eM+Ld=kW;y)G9F>pq!^UZ^%>e)Fi4TSLAhd!Bb%LaCPR~6DQyBicz&X45e8ZSG< zv>>_8RNC1=2f7as(s--1(>g=F;zMCdX(Xxc&_3O#&wHO&31g}KU*ZmVzW51`_}zj1;j4qW)t)u5Q1xc%Fg(K0oN!BQ=4wi%V}2&sXvs{CWq{9Jh-;Na&VPPuvdQ6x)Ew(9h2x z-O`{^D4RNS0@j5X%uG_SP5cX~`oOIp86nUHV#g973IkFOa5G-3=*TX6s3Bd)duyL$y>sYtibSN96oI9!OOMcY?#G*7+e8@+kL46p;k zHRA@j!D!@oj_XQUZ-GH|eYlHgTqj|OwI{9N6u`J)gefLQ!3w_D>?gWJ! zD78*tVjyJ_e7IVb(;*3e$0`ZsAWi-H9XSZs{$1BTc59Gge>kB7 zl+L2jP~M|8~l`!C!1I}dIYq- z^;D;YirOHa>ouhtJWSrJa%N?odB;C2gFIP-g!7mvqz`tA_-Bk~nD05LK$3A%XTLYT zv3JJIu||{}`F%qS+~`vH(EPH#e%9aExVT{&e^pX@QD1?n2E(G5rY3`cXB;*wbs`$k zg3tD#PkJV&kZsgq&+DOg=-vVJ=ev)$a)IAIpc&)3Hg4L`zP^=B92OX&b+1Z z|A0bt{F5-W_jt~uurU1l7M}TAYRLY|#|SNN#?zE}^gtjFJ~?mk=ao-U*%#;J!L?`( zK;i&UHUHN<+JpHteS~X>#{U&StjgxQ)#f+s`uQ0$q22Q68COGoiH6T7gmkeBDXAcT z@_YVRPMP3=EzK{czY?NnW8|Zupg48j6+jAw2cI&7IAWDSx;Os;$e(vp=Wl%DP)OIl zQ*d$7WXv^x^s?P%`N~r&go^ zRHRJlJ85t934eNp|FluSGcXJolfM^z)58K-yiESP2G{d1azT&Eox4>fGFx5d?A|A?LKqaF~uh5DtT#jnuoyp^)MR zfK3nGehe+;6C!f!yrv@}rVDp)v}<>(j`io}b_E4_vncdXsab6@mJ41Kbd*>hMATk2 zJ-MjeLe+_HEq^?Cw3_%~g0DqS{1UeCm`2bGbnIHJGR<%RF>~{kHw~Oe&VfCNG$+hGc~&;R?Vy;fvsLwM+*DM49!=S zpR~)>Zx4a8-2D70%X90vJtFgz5g-h>0D%&|^9tk~TW+k1s!= zNHC`Aq+f2P%ME>l&etm(`IhFzE|v z)|f|czaJTy%d0{9PMl1czL&GwRWtB#?nE1tBBiLLbk3~9dr0hcBY_0lafweU)^1Vc zD!8nHF_-0B9u}d# zClxNtYNERS0-^fN>U>rG^+tdz$R9mY0<1W>-8#)B`l1KlZj&LR@t|3*a6F%Sp4eR; z#Pl=T`L7L9luNZmRW;~f&?4qCP(C`tZ#=+Le|zATqguknH7M5iI^q02-1hJu^UHk0 z`FbW%cy|T1Q6f%y!Y7rY$(TV{LjLIa`MFgL0A5ey+>NH^?LK=V>}w04rdh9d2XlJy zMQ~6?q+0B~S5xn6Q?c>!3*x_C_o|F2OutE0UU;}tY9{-;U%~0AT&rarGru98_H-%9X1xrGDqX%K2Bn4=RS z%&B~b0ETs1BrFX_Pdgazx_W3NKIa5+XPv-;wqAL7+1u2qN%&V?XWJ~*k4X?3{N0I% zynC>?ja|7l?_L1sN8Dhx%_{d9v&4MSZW&DBwiN^m(8-4YWCmfG!ed}JsPS5CK?uMS znn}k2Nna*Fu;$%!Agkb+!Dy&L0YoggXS;B?yE`p|OUBgs7ed4-#=u^@Xi{x4FMI1@ z^Vlpym;UYFwM8iq|0zqr%j(ty93K|4&V)O2Iqm(v&y(%Icj8F9ys}Bhm$Wv04A!R% zRi)E}z+}2GQt8q+=bwME0$d-9`x;d?sI$go!*^p(tQSDy?A`Xv%2z*&#E(eMbh)XV{K6BA|OuBz7(Fm+lR7*8sB0Lt-mu*FBWjg z70F-%7W>cubW0{(yt62b#TvWtOp%mfsFl|$@LuekmSqlb($URN!5$;{FnSH{N^^RP z${Ea&SH4Y^zjh7Y{2qVGMzwovo;v5Kh26}PvzI@cH1{oAL&#*|_utM@k6Tl(O4|9l z-Im1fJguJN1%~qJ0;(9qSm81_<=A1uT{#a6S z9Ua>jF9rG+i&-Nv2)WexB2^xXUzGk_y?{1)J6aLV=3 z&0zk{`uFY(G>gb3%`J$uwF#YZU4e=2sfD{C4%LQUyPC1jQtGi-lbpNuaHvc4SD&8O zJ$WDo(a5Y=I6k#LKRzw^B!d0f{hHUXhdU_{;3~XlGhyZO1fELXm2116^ag^rRPrQj zS)7E#yHe89W1c?_Udqm?j@}e{srG;ZiM)HTt)-z7be}+E$T&Deo??6VIg&c`z}z_U zP#hE%7Drq5Dd(Oe5b*1!{0p>=UbXA z4y^3}r=Vp?@aOuhicdNl_`mS+amdFZs-q8*Dm1;ayZ7o)&*rgw4dGkCUvYF~66<;y z0SHakEgQu20bypluX%BR%2lWrYjlz5joo4>JBycSpOV}4zR$lwl9VjK0 zUWa!5_Zyfhj&*B*Xo>~R9^Jyc4Z9w|j_rhki5U-;g!PTeUB>ac zU2gHb&nDPzD4Nu9S|EomOnd>E{k-sBC!jS2LQX~|5=)+Aui+n;{#h-_FTh_?)2#55 zZj<`R=xB~p`oe!srB-BOWy7{$v_@o|0}zWMqtjxGPm{Zd$<8L@bR8S@?I1W4a#=a1 zT-#od>#JDpGMHs!NsE0f9)ta@U-Wd-H=S>YrD2FZE4Dt}=#PyUdgWqzBxSp)1Fn5X z3l&FEmIZ=FavJ4%S{{~UFV)gGkS8^)-kF|Szt07BLfvLpEVKEM*>`La{=FCow5p{M zJB3ZHjq83+>1!yjB)5F3-0Mx(gak4VO`2UD&KekwR*cBA&EeM3(KheUl0viI%XHNl zJKFJ})i<2)^v3JS#(+k5#U~TV!{t?$t5$5UiUHtC&1T8AhZa6InXh6Bk@Xf$b8$-i zRfCouV1{tOzy!;4t}F+E7-^fDjtCgyaU87m==D{bDk*O~#4N;Fnx^QB%M8QGmpk)w z8_#|OPD?}=aG zq;m33k?@d_jcE$=L}I%^NE7O$GO&Gxyi86=z>C#G+_mBEwv(TjolmtX<#2p!G)9j4obITW z^qZ0Zm9c1p>%@Y7+TJD>E^gkcuMU8!KiBZ{n+5w`m>GRFm|e}PL|`Bfv9a2`Kbhr# z_%M9t5Z9yUrco`Xl8SdV>jOmrRHSi?fJDtL)~u0SS!Ka}nISbv@A?A>gIeww5yd)r zwV%|>|7fz9+Di#*fCYyX3K2N@8d}vLuuHtwum9*Kt?PB~usu}}9fHRs88}{`BMw{| zS*2=aW`$~H^uOLRjNG?33yM8|{Q@Z4^zk|yuLXeD7V1uMjB@N6Jo6XSB0~6oFv5-l z2ufJ1&PRI^kph4Jxjux2&cO7$N<%z{@?*JnUIp=kzAe*EPR%A=uT_WZb>pA;r~LpE zAKG<_DGz1TOuW6uE3@t^NmJE4tTwqc1Z_c0#hUwx-n+nCIQYf4qGGtx>XC0B`E+9- zdPqKe>1EAxJ^?;3r11i7JBy5*ycdkZ29MtNl`f|gI&T3Q=`Cv5>mU=+^Ei(sf@#4# zdFMxt7TgXCm>uegX#JX=ty59d0z8pRr1n(VQR7h%M#({?j2Z-T-seNTcrNr)Xq<^X|ab16MWGGa>O@ITP=Zb(NkyO`1=Aqn77BHFq#4;&xJ)?V)vsKp0gogE)2AW zzhkRPd7iZ3cQedF|C@I4J2_}?y;1x9`{UYQ+_7U#=s1GwH-KC}zA*{sxhqf0heI$2 zYYGZh=~XIY{-rPpJDm%Yem0At?i2_o;yojoj{GRTd%k5NcUc0&TqQYp?<)!qPKgD# zM_$M;4XLf?tclY$!0FP5=713d0kqi3aTl?cmI&BWoOQ5|;EMGNG%^`fJAeEB292!K zN?wZV=-QhrB}FR*-f%4cb%W7cU+?{cT(G)$Z1yS3l_sM_7?!mA`lHyE_0s!Kd(TbF zfzo}$dpNN#Xwf~z+WU+yETJ56RyLI@wqRpKBiNUNkpmy015lWGXE@~RS>X?IP_%Q8 z+%hZ_tKGLGjJ9WP4N^oRqFrJ8>xD(Vy{Nvr5w`24BQDG|Zxt;2Q<#+GaTOcRaG1@` zOywlOYmn)#9LqFx#c>;g3L}8|+T1 zF`9-z6>+FueI)j{iliZS?9B@2DO_#M{>-_&;C29zuvssqKL2pE22ck%vm8)#`Xiwk z@HT)CX}}eUZ1b-X=%@va0KK53%wVc~xp2=Sw%|N9Vd^5$NmLEw1=p{=2X~nXT`mFP zRnWfg7T#<#2*v}NeXb|H2x|HlB%h|$WKW1jIt zqjRrR7Wd=A&b%I@)VogJR8&TaLf~mFW{uMOV=e@)!HS6&Jw&;7fM)o==I;Ss6bWiY zm@Y5=*&PXaIhSVU+V^&Pei9yH=Owu73=8B_ACg zM_qmNwJkV}chJNChtp)E9g61MkgZ=FQnftK;~nb6+bF#kF>j55z>c7qqGNfvJ*u28 z48|w=NnI@sP%1;S-ltc>UnMwznP7)7e@E=Lhr(MRq8o9b!lv+FWLNp1wF5K3eC3p( za~Fk@@9xM0(pUens8nUs2T=LSa!B4iOpL96XRVTDF329221zW0UT_jHQqWLv-89X- z#uI<46x%Lc18+P!FRj7ZjS2B(+JXBA*uza#2;uFpM(8jzvh7?Q=il1wcy~9gD z2es{YdGdQarNT&?8ly3gKJ#3ylN!2@Oh8C=!qPLU?d?BfD zzm^0$GtwTePJub=r-g7&Som>YHvy*%K zq;>)cPHfCPe{wjEso5OspA1pQ!6A( zSu0Sy&ds7QJRCO&F6-xSjIk6!Yfju@TNDAQq1P6}{CZT_{%qeyX!04C*>I!NHoXqF zLu|b65#BdUheJ|50QFsYuF5~%LJ~3D%4dB~4u-zDPVYS!ReJ7walOC0?n)oY^bj{) zXv%3i>4k88qGl5P@Zm!>KkYmAmid$52ab7AId+chqE@V)(+;BG^iQ#ZC(1`DS2~(r zr(xTA=yuz9?fCJ0jCBw8$T#_toa|Dh==0&HH;qMLLsi}J2~7Nv@^W!jd7_wE%VUAy zzQug8R;R&fpZ>6gMLTdgRTP4`FfHh-JNE4vu|enUyvjb|%u2CH&5ZPse>b|NWUASU#(B%LyPnp^-0BDwy*sCnCbti4aH7 z!ve3;4%V+f@U?-5>+#GXtux^FLgO8s3%y?P1;=+@{LSPdm5wqOzbEs+%!j8MXh~lr=+**0@CM?lB}uil zx1ZHY6c#t{*HYL5DZA)%bLuL~De1N)#5v%uk6K=QKqAMf_LrPY5Lh7h1 z-AhV5*2zh6me{K>K070EY-O_kR2Fv}JMZzkJIuPq(Ub<+krtP#>Hb2#4_7^e=saz` zkwc-(f1R5>MdogblGdr^*a2g@o(0eXm)nM27la|Hvrvdv1MU3QP^s^Q?;zj(zl5V{ z+!;Nns?I7fi~t%S2SQ7b%x*dSpu_E0RL5lsqa8Q2-yM;;1gt8rq zDhgE&msC*_<2?*m>+h@;aCz0WETDIWFFqPrkgl~cxo0O1#9A}&Ou$;Nlw)1Mg(DVQ zI36y->Za4ECVz@2Q~wd>@f z2@kt<1jb_SiqMUS_LoCI$(PCA2GjJDc&I2FLdx=731AN=$$YYa2Rc9e^3Xbg;hZaJ z4}tXq^QR$Mfzv;f1-OX9z4BR=h2pnK*S{VaT`zab?(?vsLn)jV^_$KQ7@IBII@u6vzdNV0F_=ziV`HNk z=kFKLjn1x9c3DL&Poi2{ksHOJR9n5b2$j2yr_-XJg&szLxXD%$Sn9LU>xsi))}*8) zcX+zrp+SI6K=9FNZn#4e`}%URMzJbx^VcGD-Z42lC#mKKI8Z5)*(^qCxOy{2zxl0^ z55z5QZJ87(=ls6V$HzS^_@-)@zK#v*%Tvu4D|Dbsf1WrI;33L|`uq8Hmq1xZJd?|h z81Lz4{ahT5Q7|wn+$o-{SCG<@jQgu%UltEUWMiG`mWR$F@GPzx0EE>b_J&S(YXxdK z&o%!&;N1u(u+ezj_J2kPLU3lhp^E0?A%B(CBY3W}ZF73@=ivz0%oA){0ACUbYzVvV zNOW@ik0-x+kukn}Q4b=cpy>9HVfa@kE#&T9Nk~_{rHRb1`HE;~Sh&G{ha`udfgZS< zRZZE{Z!SE<4(d1QO(!Sr)GakNC#ufFh*&j2dOyP}Iw|Ud+r`1~a!}v8trXZP=HP0z zSlUchP|5I#NBiO0IEUU!@7-P0oWms{&7w(}m+%S0fXx|RktWdnNBNx1XZiZ#8REe^ z*gnWvnm}Tw)iQ6nDAQ;Z18dD)G{cF0QenwY!S8(E_|qzEyGiKO3*sD>{t1&hWu7{T z%pOV7SGK?1`Ib?)r*|c41eqJ~If6rb=0q%4w>|#=7+(1DbrnS3 zz~DlM^y5cfVhP6^IA(m~D(5;H>pcp)ANuodgU$cVmrsD(A1jKb=@jI5gMaqUQS$yJ z)lIog1-TAzn^HzodX*54h=6x{R%=qm@xv)W3tw}fl;9Qdz^SBE-&}0B28vB?xQ{Se zGmx2g?sob>+15uUCZ>^$ZwQIZ>ja6PO?~dJMlVNDxAhe2tT&jH6jWzAo*5f^W7q`S zA%RY~$eW!R{`8}I={vLEcWlPBXFK;X3#!#7OwD9u^47VuSCL`9gr?K$j#AF*bz~G| zI}U)T77`Y&S;W=zxDExbYrPZcbmO(j>8hMD9JTJ?)s5%$L8!42^eU=8TL~tqaYN&8 zw^4)!N?!@SgH7#6{)+r6{_xA`FVZ0E=e4uTPR~wtF;T->4@Jd)PH?d^35g7xJ<)?8 z-e)T)K(XDIO5J{vhQBW4V|Ttj&=ISqOPbo~5C_KTUMYrz)z4<*rR1o%^jPuX6Cd>S z6Pv>Iap}=5O-VqhP?Qqo>Ul#ni-&$+$xB>poms%<1MD=iv>hOnQSe+# zkNmf1r4SGrWRHD(`luy^gjt|3IQiY4`F7_D`X(E0FG_g~cy8JzBgJ4JmgjH1;B>z# zub}|FXgn6((_fQ$F<#QuAijJ9{1cj|RjuoiO4qHiicm}5Cq0~u%z!?_`_h=uJSzjV zGZ>DW*)@H*THn;O59Kl$+j^_f^4&j$YuPlXs+9=^g>3Hx4ad%!x&W_zm&ph+UYN6Z zi^+E<5S(SmY}YAm@h`yNZ~)bu(eWMF;1Qq>>gr0BU!bzkeuNa&fxMkz$I3xQAymAd&suky&fOX>woKrMZTS z{nK9WnOnaL+L~DcCeJTW=5Nn0~CXjEYDBf)L^{O z%doW#k=cIh6{q!|H=h?`Uv4GopRPsA?UWWL9KlJffweTT;>0e>PSNep(JsiS2hP5) z(iVf=StAW9TJx+Ie;yF9unF`nUe^QHg27Hq0oMU|Sd{o4uJj?lwtAmuBc}vnOHYUO zd;;=YaU&kkoE4pDMQ(2F=ki8?l`CX5ll#Nb1AQ>M$iirI+C*1Z2iBJ=rnn$9)#K$E zV%IHF)5Y9ody`qgT1&P@=78J$7*etbs#m)O5zo}rs3?KX?Dt8h;XkJ5$zT6gQezyI z??`~f@YNz!y2nN0-A!K^4PC8*VXdk3$I=Bk{t{Y}01QH!eyz`gU)VuqX)JIq^mL*V z7}jBFlxRZvJU+lhSsm3zzr>*@K}aX20t+2SuTPg+H_S^-O^p!WH5{X>u}M#s&SzT$ zhB4bsNY_}(D!#!cqVUzliz)Db^ZeWQ;I_X~+p%u%#)k@NDJ8r15wO zVef0^^Iu!d);2e-B|Kc23&t0+$S4bbk?pbk9w5*D&=R=C&x?m}o69NM8a>wIkb%l&!%SxwQ`AesAX$-#I zU`W{e@agOfh2cdHYE$F4=O6H_1YguMPU-(Z4^uf1(7 z7rpqUfS0z2e=ZG|!}u2A$s_<1Btj$=>#iq}@8mP;`XBv&&HV)URC+sQHpKI2RT@-# zV;d#Cj50uI532yp*w%FQp7Uo@$L(t0e=dXn&?EBy`>*gnSv*EVKzG&g-|M?H0KA^E z)nISU;8S%~22iD$zYUt%W*T?(VpiE9G9RM3be$m5dp*{VoxG0XHAw)i=3xMlwY}`Y z2eC)!dLO~N&vl|i9%o*l{lVDvGL{0$Rxtq|Ew(fFxVbtBrZ3H7KNF>`C2;3N$=WLv)#F(`=ynkIS ze~`2_>Xv^k*6pfK4-N|26Pu= zdcT7{Bo+I$`oBT*Cd+Q>>38W*W)Yu(n$qrTLL&tckao&FJ<=k9ifD2;3-`Z?B?_O5Ul%F8I@DpKvD#7mLpaUU0P(CvbY?+V7940PXXGRm(#hhOgITn9dC5 zg7dyim5S85ZyjzOQC&T(zvhDE8vRhnVk0Dx8T7RSJbzgH>RgxqBw^1n`&Yc4r;6v} zy!*zYJB#Q{wJ9a=CXDHoL2c@_`teJP5wFaX{jSMC*c zFvr%AvjAPn%ndb>>s*Ug#GrSK`f0DO%9JbGr)-35xTZsf=A{B2ff=F;;DTpc)~m6t z;hFUMQLWnLRl8UwvBANg>i?Y-zo%dl1w8{_OM>q%)AP)wrKR7-&*qFc|K5|| zMv)>g)`gRO&rd$9GG{$)157B>VAZQwOKk2)V(R3#*|1dZYT}G>!mgTC5r_(?8NQ&T z%qu*xOTXY2l)}kf67yW=S!~{!S+3-UAs}PW!qrL;kFGNhqR{C(?&5idTRgi&Uk263 zlb2|8d~8RzTtuF}5WlIK)egs?d60rPnqG;=-gA4&+yhR4>5}hQj%KwkA!PgZBer7u4 zJ!|JlD!+TGoFhH=h@xUhTz|3_gyOMS-4v+&V4#sNljYUFV1Q{rG$JhV#!HKg{-tv- zzGSS=>Pd|oWTeqm>X~Y?`E@}HXGo=xb$?K8zgjB>EYt~C*CN9BJQGP)mJJUS`mIg= z6G=V$p@#svGZ|mJUoD_atDHc~Qt;LKw75NM?eUO-_C^14fVVTIED!JTa=A-2|J&!5 zoC;k}{#|4T;=#vT!IL!Lj* zbtBg^=MH(KzzcTArlS9ja28Oh5|8(UjZ5&w#tT`|4EIdLvqo3?8G}8`i?{&z`sEPJjYvNxn{H>a@|bv~Vt3??XEY%JwtJ`n5VY zQ)8JoIG<>l%5%5W-s$auTn&@_OVg>lJLj}NWkFSud7t4JI@5VUH(TSid66pi3t7_y z5F)Xr{3&@@rebXc&pkp-dp1r9_zzfCAKa47VC)1aM5NsW2N9{%Bh33x90;@u)T_nY9}b2v|d zgQNMvYNly?%3>W(od!YgHugtvH^JNv;S;Ey!Mr%+HvsAF{x52Wqpb*mp@b9M@G-~f zk^EnY>qKJXUNPLY{9#)oe|8K1el`r*GX--hzZdde7zHa8_Cu#|ubp!lI z(c0hQb`d$)CTtEQpKUY#@UXFpTwF;xawE9fck^Fd(R_B% z?n29yKMA+e+A?t3kGg=*CCy;Ni^;Q80kuw^-Km=FaF~vY{kahY+F-2+zG-NyiO+5y(GZ$;u6+qO$W0+U?`_9 zYd-n;eh#+y5(fnpJ(~NlrCqbnha~d5=&zoVP^%hv>6KL;Rzq+`*J~>so9&mW=llj% zN|h&;f&%@W>kT|RWI=mGQK^J=F=JvYZuXr9>dkSW@EL&TEP3Rcjjm)-wTpp`S&u(^9;0c4DrwfXRTVR z^RcbSyDoslKBad*dfZi1Ll$Lkhiy-`>*Q)+06m=CV=h7-fzf9jsD$G+LtlYCt$~L2l} zE*yikv|PCPR=>d~Bz-E7pwp5R_)>qeeqP^5Natv>E_5S|ilJ#FoBBCj1{D8me@k^s ztjsYyp{Iqo!6J}Cc(ra zDJ!jYx!vg3bF)mFhYWN7O4~c!ADfU`m*t(aU1L5-Wx z;-uZVg?37O6=X4~29ganNaZ;MR}b2(|BO`xcQ6VnQ(4|I9lGK1hbkPeasHxg&GAAk zoWy!JO3^pN9R5F}i`xc~zdXY|xdJu^vfGDV(%z+GR*%!kXi6#2QkMff(VjFx-7rf{w7!zU{GIh-E17k8wG&9kW@FBMX8;2bWU2}1q?O`D& z1_E24|NV6HU%X*oe9tB}Up$JQ?2ymD10&@YZI^jbvyBk^5wK!|5UC~M%)i=XSV;H% zT@;Y>2UV$hto|@oXf!!TVu_~bZ!W3>R$n;re%2gVE^8)riyF(NleuL0oqim=1D~Fe z`=zc>0$TQY`)K+tL4#~c85e>+(_VoJK`w`=@vHaH*tq{BK+6fI6Yv~MB-dKlt9Qol z`}(XSkrzMtSXw|Gr*tx#XxjFyxRostxgQ>xzna6uEA+6vZ#VUPRT! zjUuQ3w$IFapivJK!R*hDUh~Gi)>#oS$WELDcZxu1U0d;+XU>>=l8N`Xm_f4&s=v|v zrvxTzRwd0$JS9i2o7rU>%ac-2TeH|=~AE@IN7-r93vVEqISz9nCwIp9A(N}u%j&Nyg4 zYMD8Lll5L@7)ZJ+&UX^#y#{VeV7Jrre9$mlXbBZ1Xzc9F)tzr>aGXJE6Q4|?H7gJ@ zxOW{4;lMkU*U^FXCqCB_`uT~M@80X`0bIms@}ZWjzAbvF8h7yvS4Y2{8lLUjqAJ?k7& zQPat}XUtIjq?h?ptm+SRaS>D&ZcktmR7>l4HRs`UHWj9r;Pwr6_1l;pSG0L^F!)f8vX5Q^t=C?0#*`r1_e8!XGh9>G0 z0ZoiDo->49xj4fR^=mpfw$Q8INp{~IcYT+T=}nRQ;J%+!11QH6oIVFBANDG*#F8{G zY;f&Z&D;aRwc+w|iz!p3tdU+x>axurm8FwmtVmB!73?6h!AyN~jFpKJoV)zutH5%D z#8+RGck;SPtH05BH(r>c($Um9`;e4(&1-{dof=;4LxgtX)zBdy1aEl84HS4}DV1W# z-ULn%L(KUry)VLyy-XA@r=+Z`-)9@X?;^5#?(G{)E04DF-n=~QRAAx%Y45C};^@~d zAHm(--92b<2pZho3GQyeHMj?NhY;K?xCIH)NN^h0#$k&0ocZQr*0Z!ed+kBzfB=S=9P;EmjbUP&OJZKTN`zNFOn^@gCB~iasyrhsz7WD(y-$5M+ z*g3o<%q(vul)xOtoF|APdS!Y&PXoLRS9Cu@aV0Dz%tGuV`>!`VspW7MoC=OmkSFwZ zw{l8LEQCQu_H4(}aTCtR9~KTijuU3lmg&~!G)>K{?A|~A3K(W?P8Yqx}> z5({|?_Ge1bO8DFgt}5HiP83q zgm;$3ovI{`O!mfDi(=ej=OSh1sta~Ifh2A;p4?%~$SqgqdB9%^Fby$G3G#?M*u7>W ztx>yBgwuSsS3T{eXg%$ExZ?k^-c^kQ!Q)-2br5ddTk~mn`z|PG{Dv@W9^lyL)28e% zH@3@X^2)3Qya;w8dzN;_Xi&3e=}Q8g?`*JNgXjYK_yy#Q5>*Z$nYlH0saKB6Xe$WB zni7X0SSti4Bi~L6oJyy$=wC^|3%@)j>D-JHSVn)KO{q|uW0qz^sPD7t(5sagv}^v* z^k>dZ`;KsitPN!B9I@7!oBD9lxnSF>T&fKaC!UDno1FYkyZ2fH*nj`_4nlT)O9V(~ zfL(jApQ7Jv7$knx$mOuCw-a1#HXHEW^|ogZcJ%3nd;Sg%X|qpKp_csxFmP^fkO%^j zU$u{)bA|N#3j5TB*hTi}({F*hF2VRygg0k`ZvH@qQJD}8kxqReXD!s^pVH?kAx2^W z*Z4SL0-Mly5vXd?bf`@LP;}t8TF^c$>bRH;4eA)fc|Jv8UXgn3;8PLNhExdAp-5BSJQMXY^2Z@zde812eV1RPoslE{E-T<9n2b| z?YnmcvA8IZjk{M|E}OV@3OmhQT7?(p!; zy9*J_A8-9Tc+aELHQNitg_{Yq{me55f}lve_F?QzGYo zyt7cnysa|O9vXH#bdv2u#UjLFDwg3Q>OQqE=10jm!v6Wfq5Fvj2o-Ap@E%@)d_tp~ zd=h!Ve}sI|{C-8LYe=8KK}K(arZLo8TKHUs1ixADKl{DXE|I*XU|{}Ai4Vp28|DD) z?Z!`&w3MH^Y5ja|0R4)+m(z4~y&cGXm9z~AG~uw_X0t&g$Bk7kTuT!2OP_QRd-0z- zs1X6;mlG;$tBpc;1=APbZYBEuzD*tEaLDJ|=)Z%)mfIf;XV<1??bZ{z6;@m@!SIa1 z`=E0V0ozq4JoYr%2^5Jzum5}xgYc@+$nj&jNg40*^w_5Jg5bNDkoBYjMlRzu&qHKE z-T7wD?&7Z$Z-YJTNcnuikOMSJ6mp#vps|K!MFJ7Y^Loyd`>&aTW-a9lzfx=yq3ypz zF#8a8ttWz>@_rG)ZNrH1HMn5h6u$M=m=TK)_v&+Z;5}dLsDZlbj zUY|jl+cRJ&?KLFfpVRtK)vQ;*=Ic*l^CKu;p9?q<&inuAFy59eh$-$h?BQQ8&n(!O z+3w%|Ilxs%_`eQu|KYT}J}iRtKZpPM9R4#1{+ZhUnGFA0;{NkF{NH~L(oIm>a=_*0 zzkU;L1m}Mpvj5jD&O~HznDL$be=WoVsQ>SW?{p;a4K9EDdv_WR1=4~3y=gsuUA_Bf zT)!^!b)!foRhk}NVToRamE(YmrTKoO_1pKvI zM1;(7l*Tm2umqNbgpI`Xh#+2l2XVYnTFl07NX41!WwU56Q?B&nd55m0qJ6l^_I7%Y zko_PBvDNmG5>8GC-4>ffap^Q!^F`_5bzUA?%1mDhqN)!xWhft$&@GdtAqSE?qg~>o zOx;3Z|3k#hdqrUm3VD@8j5B8$C4Seht(;B$8%u--#B4;TBCI4%mhI=fCjEz$>BymMjEnn`9;dDthe!ww_SI7&8UA+NdO209Ih7sV0v14+Vr z#snj>yjFWN&qmZmgSm-Kh60oFRzK&<)GXezyT#* z)*~w`AHP@zN3TWpsfLN5;${L~{F6VRY4DoSQ5|Ysl)y?PX(*O!)m+P=sa|eQcHR+l zeN)5OkEgac0>!MqR@;8l)}0qWj@v$MEZuaNaeqWU1PY532kgw&e4S5fbHY zH1I&0o4{w|4E6i^#62s}v7@uo-zVRslvNBnaEWoDQ2evsM+&%}8u-She#q#kzqj3@ z6b23s!_eD_`EWZm9?g`G=c=P{>w!FQF}0*7hRp6rT33FrCYdO3{l@)%FD+ zO6Uu$>Cv$Zn}Jc!M@mN8bh&NZA1?ReUs5ANT`P?IREt8$m~U)+A$A&G72oq)PKf;> z#1dJ@mr=7zLC@Z!L<}*E@Xy2vnngZ#HPP-IoF>Y*Hpeq5hHyK_#i_KP%@12jpmf4L zMumW^THYt8uFb2VyQo>x|Lz-8gXDrnk-P?8Tc+6-Fr62XlXPoD7a4i=Fa@LTS|0o% zOJGEl?$W~cIigV<-rIzd@x;hiz5HN#()6#XL0u8`T8;jTyTKEv11f+f%9@3%RQSnF zFxXInPM!zTw)sXzs#_DdOGzaa0u^p!jD?L~m<9&R%Z1!Vvt$P?(#QcA_)OPk!E!wd zHg^W;nPT^EnE(dPC~iEFi8PAtuLc8nSynw+d9NB?FwN3I)JCIXhc@IkFwomIVNPxK zjcfa*xx45vH1z77Yh#k0aS^J@|2)wpE}{g$}G{8c2yR=4M0$u-JYgs>vD&`xq86^WNH7mQtQJ zNJ@FI3s@3}WQyp>D6_!jE)COZaeM?y(sxkJCkMDiX$uSOy-4FBc6Xj|`;pXu28iaL zygGTuNWj&b0(9Fi2~}k2>>eGmTa}Fo?de(!{Elq^_C~Q<8@Gyzx)n|pHtYK6Sy zdcZ|t_4Uznc$)m;@oS*PS6o1QmH-g>oOJb{0Z!q!5Tgo{=(O+vCgc443zO6E>UiJu zq%CKS8a?A0vtTddU83{-XCU0Mp3BYf$ebb7(W)X|b+T1nokcQ|g{d(&_C&>*L7%Fa ztpCR#L6}zg$bFE0y)0qVEO=m}&R$^8-_nX zsE=XY&VGhXfMPet^=|6#IB#`o;}*i5)XaM3HFUb)^8E#lyTmRP{_nn#^G$pVT~CE0 zv#C17%2HbkapX zy`LFGRnr)cHMhcjKn0Ou%&NOh5lxrRttlZx^}n08|J%f#+CEy(S9wc1`&m&cO4*n` z(%VXlGiJk~z8)oM21!OGl3w{Zb)>wGs&RmuzfEp}y3)h2c|ZsJ){0z^POFqKrK2Ot z2-s-%5PwpP@#Gul*V|869}oHGr;3TKeY+-$prdp_A(^INSgcHeU#ymgd|RwD4lZh) zVDV{D0?`X7%>?)VA|_&>x7O`onA>D3eX1}L*nzu*9J)0sDr6H&DP;ejEJv^J>tm95 zo3u1La&HKf`BCv;r5Y86F)jauLod0VbxK&4UrofMS}7)U}Q{xKb% z`@qBu`tSql2)DG{m4RIb;ggh=a8v#=9;i{jXyw(IFB6<|x}aE{0o4#ez~|fm#Be*< z93SDgfaA87Y}n zLQTT6Y-bE{e3##4F8TOOSvkb``|J+PKpJ71?(5<<#{9bH^PT&%Jo5`E7=r4ZTYq^m z^EtKtTKJGXf{r15>n(LTlTCUwM?@4-ANIPL)2Qv-HMynCVvsR#N&HI6=%@p{hc45! z*W-=QlY-7FwT@t;b^1MGm&8@>e~R%${8#>wXjZ`pXh+ggOl*?5YfY~dSipfJ;POFl z`<8>%FBCno`^-Pu?lrJ8%ZIK2i^+TBm#njOn}2hsR1ojNG21`S+fyX9tfqlQHjU}>}2yI82IgY7t~{FM4ZlT6DqaN#dM#U$e;7`?a(-fYsMi^ zBnrQ`$6otjdpQ2K3w<@!w1I)M@p~>Kd=B4kwN}7kAuWmOZG${0XV`9WKfre!%~C?- zR1#0{9L_AIK|#l!Q>>z*8e_WgeC?D%xVd-H+f)KESFTTw$k#^`=DSv(I@TkyI>;fj zjzTGKr>-X&6o6L*#Sb90g&sCtA|s-bf$$?)z@m@xQ=SrlFeMD1(eb%`R6xLGC_tu8 z15s~mf9#fJT)zMoeS4XOQ;B%* zxOw6~JQ{*>;+=&D85qKPtsBlAjL+{WAI0*n3fhoTaLW$4<^*C6uizvTiKXYs z874OG!Yev350}g9>gtsEybh8F%6xf&C=5=E@%ZN{$Zx|XImo3lrEVA^D!XM6z#mt> z$cmD4m<@mjMobT_kt9}3Zf)1IY@7RmZRmE+`Ic}3koYlAanMT=rfvfaajto|uu#qln(A z)egj6?rJB=xP9cbY|d5XJQ*!6oczZQBzKJPs|pQs#nABP)}&>DZ9IF@E1SyZ?CAJW zxYGH}jmywUFo)Nu8F>BFN>u{PY>66+Sww-L3ET72%VQDGtLbIdo6yLcHUuq^^h{j= z2C5AGv$TA}9|fNK4kteD5<>g2w2b|IyiTOJm}xm=>s9^oO zVpJ16W!rC)C1m73&#(y1$M-u?xDmJk;vKNraXAaaaB~lky*)cNw~f^aby8#|!;4J| zw*D?at*)Y~LM1d3za`r(INcTJ>V4&AGc}%fhT1sjx43#86g;tI!Yst6&zGPoQzDS@ z<%E`D33@-WxNnm1T)RQ8ehn9Aq)Vw5pn!ALZ(qYC5Ikvx2 zxp1Sra1A6*3RaRjFZnIzyGxl!&cnslUT#1TGnFdW_qFW}u9f@n1(i#?%A3-6-OL5H z!V*|(u)I|uMYJ)bZZ~4B#Wb^rY^QeuE2Nf)e7uAphj7ciuNf2*)T>JZw2;m#m=tCA z9N3Pnui^@Ddp6&BwA~zNUN0juzTznF`=Dlp@*%lIkVggB_++yhmo5?@5JBqBg$}q8fvU7nBG|o zoYxsXW4>-I-u@&Dx^pvNa#a)F<|%8AJIbdo*aZ zV&#(?hRwXQy2akT)NzMsd3Wc*2&q!HOgx)8OMeJF3PVI06%+CG03WQS$#;q81_{0i zx`F)c`MxxhPS`ty%efEW)C1`S)1A3%s^Wv4y8)2={M3rhyCOK<$Hi*6MSEdUCcAFd z@3^AwS?(R>7S3b5Fm*R$lYHMC9I_tFcNAbXB|R+C@K@wQbmEkQ>s-_1#1z)Ef$Qbg zBDU)1H?TX6+PYRakWcZ>U_2^^{YY|^U5Mu4d^JXKJ(g zlC4b@f6l=wQpH|8U9OVKt+HU-Y(|+%i;)~Y!?B!onlT9emib|WQ@!1NY5f-Hy#8f~ z)f^NvWp=aeQz+-~S@ze*zj z;LxkTa|4!VLh~eN!W2-Q3^R|zjQvnew)5>iIv+kMEsJj5Zk`=~F1T8rDhxgNSH^HD(xS8~CB?rs;aYo}a^GPzpuC+j#M^bW zZ%i%m)uNEda1*uUK1O2fA`934dZLh@ZhdN$)iLu|$g5LX73|}}>9zgmMW%efk^Vao z3+lY5{JnaoVNR`MCsO(1@O?2Xr-mCRGpdP4F`X$UPB}t*jl5O44uoSTN@l(ifezOt zv(i)Y?NZ%C9TpuLOHLSv!0xP!MuDO!QL?EpYSLCf0C__AbLvbnqAa*#zQ{MJ#Q9p^ zuDFl5x>zqMRi$F>c-gR|w^OA^9-ltB?K6ZGkI`p0PCbE0O$=f6LCsaPshEiB0re;i z$d--0zdly9-T*a#X6O2CAvg1 zhhHKHeFpvqcX7Zv(3?5}(_d3JMlGq5q6%ZQq1KG`*Y8BKSlmy zD0hh~PO}bbY>G{cw3pQd5Op#?bNSPs66tTa`nuW;+o+wypuZm#k3Je@U-aEYH;hFAU}b3_AW#;tP@4lV zGiMjT@7EU+S?_TQ;J6E$eEH7hWEA8Ru5DhDBoT3RyHyrU8>O>#$D`Q@aoDZ8U|qwj zHQHlsSGvS&#kYvmH*a=z9p-QDhR-o)&Qd{C6QMVK{S6M5BX-TJET2zWbWuq8YC>uo z$q{$Q_2bW1j*4$Uf2BDHj>1h@lx_~6A4uW>*1uLP(J#;L*&kcuB$rR)YveTiv*v>+ zz51i$;D=qT(~p~1rmsb6VEF7O1YWVDKJ4fLuDg0mhm7e<{JY)At_#rY)L1F%O`=xX z474m1bbJ3V&a`!KUNj(Ed3))Sh{LuyGxs)@RvPJ^^_N7(Qz`=e2zmySK*5B)eI`}H zrpy7X0c^dnboqx+=&*Ar@Tr<;G8KKlI+y^vS~s%CweffLpV<^nNBKs%x+qi7GgY}t zO$2{v8IwE#s@3_QB23Y==#@ns6Kk%N+oXe!eNbLYTBZ&B%2qMaC^8!vD;`%jcO^no*EaSDK6)DG69ND3sbMx9*Re?UkCL=5*HSz_4c_AL9hkM3_x)En|KcaOMGCpdTDCX8Q-*4a}!EX<0K#fh7PoAHcoK+R`Vu}ICd<#FtC6)sQrS3kjavSCIQvTTE zD^QDXNVedv+h$JQ10%~Aj^N8pzzZ$lNlySs#|NEf!{Xw7RLt|f3$I{hPSl%B;`+~( zyUw%U7UW*K{EBYPC854o59;T3+zr~`pRz%K^k%S4b1%0V)?d?}MU=G6C@Auak;Rv! z--TggAydLBV^P=uxpesCmZk-UR}F`k*zw+O{AU|iwnInrfd|9)rzh&wL-JkyaiB05 zZl(O0f@Ne@k?-0{#X&CG)_EP~>UsFN_41e;qk2HWHeFh+i2hCecb&eI0;sIuf{bYOWM_Uxz4Tn$L$Wh$%FEYfcP+u4tpgY;8Aq-9dX+e7PtQ8F z&ermfkS$X>;)l!9#7m}CUSRkRY=@pwHE&V0JK3hU_ z5LM__I+aD229TmB0wMBMy0vU`ho!jz8hftobLE#r%HQosDpxGM}@+mJ~Wb`dRJ@ zUQq@xesoXw*RxJkGy^h2D91aqPPx)I_WI^KqdNd_d#HY+`}$%tIvDVPp?h~bblvIj zyC0FgQt1NUPgp|vt1mcD3EoIoYA^$2_Pr|sK$aXJ3*xRWQjfN{*vtz|teC{yd)%iK zboHElI7wLd&#n$|$m6EOY=IorrcMGqWv!~KD^yu6?a? z>?n~Hv~fV4v?Vz^0d6IZpaR`5XKhTxbb!XvV$z>tT#Hm7cF3QbhWGp(P;qxsYw9q2mB*ELh>VX(oH^YJ& zb+L++dNUFwT({yjF9y6)^HYnZC@^`NjP0*>iBWD%n*}pi*aWT#le%A?q9^GCOFF+;$z zX2o^un+jRe*E#jR1J1@y|EK$18Qsh}@cYljMu9=j-!Oa(ea}Oal}vy{1LZ!k{wuGr zO%Tt4U;ACjcQ+foLS2H9m#1~91H9(p-3d_9&8(u_^}&2f`aUbILRNgKk6?9S)6g+8 zDYssm@S;9|S1p~ZQPq7`gdee($dn_{;mAMy@qO>ZB!=r=zdc0t_GHg6If9j*XQ7gL zXEY^gXH4^e7R4#+d_0+RMY}Obl-EZB>%?JeuzBRlV~dk7&a6uPfnxr1KDBvDyF8L>t_tYb z2h%KaP`=D2G9q%$G;bx<3Hf)jePf@bghV<>&z{e7KVGfeIoklX$@l~@f_jDWkz^94 znwNJ6h*gDG4PxftkVRy{GeI*yhlfAVVi8P`tOVrAs-=_8086jZl)<g1!^+$l z4ZRN0jb`AO@=b-s#KC>mIrC2d%KGIT%dQg^4iOb`p}Q6Umt!$$DTS{BNK|UB=#l!J zF)DtVPZm?p>iEy%Za2dd-+2zp$kB{lxgCD%@mC&l#9`6^BvF+DD(TLemQRh8_Gl~k zj$MbI&aWnp6@OSAGRc$f?(!H-3MoMtKlyfyH*$)H2jE4J;}iQ2cG=sNs!i!6K$<_A zP#8XsbD04;4+MNb$$&mnHawO%+31)85r=8I08``^5ZNj`1l|dVo6HIJJ)Ag~jsmjl zynux!JDa5zt3o1;(}is_tShBuKiY3IIfpG9G@EB_GIWN{f@qo( z+5x^97kT-rD(xj?>r15~;Rs%iFK2$?~2MKKNkKSR5i|+n^n3=X#|5 zrzSm;3W><&KeZ2x^{jX>24z&G#Ul=}ifRKaOVfXkOv>XbeBc38f^Ea4z3^hc!pjk;m1Y)sW@mFF|x*7Ac5{!LH}$6lX4 zqfZP#`%QpE*yjFex8m01bK5WHA$C4D$0rP@Ld2|su+yMd!6k?QDyg6*7XG;{*fYhDQ7R4d(t)6UzX!;^4id!M{xHbH{^sl`*9_eI#gCqhW_R;Ph zpR!OTRP}tbgzT+#DllYA%EZQ!>EYxPF_NyH#K+*1yV0Z_HzALc-EG+h<&Q6)HZ4O@R{>b(2KJK4JHU<-u~1zAQw5Z z3}t(&+B~Zo=Q3F%oQ-=>ZFgtR;M)>dy#SIVg{9ZKp01nN+FvT(e>^Or@`Yy6!eP+@ z+@s8CsKU2OCGr^*JbD4a_Tc_o`lE2pu!#PymMNfStI-`S`TU^a{|v^(=QYYpW>A-< zQOsciCQcfzfu|@if`uNsG)#iplSayMp;+*1^L0#cR6G-^#S)(jL$GL$dIg|*k$dlN zW{SR*X4^u4*~$_d3RS91eL?z0C0}SN}P17 z2IXj-6`)bXGk>2*En~Y@BFmaqfjT2Hz8xAIEZ9(a@Hknfel^+&`i!%rw%izhu~0J# zXvYS2O=W?GPvDwN1o@lT6A#{n>%)aHASI|Gw)3-n>kf|HV*oImoVSP31^*y|-i8py z9zO2kX*JnO4nE}lLV4`cgUQgintk5=KH@wC0HjDS_eQhU_l6ViT($=Z9g;V zYeu_H-~QFj8)gI`J1IrNF93T~=~LzmbK;_5wbbDQI@1XK2u4lK!@!8@y~n)GTrvQ+ zP6fDH<+l?VTvQ0OpR{59`16+i#@r5Hu_IRFoj3AIsLle>uxWHUb&B zV^JwDWtV|MF0$Bt{mbcw(vTnP3r2n%O1M_3?3#aSZwMrZGo9!f zP=f!N*~Aeh*^cCOSCh(dwJTt@LhNC~9@eWQ%5E);?N`RI(MhPL(jUAXJjydFr*5AN zTlr_fINc2?%bhbN@XNwUdopxjj^men(X=0Dj&4G-EukS$VEWo-VqSXn+Afvstl1E@ zsaePNIfoQg6V%CKB+Nav?NUtU{P`11k5Th`{)P%UO|kqS45ftPUv(CkY%iIE-h<;F zy}ONOk_iQp4Zo4d-@nIWru`DwyNIJ_lL^Y&U7^45O~|q!nAD1Wx;0((KD*m99KL*? zyTHu3{|UDe>B2JCN5jL-r(h5BHCv2ctt>v4R18J`o(%@^Z_5|udguh?F5?frw~oLL zS4m>XX|gT7Y66WGcl;*C-BtkLO8FzIaE5J|>xY=Qlf z9V{XijWdAO2DtZET=*w@S&;$8bTeS{)QC6k4;xwQ^f8|%bGd+undl=9rVABmgl?I^ zzqnZ+D>~)$+ZiJDpE1s7Pyo$HO!0%ja90>4dp<=_9SHXTkg8}%T(IE>A+beCO-;7I z*cH7hHM;^lx||3t4ORJrmh?mRj!PZAZDf8-Q1*`2TOTC4WWFAfeJq}QLPWPYYH7kgFyk)>l*Kh4Hy2MPv8N1>)b z5)yRk@=*ds^{H0VbmBIoqrki`}|#Is7Bv>zi#7VAgS|;UFJ{vRDYW4 zX{7h3VMu&RnOWI|NJ>s}|In}eNX3EZ$41-y$LfKAie>OXITv4XpUV70%mR}nGkH^8 z&dKm4M|UGo8c6^BpTV}pSEGO>(T6dfDe$`GuRTLw8dUcHX(8g5@{cQ9#&%zN@D^JHw1dR@ zif2;Tq<=R8w)Pl%BD}_G3hsnG~2_lXKIb2WCJf!>Fi*ravQAQ=CcB zJ0X#sO?&SkQq#^aeGhu$Q`DkDO)+N+28vfcnW^oF%TKy^ZFlC6)9+@pEbn8J7Tmv< z50RTqBeQVK-2BLDQOnBL_9aC4aJ~-{T5V-bEgxw;x2!9up!mRS@V;Hw5b`%0A;EH; zn6Vn<8o#`Qc)$R?r+wSffRvc>fe7EY396Wm9227;B$5AzlAFmSS6DO7JT`A8aT?#? zsH=9d6cOPqzrXvQc`(lcu=J@+@tLK;zS;ASfE@~ckssN5=?sfmS#C{S`2T^;hNv7?qv~xo5DbR^n`;s1qFciER_=6 zKF8QB7!k&?0;(AHP-fet5RpNgSpq|KmP*YkDP%4wG1dDZ26w9o={!b@eZkAKLYmzi z21nIGtNdMJ0rw|ZQ;QE+w_ptW37s@z2$Cyg4($F2-bi5RGSa?Um(gzA%d1**(RyA@ zqOiGXFM=B{n+D zt%<*ct}fLi`6u-_41=S0v=xET=F@S|m#d6S59DgOOtSQWB}{D0LS4COKZI&ty!YxY zO6c@%zfyqaxRAM0#`@FS-8YwA&7{)^@*#3!m2Cnlj0EqGX6B@ zO8wv#hVtFy;x}!f<}!M!zCpr_=m# zEpsnOucDG|Sl=b0x50v@MAD*G)#KS*>h~r_f^8_0Lj_}T2*`j6&S^Jx$;gBKa)6ME zKA|@e>m>Ddno9;wA>dcH>4Kl@@0zpXdTx%xd6y_&GOt^y2KP7$=%6B9y{eKODE%_YikG6XGC! zjS{T3xN}f29Te_&CcI^vd~Q0J1{NRC{`x~YUAtOkXH=ze)QumhyRE>CzFa9k2*`s` z&Si8|m=k&2JCaP|f%pw2jXcV`B<=t7J{6lALxpv0P%6Ig>y)|mi{SYAGiqqTp`H@t zYGizB>drsz>pPXm+xyhxvpGf&U;Lc82`h&1Zq&fUlb)z4zn!$+?&@qnk4~$kjQSBx z&s!dA^G8n59o4I-=JD<5z}H_^+frd$rnTr8#Ofj@1&C%y&x#t$^mE6FLCVIKOd-gX zXC4*AuB4npbfI9JC^B=Cjl!ZIzuBO+s6L#RHCk$Twq@c^qRumn4n)l{5i`xpMyat< zt85`qr`BMVSFE^=svp6ezZ8wag^rfr6JK43^y1ClbLY@WMT`4pV^l!?jO@K z`voua)(OMK$4t|`{li9m$%B+4p_M~4s8#9km#K?O9^4lVpHMg-mQXxMq^=LIsetW= zg$+;$WdU-2CS!DVjEVuZFP_OEA(GOzMbe>T5*n;IWQ@4J{<~s};b$V69YEv>d|a$D zi!6m{9~A@4rmWe)Hadsf=og!*svmJa-bZX`D#H4=&B5evjRrk~Z?7ew zmqnGb?T@lhNUGZ+;ELBwM$6xqU9;KDK$G%}#v=FE)JNe*g%wY0%(54etJph*-L{ty9yS!1hO5XerLNZzSE5*hTh zQ#f_4d*Y-;p_>@@>^b`aG|`K{(X)1PES(Cq@eEb&E8Qtq%J*}A#WtL){fRI8K6gV( zP%aac4MrZQ{b#(1h$u3hU#A($I&;LhsZ%kEqmkOrR}0DB8u16Za#iBt3A`_q2jVmN z)Q=BUyhpGIAmO5Jn!IUpB>vm%cSo~|uIY9PUOkHmXv9217B;M(&v#T5WQctma4pKB zP_vbkW>GP(fu{b#d0$r#k_{W%nUhun$;QgHfi)#R!T~riMUhld7fp2eY}%*XEhZ8k z1wOGZ-UvL?7)>PXOSR=A$(`Pd^5s4Ljl_d`hebma*Q!pajmTrf!V2Qed#MZgI~2At zy;@rD(OqH?H8XbOHJjOdiq5Q(Krb)xS%1SX zq5YHQxf9=?oiF`m1K$Am|G&Ev7ehXTa_j~}EfqUSn>NIlMDA_+<|x!7-1x_KQWmV;NZavpxaW`_7YO%26M4(m5Nlc$kSvfku-z>aeGGqNN_nJ#Ny3X zzSeKVIFj%r(@e*atXrrh%ty*)-#~|k9iXZeBC_Tr_>unXc(4}e;2Y(XB5O<$JJYL_L@e98Z&HCsVXJ?NjnfIQ+ zPTu~EnrcsmbT${k+MTD)Oue~9(tg#e}(X@8iVta@!_%rBF-8fTfPyW zjO{>MV&=M#w)+${U(!@M2K?9x#B*w^+HAU+8hvZH(WR%*Be#DHH$v};dVE7HS0o&e zb=eH@`Ml@U!m6-$NRspIADtXyQ#An*RtyW{t$Vbzo?bmRk>_G4Z>#4Sbvj)U+=wtQ zbSh^61jU2@wN~xkY*0>dRjCwB58~63#Tx!NhC$v6%}Utzm?eW}-0qUgd8`O5ysrx1 e0abFkix+Ip;NdK^Fy}YGmyD!>M2(nH(Ek9{j+^xW literal 0 HcmV?d00001 diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/csr-output-example-simple.svg b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/csr-output-example-simple.svg new file mode 100755 index 0000000000..f73bddfa71 --- /dev/null +++ b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/csr-output-example-simple.svg @@ -0,0 +1,364 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + Page-1 + + + + + 2-line bus.1029 + + + + + + + + + + + + + + + + + Dynamic connector.1030 + + + + 2-line bus.1082 + Avalon MM Interconnect + + + + + Avalon MM Interconnect + + Dynamic connector.1083 + + + + Sheet.1084 + + Rectangle.1026 + JTAG-Avalon MM Master + + + + + + + JTAG-Avalon MM Master + + Sheet.1071 + MM Host + + + + MM Host + + + Rectangle + Kernel + + + + + + + Kernel + + Sheet.1085 + + Sheet.1040 + Control/Status Register + + + + Control/Status Register + + Sheet.1041 + MM Agent + + + + MM Agent + + Sheet.1042 + Start + + + + Start + + Sheet.1043 + Done + + + + Done + + Sheet.1044 + FinishCounter + + + + FinishCounter + + Sheet.1045 + + + + Sheet.1046 + + + + Sheet.1047 + + + + Sheet.1048 + + + + Sheet.1049 + + + + Sheet.1050 + + + + Sheet.1051 + + + + Sheet.1052 + + + + Sheet.1053 + + + + Sheet.1054 + + + + Sheet.1055 + some_arg + + + + some_arg + + Sheet.1056 + some_other_arg + + + + some_other_arg + + Sheet.1057 + some_output + + + + some_output + + Sheet.1058 + + + + Sheet.1059 + + + + Sheet.1060 + + + + Sheet.1061 + + + + Sheet.1062 + + + + Sheet.1063 + + + + Sheet.1064 + + + + Sheet.1065 + + + + Sheet.1066 + + + + Sheet.1067 + + + + Sheet.1068 + + + + Sheet.1069 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Laptop.1086 + + Sheet.1087 + + + + Sheet.1088 + + + + + + + + Sheet.1089 + + + + + + + + + Dynamic connector.1090 + USB + + + + + USB + + diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/fix-reset_n-platform-designer.png b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/fix-reset_n-platform-designer.png new file mode 100755 index 0000000000000000000000000000000000000000..2353559d57bf85c3d24be9f65e32516f414e25d6 GIT binary patch literal 115109 zcmZU5bzBwQzBeLBN_Tg6cXxMphzQc%Al)5GcS$4Nu}LYVyK&Rqyo1lV=iGbVKZFm< zy=T_^X2rK=B2<*5-^1g@ecLZ>6&U|7nUR*}OcM`R}GR|Cge=sQ-L37z&kIIlJ(`o0Kwh|EmcUOIYwXX-L;P3M?Zt#}*&*3~zDX+DU#4DC`d>}O zir@Zk(;LRN|EUX#n9NXo?=AIzjzO`X_ka3__Q}PZ2PGLF4dXs3E5Kcz6(A%-cfnnSP!kMq$Y zXJ_sg6hECUTj9kByOvy``ryj74xkN z)ru8l;mrT(lXiZ7l`0J>V=xNZzRH56EXKc@t0WBzRK)avdoU@>VTm2es@ALhw^Y3; zr8aXk$?;fXuy8Jk<8>7;x7FqYuKF5-z1$(HLGr+d`jK653guGd>{@oPo~&RXNqfRp zYM8YnblQxjg>|LL{1(jGvc%vlfKw?`xMy+cCL7wv-A#vMzMcKP6zIA_SLH|>NDI_% z6NU~FxQ(%xmFgRkG7hHPhof}XR7$DQ-xp;@eEsD@XPM>8SA;)#L<~A>7;LH21X2Z~ zY({KX%}_jsu zsZheB>4R9}FeC?soFwh`ZH>Yo81q zdYCGb>Oc(U5ICChYa+ZW+T z(;A&@u@3BRpnR20a^jAw&;~HK4)kbt?@=(%)`UA8X^%wiZ)=Z-!!{ZNP=-53q_?w>=^uQO-lnxftB^O=VMn7hu=Le2&p3w);K%QCWK#P z!jK3HEk{$OWHu8gAIyPUijhPfHy1B{^9v&)oHnkEQjJRDGTx0%bI!1qAB)mqySL@y z$Hp;*(8H~G<-`oV{rhCrC$BP-1*X_6sJ9;s*?2eFvV+Nb)Jhah6@$5hzO=kv5@bIe zar<-TU|$#K;~JQkgWzS>!Pw&E`H9VGTgLNZoZE6Jp0v&!gtX)_OgU4coR;HvPpdTl zmD9#zvBBEu>E2b*Swcc0^GKFf@f%kPuk&udZdf{a{)1st(yhb(Bx#u{dFv+j(}@Id z%$+b|KbBd$b&PDB$A^A z2Qwvc1iViD^Iuu2j5_F;J1!F?Bqec4BjnZg2;r0ug(i#F+am25ntkr-BH>c27Z7n- zyFgWI*2|cbW8KGRzv~zIK3tz~Cn=9aF?Z4kKi?MA zQnGY=6HUaF+z58I+^qR`DP#*Qz@7;|w+p+avJ~s^X0}GQ*X7q5q-aQm4?Unx9faRb z>a+!})EeycPZhA`+cR6wmBzaG*S7fZzaT7mDEte%kL^xh_l|O=FVJar^Eg zC+~B8mez256&sB|N&SWDlKInGi0R)c4+|%8_@}NIN-b;FztIr; z5QC&@6YnHv$acEs&r@xX>)g?-D}P|($B|@OwF)gp&y$XuLf!fgY&NqDK>edX`?v>f z9WFMGy6KA*x*SZOgR8p+;lwR5Hkz$Z+Am^-Xl9RO8OOhIr)98PtzyKo*gC&=Pr0qJ z7)Be;5$7#cX6-IZ)&K;Ku9s*j$?`(ClZRhz2hBD&*^>+v-y0bG=}7 ze%gmDA4eo8aGfuT+ibVOXFg6O@RH;I?Ae}EbQ}N6Q@R&fnb;8>T&GJbQY08JYEQHZ z9dFtfIt&!KI*TVTfcqq~kb-uN^W*jvcux6y9u|WR$x561+yi=!y{6M1`Lsd9N7W}G z3aaN;VK<>z1;?FH;mBe3InaottW9uuJ86NXPCq{y>0AuT4JcSbYVAbz%e`DSWXQHZ zdWmeB-!{rQ0GGT|J4?J+T`p)sz2X}Lk1U0CyA zy`0arEQ9A+j31lh=@NGcIpUH*pW%S^rn;2^?67KDLt^rYmssLgld_q2$-vrao>t!< z*(lo(!-5J5u{7T}CfJsNe+nD$?JU|A450_vS2i9pFCa%Ixx@5+Mn;i=Vu_|icR>GUhY*7 zar(z_s}vX_4-utI9@L6}KH*u^*vp&41$M5g72bp&Uto~`u=Og0oz;AN*e{4PyHPBK zs0hheU^k1|qUa0)8#R7PSq;Oa*V=C#Zg$)%6#epU`p4%bo(>VW4FGwhSmpR?oeXpb zzU4&3GG^3kP%$<)AB>)2VR1h;u>Mt=5Zbr+v!8ZZZg(t$^7CRto%f9$xrD}@W}Xhv$TI?<)qIR=wmeMrq?t(JFz>jHzvN{7Dv8Hp@Mj$MidfPR^(Zwfph% zJyXB?Y*}<$XGPZL6Hr{dP@bzlzh_R!J1gd~Pm~dBxzBd9-X3l)`0|Tw7=G~?aCQOa z$EQBz5wc&@DzXFI`+GV5vmUo6^R)#`ONLENcl)DHb>>@xG3Sdm)dzkx&mvz?)}#si zcScg!JWfr%??LGFZ7oj-Tqqn~Y$~I9y>50Hn2L<-eteK;=u}r3wY#TEBhEJqx<53xB zXJZF5(-#9D*i83d3T-Z=&PCUZ>a53a&OdxhJ=cpGtUIi@!?VAL6COGh60}rlip3Lh z?!}3SjCK+@{-KC(sdGZ))y_1Yof^@%8WZz!p=?ulL(jY~D(<4hLSLfi8k!QczT4eA)t<6c5{_#J3l;n=>OJQIMW7QVfEk>dJmnGBk9 z9Zxs=$MrjXS}y-2RxSzsWV0?#Y{D{N6*aw8wG5 zo8U=B0~-9+oso@%hxJbYM^RSU4kT%B-V z^KDvUv-8z%iwZMMY_yq6#by8Su>=8+&BJ|@KaTYC5Wf8yuhLlnr(oWBm1xP@D05e3 zme@Yc7fsJHwW94<{^R&hzss$yh`T2Tf%+_;wfYWA+aIU#PddT~$M7&!f`P@Qz_oTH zh2G{_gchbkw2<)qGAg;$M?<(ZHUIVMe}IYhSk2XW&97!5@mM*o1qX^R+b6iB6;?h4 zO95aJ$j?cv6`35nBZC%->?e%XEx~y400OxDluhS)8~XFP~4i!@=VEd zih<{ae9ne>;oRg@ozq6pevP_N5kf2aBR}EjiV!eKjGQ5NW=KzDqUP@Vi{B)&S<=1l z`G`>W&X`| zMac*H!+5F3+sD3MB-^DQiccOJe0flPpfN+9fZv*Dn*&#?q7gsr*MvH%Yao{ztdn+= zJgZM@d!%dS)0h$&^|?R}+A37#8fE#!<~lb$ct^D$q8Y0}s0q|#>_=!0>uCw4YysSd zvw`|Rm%X6`aeO3x_v2ru!6tqhiZymm=~M$COiKjM_2iSP=23F~_734rG+0k*NbGj` zOae!}fkT4R;1!r9I<3>EQw>@c$0xfsIWx$pKYv1nv1rIA1J%paD4Q&o@2lLfk#~s1 zjaZ=G5%S#{ZQ>)?P|`S`B3)l+Z82p7Q|7WNP!ftE?`&N33ld1W0Cj{>$7W+8zzJ=Q z!@hdSP{_-i>^o+mnP>^D6}1CTtfZ*4`CyW%HPg1wOa2QCI~9VY8TB8M7#5C`;eQu^ ziMpOEm!>y5u{~9$CAj-fI&b`F&t+&^IDOx>fRBTwuIBZ(r$Z2?!aAs0&Q}<9Pok^b zrHBg@c0Qf&WoN~LBz;Nj1HCESpCP9}6Eq7aBji>}FZ6Bx51L4X^UP2DjR|x5g7o}N zj|~1t4}Gjc(_x?I80X9|f$?yyoOG?#LeFP==>25*J0E(wP?Nuf(K&VAcWi4lm9{>U z$4Td1=d99wgRjx^!%cGL-ARM;0o%-`3xoT^?bo>7=d+Z9J{Y-rrjE@@@iAB1`l=;a zv7W}fpZiq;-WNh=KbWj|7Ulv;#)Uv-)B9p~E#7+X>CjWBqMB0vT8{ zg8Xfon;Y)J++T9cL|Dr;%L1_&bNmPM&0g?Bbz)eHjesMq91Q3`vb?chIrJ`-c}l^gd@5df z&jGQ*zXB&uzbNDXBCI(cb@l$XrgSr^f4JF}%IV|%^pr_``8==No4y%oGoO>Fja1Sm zt=3+zK=#LlOKgQ0J&_ii<#ai@=Gg1@cwcXOkIKYpMzFp%k5%LuYH3l6>-VI08R;tM zMSKhS#S;5C1UFV{^lIONpAh>7>f!6gZYR%A@wn79)0y3YkuBF8w`k(}Xm20$6}sJ) zm8|NcZ%;*MQAZS4jMm#*)Y^^i#K`Yl6RGRIs$2ZxCS$x`z^)AiX$p33l!C``1 zKKQWcWFK6H!lxc>&dZ9if}=Z=t=euPs$FH#5Ao>$bly(6{HMXOs#~+bO3tT-PRL-V zXqELDOQFVY1*sBT41j#}_GXh%_#G^x)Ed8_2s%2)`Phw69Itnj(6gpOQE3LVmAZ}E z=pE21u^FH*cML|s`|krdQ8BEWJw0kSEyH#>t#HgDtsp(&i7A>-RMa-^x@$9gvjVI+$8sFURSNZbCZ&9M zHoig3dIQSf>>hfqGyzx!;h6A4x*W}}^smR#uRI|S=rkEqCVUR-0ea26JN(`3v3od} zyzZVBVbwPv{Y3T?ne{JLSdh?7{H$A^@?t`(O7p&bq{AV=vN-1+NWa?YygX2kMt6co zL*XFc625`k$B*avW(QjY27Ps<<{a|4ieKn1T_^YF>2Gc0vT9D)Kd$=(3N){5dE||{ zT?@FAC#Z%}QfZmufXX+|tg4L&r0KMrqRg0)I+jC@{nI$!eCn!zxkNeEuAwl&I}$66 zIE^V49TYnvJXPl=>C$o56PAAyMQgRoS|ypr&u=-_xbG2$e3-T+jU;{IufXNkrFM?d z3_5ELCpHYXC!qGi1dVI&8!fb4SuI>GRev2+w9z^^%iJ`_omlmsnji{Q|GH$}ui)+S zmGFA)<-rJ7uqMu;SuiQt>(Fu7Vd;o38O^a0)Zy{mzMM$)+2y$?DNEn)b>II)U_6bP zlxhc#cV^-E2L^vvXHaH-SW;r-(i}p3M6aO~9+BcsOMfaTF(T z9{vH%s8T2FnpphB2u0<_Y&JNxUCx#0ISEFx^OA( z?g7?Y?baeb^(+zs4TP%IU`MGIi?jN!`BCK9P5C{a1+j zcLFL5?!43VGgdg;09NjfSRn#8U1yHG(B+V(vduT5n$?zuf7#eqU zBp7up&~}Jks<%iAo#-OF5W=NCaq*JouxeV|3eQd}pu>Fg~IhAwx4%A!68hD6C$6pa; zOE!x2shs<(YC52u`R89_EqJ6YJARu=1>7+COQK7x1IC=$)Y9V9VdvAezUO8HwaWsO zY0a2pzHU?A;Pm?sh+sR0h(jJ9!^rJOn_~Ey9M0_OTy8W>w)F8{U3yu8^@+Z#782HV z++aD~NV|snkT!ZgI)b$4QxH8jsIweyBDevoY}&-{s?r@R*Yx;RGDKQY z*09^3VlOW=*Gv;}g7vewpvi8@njONa4Z<3AEoWgI={0ql>|kbH)eW}p z=uSODGO#z=C++wL`~gQHFX*o4MymZzXjA-HseRy)Xvw!?C8C8G&Yq=@tdhj!K=Kip zp;#cIdY+KH45|#d`f{36f~dQXDe<0Ms)i>k#1Ky6&_K#+>I4Yt?16e)MS8Q zxR+#^<|%TK>!xQF?8(khp<2>&K2T~jxcJ_0#b1|b?Gtl8F34ZD(;5t5HRs2_7JnSKfmkXkyGo^V@(BLyyK&k{0aBEi-@o=ep zpW)Me?#N^cJz3m!&<`mtq;6{8`xlBR?5YO6+6ev7vF#i6{rI8=?kSJ~_N<%puh-bL z<|rofSbO0pkr$kZBG#J*h*4BuwR-J7;#2zk^<^jw0Oecq@Bhl=id$k+s{X6F1$_Y{q>UB?d?N+DvUW7>z5$TiVq3+mndki)OXaiVpb(Y%x3F z_@EKY`-0#|&-U&KZ}8-UgQ-wo4!KeR@57;H)|y-?i!X)5GHpcxHB&GMu%+OTGCQ|a zb>Z=C{+>J-ii=kHC_=qtr@`}|hQHR8MXn__U~r%fdej}38@2{KqyKe_&^|IO*C@YO zFG&9F;@=-)Sako#h7z&VW~;Lt#X6Y%{;f9k_VH@Yc)Hcq#yln%?d9DGQYmp8;$gM_(-L z`RQR4S@_YUa;2L#lY+5A>w6-g-BtW5RTauit=FeC8Yb zYF4zoJU>_hm=4hG18n>ciqa_P=tf}SjNDFE83ENRLEFf40QL;&!pI{D`_wzw>bq)z zRD_>BtgON<;hwud%ZB^1@G1Y#S1&9WA)@M#&v7&ORSl@K7$)oRzDYG3h#ZzC_NDm7 zZO>(PD+TCQI{*v@Jg~Evy=-r#RlkSNp8I)W7Sn~YdY*35H3IgaIO4Q?$tbx$zsh3b z``>_o+DuSdjwDOTn7qpFx=nUjwQlSs$~m()D68`OigC!<=a75d>|74(BDnl+l|C;| zH+7$vxXf)fw`h@J&#?SJvLrnx9=+@CkMAC1(ZyswCY=MdKe1u}MFD20Av`9XMdg6W z`v*Ydx7_FrQEPFsEYq&e)>5jonqcxg-%bEziXnab4oX0VNdQRU!L{?=Pubx_O3USD zN4AfXBpHI#En%w(w7ln1jr znJ4}#l#aH0UTptZvP%Laiha5_%lEZwu%?H87L;{Ay zDB!gfYLuxtUGA$M>8>?97E~H{8QFDQY2k9&rZX6K8c4<9Q9fKBC4q>%6=ps*y#PYH z1)x&C8XDx#jy)>TKtJUGnd$PY|J@EYt!jR--@M~fGZOf2G=<*k8#m7l;FOehB%@O+ zB_~lU|GAs1)HTOHPBxkYf7hwaPf%N1=<@H%F7UkAJqLu|Kh8mW%)sFCI#JE*ZuB`D zz;*(pXkV&RTb-OHL|nEZz-2AQoW5E0R?Z>bz5xu7q$^&r>fOzOsH=O2IA-I{!}N(Q zP~4o{5}@>4HCs;?CbS*5jI2CY=K6+6`CLjBviLNShy+R+UBpp>#}$5(nd|IpSO=OCx+dTMwxz{&09)yiYQ3=}@aCzR5m6 z-W5qkVJ&uxG8;PyO9O|Uk)olKBjk7c#nR>@Rb&mc=#@!XBBc1P9}9W)9tgE&i7OK#mfO>U}4`te`aIRa0!>@}w4G{6*ijJ@DL zMtJ@H-?hXcX@7+2l4t$P<4^vRkCtY=!EkyT-C-M@564MBL<0;18)T`W1NbCd`$q%P z5P~B6Jsn%I{Fff3G9Lgr`T8yI=S2=&0goEK3<>)N*}LHKSq$-0u`m zz^b%Z@I|hnZRGvWh-}N~1N-U1h-;-h$Uq~t+h`F=gaawcw>%;Ifkvj6lX=pt&bwoH zp;C}^)~8X^xuS2sFQ?Ci@a%g^NJ1`qpLBe+8bZFrBKv%N?GEFWs3I#h!8aZ2BdSb8 zH~gVG`RY;0^DR6EO)h9CfjrEw5HK(faE?c>sFJe>dgUJw=6uvjLJ?z7kJRC;O2ueM7UN71#;>XlQ z?BUK6y7z^?|9S!|p50gX2K46HPpOjP(#WItt6Par5GSP9k0}%}@^>c)mzVcyvq!6# z!5(kBwq6VW^Q3u3aMwK(KoqcW8jmlMj&GP9FGcdiq9b9wXjIPhYRy9Is`VPnJLgU1 zVv%`R=x6qK#F&}A+Sh}pF?~$lc-5)oNmV-o79htMdMSVEz z!xn~RDUyo2F3IsL7u=-UH#KN=v99d4UBe#(M@~F9bETUXq}AIl)GZc3CAny9pL9Oh zkHpRk zva~y246@#!9vd5p@(tY}PBw&S2(HQF3m~sENJe5zWh33n@;GgW+lec)j(M22Mj6TZ z2mp1mBoGolt-Zk_K&Kkt1q~vl^KBJC%~)z|tM-6EJAp7n@xaw9c|%Qo`*7X+Is)P0 zyoRQ>zOX(*fJB6PB#1kfadP4!%bWV${kJI}QGBj`YkzGjrRlqYjZ^l}QJ$Fy zQ@5{F7ZR0)cpyAg&_>n+Va@(EbDbkDY2Uli~X@!53GL=j8O#`tV( z%T!G9u5{mQOo$-(tNK&E_D>fzcxN+2#Q!&O4p;& zh+)%}3edh}xT%~;r8lkf+?KAJZ$X8ss$V zTGc6Sz;&z!2RY|YM_a)PUPAN{2V8kNlf`F~qqq4CqL5vlo2SG4AdQ=vIrz_tIR)Ex z@st0`i!~TZNMMrY2R{=YcMaOXud<{;$pXANbC^}^{VQ&KK{(RR8%RvuTkc*Je2(C= zpM4J~m_CTcHGQ->r+&dX`PWBF@&MxGP)6CUI=p2^mhtF2;py6%z2SeIwfZNlU0aTh zBh-EX7NaF;5*CvYDMdKIMH zJowUPJkjoL?;d#1C*pu7)*-s;my7!|s*c`!!7I`;0O+ z;poe-^vOA$ZzfN$#Dxi?^CS#nET;3q3a*|})*e&mpo=A@2Gg|N5+W-C7~bfX@HK|k z4pLokz1~a#4*DXcD696DPlzR^0U!K_JIE>#q!^}EOAXSs-N&U%{KGe-Jk;EtYLD@s z{zxESwslwuv-xmf*3cySP2QN>!z%Pm;RZ}^P z?W?V_3L-D4=>O}pAAS?hzS2|R(~vQ^^8QmV-;xc-+mKp>+#JR(TVC)vGyVqRD56gb z4?o>|IR3i>=`B7{&ufK;_h);8b0=NYIaP>+7jSKdJKOm(HrP0^G9?(CFg)5fo&$R%J^xqJQW%Yc*@g(2#md-#>qpaHgtb)jMgC?W_+G_Sh?C} zAF^;VfVIGEg+6!ZKhB;gkEbo<093UNFujJARGin`k#47tXC}+GWDFiBg=V=1oATVN~2!#}P_X3$kbBIKh0Vx%)y1=4XN0QdU7QF90&GA&z!wg!@M0JqLq2FDQc zYo!5cjB+fO-Of(Qh)8h$jGgm}HfDL#KV6uK9;v0;)aBl(Id6tOi=wA*c4<>0?&iEmJ?@T1WBiVrS z1V?yPX0F&aLBwm7HsCOjv->$$BhaZW01{fhAK?ETh^mx3K`s5v7OWUSrBTd<3hcE8 z$lv+%!^tQRFVrv%@_6O&NXY#^V(_{DJX6gh4d?=C5eoq0v3Z_bWB}A4lh0Ky6q%R_ zNNOYnzJ)1I9HwQo`kAQ;jg7&GPNfhJgzhwBiG_*0-*?IYUvn)bNfyun`4`Eh{3;)X z+EB8l)htiWCU*3DIO1La{CXz)g*r*OG^X#PX9=%B`)?xP8+Y|KAPQX*0OsE01(|&U z=#)0}6sU2z82qRTj#io40BcSUAQxzghS)5$IF}r*cIclh0dViCImh=p0|>84VA?6o z9VcVK|5GCS{s@d>P3(UnwVRQK$X_dR^GyxI5}~ogPBo!NX=y61k5?*|*er%fkOeQ} zmjPo*4G2MbzFNKj%X69#ypj3JVZ9B|TQ30`9jDzfTK84Yl2=t1uwAPjzFChXQby@1 z_u#>$ngUT|jRC|Tx-(nJSUl07Xu%qYFOV>^nm}MIW@SR#^YIMyo9zAQEvU6+T%lfF zPcE5;^fi+4c(<2Ro-D@a@L9#Jhi^u2gN<4-b}`VD1v85%niT$Q&D}{3@~=C zANNYYE?fwx0elk3TAv_d5ArDP&qtXFXM$YXFQ?^S>7%BbVY`PaV*s<1m+Nu3UrRIc~&pnr^=JCPIIA1%kjja0>P} zd>e{MT<)EEDEhWf0-4e;Spju6K&Fh(WgJSVBKI<|nnvfvBpt7^IoH;D>Bn~&SI2QVt6 zgfDdnjwYzkJE)-(3q?CX7QS32Xo41zyPwy{`-rnqCXyNFM-z}EY?QE>t;`4&T?hdO zoe$9(=Sqkza(`Yd6sKctuU9Wo`g8`JV|e!FARr%p&JvQ6B-BGJFYJQEeZ zzW6nP+*%o zi@d&{qKCFWt-U;#^ihp-2wl&ce+q;t!kJy!sc(tX%$2d3tIAq!1DxYOe2;0ohHY&P zuiG84aCo3mlWE!UqqVsnXaE6t-ro@FSB_GK_iB=XKKn*eCVir?lqs8e=rg0_J>q^!O9oz_vVLJ&&@pLHH8{(ck*vG zk99t#H(t%ef2*|%{X+5kDtOTomb3?YwM>w|-J~)>WbbJzheBsTb0?7C{zR_B>nghF zThHl_;L{mp;j%h#4EHLF805G9v8Y?LaO=NM8k}*{Hxd4Rg+V1sSx!G5&LREj+E@sA zKbQuoYu+}?WbrkAZSE^1X6NMHP|64jU8wl1hiAjez~^SD^+;ZKW}NUgtxCSL0acBz zUgkHyMD`ewX@6@5fOiY(=!tGGbb{lzp-+CTR(Dze{j-`3OG2+aEZ5ln56;o)*lK=; zDXgQXqmz3Q?$)hbNgoA-zu{!sqk!1TflT%0 zY*Z&4Lpw`MBRF2leFTGJ?B4A&B?6M9Y}9*g`?~!|+e9O^aUuK40SJWZgCceLj%7zo zqbF$vczMe?V0$ckp#t(}z;h_uR1VAv19jZZ>O^gC`!ZSEGboUoCmIgni5^WCG}~O> z{2OoTG@ItUb^yFTRd5cV72Le+9+zbx-R+tRe!cU!l_*B59=cR7xYog|-AW74My>oQ z(af0c3E4?spxPPf0G{SF(8=HX;psg zR}gxBez52DIL%c$A%ai09M?Y_L1z#!_BoTh16J@Dlqq)!AYgBCyxj6<^rU4kI|Fx2oE}5wMq)7*Cb=njF&0owoTSj1( z{Z4Izum3g-Sk>g7_*f4B{E&s=zi)c5-1u#KB;}GF^FBz}$8E(w?D?N3iO?JlAE|eR z*OLuZ3Ul+e7@L-zqUiK1o7IbRO=p{IY&<=NEf;)`zoL4*rM8^wz*QS!=G+9BOWOgd zyIdcDb1q*1VEw7%1Nu!*m)K_*sy&X^Jt-0CL)(I3Ahh+ z9hEi&MAa;YHwV~mqIB5~k`A0~T3w_nyZeaiS*QQ?kPsA|QmP^CqysA@Z$MEfT;?Qy zLEEW#kH}{>GlBiy{NA$ce_`(60%(tYXFAlVGWSK zC8Snu2U1mXlY{GE0a1xo_2&k1{KQZggKk}E;}D_yGHIO9eJRox=w>7ZYhnLqA6)>Q zga!ht=f2CKN^(Z34Y0dUOECo$%>#m4wr69AF|u1gX0z8=yx_ALVSoI!*hh@Dvk zIg1CrWuXA@p=J3pYu3}-wk?v?T62&K?#pNz^PFW{v&;>^JuJq*a&ptsqbFUYk#(fr z2Amt0C=r?~S^&WIRXKd!eRu2Ok_CWhb$sZ1{E>84^XN(ZO8q9LSjhcx?zLn9>Xx?y zy52N^P0I9}su1xwWOAqj9zxB{_+F5OZbeecO@PNPys}^S?bn>OsS?Q1-KT_ODXU4p z$?jgE<7!sF&g0CCF^bs#v6e2Swkrb(f?k>i0g&aHiT!R(5AV==e-DBE&ar>tCySsHC0LkUHfps*%V!>DVb1glR=~27~m3SZ*T?NIk*@5&rod>2DQgtI_ z<1@gEl7M`AIV7Aowj;8FuyQjD&g?A^e*9ApZHa{;?*DX^rct4#_!?cjg9*X7?t6xi zdkd}uJLql0BrEa&t`8>%()k#nidzccOMRNHEIUg;D%si||E4_yi-HVRas&uo<%AAE z&F$)f6%>9N$6o4Xis%MnX45k2FY4@L&iGhxIg(CR@P|D*6;G` zu)BDdoCl0maAyrlmBtjo?hect<6QZ<7M1Eoc}dWqWyD1WAD(72^B#gR?f;gxm6aV3;2HJQcG+W zOqH5q7DhV1mnU~kwOjNHf$j#A^F2=o0_`Z2N|-fMF;it_$u+)!{;YMG%6P7mpS&B{g+FlpF?O62~GS5Yn&! zBq~qK=U7B97h}LVOW5B}vz?ca+PKrl>GssL1E~;ur0O=4oz;<%{kR`)`7I5dV&G0P zFj+pULlYmPVt6-Ot*06LB>+UcED=%5_#4;f>AH1B9KR^i!5SLLY;o%b;Hey1qxNRI zKIh45V_{nTACaf~-EzA_f8Q7`Y;Hd*}LAj(*#U7vV)xU96;a6-1=w4Iv6ZJ+q`@EkwO_-+3> zhx>E<+Rd?6k@`I%Zjnr_^mb}P(}=GmQ8HRwYKneA-#IoNLsMak%>PxI#AJBT zDh}nUOrt-}e;vV9CNc5}eaSO5WW8|BvYf(4K|bsFoY~f=;X-F$N;d(2I51w3?(gAf*)(oB| z9xBN#YCwQklcxs~wKI`r8GYR&TZDi;d&My~^NSN=DyfQ($qKEV)5fRw2TG5D6-i#` z+Yy?kv+bVF=JJRic+cMT_o-NbFHDi7rLwmcSbNyF3QgSja;~!5ieK-R$iX}41waF` z0POJENMY@GAs}l^Skr%=7C>9ynUG;z9WQW)FvMs*V|@!KOGV4(niki+nzd?OvhopZ z*Q_^PSiXXD)zbY{Tj!@fMG6^m`D?+o8wm-jPQ3T47A@pq%V>sfT$TFtO;#ghxOSt5 z9#!+c?`yNM>%8ZbhBNr%Yz?}!{8pPq-@Sj)`QTfxc8*O$p*jU>$0CIB&NxfBnSDz7 zr|htB(sm8giEtc?--TM11EnZ7AK<;3h$p-ZjoL?HYfK1RKdRa9Sj3#f5jk-%nYxB- z_{+TPjHYQiZrg`I{WK+-!^lL}l7EH(9zCgi|0MFpGnUju#g5e2BqhWr?0ghXXBSW> zh|A}Lx<}q&i9=wq*3<>oAwc>Y!8${0>Ef8Z3y}aMAq`yUBAlO5x}*v3cubmp3Pt+X z#6Ca|SOD~nFz&5M-j_W4TbScbNCND;Hx7R2B|E{JdLNc$#XQ#oVYb^&I@L${bvQF- z^#7szWLimTbtX;||}q*hN>+O$tP_>bF8gsv7sn>~cqZ4iWh$)z%sJx`lJ z!|FCWy~+`}!-dzM${lunmJ3HT2< z58|qrMRJABAn((S#Pg$G<{cRqBau|5XT3;41G%l_irZ!d0zrcjAuY+dm8{X^(vN|= z@S{UFE$k(uYcS8i6cs9dD2(~}($MrF-k9ri`09;{OM59!NE+qmX3Cm(eQd{JxW^5L z$p47k6a;XviqLqsAAR%~aVkj&BJsn2M>I91r&3JpgePJ<^Xq$%wr`XVPqE2fCj*&J zVfD?o3{fmla4}jOY=DMa{$4*^xRxL0QB4#L-bctZJT=P<;r-`Kd^5Vpv8!#!K9oF% z?o&yg&me{9A#{Fu26TfpL-&=U5eV2&*sp%Sb7A{BYc>Nn;X&?%y|5;((9pQasOiIq zj$@=rDzT!dkdncg2k+!1X?RhH9UYWPwjc`8XB9pyE)NV`nRpN+e{5#1xrbC5eeV8^ zH=RX+v21?J?+u7Vzuhrc$syonc>;+6D~RLMFPSipR=r>|9MTck%93@P(J^-FnhpTT zzv`SafZFX!$S!OqL;6@?il5m{&LC88!}q$RLOjo}(<9yh($;zTeo&Inm>&?>jZ_J+ zdVL~ojx_evGVD^6bq64!dd_={{ch40~B2S^w_*Mpv}> z!XTWG}r&w}kmMq2kAn~G6YgP3PL~m4AnOvr#yZUEU)T?V&YecJ(4mpLc#8ED# zg2L+OBQ@oSKr#6ECno*WUbDkSJ4c1SCSQ{^mABjHG;SEAv|rZKBji8=+vR{=<^daT znES$NlyIT0Vj3P$m50X6NnB-?rV6AB*TB`E(r4e@eUMZtqHk}8>`}j6$M_G zIuDR|^KR;?ZJ{ke?{GgL)jM4u>VEbCjOIv28f=sA0aCSNFG zEsm(g>N{6Qt=nD0I0rAl-hrKhw`H9TiAFpO=`1>_;;dSj{L{MfNLs<5wP?zO39E6p z%g?(^?>hkjV%U>Nhogt@J(fkyWv?Jxpcn{qhP9R1dhqFdz(2G4>tor|AW&g6GRLm!HCxb8{ zlPPN2yM<@R^P;q%)0nZ0s`~ioR){D&E{s!nk&O~uDVf2qPg)-FcCMKn(w5({-5|KH zBNA#U;o|+56XwX`B|^4&Z^_Cym~k7# zqNV?PpK}>uGNEg)jAVA7zjE^?Z(@#8E#4poZy+%o9rk+(S8fEyPg0yOy2{1>OXt~; zQK4%KXo_Sq;YQDFN<3~;tR3ygH9m#`w4&O2l6B&kZ_B?cx}cyT*S#{I(ns@;*x6JW}+wqzq3y@++7ETMSbgN zU!6B7;?i)93e7nk^1z>U1IDTgl4wvPJ_CQ0acw9J?)(n8?eI&PyC#=`c;VQsve1^Z z*mAE`ut)C-`zF+1eG?6lj*Oxicxwg)6cGG-waeDZLu#dZGvcTf_x`_6!?wWDwT1Ho zFRtiLsssP#VgzK6pFTXMcsb~sSVH9e=*QWp)S1bKqTt-@e=a%ku~04}NQ^c6oz>xW zUT~U6uN|?RM}+XdUuS`4uT~tMs}fz(gWKjyvq70%vlNDAw5j~>mt*vQ)1W1%!Pv5P z82tNH>c7XiMgMnB@r+6{5(6XhjDyF#37N9JAKmRirC0v(A6E~kQM`xw9KSN0@LBMR zQ1U67^8ArF9P=6Vun_)MChv33V5g(G)yY%(P9V@@IGFZ~@z8o!G|z2N$GDEY)?9-DDsCN*buUDJ&bC0{v&<@T6@-M07m5SZRdlrbU~}G^ z>oz4zY)5Gx+BT`q71dZ`A(|8$?$kf;^+qBJ{6}GY7xDGYWm5PWU$KIKMpdLn>68fY zDhz8|xX%K#ZTDTXi#iB?BPopJb5({(olp1jfSDDol2C6oA$RpD4tyStEF}jJW%I2d z3|cjrYXaU^8*aL8$N5!yeGj7qLgc(h%E76b7#>cdF1k)~ym(I4w~Oeo`hR4-cOX~s z_Xk|E_ui7dHzhl=XExaqMH!hP*&}-^JCbY?*;}EEWJE@i?48YXuKIp|-{*P$>5o#~ z&;8u{KIgpF;cFaLd04-CH9R6BervvG=}$~|WRl`#ubU|(ZE@|P`8NU&>m>Y6Q{sid zocivEdb-w?*eoJH;;g-}g1vSml3=8--v+J<(UpCCaV=}O70Oi+m7(3 z2JQ!s&DbR9`T^@)_BmzL`a*`!zmA3F+g6e8?fnUYOFU+-=T@pRn;u5SGurh0M(?tD z@3NR1^8IY!Og~YiuG}wSyZH6yKG6bMK0nUKTsP z#G&)b!C?}#cS<*1t+!Xwgz6-9e)S1jb%eTT&Zh3Y{vse3b&-h;ZRL^6(y(&j(Qrat z`sTX{X1yL=m(3q&?_C$;LnJq50tMYCZ|b@0JuXfUiK3QNxcp>{pr@26G=K98o~zgA z$%A;If~d;gXQDYWSEQLvnWff=Jk~?Y$bC50oA;zmn*a`|p6m3P9xj@edA#Ezmm+u3 zqd$y9qlfqKU*<~(UcbjGnj$Pv}_7?ZkIohj~bkBToq9(?q!3XSYa%vKmHJaKHAD`Y>?>R1` z`1m8AEC0b!H1#{c!+!$m{fwl)p&u*hHRYT%TJL5Q3HA@Hdf$LsYCc{uwcgTd&qZ&{ z4Zk*0ZHjm@I^+9g_A4(ny8Kvc^Xa?qe3YG85WIrCGf#hlIx-e`;$ASXN5-~bB)jUo zQj3Fh3K|CHL|}1ahmta5C#oiMmi^YSGHrzf!XqDMghr&A$hG&jUnO~T674XaKYIJb z&2zc+62{L0poOC--XNC}uacWEVVhcMw{ zgWLD@i?~b7eKhoo4KI5;eE6tS@Y634U8(V#rc%k0UcS!JJJ5}mRZOV&?Kb&7R-VVU z$-9wZ?DF%&pr|uI`<$P$?lFs8E@O6Vnlg>16Te(Pom3Pj=(|)<*Z4T8`{y_-p3S#{ zEIZM6eo}K)V{@6}9%k)ks{SNu<)NHz4mBC zJkKI0b@myIr%sU+5$E?$TdmG*?aEiqqy#Zd-GnruSE|vp*KJzPLVDc7!$|sdrFNb) z)^n#y8)z4(@a#?M7(SVwZ!^u{>)V8`n5ZY@3xzIR@;lpO`A0OI2RNja z-><>bIRJ$Uhk~zbzy1nzQYd77YsWA)P}_etocj)mO-s@{pzs>l-5+|TPO~^#mL+j; zCo(3@ef3+X5!*+(pI^BuEq7~NmO5^k_Up`;oe+31zRV`Y#1ruH+Yr~TQaLd6s{4#5 z&2JZLH1~Y@)tyGBuC4WsO_GOm!Ox*`WigYeGS!XA#VB=h#`M0l;X)|l`QL*~l~&_J zW3{Zc=Jg({$#fIe+@hh;)NJcf`-Yb}jEragJf4rRq4xXQLsq%I*BH-Wt&k@C;GNIm zfe*)-AuO}1`aW&4SWqDh0dsh8WS3+!Xew#L)T=D%CMbUmrz zX}&M^XMLLQ8O4(l%M+W?yj{We@s(o?iK!GMb9)T_}{k%X&#TR5gR)Jc;3PN3xcc|zFWoAhT_(3N_{Da{B zXlV(4bqO%#2`YNB@oCMcP`%<#_nOZ>R`p92P3HmprviEkH}o73E8LgBJ(9b=HeOW_ z(hRyTmxC>v?{{s0uifes>Q_2B+?@xS`^$J(gCs`z1pPvYkj_fXep%Dun{;sx4G_rW zw%<~#0ru? z;J=6q7+8lIp;m+E=9rtI$1GjwwrqLic5Sa>y*-jF@Cs*CVN&R>#kIxnb)`EGMwQ6b zp52omf07+EdAm4|H&h%1D#4MdGR|?k(GC^yEcTHoIIlZnSW4eN#O4mV&{JRUnZpX* z3|k>Hr?Fb*z0Cku@2aw$C27ODy@-1YpE4xn@aeA?JF8oGB{UC6R$6@A58hgt61F0Z%8*72*KS04A33@*wT2KU7D zen-P86xxw~xu=@N5GefckI#x%yw1Ns^0Ipdv*whKm{HFgGS&Pq zTzoxS`P1WTB*jRRhApsh%NKM;d7%6jeDq6=O7Kn?w@IzmNU5oPhtlP2eiN`h@U}<( z0fPa-i^V$Sp{ELYiyr=j#DS8j&QZ4WAUjV6P5+`ZjmUlGs=J?t7@}L&(x(ui z!GkfxWYAn<_~dvqwXkn>YjE)!D3dvoIQ8O-jjEQKI;i@(QfJ?(xd4V1Uo+#o^;4)M zU%o(Usr^|{v znk9i0?aT5mZ_;*>)gLGC+=_OVzshC$y=oHn%&p{36<4h(a$$Tdo~UxgHzcy6`%{{D znQq~&+n3qRWV0v|iVVtrpRIelEBcj{c68NrRT%Hz`QZ5?Bm)FHr{X7BytX~*Ebihz z|EQ&j9Pd|H*F9N%9F$mQsMp>i$D*S8&6VoMN`lG1)_{2HvU5@xX<@$pcZIFi+Co9k zeLIdV8G#a29|@P&>4$r7SEX9nPnjY9F4M==>sw}Gc4Zj00|=sRKy9L67b#E4`5aTx z(O%ns%1CzySRSto^y?tR-s<5h`*5(m;3ob!H;#2@E%)Mufumyxf$98%N&v)5(p`<& zmc_%Svr}(8ML9@EkQyLR1XklG=&!U{Cc!-MwXz7LQ(c#v^07qcuVXm^F~A5ytg9mr z38|%B@<~Rol$q&|y7^Zqvq|)}_6aF6#W_m8ZVNb>MV(eLZICHq@ueEdwide?5BK>$~^8 zpgSNJC%}e%PR(Q+o}{>|?3jfsTYakEF_s)6%LvjK%Nh=v63fg|GTg}r>~P2g{2AN7 zTqUtL!3_@-!DBZ*ChGRgVMmS-j?SOPJ07jLfo&gu9`0f`Dzb)o%(OgNJo{x|IlmC4 zU2z}Jt6GtsdQ?9nxmSp?y#D*aBU@i` znrUi`-n;t;j|3v#M%LKGyLNejF3fes{X=ts#y!tETq?H{E7#jjg9h6oxW#9i7}s6) zozi{(uP>Tr(m&W;P#-!-TF6(Op!hj7-%G9kdn$LRQL~S51eAt7Q>NmM-}nrXs?BvV z9jpr8?t?9trJpo_5?!9F3DKT$T87}$y_p~YV@6|{a%&uuA~PWP&X1|-eRpn^?35W* zDR@T@ZqN%@1#xEfVe@xAg@?oVOFc+9#u)J2XEjDcBg7tm$r)~Q&$B_&gg`L2x_O!3 z;_2`kJ=GZdANJ4Fx6)%l3}ip|>FpI^|7ru^XWTsd5&c`Zjqy{3Llz zap|Q@rZHN0GxRM!rZaX%y&?Z`d$eRM;|m>#1d~9rSSb7H?UGBUnz`&&G@)a;eK~4T zs)fMktEyh1oBpPgpi}txVGm=e1MRxYujEjbuQyAa-H+eNxBpoi=TXG(R&$RR*L?E+tToi_;PtVJ1&!5uWBFCo~mxsz7n~r5QG9(y`D(@yR5=b$}#Tm?3X?88Y`$-PdG)bgYK&R+L zgJ(=_Psa82_;x?~#Af_ss*}FHfpIFLCi`x8>;B(|3eVqOTctQJ8Y&mc(-9UR&trY+ z+tZL~{GHrWPz;E@y(mXAQ*bHimi@Z3)sNB?qQ;NquY17M@#j~Y752SLV6H0rgZ(oyB2ZQBN9HV^X0HsP2 zXMR*17744}`;Kc}$7Y^#K7TY{TrF{L$gVJQ3D^EHzJ7UGFrAGpvy#kERXFsg9w2v6;f4($0 z?)K>jI{wnd<1#3Ge&_w1MwER(XZ=>^zPiMdoiJD5{CwAYUra_$%eC8i&6{#JRg-Ncyt?lXhNw#Mew+96t8Jt{7_8)XhQag*l?L1bn`$ zaZQq9OCG`BQq_sy0k|N~c(R>|%|0 z6!s_!FDtahCXgG{6^2sjb8W0zh8p>MbmG?3OR>|$vaxnIMcO9C!+6{`y&-EqDI16X8>GX_)`<%C zCH_^ztiHMbzE6eIAwb@#El+(gp*o?e#AuIQ@=3%xh!agzUw(| z+j;S>OnaoP{z_YVOZn3jb9X;8gJzS0wMK!ec&^OoYpy?Nvr0)eEr?Js-r6ZykI^2R zTCleO!H-BnmX(X0RgU;~w zdHrJu4J)qDrMA&d@C)O#V_m%K(7_LBT#_xRWY{ytx2}gIrJ9Ns7q65Obo=v>{3IxT zJ5$w5D+@kRKMvEnw_sdmI%H^ibx*Eeh<4#9{uN{!PyD*h2{B9NUYhX)xbipUh?9*s ziUMsh$??p6ca!k1cT|PgI>0ov^vL$-hq!NJauN+f7kY#f$6hhwRF(K1E*6Nnj*M=p zp~N_GFHD(Lox|;s1h2p4TR*NQAxV_RWm8C#S44Lv@G;LN!D&CcwcsDa8%uc*w(#0& zw>CnN_=!*R4$b0JT}1^sg#?rO3t@o~B~hVAe;lOPI(U2(!q_GynKb^#JfhmmOCa+e zB{<@`n<%$Jc5Lf_7`q|=%^V=ghu-LM(LI04-}f-+vaay!K@!}B5lYr1(rk zvl}NMP1KUV5MpboWC_(cA84L8gze=R`$Jn~1rB)MDj)@qu&$9hPso_)u z$oe!W`Cm{pK+V@)lCvED!=V3NVisy}Kd<>lmn}ut(;w0Un8U8Ym-@VAWwYQb-2ucN zy9d|Tok&xvKGT!)u7Vcvl+V$p6d#SS+xwA(nzh~v=}ueYWQ0Auesf?@Dbbs`-b8bC z@!3suVmk|AXM)Y!Q3yI9Xf4S#XTx87tM!*~J@V~J7f8cp6zARD;*>1PC&TnmwO^OiQvNf5;aKf3- z)PaN~@EnmK&(X2XI2pfG{c-31=G?C5DQ#C6di%M|H1oizO_;Mj0P~k5Lk>Zb>F2)~ zBcW_S(o?!FyMUfrY32&0tgA_*va*3NXFVo`uV9XI6N$2or53sW0f8V1*AITZaCDsp zIH4EQ`4{M-1#NmrPuQ3fmEQ=?<8gcZW!5^fp5Agt6Qde><<6DFl^A8pnsW)4KBMFI zz*F~liy5#CAkr;BN}8kK|9^3Ew5JM+&vSQ1t~^effOUwbjabt$hRrS-0HZk;AsJJ*)Wir3A0!}#&pUc2akNr3RAj)1$mP{i z`EP(d!QSEh#a_CH%%jvf=)qwk#IpAJ;xIN>ASswfQ%?j7j}A^PD+ z&SRWM&JIXh3WHq82N2pt@d!Ya@5iwU>wHitL0$n#n(#gC;{1pLU+J^sN7bZo&EZ7E zDIkhlazC~b>~@QoKk? z0p`1D0gOe!Jsc8f=PBj%TwU3o@?I~TR6Ye1I- z^~lr(mJ8h4PNf;*9z6hRNAZAr5Q%m=gOGqFX-~*t);q9a5$GL;ES!?hLOBwpruFYg zxeW)#7~i-;Pw~8h{1T}pOoom4-rOdlUH2rO%Hqru+`IW0Ny(gTKKb*)2Xqv5YUhlg zlBjH!shJDQ*7FGEgl|c^eun{M6%!`#QCfBeZOAJqoEgDB zDnvpcFj%$JN2z@KBqm2KchBOa=rI)eeWUySDnQ1D5W#xb}*sek8kYF%cb>*N&ni2l~NMt zSM^PP;hrx|h#Q7h`iY5tiLni&e0-C+hgRH99*Rf9idZz@NBabmSX9aNwDllfakNCL z-Xz8`;8A@5zmAt}=OX3bw>1do?aEes(XCNkwXS|MVwzu^@cIaI50YtaLZo+Tl)?VR zL%?_QkPHR@fv9g=KefT4UZ->yfwWw@H^Jc~6071CEf>51K(YbTh0Ed2J*LFifqawq zE^izHq8J;v)HFB<-;Q4_yhZ~21<^n7 z(6C9h6gQlTPX-m~l@a?BLp7ObRQEsuxLn>iGH^5XeQ7GkZFS#2%!#2V#z*4aGg@pv z#NLoP-jFkT?~;GmP>D}~8gBIJm1Y(%6x*+sVSG*dxe}1B(M9gfe}{4%hy52I*({KZ zG2$ee*XnsO|H=r?*8qcj80GX%)ig@{2mN>Y0Bkp zsR2ESqHU&N|MF7eDO~FA{db)tU(0?sFK?Pqi8)8R+JF6E2tiT_O|fj5ZybxgeoZ(w zMUGzg`5wBD@#_T7gzkR(Hya2{fIRID+jY+#9G4;3)eJPS0be-w6e{$9zMp{7H2%a%SSmOg)Px*j^g zWd{dfxv3F&N36^bfJRqJYXI%6$o^H_AfX!tE86k~$s8_{tB#CB20Qf2LZ?TAVY7Y~ zcr!(W#F3N03pjTq@G;0LZN)))gcnHjYybqf?|;yS!$*1h{EfxLa)d)D*z%eFMao<| zmH7tg@S8X9@9zpe+NEFK{`@e^2-=W;iYx|8)fQv-R*#g7W6y^*53iA#{yO`HbM4i` zzaD_|pPN-4z_a$b!Wnw1SnMtK*>Bu+??3KV$+MtT6PWn zJ3P*>r!6IHdC($fFLu*R{nlEmOE9Sxtc6W~rliZ_5KDDPUo1l)7$9#q z(?GK3HLJ-BBk|??_rJX@1UP&GbJyfy0|%Fe%`Vaof!AIYSUTY8(FGsx*x`i=;;8T> zm^D6L6dx9YT@LPYFGUTfp@yn+~ZdjgU~)y$qKKAXta z`j7+l_SSmd@%JX*dQv_!lR4YI)LSJA19tB7(B2^SzQxJ+RhJWDEzt2Ed5lD}YB@l5 zogy&84DVg$wU?FIGbfX1%zGnrjCi!NpJtLQgmCPE>r_O-&`B>yOV<4S#Y2e#ZO293 ztrG@jAAjv$jR57r;J4qDWu5MiwU1J4b))LDgT+i}ksAiE(rsbRtEZ?=4R5T*cVa!A=&6wfEqt4`Hu&+ ze_hU~)E8f_FfucYafGR1k;jXblyky8dUgz;>{1z~R3LELcO4pUz`>ROVB%do><35_ zu}>yaQF01cpz7hk6$#QT0XOlYQ!BKn)B;xQMwNL!;7&mTl#(DnR|UA-$z&S8q$H>< zAK@GNs_g*sR~L980QxX;9h-x5vS1-3wWSh0Lo#($fY7P>FbDPB%=OT->zL=8LC(LU z2vUx>BrAZ)Y$}%%{Mo$*dX9M9TF#&G#)D`Bo*^U;_7A;aX@T3m;UeavHBq#e&C1HBHb4`bdjL(35O|EA;;L8{;ib@{T>~vb~VF zcnKXh7Sg=r5wW*aC;w!H-C*tzH0rwycQa~p5p7_3m5x3ehI>z}OguzRok+VaC2H`` z)O}A#Jz@)PG2TFUqiF~Ci4%qq?+`31BtDFcd!n=AZ?R-A=Er7~il^);4O{N24Ffq| zZ}w%rBMDMM4ewcUNrzGfl4 zPqR&)w+bsVwCt}MPU;mK-h$@(j>E3BmToIG0m%gm#;2N62R9%8S-I!18|iiyq}#J0 zwKn)N6l5EK`Xh=(gl- zdpj!KU@JpY#5FHB=*lw6FDz6~tnu9g&njoNNjp)e>BipY144hivWup)*L+`+e2$SsJaJ zE;SX`yJ@`Vp~4jH{qqBn-ehYH4J-LmD}p1#PKjupV<5zT-^2oCnf95>+7@#T3fcq? zUjt#c6`oKOWFZwhub%fZ9oQkPkD0=iTR`Jj)b=dmJh`IWf2)Va-wLgVwf;e>%motE zJN=oah)W)V9&UBwziX`-y+j~!1w!a_yH7fwQKY~_vFMNrml>Ze)04m!v-Zqd=DPb# z%f;{0&lR?hJtmz)4>tlTvB<5p5?dp1C!Dz1UHg3dPBMnVYLN_neCZBUbgkbvobRr! zKc@BARPUrq{ywiPi~6M3xaJ|9?SF#P&!72*R5!d)BVtoDXTs6jGoig1%vUd#tf1%z zQ=IpWN*30}N1nv&4=e`n;012o-Tw9I+Cc}3mX+oB+b+Qrb(=&gf@MN`30!I6_KR)B zy;{sSW;~xD79RF?_hkXoJ!ciBAGNOr>mFjaG}rI%U+Z7x)g)$YfyD>b>O=U+OR;LWkVs$*R*$OZ?2!mHvH;KqYlXl+)xf4 zr)B_pS09JBD!}G)yT+|MA!m@(;j;Bp`TH3Vqw%uA*o#+}Pw)Dq4i&$w*8q=QTA)=yiuk11Y>N-wnf)|+)l~(Y`aEbes8*|F_Pw*#^3Er$kW)0vqVj( z7B;8R=h}J*{J4* z7aGR4lNf>gASjuM*`ke%+Mxr=|EerCw21_bmv;%-e*XOq%!nT&sf6yp{OPJJe1r}%@m~HS=Bq@bmJ{^qkSSvW?%e8{0gC^`v_@7_ z0(6`zWE5^mFO{9^Egrdh11wksiW|$uaY{C?69(F zp=aj=r+pl#?dG<$%O)oNZPaSoyC=1-ttm_p385t*UBzh$6 zM*{Y0`xj5#?HkDyXUXWUnfO};{dj>Ik=x7rAa*~XaMm&{U~v(i#S%+9%9s8Q0gHRk zCBK#{87Nl_glVL+YvjcNbT;__SRl)5sso(&P>f;Ci0jJOEn7Y&=2-B!#(?2eITNG= z)x|xC^Y~JhwBJ;i^QC$hG>IgxPUP3 zlSDu`u7FZNpCxno^kZ;_Aah|pB9aB$=fnfjv-6Gr99Pj&u2df%cI?^D9P=;NoE#CLU(PFpNvUsQp+bHh&>S{3A$aoIU2kvq}y$$ z!n?!9-`BHqglvmq66XC-1VGec-yORR>n>qYg?E?QgJ=5D^*Nom)s?OU+EOL!l^+Tq zX(Rc@)%UxMaW&?9bjFkmW4TJe>h6+r1;-lG({8;Q@*?sW+*wN0lD zS!)N0OnT4XN3gLN`(TY(MozI;wCN4na6SxM56W%B!P^jXTT%j1;CXfk1Y$loW(``M zs9EMVM<`U|>=t9xs0RiB46z2HDe-7L^ z4cMjKZQ5UKm3yq4Q33OxIWdXOwj_GBxW*!5UOlaoU%@cJ(va!tx&ZDcZa^v1PZD}> zG!`Y%V)-^~iYp>_^9Rq=Qo_@Oz{i~RD4>InkCD-KzbGD@Qh$UmEeZOM=m#^oL{kvo zlOaZrXOH_H35>m(j%We!Y&$yrz?16WJ+GM|#sZB)66h^dA$931AJMB{p(4);amznT zg@){dAcU=7>ZsTmRTc%ODt#_tG)E4jP%9^ZGk&9Z?m#}x|BQG0{Nr45x0wTT%#Pt@ zr-%DnoB$0XfL%Xc=Y&wd#7k^O9jaf2eX=*Mg2Pn(QqMY#V*^83!&XF-c<~XR;fZ}E zd%aH~B)}mb%&K$r@QzG>m*|sYPd)7eIaxB!x;BhSTLWhdZb?pqQf@>_cQp?X&NuCE z_$N$YG)7V^mmYn1b2D)J?*5_kQdB<#-CV}Oa}XYb!@0QI4drv?tf+Wwh&GWGMhG%P zn!B%zd8B3&>m$RNYMy6^-<-V4F3I0V;9P@22^k0Jolz6g*>Gk;5 zt|+5w=kZz4+^X#xXW<(5@)}yVR`zE7C~Aw2bwcM$U{Ou(K)TkyH$gTa?I~p!CjISG z;#kULD`e8VvN2{IIfP8zj;5lN0N8 zUp&&=DbGu~lJ=avuV6Oa@Zb62{3ib(Kv5Y_DqA$zOzuE!oNK~H-p})w6jS-J-S|99 z*}Hp-)&Kb|XlZ}KzD_>nzl6u7edTRtpp&}wP||=d`v~f`J}M0?Wpu;SzyCxy{l$V6 zvF-2MQmWdq3=i(tXup>oAG0AAv(Sc}HpyT(Z@q<*0i)kXuwl=`Vlf4%>z^PzDG%(8 zoB+HhKi*wh>|j+6-aSUl`iNj33eH`JsAoC{;_x1=Nk5SY?F$ux0O#Q|M6C(^R7r1> z#ab3Aq!>#N!DD)FJbq*y`B2K#0d1A`fUvyV`kHTg>jP11$8UWPOP>eTh^lf?c^V5RG#HUbj@eIgr(s6`Q?fy1yoy8+H5P=7R2 z-aZa2s0`i)F_0m&zRXOD(TRZ9Q{R3_s4>3|=!!`44}!$N4<5%avUPGGM54?1hx75i z^WxW{6a*AU0(6ncstOio1ay*;yLIc9LYO17s~^b<}McX-I?6)cH%V51wu;^Vl~(i%-1*-a7&rEAeSL%;Z3J2tV2)P^v2r` zoEwn6bfX}|_tG({NtUMFgDHBTGE~qGWjP&kXLQ<~r{F*Qh(YgT;edA;RCPzFTn#YY zGlB#!1!^r7!zmIqR0)A&)~o2AQcnHY6uia#?Xe7UcOXRi92E;WQ--t{ALthjCq18u zoNSIuGssXGLO7NrA9Bx>vrT)H}cQnS%L~(D*IuXH8+tE+@@GT_YW{ z$MZ^LB;2E=`ZrQ+`Ao>_zf*?>q3ic@IdYfxS%L^^+jujYdi=Ub7^MA}1txWjZj)5ZokLE>W4iAN&W4 z%v&}2#@exj!4y+jAI_#4y?bHU`q7}NnJ$_m_Zz^wzmX1Cap~o!%rdj|xDtGSWN$H4Q{d_O8U*x3pXd<6z^aM1M z_rCE@x&w>$uvtfAvl~>+fXwNtcEL-nH1{nd2036lvQUwF=aP1lE|tyT$$hd$CuME& z@4PjCYE7q_i{9k@9vTdb6NMmrKv?12I zlhK3?TVM!&Yw0oDhK86Ku9hSD+tu7Me23+h?QaEENzb56B_a+aP+~I!j6~f{^Zc44 zFG5rCwiDnmw1yQr1d!>%@ca{oc-5iG;C(H-hU2Z?!)Bnjtb3y>AKCzG5D)rE9zRM} zTa?>KeONY-j2EXxMvLAfEx`kM19Ad=T zJ4pCCeo?2B^q0qk>qds#mNb7v$lkiAOZgg$Gs8p2n82z&WQ$|DHUuNp(cZd&pM?`$ zh5ab6-pc!SUMW(ya-R+%ctaJlw$B}J%u=XH{iW4H-+Gep$|6jjRbtiCC8i9rAIhS4P)4B-d?;a(0Y zhFRQWves`Hr0iNUy~^6jCE{zfa!I^g@O3VUD|CQ*A@<|zf*GNp5e3EAm9>O1R9%iq&gw_i)@|24O z!~HoWfb5lF0I9X^Y{cm%M93qiXC+K9>W%w3-%E4p{TIhkE$=Gv7T(D1IYg%hNv z;XN@Ji0$$NL%j%Y<6J5hF5W|2J%4%z(J$^11OwXyXEGxSf()}aQ+Q2>4^i8@;wv32 ze={AkTYfMDKEQ44g*d@-+-^L0rkot%Qox`HVu6Qx3b=hRudr9@z)>S)w|qQFaoFI z?$mRc8{6=8OyxPXMLwsjJcL86FaS?Qd6Ix#BIENM4qQb-dniFKD6e$=gN^VqTC|LD z-@}Ril5?^=tI)|srCECDH;%He6w(_7v0W@&sdQQG5c^2t+@2zLb15?Z)sUKU8dI08 zzP6=p>pU|YNtap^5Jif?CMxx(--V%<9*_t(2_zlGNT0s0>d5g&gb0Rg-IUsBXY81d zA_$D%+U4N=1SP?}OC6kcGVNlh3tiIGKMfU_Xz;|j+ouT`T2Xy4X2(U6ILlYs9)p>{ zO;VsInQZ%*&@eD3Cx*ecrMmcbFFh&S#(a=4!cF{LF-0}S4lkU?%zA=8Zfs=4{XbC0Xg>mVOc-V{u>!rDEf|7L`}qYlqYA(A zc-J$S3N;_Z$~OurO^JW`AT-^?F0N^F?cLN&OJCigqhux>(1wG{J7_h|Ke=c#juxC$wBxmT%WI|yCBAuz`=joI zWS=8vnhDQvENUERoLkY4iHw2)Vnm@A<)xQ={MOi~HU6Wn>%Um9@z3Tir0_X>sX zaxss7`A*q&zPp6D$HI=Sr0%?7_F^(K<@kp&VNzbk6-C2 z?TVr)lJoNWpN1Vv6QvDu|KtyDD|z~lb7nBpg6lt^;^u$;X3#ZIY{`Z|)YF8@70w0| zG8Zi6Ine*-!MIOMMg~#{*~wd_Cf7j>l!q+NKpF_Zm#ZsSHg8<-d~am-SM#DQ0sdwN z&20D=!X2p{VL83gTe~=zhlengpFqMbtMu_kV1xHILn6`(Ty;=>>h`jFq zTrV>(a=jO@nS{w#^G1*6A`Np|AxJAHY6jNAAX2Y8@LqN$zqsyu`1bAnuPW$x)Xd0w z6_8nJIskyO!d#jNI{S}s^w7>RJ%pzFPDdD#*v-gT8Kmj1 zgdiUtHVA}LNfw;ZHFI78JlOy={|w+F_&O)nDtL|o$SRq{n~sY>@_jQ7gT9))LG1;u zB+!YOLl{0cs5@d|I-|P%kQGulwR3M`RXdHtQj!&jE?F8W;R4iq5FI32_2=QSPGeH@tBXx@sFxh_&Mr|$!+zOF`Le3nrdSKG=1|A?eNJ})~6ch zM{Yan&9}gKLpMi4jN4*63K3|-xm-}<73{WBF4k||7po$8w@3AN`1vT`f@^&Y;LHgl zvxGpBtUEC`vxhPLXWMaDX1{XfEBI0zFoPsBe2}0Gfjjh^hC`0Jizg2TZlTr23 z{=I-}+5Nn-@fQt(OY*<|2tTBSPK2<~_Vgc@ za2G)=kczm>MzOGT`6Ks0B9shSyO2`z6pbpx0H_h&ep7J#(5+#jO(0y+B@FyT=$zWa zKK=Wy!;->&E4+JG=}kbz7x=hgrOIu%X8H-&ePKzr zIB{uI{ewlKpi+E0KOK|bGB3Qx5i7+F0mwn4s ze5k;$glq`T^!$N^mJ(^#iaM2{9hwKyakv~- z!9)D2+l~bM{wV(jv`xyON)+}1I!WQRuaDzrbtP@En_zQj=Y=6!G$c3;PjzL%2jn4J z@HpKcuUmaL@e_2YaR3f?bZx(z1TTk4J2GfN;YkLi=kNMYZvXP|+v1=HRo~|d_AH7{ zeG=Bg>!=(i$dZUz?IUCD4T1tOQtYS=IB4zW#<1ACpnqa!QivR2FwsCJ9)O}*5I`77 zPKC)25zs$A{@>$v4o+G1yPwlJ0LF_49n1Buy+4yd%xFkT1>nm_RzRLsj;!)ldV2sa zHZS`(HK#R1uxnV=y_PC^20>6G`Nf_+;{AOg8e+mka?WL6Q#9{qp;#vpw~&}mXyGT-t4b6 zGsFW3pawVO$ql**cM$-dei~+R5iy40g$92>EXb!376<<5LO$r@7kf)VUBEJuLKJd) zcXh%bMIFio8*)Y??$O@cu-OJt+8_&{yZ5y+y~M6Dg!@;gzr+PrOFji~7!D8+k2>mW zODw?j|J5-`nWxG^QJRqpE0MI+`kP6qqf*|N!QS)|92my>yC!0T(Y9_p(21zh_6pV z3Ew7#L_>w&V{aLTw}b#R92FS2UFq~MW8l*cpl^c2)hbkeLi5M!5l z6Vq0n0`uo`jOI6l9aJ%6e|^R7cdlhRsMU7mf@Z_&-B;tk*L%=-cNkV#DAc}w&Cb*z ziKMgRM@@pS(xleu29Fl78jRxZD_Zj|kc~e44Uk@Lu?CowH+JDcB-gsy2+^Km!u*1Q zg36iOM{D((h#we9;CJ)<+2niV`X01Wb>CB5aL&xjvD>dVLp($EQsvseoe#CLHU^Xy z)#TV7@+r#MTn#3jbnyNrA|iC4#|+psenJ8thdW5WmiU{)3rgg;_?PhO|@8$=alN zquiskcDXQZ<}~~upi3-7kBC?$$oRSk$m=me1>$A=|H9W+#8=xX2UYRs6QzR*e@IdW z1dq?F<>WpiB>rsDpk%w$itJM!E;H5kr_zP2(+9;wj{6{T7=BKs|2rJ7cM}gb`o%i2 zj3=3vuLS6r`9+kC+=H5+#J>qQxW0M`TX(}ZB*B*pR#GLw>1do%K_@56;I=&S)iU=L zy>Pwn$tMt{yZuo=Bvmuxm*c$4>eh*@{@ZMnPEEZeS0a$3U`4DlPx*uf;H{Sl=m!B_ zd<`FQ47Ds6N!45v$M!;Cu}%Ebw5UOInepJc>!uhX0`B%?LiVOt1RRDa9)3Y9Xm?Vh zr7tg2_K6|t`7ee~J4$QUP8pX>VeWH_rjZrW_P<#}x8eLQ3tXuPJ__$7(qR5}NGLrz z420)I1!NJ!>uFqT?}*kn-6)x;m%z-~c<-uCfzyTFQjp-jF|W_NkZh{d4?t8@>;{9> z9!5(ugU{u*vn!j&Pg-v>IX3PlA6kR$^<5KFW9OGf{?_XVW{G6dYh(@qm!51%?&3U+SVep`Bx(3KGz8v4F6a`JME_P#n+_fb?923A%q*7PkDL?U1-4oudAVi9^LY>#f>hIdX= z+iTLd2)s@Xm&=wVo$CBr9{Op{93ZxP-WXVZLH5o9{=yqIC&^}=shLSp@=R$73Ix@3 zy&nAH$g|E&oOt{63LS!229Lt}j-OXge%E#hSbho;bt;?TeNbxHTT#C~W|`uVN}30G z5_ORtD5Y)?rySj#arMFTwKI@5b-el_a#m5cdt#*s$lM)(Va%@WudD@&@U65IH^JML z1ZUq;5Ia=G5vnlQ+e13z5NY;w%Gvz9$GG+gR9Ry=5rmqjAO~Hzd_GG3y1bA29NG|E zIx*c6pYmzwR@^3F)5O3Dh)sD%?T-kcqLVmJVfZz*51EQchqC3=xY?jB1yExT;@w`5B9>O>_=G zD`nS$E$Y7=5Vsji3itT@(Mie@NJLGU&{=KnMUbc=uG9l*Z=)=4bk%*!_BWd z28%)`rwT}g-jK9kSU&hJ$)R-Pn8PYKe$>ga&i`l)00$euGTwwOgS9eGDX#5LZCKzQD(NHkEUi11 zH08gV>pe7mHs;L?{fFr2a9CkqG5|OzkPq?^{5_A)g{Bp3Fk=A4Gi2yb79?)LW0|2h zMu+UUSedBNB(p1bl4(DH2X6EMLO_$k%u~Vw!{UOQ+&F3vkD*nIHcFIAeU72~!qe&w zu$BxvY5?$xx`x{K$okI&2wx4iQQJfK)3M~joxq$p^x7uA-o7XOdetcgj)vDo`vN$j zl>l64fy{w7!xuDWHE6}%)k^LHE=~O6TIN=n=jM+$wHN-~N?1w4S&vUEntd-+H5_Tz zDsd*B?jEmYk{Dk5L8;{=D_~_DIUaoE(pbv;=ft^__oSRt)<}->9T}&t(p+6Hy{71V z7MF%s=pI4w=?oi@4QW4Cxi~NDi9ZWj8weTm^#erlHxj|$lCuX}T4jki>l>JTzv-Ic zVBu*A?Ea=rtB-#Cw40>9JiV;Gax{l;f4G`>qbZTeqxR7-e)<+Z z9BCA%tNbx9U}zUz?0$sk3$1ya!}}Yby3mo^vKs#R^&MdtFC>|o#s4oInVq}LJcV*b zph2wC@{_)?WaE}U19K3n*zaIP&3$AHM5t!odTgKGR0r5>)^0*)VO@*aHuDlq>eZCj z0$sJ(2AjqLz72mOdIXDMm#0gm1IjWx?m}y^X7qaRtw7B(g_uHE;*w8l;?sEln zPJ#tOgj=dVC~1F~%BT)(($LBVH|QwUkW}-uUutsHaV8s!|4%jov;i zuz(EP$H$zIzFjaUz4H<1HgaSJ*y}GJGE@tni6q^8Y%aaSwZJPchArhV@?YcFDQXYL zeuy&rYudJcH0mR#;X~NC^4sZxYyjH+B9duzc=w_m|LxI>>1LON(TgQ_H`Y*}O%NFPV zLUp{H>gCYsMng)Dq~s^^nVXF^UUVLm>E8CIdM(iR8w>(n`u(D&B-BHcr4(wS^Jt0x z4`1IM&(-_>pH23PWJmUlY>Lc;(m=M1%#gizWM#`v8QCd&?-i2lY{?#(S-p4(1jRHnL%`|r$(~1VTp%P5baNzW*x~JlB;Ed8e>jMQF z`xLJ~zRb9?mrh}Yn+o9fc}g$l(Uy~u0kn~`^gz;s{q`2_6C{jXtFci;qKsj!SVKuc z#I8%~F)Tu*E|4gw7g1bqZ=#@}{y9!Vv4MSXZ3PK=gLC>$4zo>y2oCEO_aq8asv7aK~m{j0<%A;K-ccwGk7(|-YwgSj#qdZ4l9O@8Z zYJCB|G)i`jd|l;=;O(LU9nY#qylR?uP2aT|*PRn4Yv6#;GLXJXwb;6IgnNloZ83Kf z%V!O!^|6q3tk$eA7cpAfuhAl?A4t0+YN&URh|uzS(fudIFK}S=5c#v6e7!<&-2>uF zBc)ve9dKt6f8OQf@8tfCqL|N6g@pz%Fi;tMymdt6Wh&sXG5-#;Z`Eg%uJcKTbE5KwUanbIFA+ye^5X*dW6ebd|6IV*-4;p8mj(iR=&A zb2Uq|{$$$Hbp3o`EzBsF*!*H=^D2g{77SoNqXanJIt^lX+5M~LVaxCSe21dn%Vu-o zh|V(I7WWltP0&^y)8yQ<5L>-lnk5A4{?e#ZMe~e&-~609;koR17`C!aJR!OMWs-WF z?S$2|c*56)Lxak|QTYXtFuw8L3-0XSOgn!_-<%a5M5P{NNn{LzknXfey|!Wa&wLa9 z(T>zYu7vF#u5))`ub4G!RfABwy246<;s(Xa-$`>)NZ}~%<5uhPHiiros?@?+0@i%x zEliua<_Of72QV`k2;?MF`h^04sb$v9p~joWK5f^@?mqv6N9rcr(V8!-_VU*BZ$oH$ z%)<%w@YT#Su*gegGlGc290g9l=CpZU<9*d``P4C;FN{{@x>xb)s|9!l1SX@RK%iic zo{kw=4HgO-(D2xtzKZ+mYTjGiiZ~J0m-pIuzewa`Bk^ls*~@P@)vz?77H4#T8^crl z?zSkANgeJ>uSVW$FLES6A|r>b$jz(Wz5JN1^2(}D9IcH)j{wX3h}y&)%`o&0YcVpW zzG7(}TN00XrDQVfzCh~V2>PdwD+NE;jN3AjqAR8~E-n>wJtNnB%vhA0Adsu0d6vzi zL0tU>SQ+^J-Y>|V8a{TDA;b5cX@e4!_72KRD6OPaUla&q?ov*oeRD|2Fj8OuR~vtV zB=2pUSgM^UL$gaJXH7kFYRZ7+sC*gWBO}(ILb}N1qNe5FC~S+qF!%pLNyr|PNZT#oRm$4_rBGGRb)nuh#vl*w9LuIi|jg*?S9h|0( zX|Bg7ZlKQUZ4x8{wfyIG21N|A5yv4Jm6=9g^*?-1FVmnQ0J`IabR!-Hrbm((ZV+Ft zAWT%;Rp{fU-ZkRaTN=_M86_=hr79XQ{Jq11{ZUqr&ZR5XDd^5p*T-XcfkpkknUN&iE2E|9DIVoT)WudcwZ_ikTuNF=_liFSzcG`CKWl56 zZlSLChIwS52Z8-h8wKwa#$Q;^p95v76gQ-ha6g;OW>s2iK0Jz8*1E?qN&}?do}wmc z@kxXIXD}cARZnBTShZhojOF3TOn16BYK+nDt%t?ZFTDE#@0)4-(qn8-uYQGgHMxU7 zK8CnmoH1e=HW}Hrz?ZF>1T%uq7aAS?jc!~OR$l{d40}DIVcs3}HfrJ_3d94^s2q({ zraXCb7BKpFeZRQ~tgd-Kq;xf$xq}C2t876tLg8KUXA%P1ojr~$Q5Wec!={HZfy}4h zqsD=^?&0Bbc+WIZW?_3d1F$+c*HH8bY?@yfG`dGmdAex##H8q}RnQke<=u>*vavh>QcE-+4f% zZFX$PN@gO^q%4LV^bp5{()T@fjJ1U8j+z%i!Xvt78e6<%+|qg%p!ZQ@g}JLic8Ei` zszSPcoW1yXv8R8gb5CU8;wMn=$Z@(EQUU9T|1DOxr@(x;S%-WlY(mTlq*W2tfOjWQV^aV=6B#s(SJ+g9M#3S;a;GM z8T*lgfhq3eN^o|~l{nP8lb?4GUA(G`>Z~tjCHVpE8`z&kQVN}9%-(~WJH{sNhRwH!ir5$<2bKAB&sn&iT@1eE<80Ip>ei zqTNpK)UdBpYNO;7?9~%8aDnH7e)u1N9-X3gaXZCoA=l(jn7WKnH^hSGc%uy&ve|W| zk(eiRvF8nh9H>+*Ou-w?jN~#dAo>gt;5#G|8Ag2WIk?yjtpx%``^bQ94Ki9;z~g-{ z@4!6eQbz^5S@mKMOB+hJu>A)R0a1nK_ zT+ZV5&%2k$VZ`)eW$3Q1*NFq7$w#JuFgR#sHV!Q{sCpGY7Nz{Y||R@WA8cXbvkJb?QTd*0LLWBF<~jlT+Y|7M1XGa8R$l6 z`qK?Qb}I!hE-5z=#zX+cTJiR6e`3b%Uzrg~fOG@@9$wE(B`($^2$C!e=g-jIelY3I zW&~`S;kIpniP#a~58_G9g{l07Pa&}9Dcl8DWfp`P1|#)YkebV%Q+Y7CpI^VgoDmDS z!iW3B`fdOZJcQ|6-l4bnjq=L%qzUa>*N3{UOYfZt@811&>ZlQcv+xWgXJK58Xak|2 zs%ObmqT&uF(~v3Vdi|LvqGckE5@wRCRN{8rm@Js`1vD7O-vqyPouerZ>Ld_P2KeN+ z>xJN~je%9)Ak6kuTJc`=V1p9-;I<8b{P$~|Z7ZRvMFxN7Cq8XO9H4KD2GAIp05Sta zEXT?)gLG-%?{|@^SvF=r{)dAn)S=BX%InB3K{``?!T*~0>pFq3BV*386Pe!D4E!OSEzu-Z1G@y=WW5hpS-$^7T8A&3|9xm6w)I1Z2Joh|Xc3DS1me zVR8&lS-YFO3(vLVM{K!&9G@6-vI}+cw=2v#tSH8eoOS8PzgyqHKL>}3Uz`0f5v0FC zXb?P%=qG?bX&ChW6wm=t4go6Hms0?D{-AqAP|OJLerj9uA>VCqV{oLhCg5qkj`BW- z14I$qP!q3|*sA{FM^VwpI22l1q%Vdg4SV{abxHWp>RO8 z5zI|&14-siXA&Dk44Bchi@xYcj<0RtOjxMj!)I=SQOS$TA?SEgdwaCP5^gFpKP6KO zhr?THq!h67_4%G&xk&A_L7sn+Ip{1kj^9*j4^}4(PH$pR{$@j ziLiJauJfDjNNWniG5sofT+5_Ny^Rx3^5ng1lw*V#PeK2=5H7YJi453*zyG)0frW{l z&_$rCL^zvzv#Fhx*~X*5nm0AV!qP_lez+YGHfa_7^2%fnLK{j#(QV$KWb6YRV;}k%027_yk301 zTM-iZO}@q*1lwPzKVWao*JBOY6kb$f*`y7S#=`Fa0kMT2A-*Nv9oaduumym<%;reC z@GmeMLz%Lhc$2m_+&WoWWD9}EB5Bn%P2lm~SY5GpX3J;Z6hALSuB8jei~!Z}9gJJ} z^&7mw-LmRVrpP>b$OAkVS+ws@B3aa?m6L_7T&=ecP?0=6V4UiDmlM4Eq*FnEnImnNqCUK=^=h@Ul@ovB8w+%5e`E?`M_1 z!1->v8(m`fx%gSz6`P#5nQ-fX3t1cvA&*6M9~N+pFE*3cvf1Ep8L8D|prGdkk}xaf zsPeJ8_a70wLOUQTRnfi&NzGQ2V{DaZ#P$hq*@}IiW92jF54~7_PGd3#*+;cDkj$&L zf;nuEdx4Z(zk+FCw*igtS1odM?ouLj!OsM~0hg}{H1PEcJ2-h6Frdh?yDtDxcYA6p zmFnmEz{^hn`UyLBP@|S!^{#Qr?wGfZ zWcBzIjascJsaKnWSBK%UcN zoKAL4^AXk~uY_8P4J>gM0CeC9Wgn|z2^9ho)$aUsXEgSA{{CVx5*pO)06a^{ zP4(VuP&2Bo76*f;@H18Bn`lI~23*jeL~%X2VMT+_01 zp<;1TK-@zyv)Vvoy@79SZ}DtSdf=yW&1Q{}sZ-g75fmNaRV8OMZ2t_eGhpE}UM z>^3A*|8tzFQ-TY5+KH`I@x|6+4OVS~Ry0`#GCM?zNxXtNIf<5S+V_7^#4R>;M`vIk zxL|d2zr#IqOlaSIPkoehFoF9iQ{UFa*I2Jx5X?N-q0X0n5oF+xaqh08)PMnk~A~VIN@Bfp}db7kiJsF#l^PYJxybdoyKE4(nj{~aAov*Ax ze#_uQ@T>nV(3O_TKu3||kh=2s4h_cg9$##(*Y`1VQg&K$z*wqTh|ycVTzk9=sO*m0`h27{D`hk>G8 z>j6te-Q-UD4NIe?xT*@S(aWa^Bk#|U&{k8j=86*hXF;t||Ghy`NaoMritH%x9))|# z#R_>AMwWDl=w{t`^jp7!W&7@L3|T(T_%*UwxFezeqId{Hhgxj6vfLf^VWBT1zzjfl zmF4~U1Lwh8Z5rlTqaXuXN9S{*&budha&21EyywHffQU=3#&dqVeiTzi{`{%isYE2l z5YF*mW14d)OUz4MXA7V#<@B%BhOHNu#>Ym0Q zG`!Kz=jc|mXY{KU<%n;k@%^U!eBV~Tl%xzqnBv7f*|fsAb5*&}yOS|Fkl#%-{+kPl z>&dAn%l{wN0s#~0j&EZ~H+rp+%0EP#C}Dk8ihOfK|9F+jrQtbOp5FU;>tZY|*BS=C zZ^@BHM%!o_qJkg{1G->Voc6l=UhBSg<1t=9mmuIHhNPQa+!sm>g;UmUH5!X5??4u1#&hE4Z+EePyrAU+T|y+@`ekfQ#kMx4$uQW z;x;U?W(_!`FrP=wpZ90}MA6>+qYE56qYl?`wnlehD0TS`AENVVyT3UT6O^&sy$JqO z_uF&9Xh!Xf9aiH*`98>)+!+PB#M5wIVx-X*gI0Ai#zXacgy&k z2DuL6xec@86}ud^ny5_T z76%)$)h!S2bl@kGiB7V+Do<%=6&0%Oj*_n;(xa){Gt|n-iJAk7d zm}CSD_boS`}DtAcZDS|MSl^lLAWprr&j+aVm*ACgzr#&;EztSIf}6uU;OK1T>QM}kio5$5KZ0J1l4~X z8~h_H&|54+Puo(OI3`St&>y9#Zb@J!4`7wP?WSpj+#}#Gyo2sZVR!GcWU{-)s3bs^iz{5FyViXq(qbgiv`7j2U))8 zgg>4LseIZH3ST1tGNno>AErO3KZi6@!EB5oR;Q3D=wtU(!>to&{1$-AG!`k>Oj+7J z%2qw?h22~>9kBwUETQn{XpfC4yp5*-5FLzAgFF45;RYGT<{3u&k7Ds#iR~^wT7&G} zS?8XgLez!1wsaIK3;g?!7n>bto=TRaIoQPNJohEM!_AtM_b@N3z44FRMs2pr*6;bw z%tyKihm5^)wHGc3hfHn1sZ;^kj2f^UVFgYR)1r$e6iGp{Li?H#7+JG1QE8~ zy~}S*VQR$06x#TzW!dKCf4Q3UcR$2<#=i6amrlA`(oXUpI;pf`v}k}HGuuB|TqyYN zZyV_}0UdHU0r=?88Jq};uq`?yqGLh3#2bg|2Se8GZXT8&MBRvSCQRr<1!r+4(;60G zr~G4G2x-d1QO@XNM^{C*%lR(!_-`M&Ow_bOu8Vti#SRQW0rAoLhKgBN; z4v6+|JR;R<*+1=hBY5ZJk0D8XOUv-SJbkHAJHcfDSf;N^e&Uho9Q2dSay*zImR8VzE#_p62+4tr zK8zh~06vJKV#pS}kQpI)zq=3y`IJxQd@<$VSbGN_rHbyR%qjwQ7)RAKL|u?vxJeOE+LG{4ai~AzEHw!3u_B&{Nq1*X=cov^s(5Xyq>& zRE@Ui|2azJlPP37|H+?8E^fL2)|!tjf&sqmPO_Uig6b0q zA921F){#XBfFCp#c%bL95S!Gy5hJ8>xWYYETd7M5%c@T55l~suqi2CQ8V#pG9%{SJ zANg?V+;)FK(ph~&CZ}iit?~Oo9F8tRHk0BmFvoXr0Z`Dj;Fq(l=sGM)2d|zTlXKb- zPxyCGkA7AtL$jIyDC;(WEvEhz?d!T4zncg&!f&ByRy;ST{M{?|-PIKqecKQvNmLqB zO$GJk1?27znNpDHu5;EP*=g)mQ3+}#>u-_53N-?-v`#3&=3(Q!A#G>|1guAOUlW$W z;FZ|*`sRwD$DbEg!Wj&9;4G;L`O-t=(3~5zF#4e9Qy~iI&*B=@j#l{(r+}feqsgjQ zS5w$bf*N)MFZvBwmT2uU;Dz9^3YSQQ1AECOY=dl_Ao)u3)33$Q1*c?L0rtdiJt3@F ztnY)A10_ph|5Z)ATRAsofuyN9*z4~?i1U)8mlHyw2ZH>&ZiJj8**pzLclZ~=mBW;6 zOyPwhoeG}e?jzEX{q|UZuZj(Q-(E~1rZmJ_9fd4epF8T%agcOA*t-1rf>cG;s@Pj>92cH_4EJo}ZE;XMn|ECr*q<949)zmK)w>1~?D zs_j<938dHo-fqg_+w46s(c&%hr~9RO!^82`>xZDxuwre&5)j{C8_y1p`ug&g-_AJ$ zsjfnvUJ;9`(q()8H2_Pwv3`ePNN$Jt@n&-r%))i#J&2--8|syzGFyNnL@QkXuHSa3 zr~yb*7XYpL4!DsDwTRvA0)G8nqj1g<0O_?ZzfErXfEp(CO_j5*+xgK~UQ9|u+%!Aq z-iu_c>0u54CS}6U`m;K#DL3}@5Z8i$RlOKrqYoB*1Dn@5YwLWy|Cev%i$T`(yayq$ z{tw}Zf|xktVj&3Jas$+X>>yD0!#3lEG!?Pqu#S@=7!~dcH>jVo`1?=d>TTYTQarE) z3tHIVx4d$9uK#)+Kpu~ z)Gc4Myy&MfI0B(}5z}BR4Z~lARE1_SiNc3TTdjon$@wz3U?8ILS&QF?XRS&f{1|<(3H#cTz$kB8VCN1(dsay` z;PdTbpxV9QNi2DKU=(%)C2luJTm-2b+^K6HV9TRXzu1`6;h+cYP8p|ejYhNn0A3zW zi_Ck{1~Qt(CYKcDUtkGEgFEsbW2CkG&Q*p<-;ImxL%-Nsi!mcd`j`X1rS~+w#e39M z%-_)CJLP#)V14fENXk0bf8n{rwLn37JWpx0UcPT6RL={Kf=CI)H@$z7b8>R)*5riU z!wW-C4YY}zM^WiDAV-sJ1hQ4;l<9<+#=K_zcgiZi42xgKE!V?6HfyAI`enAkN@_(2 zv-uH1Z`?|0N@;ML{yePvjXzjUsDV%a3kt`zgO}2kGNQH2bw@I67xcsq=~C6zS?zXOZBpR=Q6T*~Lw!{J0SphX=pfis>1)BXhiBj&=~a@=S#8qX!jgDx0?g z?M)736Znlo;^+kSE?(lyk>r`S(Fdr4Dvq%tp&sr26S5e)xUk~}a=HYFJ5e}LMBQOA%Y-lY2AzIc2wc0*;p zM2p7$Q%w^mQ-^>&oO9>%!r!zF9s&vWJmyuMk^+$tXp5oq6R;)seO2I zjMOH?b_h4UThJ^8V%+CV$3<~`oGD8o;K)F&@gA3I#!zfoHw<>TSCs_@~+ z$T0qN;QgIEL!#cLuUo;NWZ35a`)GQDh;LU+(n`3RnR1E-u7%@rNLEPQlqVOhh}6}N zpsBbfydGr7lhLxT+Ypim1fykEx|AlZvBFd|Tzz2L@GL^`cp%|u?mzC1EqE-s zI%9`P%ON~dUL=y~>j9=;FH$8R&{oDV99YX_zdWSbW?`*Yvr9^Ee8phaDQlS3&gPZU zR2#6*QoNyRm^GSYB=(+8iOGFxdi-LFY=SfTrA{#L5Hf6O{Z^UW8{NO6YIa&Zd&hM) zY0qEx`sThv^mO|m{!G26Uv`+<+2+D=jzUvOi{gpU{;q#I`XaK}pl zmg23&8|@~e2Ms{j+zf|-acm6?0?VW+HXU^u-3bD^B%}MAyTmwdT#84R?d2xXKzGA) zf<2oDgU57w*GEobV!hmEs&JCYjO?l$U8UEw6=noE)904QLKliC1eS@Zf+s^G39%$n zMHZX4$f}J;tzP9hB$dA=QoYGK1Nc`#M)1KhHN_$`3FQ?RQzBXya9-VQ6R4ABV8WcG zsOgBLX#aJWNSKE-wWlJyL2u6vG_(tmlwsQkqF>^3Ds zP1IHf&Y_W!Uwyc`bgd-GvM1?&aaT3@l~;FA`9t|`@P=;b_AuB_ayGN?@Wi}~;FZ(! zl`F8PGykJGMJ;ob`o$~d7(`H<#SNW{x4MO2s5T)dCE+eL^EM}c{=gRNaah1!fN5R zMyDYTx<-^&aj-Yn10pew5%6DO+Q^^pk6*<_qjw|Z^lGaiQocH?7X_ZLCCPk>!v+hVf`2I%L(!86&blVJYdRtzHyv zMSLm&z#Mk|BYC+WXPH6munXzI)v}?M;STuwRcR9bEpEp~-0orEH2(u^N|Rh$4SW3` zMWnw!9vKp~{m)+jVW#99Q2qNC{&-uHSB$iqCn-m-T;-)x-D^`&_%*k(atxlt;0~PD z0RGD|k3AV3V%?#*5% zt5!(&b}A}=Q@>1khcYycKiUw{mCj);tN;e?F547Bx$?I%y$S^j=>oZwv!%D{WoikEx~=enVQzU!ovqibaSnpHYem zK;I!>)ZK$PvOySN3?Q1qO1FzZf!!t2_O`g{R9oi^5Vl9YYOLoZs#yxjFvVmeY%!wL z*|8e(7}OMyu_yqw(jT42X9tNL(HOj=>2SNt8|9pR!wXuioI5?eB5zq|SU5CAH$O5r|7WD+W5+d+M{0iEA@>crR|FAGcD#Qug z^W{h0p%xxkQ^|&xDrf!F$ZHTY7R1mMhPw(G(gBEvUlfB3CX!ncsGw8tse|a{SyYlE zf$CuvPEU<1FvbTO1{Z|8G8SnwURD5nl-82@Gw++v4>k=Dceug4$ErZLxQ6trDt@M2 zq^jZ!!KAoH)a5rHL`2G6u*GGhxOuP+Hh4yEKN5V3AA(U}XR1W?&ogKm z;&kcKZ~CA{fh~C_xQ>KaJUT3;cSJ0e+nWFo3C6cDm~bnS#64o24?XT?d!e)FBb zw{gHj%BwXc#k$V-3n8#nhH@r1U?IVF|Brp$DGm;LK6-}QjlXx@ohlkVm?wZ)Z?MR*xTJkbsP@6%xMG8e{bpu%D{6Uv92)s za5Vi#M!^;mV+395F6(+RjVQ(m*#5g7;L3y<)M~1HQJTBEE$gPi$86|13se6o0BuZ9 z$}H^wtH5_~hYj#NzIQ^}tRk}~0jtsrF{FT*LeM9RVHL!%sdJTqfZj4BQXjRJrzx`= zuZlJB7Y6%-oOH#hu=!2o%KY&e@k&5Jh?tk=>0|P6srn3SKv(x*$1ZRpD zv9`AzCmmP$t=cTxHOM5YonpHleoz|C?pDmiYBK-Ah#erR?=<;_y~;aZ#7k>PTW+p_FL<<%KtC#HK*@Ayp{%Sjl5KOHx7r#xM&a@Zf-k4KInCQmpt zk?mX|-5V#|bB6!&ZhBBM8Mh-v&(mxLz-Hp3Jm$#~072#S@dNZL1uu)*|r= zdaC|9neq-8 zd}E3YfGa$F;A@6qQviR?P4L$>Ea>{0h>6bhg20>hI_zi#wU%#X!VqN#Vm{>ZMJ-TQ z2&Sm)h!epM7+Sea-li>s^Tr~)3Gr#Oo~+3oM`yVB_B)KbvJXe;yLQ)qJh3k~5N#=j zO5q82IqYD|qr0=Oc+9zlsy(^@?mgxHwRN2Vj`aN}HylD;+Uw6!<4y3*VNo4yW=g(Z zlxI&A{%L>sbXpAux094_j)mi(APp=H?s`T{@(|KVa)NEZ z!y>+y<{&?JL@pJLgc+}c|mV9ntYYAYx^9{U-ZULKCrR~ca1H;k zQpNTUms~~=%5wy$BtTh z&br&dBu>Hdn}yPK`A|%l9niaO6hLT{t8$8@$5`0}o_J|7XG~_ceDe`|nSNzQUqJsI zY&$^15la^qT+t)DA{Oq0^m_{qL7f*e9 zl72g9ggm1u{vKg{_o2P%!cf7uXgKZLuxF0VC4B#$)e}s2yWUw}!NK2@mAv)}C(k9B zs}2$B1}-*qH>J_*BN-I7KYOHzQp^4@+%y56Nkv>Uvq+z>?;Eo&)x! z9OE9IvEzaX65p-}G)O^_6ZqQOjNrOo@A;tGdh^l~oOa$krPVdlDC2;ce0Dv)>zhyG zj@Z|+pD8OejI%#-#8O-=Qkv81lY6of7xwP?R@D6+ulMuKgjyY&w`v#aloKDWHi`e- zmDH?CR!$Po8p=QB+deqsqH}syumB%R@bAY`R@=aAE3w7U)qmZMR-rzXnDEnw)sVuK znAGG}Ymzb3Ao?z!RjJsKzo;4YD8gq;qo!cGRrnw`3NaD-(oi^|4DaPUpdyHUIAYpO z^S;g$#_MBdw-pGZ?qP{x5z-D?pzXn=-2zSMT2DO!HIvV6Q37%E+IE|ZKhvZcC+WuK zd>u9WaCAu@pTzK5>fEW4+6G}$Q`1v~3Je~Zh%xasA9?A3I+u_A`L<%7;@vjujB5H^N%2ma5E zf%mV#EJVisJ5r%`s}a(Af~>au#|;kp$(xjIUe&uJd|<5fa0!_JA(Z6xIZz?K2XM@8 zb%c*R08C4np(_2Tb7OlE@5&8j343}I1J9QaKo9$E&9@=|lYftp!4SHU&*U|cPFQ-> zK>A-}Eoooh=cOa$ptoem*af7P8*1g@8FUQC}(`ICU}J8<~Yk-RY3 z%l;oTQ1I@V0;IUy=}j$&@JchwM&h1qA*L>X>wP}*+6lpgPKOz6DPlknV9syi|21O^ znyoq0xa z)?hx02GW zdrCRyOTjcaC$5Z4b=a>eqSOB#jkvAcLY3r~GEDl@U1d+CjApuiJ?nK)Fw?)3sU3VD zb3Mcmlka;yFbQ4F>67tkl`qu#z-_w&xemEAFE&~EiaE*|p}ecoWzz12aDdDQgUvXV zLA)L?+hrG)fceS5r)TOv2+*pn>?sy^H4L034GuRaDje6|>^%>(3cO&T9Q63rbXCPXuxWyKJfZEgmCPF0kgqb0_CIH*OuPO4p}i2lM3Dq)CrL zl|n>PueNFzJ#Ij3Zj!}$z*aS3>3=}t%+;8L6Q;++i4{1Fd9UqawVf(oQTqqe>yO8O z;uQYmyM5F71ESm^`N4q;8fp z5Hlb;gidBPL8*p0Lr>Meg<@ug7zaTR;2I8o;!C1D7u#;dFyS?rjQDEYD@Ss}%!~?I zE<)%LkbsxdkH#CW+a69QRmh;)@yuBN@j8xN2OUX?)K&{Aq8T`ZR@T8qqYzmTuYSAc zvpy-yzjzPm=#`c~svf#k`o&*rI!4lJzARvF|QGi=5BD|$!*7$ zVAS$a`y_RGAOq%OE38|zHeQ7O?`94VLjrt}gvj{NmT-Sh31SjGjetJ^AO9`*7Ou&? zoXydc%1La#loIAoK%}|aqtg}7V`H)Iy~#Geee2^6@F*=VODBPvg88nY1O!6iYf96y zAs+*=m$aR0d$yhdRIz8yWKmUDiT7Xv`iVeXeYNb1PV%r3hHFyuHiP7hlz6Jz4Tz~C zM~!@p>k{&)P@4@dKF_GoEnWwtSNL1LHv?-FDCH8#JL3JRbxjzWp46O3&~GzjlcE!A zfGhcf;;SWB|K5Hnt`qKCe~cF?JlD9km4LJAIMj%;eRg7RGG3!S$Erb0CnwoERAjhiiA@U^wQz!A&(`rxIJ zpo_mMzu;}(I{_<`@DkSk0~k?!%-5-YWdG&5wES72?x={zF%hWOEUkoW0e zN+0)Mp9P8ZT!JePUqQFE#JZ&sSfQ_OKTWFn;iAc&Yln*f*RuLS=11nEqQ6;YHY<0D1(#FVIs(;yMf?Rq}2(f{|Dy!|6*YO_lpha zyC}YS^PMGkdHc|J?~PbN&r&D}x*;S|6!>+EthQ;0TNKRajhoQ>+!&deh-?={2|rt0 zt0VcxSe@jG`|I>nfX4KPiLT>5#7qs5Bdb1bHW!0~kpdy7Ic}V!%;j~ZcOyQqY zDFlWk(lP6uY#d#9kvWEo^y0yf?g>;YEYMpNBW_esYR~3u(VfB|rm1b}u!FKI0*j5*^ItrlU)!lkgo^5|oe~ zOj@BS(C~4jZ(GFQt1p8$`G2oIqY0omMAv1GoSv|qbpQvBT0K((yw+~?L+}~{f@^_4 zpt+1lmJ3`h58?v_GLbv5ebc=6N9Pf42OgAt{7Y@{!pwzI*oZTvqQd0#V2+Typm~9}#aiq+y#K z1$yR(1*p|yA(jVm85p#;fXqZKg4g)~=-mf8{ZEqs!6J^qVUXQ<2GZ(Z1OOKtn_*XKqB*U^EY0%`vsIHx!*^ zU%d+f(7G|(9(IK#nDiTQ3_4GnL-_AXSR`+x!W7C;l4EM$q=}&wi4n4DZ0Gt5c%DC#D_iJisbWY?@Y&eKM6$=5nw=uJln$8RZGpCy4lp+^h_WEf03Y<0cir5 zl<6NGF6(&1Mz!Uw*&xvK1P+XW7-D%4R<5sx3Z>s}|CEIvk(=8*BMNNc~_Q+T1@0TY(W zFnEnO2ik~r(x&TZKE<2ZR9`I4omaOo@Qmy8UJmuWd*yS5~GN@*1E zL6F+Wr+I8)>d2~Em-W=!h;_UCaKYcG&Z9sEwPduvM?B z-3^?mayUwLsrkIA@cYHl-tnJTFDxf-PgKqkaDY1!o_mT_TUCc_zrcxm z1|Xm%6tR4*ZUDivfRNQOw3RE+%*fle)OpT=ul@Mw@=wBdu5=J1TH*7A$9ugpL z(z9s6>i8PnPb4{-NcyFhcg}qHk2;4ZZ95xWf>d?v&8FOuSsUfiJW_PmOivHx0g+%t zyLLBTEULp!w}_Kb+6|*D*~Dn7FOf~~iePM^jAVR3X_n@YPi5&;=AXSNy&GqiB41)t z#5}aN$yixovR9H!YqlphJjq{T)92Q8FYsCKLhnyDkZ-*PoU0pd@jC!o5vRm3%c}ijvI@MRK7=2A7>mU_j%R{ILwNVL6 zVFWqsetqd9vf94dI=n{0BN@~13{RQGIVZTPUFNbTYFuP!droqglwvgO7P;uZJaLK< zBW4sTnu@#;wk$V%V%~N8+0?x8(ziXG%LBF1mYStXjy69SWvU!v`XraBG|N+!srhd< zSqF6%BncV!wv-Gk?aB?*J@Ys;XcX6xLytJ_P5nku}alv*So_~HUq+3VjkP? z+(+I9<3%KUHBF!L3w?B7srtU%T6~M&?bvMG!_P{mTrPgNZ0iocQ4cmb>DmyQz3Ex4 zpng7`T)WMaJ`aL7;=dnP1R)8JJ_+WG9 z>!CCr0+AzeAr7M^fGaTeO(oq2D>(dm)(1I}NOxVYTn{Y8kHB#)2s%qR1EwyLpoPd* z3K6zO)jDiikl^7k4;}H)pJ^~VLLA7RAsqce@a!MQ^3sc&+%*2gM;N7Mma; zI1es?iJF-iTR2yEH=IQ}wDB~9TdCQ5<~AHRe| z4rj)_gw_JnR2R83tkP*?rVc{%M%?jfOCX|W42$t7?#F$8C!Z(E|H*=5w8S95DC8{o zCHAnxoL7$FLV=O7V$`+hs=JesJ}KiJ$-zcX&k9rSPY2INanE^((yVD5Pn8-at&UYG zisDmq+k9GMT0F$3gzU6mak8vI^y?wj|dmIMvo9(x=otd5n*F4o%} zMu|Tz_o>EcrBz~ZvB`>5>5@pM&FB5f#f?fn1Cz6HY^AwnD;S5 zfdpSA*P**kyV>eDl6qvx4u1OwH zHFI=Qt3>e|D?Q=K8ZkWf3}gr;HOL?$Dd|J2LyFIQ#5{^L<rb72Cs*1eTb_{Rlf-z0P7^TwYfv%&l9SdQEFne@W9(ms_WTo>(L6 zYABye$b+h6TR+!4{Rd}ZPE0y&%3sGZs$Ca8^iYaPcRljF)te&8EL1oZ`MEnT$Jw|L z>w4LD>m*)$T~?y&yft3KpojTIymZo-H1J;b>S(!r5VhE`{QQl{*E_Gr&)_^_w!4>g z__l1^ddhQZKWW~r=A~=rdxcmn*&^RorLn^Hl#~576TB6Uu(21_7Stx8MsHjuy2M+t z{Q#j!L}=WY1k}zE6hnYRy#u;-l!Tij!Xg;_@bC^YY(>Iz5HfphDRddx2EnQlyD`1? z%Y$sY*yb>#oqz#_)=xa~Pi+x&JP5tOmFm{C_e%_0T;1Ek@x(VC=iX;7NV{RB#imjOB>GXbRRE$p|&wk|3yH+z6Z+rgPDsorB%xWqpGOKO9z30C&X) zil$DeZ&AN6J`FUaP%K9OFl}sHc(A|9c6l(jQkiy4{b%UegKp39x_g*T&)7W11>%_P z&$_)zX4(S=imXVv^mb3Q<#QcQg3}zMJ?gFFmaZOklsQOsHA;+M?XFsOm2|1k=t<$# zxMBd^LNFuU5aE0;3!!9`o(hfBTjSFT$JOHMti9XZ_V?%cg*es1Go3l47Ul;}(^d2+vHMcef~j3@92>I$$oy}JJ_UPUO*c)~RF@NG+4 z&U!ANB{yLNcKnp1WvoZFqr!?Vn(^jf(IBbPN_w4JK9;Pgoj+eItN8jGK!ujmu{OhCG2}g>PNmJi#zYA|@F5JdXc6145WI!ShOX*!*%a=4>6#*k0h;Kl(SETWdq26q7|T@mw} z(8j*!pYBT}`cijOTv|08HmVrNCqrnn3y{w^WXsXBf_YGo(pYuRx}5N|iO7ET&Zov< z&()$IkGqZ;0)%fh?wuT=#bS7~6^gWCY(t2Wob20*6k8-|*SpF-au<3sxgw&hHT7jXV3oJQf2#pe7$!d)$RX3Zf`j$EAtpBo5)^=j8dXRWn?8Sn`2}idykAl zX-_L7J6p&oGqNJGGcq!M&r98(@B6Rczjxi8b6(@Rp4Vd(PWDUP|DwN}Gst&%vrHs85rCK$UdU@T^q)Mfu=#?|^_$&$+9fu5WmiL!Ho`c2_{ zp#ozuSoiH>6{g^tg{H#S#sViIk`j1rulHBYq%>{pbpE{Bp8dFrJ3(^W>*UuJwx>41 zb~3UoHGI*w)e>_HRWaAXu2k04yWVM%bhk*p>CsIaYiie3q!n&>VSr#cE)bN`J2zmt z~@m8>C^DRG59SmN}dCe{RS8xq+<`z$!B4l zsThR9&LMe;qe2){QztpsofQLm0|Ndyfd8V$g3`@i#7bzC7eKMc`QBT2$cJRGO4(mC zMU6~;)|DgXE8HDYs@i{az5+1kP~KDF^?4H zs6I}+k(^$7ODk``5k1KSS|-FlZ12B1kL_%Z^2)q2fu)F~J>niL;cm6B`CB14N?(r# ze`76r#ggb*YVMa7;3;=d=RTLEcyOWQs8)a`A_cz?76E0n-@Ni{s=Jj6gXWf!lpBa3_+zYeU|V5e8?xHvy~WOCdnw_DQB$065KGY56w@FqkU$4tCD zaojC#f0i2G^a3NGE611Chbbq<0|KY4C;|^HUB?=q2M7*1n-WmTHJ9i3{)`y6G20y^ zL2=So)8WFc4}pZ*b1YoY_43^B>Rz>nd5re6&DN1FZDOv>i%@Z-3wcCF1vQntEG%^= z#%pUFf=%r)B_~tF<~p5@LUq`VdB{VsKySjsq?2no!FKhyU*goh^HDmb44FLJ3WcA= zxiTS3MioVZkFZA8yb?LfDrH}J{>7zQNoKh>W;+$bMJ*f@lhIAqXHNR@X{a>D*pxVOO;-~l;-v?j%sr!ir(;zd&a%e;Y^TBertGciN*J`^PN6BkIWhO_Fs#78*cBt zUMg)4b4pl*_~h)M?DUnLa-Q@v6|>kfuH1U_puFeVYnwLT;x&jJ6yy5JmAeo( zPhv^2@q8qnFhq2KapyWIkJC1=#ZLj7iAfj{j2k#bh$E2KY+_aF#|wiQ@J)rmlj%(B zO+gbMXj>0p@?$0rjYekryF8y*kJpg0ca+tAAeor-VzE3V$5hU*r$|MOD^)mU zr<+0nn?>R5ZsgEsnB4jYM}AkW)O|GS(^<8hMfYtR%WqQgzzzHX`)mqd%19REQ6sVHe&0D$`B& z^{C;~cuJSaM4woel+HU}KZa#4oP;I6ur*r+zvX9Z^DA9=!Njb{~oW*SD9bS@ZJv zbrZF@COw`mkA#0NYM)%Ha=t4%(}}Ca5#wD>XDVH+-5>6tCLWzNj*3VE$Own=H_{F? zU1pnp&-hg0(@7qe#x1P7WR#>@SnS!-_xzX|);X$GB%aEi;i!y?ZdV53w>7@_M<~bFa+u z@~`NI^?Levy6Ywb9YVlYr;YsdOhP#8PBlxW8MWbT&7SIq!3Y9wo>Zif^Je+ZQe&x? z6+G5T=5OS+r0!KD5-|Es%x|p%Eu;1@+P@g{c$OWgaND!jgEFCyMP+3+ztJMoEVK1* zGZ5o(cdkp4>VHL8=mX*0vUGNqml$yS8}m{g0fK4o_V=2Wxqp&8s6ZG~i604>2UYj(e%oITWVOxbeiGe9sYu6=jR-i%p~6WH>v^Y{9#PMYi4*}Pr9lJ6 zt#z*lj(Scvbr*pr+|>ba_bK?t457v}P2T01^~u?=pI>R~XZC1aLYx`_J_S#g1@3Oz zNBaq)!X&2`oe!%Ni-N~3-{vFZ9{<5FhwwF2l@h99`ykAr`p!d$)iTVzqJFW|^<@-< zxK~0M!o@Q8w~s@19aRKBO$z`04Rs#qw?<5;ZQOtbzV~P>k+TS%T^@*$1F3%uWcM0T$C*gRAvJW@Q9zmc= z+%JeUA9|+`r_YWF9{W+SnHJmxx$zRvHqEGR1i`US`jN{0Z+vS$mo`2V3_9(_?()_;?&T;@{ab4KAo&cEkpSUoS2O!^w# zJ;?@agxr0sO$o^tgZ7)>R5%w>52ZicAKkDD(zEmaB~VJ649yUikYEE+*i(Q%X$Hdg zC{){|06L?h&~+WqA%)w}C3~!tqYo^_yU^uCYe|r_4Odufc*c6m%#`~ zXK04B0}+r|BtmrN`xOevN>8}`1+W8KFhS)*`kB1jbdt4DxVWS5#K*+RY;W=!HgLNC z_~mKkF;^1wdin0ZS3wa_On-8E^($1SSF>9783(3dU4suHq8FtwqTWe!At=!5Sc0&} zW(aqG0yNdMCyBun+=}Tul6cyOS?17@%Xz#HL`q3V3~;FZ7xd=F?MLzN`b67l%1YPi zc^uUx0z+G%A7j3I6LCGL7-YOP?HsZxb6wB&KN4W7`(k$_hykTAx_J`KoX{Bnh4*t_ zsybOkRCDW=fA!O=Mjz;^i8;DPl9Pp6h)^Q~T!{+~4{!G8%Rft4uQ4)t{d_X~TjAd~ ze@`Y8@8587(fRLV|BgJUBluQ9eroQG4;oE@+VPg6mkK&Ue1JCSW}gO)s`0Tzk&9Bw z<`kfLOa_hgRBrduZ0>9CH0%zbH&7roU!f zKEe&?3$hXdJR{&x{wpM1(W6cBZXL_s`J4J)e}R4C7SPk?8G;vj&2tAGbO!x%FIpfC zjeURqQ|rI0feCsZl|nvD#B|vDhdg^nt}t}l8rTf!EryLJJDW4&{lFo+$}1T`feJ5N zjW0HXe!JVnXM#LfYO>QcSc#QP;7p#~qRz#K=f}P*dOaa;ZOk&J4v((1I7@jRhGy#1 z<~}Z^7aQ>sJK$nJ1%y}uM-3^NfF1EHh}jd6ARep>-EoR<;Ht*FoDW)*A-uzO9T-@y zJD~r;jfddOpFyG6we_o@>Sb+UCHnA(#%dZxu}?B(r@`a$is$D~ z^vLyR9BAvy$f4ek|5(pVc%cGJ&*m`E(CaF*YIRrz^_&T)kh~_{aun$Bk3S|@Fb9rnqHH=D6;+_jbD5(Pd_iJH% z`-_hO2bv7)^XrQBvBjX2d$#p;pAdTjhuE3p9$>5n$>H;?$joep8nS8Y2Q7#? zN+GJ@#F`=(Xd^v_Ib3TI36nsR)IH(Nn|bJia5kc&rhixMZtJH6bQ}-kqOzL-?3PQ_ zA9MQVWuR51Iriabnsv5Fa)i_QFoKR;s2|%f$6T5I_3DaJUfJ*2Hfp=swq63&(s2ES z#Xt{ph>Ve0xTpG|kU?C{j>iTo%|1!zTV?53Vyf@$_z(1-u@(cjwDYUOsaPTs8b!Zb`p%Z=`Xopk#&@Oh8C z^~o?#qSCMI5ItgH?DGQ|T@2&!f!;rwpwuaE`?jYws695(J39SzY0A%I(Y+E@CevLF zN5a{uqxed-CtC>DMB_UO;e4RDIC~E&yU&C+vXJ?@O8eU*=@arxkiSF~;fJKJJ+xvj zKThwE3lWg7SR>9Hj^elj*pM-deOdi4f+nhi4)9El@Wm?eLhW*g{UQ+GN)E|Azkx!iYSf@SVJ4@!Jtiii=X z!wAvnpAFN%yy^H!f2y5yR zH71R9p9A6I-UH6>dIa{4d@q9&jel$o_Dg zmFw9ea}b_VT-+|V0tn<}K$q>sYQe39Pmh6C4N%b>1nGJpiQmKw3Q%Lk^SKfe_>y7& zs3@bW&BriOW0=Dv)bU!_Np6J*wQW=e;r*GAl}JS3!sTwlmN^0BiStwkcJ59$5srz# zZx{O(+(~mcNwEo-))+43SF5=q$6%rAxfLWw5i7dV_mgIYnTA*xTo_-iMZKupn8!N4 z*a6;AV9l^XM%^yq0t+TQL^`-CTv;s~j{A4RHGQ6yhE$9{C96_F1heQXC~0qk_$Yp~%RZ*q z`%yK)6_Sir#C6h&v~C@Eoc>0I?+Pu||YI8J@Ox+U%G{_+GteKDv2b4rU0iBqG@l%47n z&(6CnURMHyju@@m|azmM}3xL-*F(RbJ8GF=_LGnMm!pZE~b1`c978X zx;@)yZwtcV$l;k7mn3AL{Q+W-3;K-sXRKxu4nS*xL60ANbqO$#+XrpJc&IQ3BUSJG zG<{ASbe|z%)&$V*iBOtzZS8mVk2SL8Qph!V>3JQhlEuKl_t}luHD|ZaIu&F$HH-?+uESsm67*^5qI_8p5rG%d5V=}jP*y5EYzD2WH+ZT{nH(t z2MQZU;nMe@KM>C6-sx$ghrz@r0u=dOxX&o$`tkWE$ZkMv#j12>u-fE#@$x{xaP3Jl zHTfdWkN4y2HlThZ4merRBQtvRnL%0_?fj76pl)*PpebYbh}cykmz2IX2lC`_ zQ_X8n5Uje7Tjk9Jl#O)zoB+$2LFc|9d+>PtqE;~RAECiE58NQG>4J-%orTKmt6B!8 zAt%UrYu(AL4YEC~K)GITT!9z|7P)bAxy^9`gtV`h6S+gXC-~?{qaB3+%wdX}mHh z4(MF+{oWftKs}rUfk1m5!!MQV=8A{BPND58<^R6sVFMe!%a{AVQR>I}W?cFR&Xd;% z1}C6NDvre*UoFWT{Lc&a->kzEdLU#oZ%q(#k4uGbGSbfiRIJ!wML}I0A4(NoMEy%J zz%@f73e2`?zxAf||EengO*)U9XG(&K|CYeV5k8HH8W^bRwsEiM=;z;$1wa9lqu!S! zuOBCf`>){@Gsb{+?6GLj%zb$s$u9>U$61e@|1%{cWf9E6eiBjfaUFtK^T}VF4euR{ z&+Es7lb1oE=*@|U;$_Ot zd3JaYyPs8gvB4}TP)3LrBH!nnn~e}SST+XhGgx^ERw>Fz#9198XvMOi0o&>O)KxZ% zNVC>{fPBzgM17LS2QVz^Gg}+#fmSTP6v4f|8!JBMyUv+CYO^H6|ia|9pfX(X6(=JeRYLxd~J{r_6mfY^fO-Jd&Wg z2laM=Q2XWX_e6MebV0RZ1M_W6!tM)rf?TIMswKg(Sr=pLBB+!1Rby%bz8?Rf2(vne zK5DsNM`y_rMke%lXwBjLkpd!oodkYe4uM|`n*Q+G$~RE`ih^zMBaELyAboI8s^dof zUx;n#kz}riQGWuC<4#dX*g(oAKc;t+~F zZEmQz0MgAaIN1YWQQ8WwSg^8sqpa)C5V`zK@FwY@x&C){DWG9}Dck4Lt~_Zn?PqeU z7B#cN@+I`f^74I|8_(R{HeUwoGkDiJexg?p;=ZPG(~!#=BGAxjQ2}Ky&Xj{iH3_|* z9eDu2ut9^^TP zP|!Cs6Q)?4y$;|Fe1VJPgRmsq%V*2u4MF~61Zn-RY|#da^qVbvk(|CDyQF`PY@lv; zQmf!EmCn@*cRS6LaB)*lZ~x3cLq$z*I^iVA*riBomgsdMs&bNTjSZP(;y%>BY0s5y zFu@^byuef)|Iqq@n<<{#)ne`$@-A9X_x1$$MT0?^A0VvmkVSN&1MNx|g`848nwO_2 zq%~i_gnbhFtBnr2P*^3E>^rn^HIJ6$3kX|`y1eEJd-r+^z_HE-p_wRsZ3fneKiA!@ zQam?6=9vs@{L2?ac`xDY_I0t!>3fK+Cm5hsRW-9==v7Sil6|iKAQ}Ep7gh{ux_2uh<|8khGu|m(fd9osB$`a|$BYl?+`Pm_?m_8aAe6;GPHPt!I! zL`2o$x|-_eX(x{*M_N*S1Wx3fQ#OR~Y?g0BxT0GL<^xi${yB~xQz}Nty^~+0S=R4X zKEf8|d`aH^v?y=Fx9vzPoQX| z#OcX0MbO0_p(2LNlsu-g)|LerWX7Q$Okq@3^Epx#!_*?$g5?JZD{&Ydie{#vA@Ynz z=m$VjXrlP(3~>O$KnUe4UEp_>u$aUg`=wY*%h>)J-qefrr_)o~CK~{QaPZ_BHI=Os zD_dRz1R}ru!pNwtzpa%D;am;h3^@D}puK1hYXkJ~izSt5>JW5gG@2Ty@pwZFfvYb* zGeFY1;e`iVwuZ+8M0C8zlqubrI^eJ?`Jp})VHa}Tlh!dd&1?XFuCbM$F7;T*CTVAPDBu6hWKE_| z>rH#}saDQhh991zoTLGtvIjYj-|TzH+W>0gqYzSHBuRo$Ef@Z`P|q7oXJX1F45v9d z0Lbp{^ep}e6l79*clNg1?Y<1{t4i|vZ?o<2m#r!Q<~-X;GZcz3&Eq(>c%={SKtbWP z&Kk*y+pTM)uxz_gR9SRMYQkE+MOiO4<}t{0YwNU6j_Tp^Zz|(c{grphW{0aRKx6)B z^t8_5Dvt$o`bOLl=0k0Z=CGh7S&I#miXX&3;CcBQX?q90i!J)2qlQ<{hsvGJ8}vp6 z!jy||0(fkDHTZGWJX_G?ZeH5~?ia2*QCaJ?2lizu^MEigTy=yze7RZVP?m86oP4HS zCtYg0J#9v&l~&2{?$|YB`e$*@n9t^AhSe90O8V$Wi`h8=%qr zTx!<+{pIB0Z}*=e_l8LIZgz5d_wfZ-d82-i;&!;Dn?98U`XI<+Jk2h%bITHCQ2;vp zif3Zq;x+a6dVG{2_vF!mNfF7yVRv=|krE^D&V z?S1R3sqci6;)pjUI$i#@O-;9nP=wB^CA;IYCTg#F-_t1$OyTxR!)F)IBA zuB5z;`j=>vUFcaiJIrU5tF5e`{KC$#pe8Xyiulo9J;k-+O0vFSYS;_ z>Labb??kIASS+-UV%GvWLWc0JY2h<2Y@8cBjeI5S94veb!ZD(`Wh^3)p^qj6QEipe zq^~zQ6%e8@Zt-hMO}G_aWPKa z;St)F#Vglw>^mX7bY65?eSHAT7YLe#QNj+4I$J)%5lUu_SHWNHyBlP4NZtA>J@?H6 zAaVs&>;>0Dh3Aw@Te-|LF};)UeDqJi+WloBt_-CgB3q<4bFrdtinv9O6IIewH6Hq3 z?g{r~t0)}9F?_tUxzZVv{sFe6Ct%RL#845+t%N_@0u7<$f8i3$*nZeTj26P+uMFKC zrc#c`lykx%Dx2O;6^_3JYW{5+5Nj-k~Cxdtp2*2u$4Cu6^A_Spa4rS|Eouni2;8EgBnu50s zsfe}Nl^m$TscUOn*zsbNhc7fTG9Eh?vHd3;$BZ#iefI)DgwvWA zM!13qQZyI=WPJ+Y@NtkR#k`bEwGohysg>0;Ih(r@qOOq+q^ti=FzHs|MDd~VM#6;2sy~sKGr9# zM~w}j&31Copi$Rbqry8{9`dplx!|o+&|zyX9-@A;C}{nGsCLe&m!>aa`i?u>xN%Q* ze(g#_{@h-G1lLd9$YPG3-L~b<|4dzsNvX=EM{dI9mxN>PzY$*{jxe~0+6Zv1XkFN& zcgRE+ry@=}qeR>+N|(L+h1GdDIa?#`Wew{`-Qg!4c#GmPKQ{TYbjf+q28pmw?wH-6 zEoHdmCt%TfwD+$Qc&g(bLf*o?ksZ?ViZ<&~zqEQW;~JK|&kl%Gtrz=ig@Di8A|V{n z|8yXLW|iI&Ine&+`>`r9oO$*09GLB4HB54RH$0 zzq?XRlCcJMuVHtLwJ#)|!zgkNd(FVN}NNeD=p&%0s?BZrh#9o5g_ zsw$K$j2_4d05iT2wuc;HTPT4Qh0}U%38f+#Tils|?41onccyybk^b(dr&!XY?Gp|PUuZR$=31OR1UL)LNpL2+Ck?g0S=JU3Y7oqi z5`Ix!d<$@Ghmk-Vs+Q0gTiAL45r;74CISDTcyj(KOql3(Mg>Y70(p}FW=dH%(4k}~ z4gmr_wnSEI(mU>5ldY-j)xB}A%a2Va&SNsR_Xsy~%c7)YF!acOd!BZnc*MZsVl^)Xvqo{z0h~9};0Nd(VSuX!-$s9Y@gK0lME7up*4ZI%}9`bs|Gc=VmTrnH9}t>Ama1nb&f6 zk^Ju!;c>s)e7D&E21))Rj*4un-an%E6;4_;zR>;hU2oQ^Z4bC06K#qn|MeOY%M781 zfek1HfB_pMWg_5|^bw@&FSt%E`gl!cg`OV_w>PKK!n_zE|3O!tFYze?EG9bO`RhJK zJ9JLR{Q(49>843NjW9&Sy$Y>V=H0tc@z*h8$x%n7Fvaz@A{J zJ_Dq=(ADb8B5>G9IDGn$nV?clZtP+oP97&I$v~#iYUh7PB01K!e~tlLNo18Qyv$5x z7Lp4~U!5xdsf0d3=9Ir{o{ky`wz;`g&N~=u!;r~!6;m3eE*i857Mw>9t=y-&x@6$~D;9u!$IC#`>%5^I=v=_Kbj&r-DU-Fdr=oqsi zDN>W6c0g1%RN0DSM*1216-9cu!gy_nG7~4BoPkKYumuR+iq{tr`?r+&*Iv}gCpABZ z@x=&*Z5hKDhcp8U&$NggM8}^67I(pKo&Y)Sp_8m%%9}#rmmh`u+N5l0xR_oe1zPHt zqke!h_7GNqO{zFG8^~JdFsxGIHj^B3ZU?j&OMni%?pOuw!S#jc7qO<7AVvFpyBL9k zH>Vd=1{@nfIPA6wm$PSeBHb8Uc}<)w=rD}gaSHos zs7F@G9i(xAOkQ}I3vpgi~5-Bt)X z)2?NS|8gDfzd}@g>W^T27Xa=|PvT~p=`=;hgHs^~n~qcAd@W;i-TYPYmoSD*`?bcd zUfH0sBe1YJyMoLCI7G3Q#o54OCe0%RK?tHhGb#Ha|C3dUWR&x}6lO9x5%vm0-fCr~ zMjpKS)aLBF_Hl5-mI-SzS|-b>5Xjj7+YENE2$*_WJ5e9l^C4q(Bm`avR+8qpO?}FP z<>_8kWZe1(A@vGD)-|d!&y2*+k1LnA8y1%y5i$3TcvuB`;Ye8c)GKm^1{QrJhEqN* z5cJOK@9nN4>Tc8V)9EP~$ z1Ih=7Kl|1Al6~W;?St;m_FZRUKe3Pew8guWw7|Z!;)T4mznde>FA>-Hqv+UAE|U%* z$1XrnU4aITs~&NC zHt;(N*CX^&CDdUN6-LE@G=hNl6ftS%nyzGD0xuPlDKe>>L`;_dGg@&r>;gJ&QO|Lc z^jX&9=+4L5+L2Nha13NmAji)vG$;TtKzlpxDJ8OsFSsUL?0Ybue&KTLTo(1ZTQB#U zv+5IIi-ooO$~G~QfC34l!$9WkIW=uQDw+CnBz^{dQ{fo~2f1{LZ`wYl?RC5#;DVCY=Zf9$bm{@?|p#=ld^* z5Ofv17Cyg%Q3BVcAM~(H#KROZB~fz-;JP=p7&mD7z`_aW9K;aCWOO8gQDpTHE%Txx zB>0^_(P-hjaRSi_Lmn9RUzfLF$N$PM=JjO`P7hqe@VVC)^4(Xzk=F>8&q!TueWBdt z^`~qLFhf&A(R$BkWLGb{i>7K?}Z`A z$R_X_!3%Z}H@!S&ZJy)|I0L!(%0SI2T*1l0Yk{l0Tl+GvHpv=++Dx=PQ84y4Z4q_m zN!V^(j;^dLc>0+xwH|BN40W$Dzq4wj3&#`GnF=RQL4X1hfIQY*YqK{RzRcR7)8uDD zZJe9q7sfdL>0#?DvHzA`j1{SpY{!+=5CBA9PlgFYGpMM*$)t{4ut27W=eU*MlE|^B zQu(R~gLdsey4hj}?i$Yz?LKZzalAH`zl{ygRzg}AFM7G?MF*Kf9y%#il$Vq99;%Zb zXYdBeiQ6FCJGseIS%R1&rtfpTvPV5|3#tFopgux+pmfp!PUnX%#2hSJneMB^z8X|k zPMtsD%&lXx&UyKMF~0C|@>H%7XYGG4Gqu8^t?FS~<_YG`87f!BiN09!-*#`)(9}6Hdb%wersC_)o>V+ke>7CG z|C55li-3}HdBA2)6u`o5@Q0;!Z>6dmqXvbbN>5Di^Nrhm{e%-rGJC?zWIiOZQm>}~lC3inkkvltWwBd?xJ zSXcf9^hGd1};xj!qSh)E`#$x{8ZXO3GO?`54pI_PxH?N7T?&%%pw@Jb? zt1|EWzUVm`%py%)psu$pb}?TQ7O7|E-0n6|FtV2c!#6I5sYeYtTteX9GjL$K!dw=2 zjEdwdxawsJrh(DxamL}O9hAy>7_lD}3GqPNo&qspAxFhA94qnrXDAV1HG3LX-`~us z&=+#qiEMdnC}@KcIDSB4&x=2Sz$%>_BgK)l;Bw2Wx%0qGyy~NHkR+vY>zjwE<2plh z!=1L1Qvv>jKQtS$0AhS&ny0Rg!i?QpT%>wn^56T74F|2PNa#N*J(OO7c` zh2j2I>f>Jdn_nRHh!5$ty!pi&W!X+1e?FGdRz_;ir$ z`&dmportanatm#|j0rq;^mSt6El$Vn;*t)HszK>v0vtDP=vx42>Zlh@Qo~#mG-C7% zl!Mp5ufZ(jE-zmGqSSp(2!ZPDq85SU8&kKrXHKM^gVooSb;FbA719))5XYxs1z8#A z9;;xp2*vVcgXCOBQ&0Efenb6}@=|$}C_s(|SsX(bDW-BCA)&h@OhN2#5Q~_V;?Ai4Fhp<7%8PIX5x!aeZIA%Mp^~qedm4PZJqMzxY*3FtgG9Lu8A* z1xqtfox;dwBZb4!J2<05xzmH(CJ$DN|GN$9)Jhz)tp~DbKk(5gKcD-D?Pi)Zy|bkl z4Wv4X6Tc?GudOA44i<@8e3ped%RkhnkS<1sft5^*|7#_z!)8`2N@a0{} z1S?uoG`nm;I;?xcT;_nu#!)j=eLoO+it$7x)%2mVfA$EFW&QZ{W4K#=8NXk5fulAkXbyN}~t?OZV$(in-x} zH0e0^Whh5Ml{^NFJoncaKP|OT=yZk>pDF7?i*`{g_lGdO=e9h$IHs*TYh-du(cG; z?D9`Ccs&sm9db6=RczPZLoTV+;mk#O!77Ex9j2cE9n%OiqP2sQf9RWu>a#yItY=VR zse!fi4HWN#>&i%Suq==?%nW6pIcoFA7n4|~p-Fu~5@ZCdVK?$EvOOL;avf7f1nA8jFmyW-{SUa?i5 z=G)Clndg($-K8F|X&MKxSD~Pezr$M&-NH(N-t@)J zr+39uyA1~J06l|ug2xt1@qaLmZ~fw|v+d094EL_zbbtl#fjXGKhX?p)s>a0I#YoY? zf=Uuh6MNVcX3ThNq8|tt_>?z)VXQd309c3DOmdyU$@=qKS@BnhRP_bd2Vx}E%8|zlt_m{Y_na&ehsp+MT8v7oU^8V2`P57oQ-V{?iY5S%6U2Fec zf8@n~0+TZwz*&%SKN*D4XYNVc^P+G7&;ed&Gl6FoX{0Wx0HT9@s-TQ%g5%h#IW*E4 zit-Wo0jfaPkDtfs_iw4zR)`aL0x6VVDVh-XoutPHksU9|O`9 zgR=FYIF=tM+Bax>g-9aH%T+*$qrl!<9G3kEzJYIbDwa$639!4Us1{*BZ4OA2o*;80 z$>SvW3HUwc2Vp~J;#7sSL%n;_dpg(|ItGT`C5W7!UV@2Me6PhsIQJDp`&-aB%oG5b zXRmzh$LeELO^>p&K?RaDwMq}u8GGcS(tQ|XUFC}aDWO#;hbWMXkfj(k!^eO2VW{z< z3uN6OF5Pve=k$U+CLc;{cwxj&L_uhof+u?@cFHDw)Pb}ED12!aY6~GLJ$vHn$VJ<*)7N|u?~1agnR+0h@x_qUqN#r) z8fgo;$X)^>jpR@W5mM%uoo0cA9Tc}Z5UiDTtYH(BPfw$3D7o-zmx^zTf>%Bc+yOV( zdJG^Y&TJUO72}|UDpk>|RUsuH3Twc&;^D|LwDv05ecU;&0BPAR{Jy` z0M7I>^dK45XHMMcRno0HRLa*JpPtFM1o!HR+Xq-Kg;1~EK8;pqik3{E|9;HkSWg3B zX(SQ2#$0z8Z&G~@U_!IblJeYJh%O6JtXbv(Hcf1%HWCZAbcLG~Rvb!vxfP(?1t3&! zO%XDK5~S@7new>2rm!H|h)u3trd$3H`KkQD4@aG{)%}cAb-~)kra!*%?Gndxax>A@ zZnF$kES{;?rW&k;ANhFF-|PS zUol{tPx=HNA+M(SV}r-DUAY9WLm>xmDsjUxsJvAEM)W8Z>)sFdMXmaNx*6s%)|XvP zElt7gm9?I97uy=CX$q+MwOrrlu!VhXIxs<39yJoLTmBsQ`sw&GNb+D}H-2N1wI<*b zrS?A*#*6pb{oR!7j>PJ%)3W>og4n-_#<4H_l4QW32*w*>thd%(L5c1?ihJK8oKp_l z;K5D_O{#N?>G`i244>&8g-~^E*Y}ostX2nZ{m(+a$u?#YAmr<}PmJHxOf$Q47GlFb=^~;2PdO~V<$nl$#JkDlVjPQF z9~Wb@#*-R9pKa}nFqEO3CZVfX14-vn9)k)q6skq~i9CdVeSTz?ICw&>6{jL1UDu(e zfwLv(9iq2e8Kn9}{c$-(^fEIiue~uhl*yh#V|HVo-<9pFc`dA3CH<#pGlg^%7}_bN zX*yFwN652g5Zm`;MeEL$Vig<-v4e}ddQ;#-a_F+uuW)ywAMql5h}xyvs9!aNQ2Mu& z5Sx&*7K_g6^;TE9zjG%CDEYr%<7qv#CNuus8&2YG3MKae$RF;0$E#+-TRs=py9gLe zkB=EqX34sLz&_6^Lw{!^FQ_eBkRm>NYd896}6Xp zxIPy2|37OLjefLq+VVH9L>cuXlncjjTjcYq&u@>XY|1R3s^oyI#|Zv}!?uRH_odQI zOZFc&wv??MzhFWb3<=iOq}SeQT#w+cbn)B`{9v0I;vKPveK`yGtK)HJl&FjreF>~| z`{{Zq=j||hJnpc{>en;k{c1F*diR%eW3N`9D}e5e>Hgq!Z&>^dV$Trdcn>o29#RNj z2S2URV1v8&A!oMc5A4iCk72C}Se9|{6->{&S+=9^yz@}o>oZd3S1tR184%4Cxq)HwZaN=;l?lxw6Wp@d4-^}+T+_n{=rKAQyJa+2t5-p>*mP2 zl39ki90Yk6|LuR|R|1h**x{u?D0)}ZlPWJ6BoAcvQaI86it3`srGghUm$WLygsqyt z*=pacc?VMyejm`6z>{P6J8D^l|FQ*yL%dWu@F@ue+uWs* z8fmIanD-r>YJxN#2DuDX9G4-0m zQxNyzXWkYUu&CkjS;pt^Zp1FJesB(s{XI>xxEW~7;>BUp{tQl6vj2}Ll*#+G2=|52 z>)(H(=7Agqo^O|X5)3K^0d|UvYng>JY3D$Z?ppl)r*k9ppYz+N zn|5dT8fEP_;yx9Rwzir{+0S#OZFZZdt2)&s)MDz zC)~3izED$od_Ma;*Zt>phwWIX?^NEG)Pi6MAOip21Uasje@&k($FDszK|SMM%XpTu zZQHtj;cW37yRBnN^5^2y)~j!%`WCMXtR=aMSh$>toJmbG)^v7E03^7E4~cdL4g<<71< z6`dKV`bqiLu3kLeZD+8=ymxzG^F-$iZ{2W5t?>Jjm4%CG(k~)?ls(^zq#ZM8@!h=@ zs>d~Wx?%N}_>JV&FH*VIwfa((7NJc`?)jeGR%l$^I1t)2dPi}h?B`?K_M%nTFXMx} z3x)zeKKPib|F!9J)1Ttkf26Sda{s3GxH=KM{C%b-Kckmg8uop!9(x(QHI>%BT6@0dXIM#6`8e9RvY=cFOQ6EyenEAo*lHHVHOrWtvYp7pjq|# zjJ(^}3#Hwq8}X}$oAPQYC+a$f3kQu&t9;a@Y}$_MtL(mt+0C<#9v3>Vb<)W1bOQfr zvB@)BJxi({x5GrlMR&ck<3Zlt(j7T@p5xsszg<$#@zKUpAA`tu1Y_@ds!w_x=AA7X zEOk2lc__sw!uZaKn%l!k!n>KydIEK-5_ZN@j@w_2jvBqZSKgNkzTK6ao0m#V%y0Lb z-}9Ifp48vv^W*9hmCd$DJT1(u`*^KXH?gN;e0`MEd1I`qXmzHl-(cyWh*06ZO2Ob$ zNiJ`Gnzv;r9G%F_dA_`JXI5XH)APY$0-5Z800*l1sL$s1m3NB07;v^)o919L90gjq z|9E^rW6U(XkwoUtJl(sMO4KG8`NTB_X0 z6-ie(>D&JvC)8`t`%`Mr?((o%zH;j2eC4=PhEH_`*X%xFd$Y~m^P~sm5+8s_;8e;nPs!_)){=_rw|9k3VErDy~bJ{`mTIIYD9DFH@}8 z!Tj!<67EE)!r`c*+1P`h?PHn!w3brd@a`YA%-@}Sdg;fj9hopQw)tL5;oSN2KX(q) zW}o0nIsa2i4kX6ht^G{*#>EYBUw^Z6-+C%^;fwd;DbcU5o9>Eu(xfTgKI@uOaACC~ z7!2rSs{g)d5_qA9daQ(9Cz>yx-8Vmk^_v|yT726y#X|ONGVSD<8|`6<6ds8yY{zuN zY1(g`c}qLl{|n4uUywY2A^vj|54cDJhN#ef5){d`GLH< z&c5B!Glow;9Z7Q@PaY_KR9*~-EW5GT$_@GLlZQw0(T}U3>hsuEy$6WNuxT{g;*r+R0lpL3QzKyi`{E zTd_Jif&VSc`&@J$Wz>i0UCclAt#>HJ;dykj@7%rXOB)AYer^gXS=Oy=jBJ@wnX}Ps z_Yklc9lLbZm|JP5N-7`U#=l|vU5Il{5R-10rd0OXrb(pVnI-Aljmq!>2LXdI{!7^; zy`OI6-|5$rFSSb?7yk7od7zu}=dsDSiWwI9hIw+_{n386xg}5CjF`;hb-VCJUJG1Y z6mq-Y9N}PhU20RKYAz0FTKo5w**>u?Z#uVaHp61wSZ&=`)rXqAf8VQLwiy<`@47c} zxi*eI?*ErG?aTCw06K4VI9tzaKD}TYKvKDWMHNi~XB+lfM3j`y`Eq8P3&rkuP%iuY zalci33qN+zH0wHjBdgPozEM*6b((X*olM(b%Z27Pqm9}_-jByx^faec?UQSGbYye3 zWtvHg%A{<|dpmZf{CxJ2>goegYi?zKSjfbdrMo;Thgr?d4}KuI4`Ev$SGbGh8@AfR zxEzL=9))pSSyXmcG#Zq8(>`^VfumQGOLiiWCa~6#wp7vALav$rJ(s4dI?AQW?;i8_^k&;#I_4ABuy1ZsngK&4SQS1)~%9c}`Ehf^RcAZLxXhArk7 z@;V4qLT>5*YJ^$@XZ+((EO>ZWm}Aml3$S8f!V`^=WV}guq}>ZLXHJdE(f?t4{|~+y zS-h~glnke~CLmXzn&eqQ9LmH$>6b8(tPAfxtj$!+Q zy6`y*Pv9R#0g>+>1-(!+2;ALL$rykzoQ67Pn(C{lUkyys7l_}2WecLakcPn%$(~ui zzybNy1rTfe?0g)$1xnk_FX@woR&a!0g45VZpk<%HSufwRmO`}Z&Yz<4Uk&U+U7Pp8 z8>YDs+7I+H#F-ve2OlB(nQvj!wh;uCenT!-IsoAv8AU22y@YPco0}zp1mPqy3LQaF zamPPHv%ymo2(?LZ|? z*Jacpt>6FyV#5$QVd6J2fiR}e+dxEPiIJjKMEvDB}&0~b|&LhqZLS2_kx+XffoAd z-1^}iA}p3r^Dh{e87s__suvYUWUGIFmqpseJt?T$m`6iN58!v+b%JjeAQ>4+x2EOV zF3%coEKQ(Bc9i9yEY@c+dmvc`xDVyqFH-(T!gPX+%4Z~ik}D2mGq2YDZ#e+uMPo0g zg}`tw(pf*yM;g8Put1V)+9fcwzqdm2&=r;MmDc6U1K&b@cQ))QiX2a~g2Ga(Js3>Q z(|1rQrJG(jW0~U}bxdt(^iMf)1O`<2Iw^lwaLepvqq-Q9ORKM&{vGoIf~KEO=H~?1 zv1ES%8ejh8JVh@iJ7^u__5G2omJ*-i@DY$!KZfz%qR!SK3c^;Ts;+pS1Tgri?`azI zthTKalpBf%aAQo;n2I?wy7r~iLy;69q`$JijAvbK1@)qXv*iT)eauB<@4?ZcSr!>x zZu##(Dt97q%}o%-U10F_?PXar3iEP0gCjt7p~w#qbCo}Oqz#GFMh~lVyQM7K)Tr`b z4ZtD)<>lgRBx^#^makZt%W)ljt2P-_E`jd}4YFihH)Ugp$%MnC;T9Vi+=P_)&OgBv z3veHv0yc5)F^z4XpY&L?En;)ncaP*4=VV$t?9^h)P`edeglH~pkp%!GldV|lj^cB! zc&=w)Us=8fkSs<(Yl5lP!GF&62ar90jvZv8fQ0nJC`uwg6c|&fYaKjHuTl566_1il z?rGNBT`%IzRWXdTOhQkhO=lIAE)vy+LrCM4=Mp4WU6(InusW*Q0ZA~|mB%=pj=GmH zR{DRtL)A;vb|C!=IQini7(Y`I;X=2@XlgefW=4T%{v=`JGwnww?b}8URj=+9&94Ul zl-vw<_(zih&(wJ+Fijwidc|vk(7^OY&zQNo)rcD$xZ~NvVb@+%UGEm+Y}h7S<8Y|U zMs>&witp`s>sWhb32L(}xG3zt@4i9SAvf%R2t#a3Mu>B3to3h*@0*sf5A9q$VK!LH z<-2al^wr6t;L_m_4*uKPc&Qj8@b(o9zVZcv>O2wUdt>z#=Z0@?-}{5C;q6h?1>yAS z&>v(;ayUcf!~jG_-M17!MTO|EFL`wUg5VV3KloGC^8QZSQ29d?=N9&9x%t|7$uYm& zNHf$k)-VLol!77J2Q`_gm@&GoNE}B&$I1h6L$B90M_%ItKZ13v!*GB*8tkVGq%v>j zG5rQYvajp#C7p5dK@{<3X3|}`oFF6a3E}Gr;4sR3b0AtuT4gjmc;dN{b`pSHtuhmS9`XA@Pq7y#}|WbPLclvo+#cA`k~i zQc*3ItAGD?B$U!(bLHpinm?Y>@g`4Z^}A5KzNxo+7E&6$XZZRp^aF@lR|g5k*ldO{ zuDuW&cHa174I6SS*x zR??m=!X9oH^XQGXrWxJ03W7)hWZL>83{do-pjf@wkJ+UJ>7xl9xIWn4>r^p?Z(7-2 z`{;%EhfuJWb3kd!BYLhR4Y`0O@?)C&kF|}l69lAtW|QrMUzaw~l6N>tF&Z?wXIyBk zXCsK+i)H%Zbho9MGxv^3OkPIYK!619|KsW`prT&GZcj4^$N9V47xicB$aL?2M__N`}{rUyZ2l7taa8oYZ1fDzux!Rd;c~* zQqxcCxFjwgqn5=1_1Y!s zF?~Xv)8~t}%ZpR@gp^4Mv-FX7`ORaBm$ai!;(|0@!IMKh>OC~kOmknM!qO7@)O~SJ zCrWCUS~;9@Efs=MjCfrtzk;CgI-3g@m~A*+276>RsC&x4))aKV#dt4F=j6F;l2?dL(QX>=uOMZJU} z(VDrLuvcRpNybCq{X4+p_5sPgUs?s9)7X?n$NfYhX_Rptpyo4~4DDn9dR%5T!X(ujyw$4=l$iU?%sDnwk3wT^<5D5- zcYZUM4g08SgreLHk`}dq>=zz+p#JKg6!Dp_Lk9ClRJAZ$?4}Z|rH+)db!EZR)RI~P z3+Ye43RskHM#b<7_yj8i9HFfvq%r%=3iuQF2}RO;;+blHVWthmS}`gtLtf&~2s1OB zlf_Z@Wi1qU-LIJP_F=b7%W!Ey6wXeLlwUX6q}>1GhTv-Ls>&g1kIs3Xu>(rOJK!JKN(`Yx7p3`M6o&D>0} zM5AKV>Qw+VXE4C-0H1>bt;3n_b?eMy^?HVNIw`IwxT zb4nA1_|4AqJMNS?Mkw%ZdE%t);WzZ8TVWL*0SX`Kz161AG#E}ULvLLgDtcQx+R-HnSE~;VhSRpt#&6jec6w3p+a5gC#LtO0s zhYgAziE5$I2YeYC1vASeXor~FoFvj49>n6qifhc;*Ifg=Q29ht3}kjQ&2{^8cPY?8 z^zOD4+!2HsymaI}YVH`q0^TD_QA6eIC`jSjCOD?rdQ^Z^g-~oUHLp2~woPayx`+2+ zEh$6#la_Zuo+{wKj0vRKBf+rmg}qv7@d#OwX6Tzvw(tSGoR>iWnk<%`>pt&^@9|_? z$&~H#1viBnoN;faJk$fcl&cG(^A@#oHFbp+e1C(kJXm&T#7w@z*?Fze7hQcCe|~>8 zSi}Go#y0&-Ub>o5R>N1k&>MI5F(z7nSu}C4dY?YyJd9w)8}qKQI4IcTC5JpajcsC2i|c&pr9!daAa@SSoFI3x&L}?jn1RL0$Y+^_igxPeGKnDxE1_vv>@uK3 z@M5@sG#_g4xeMI(kT;b*V=;>RQnS~w(0Bq^F;`Yxn7jgA>j%fxmjm?f5D|;L=_FhB zYY82>2SX6&7bPdkS(_FFjU0o6D!yCZknclKCC)4}S+ciGQJM>@JeY{X4k;#{y>p?( z>I<@Dd6$W?*uVWc`R3+Y+uhc8O;Kh<8EFd{8vsdheTt`m9oRMcE@?opKq?Gc!TmRl z){{$^nCUm}gxnatdI{k2#t|f%3Tb82ERaBJtG_)*G+XU8j)8ELln!! z)j_VKz{h?RyYS~}R9rVQ%}!J-cjt^MFZr`WviEPWuc3dlt>5(+E3F#vHIc0S`e|g_ zO}^_5k~OtZ_BNx8in4Vbx?J{E)Z!22r-;Sk_0Z>^6DGQm-Q;_hkZtpehB;3gxs8#O z7cdfU7=)4|a_JY&aQ_NCQBK0Ke@Ouh$o}n64RWF1{xdW*SntNIt(HkWAEyF3KwL6+ zx{PJ0*}H23Zcp)NW~uKaxb0mU-&E^E#_q_efD=5^C6*OC-?s z)y$6t(@7FSb%q!n=j&B}PHk|W3<^vD?D^r-xFLolt0b{W>dVW=?njJ=L4xz;(5$eP z7p@79aTmN$_s}+@BiC{J8Vn5@#0ovJY>|{6b9Cnl#b6c~h4JRhSNrlZ{PDvp=CGF1 z)$c$+0bmi&YJC+ipg$!->)=yq0H(?Av2KJOuB*T7KNOTcjmUUhbkNET#<+HH8f?h} zF8^~P2ZF^bf-Z3rFjhoO%QqE>6;iizLh?JE1)8S0q=lw_e5Bq=Ta$0{jX{(JGRZj) z%_nAs4LDYII(0av0@w9Jtyu7R5S|!%tw-+2{W#PtLlar!PSiPXoOZ^zTke3`7Y_-q z%V5v)PEvr6N^)gX67KliVP;5oPVL%<;xQK(4}g$j(-gsm^fD-(XOMK3a3>Zcra@#A z$i44h(kpDIoUlYR9sx4V8_PwTV^1K9?QS&)>jE2aNW?)rjSC8!gCy@Et=6;;w-#@_ zyOI@*xT)FC+!s06+fG9I#~c5af6LP^<|BT1UAvJ`dVZt;Q#4h>$Dd&JSsBjWN1#L& zd2QM6f_uRR?l)y);2dlRzrqNXNBb=z41I?o<16Tg`c`PI%jD|5Je0At3e$q?Ifg5F zzR$pP0nQNJ#!T4AUw{_F+c2v@_CxxK;whkR>Ove&7<_sk_ah6&V7|KL1XM8l>=XLx9O6&ux%Iok3p&8Ceja7!{IFIwFBbunRAIg8jcfpck(% zfH?LNz$p4KGr0|Q3ScZ?eg|uePtp(PI@p-*@xDh^GT)Hs!ZYOg**>em>oNx(lteeM zz20TIkM*2o8XbeE(BdBzlX19o+{!Ic20rk%Uc-9W>wZVN89Fq=H^2`1y%d5Gs|$4BbSF}R8KZywvDt!?GHT#Z|wTI?Y&JZ8l zQe9g(HUD&sfie!+>a2Rh_K)>>lG>a&Eu^rk*}7a+z#^I|w?6ggSio`R>f9Q~$>TtU zv>N^}r=eKU8e>Zx&RZ@;u!*-1~wI)J(wW|4B z<|IJOm}?o4CmpWpu0SnDxT%TFfnWaKFOYB+$5@+#+D)1`NggdQV8Dy=+uUFYXhTSdz*_kdOv*RNT+yR! zG7iH{H**;^ZB;x2yJdu4XS9-Yk^jaCU>9lwP+LBCY%o;kwFclWq;gQK6@HZ+Vc zO{mquga0nky4V|OonTfY4$eKE16K=4gcEorGt8q*~+503k z7zC#zf3@)o5Ly#zWhVG1*c>b#3E%!V?zR(g`9ygIVDw|;k6~{S_yY9EFl72|m<`^G zD~Q1yVvz3!(PJsRC<$urt$|Km{#z&1q)AOG8LY*yTBE=J@Jmv=60m1DF$xmhmE#LsF>QXp9+L_R_X2&Mg14l<1Kd z9~ZpbNb!pKKlennR-u@*2sp-`C{pN+In0o4Qlir!K=vjer8+dn*M+%Ux$*omUm-uR zbN}CbzjkeA#Zwctu68R5`*z3%;Y!tpty}5oy{yrBa+EQYARR_sD3<3p)DJGie@f^a z#SQ$n*($zF1nCyGdo+9=BF`n0u9?@f2pkWCpY^APK1P^zI{DH*%C)#9iAANRNMjZo z89pJhAp4XRGMcU(+bef9X`+S_|A-I0X;MD_O045nyoEj02DhrND-2si1~&#(&Rh zkKZ>f(fR*%inA*)vD#?jaMZ)$N}~1{9!n|>=|9zbH57Pqt6EC&;as9=N$2!GdYXYB zsMO*sTFO0AYSq}V746F8e2GeT1Rwe**Rl2<8EbrVuoKmnTuv;=otF`YgD?5$7QG7dwGx<&5h=2nzF*r0>!=yH+Sl7pEg=g<06n(JFe|455E>K* zQJ6?4AgENeJB`dJa)23p+Beo^U@lx=I1iI(BoK~(rC{Zl1R~D6!5g4~AbdOETQTT< z9qIv`4MGP#zUTElgX|gq@ZpmUuX3D<=I;a7YrkKVy|bx)QTlrJ2uq#h8*5X2;Ct;0 zPdP^SsPe-s`Xdyiafapw+E_g5a3%bbP;?CnV8K+8ge{F0?%+R!DNGEk%JkfcIhgup z2ccOL88*NtrdF1vnlsm*Vs@q(ZBLtFu%8)g_G1>Lw24SO&O5W_ z!Vg${%)lhQ$YJ#CL0yS$7q{-$9ZK3_Bm#QmO~TGM#PZm;bRVkMUt81$$a-$v)}^94 z;Sh3er$Mhy$e27I1TwqnQbZnO7$@!#0peqn%sokLg$VeKp>2;VZy-F_7na7eKqYz# z0fMCiC2{c%nSLesuwHYkz!LvPVuPx!5kwfjI*!MN$O^@0(!F|`Q_MHB_%+)ja5y$U zG5XFWp&-{+G2w%CW?m0e5+#C0lFo+BbUiaIdiAQ9cA$ z(0^+Q9t(?wL6^_5Rt0d>OJDqZnI;dKp*xXvJ+ga1T66z2c7FGkP^}H8|3YbwUY~-K zjA3+MeFi|`PvIA|LyUYzWL;t|KP}x)#~ebHzo<`AiT@3jqW=2OkB5$7?P&%~2(Xw2&~E_ptp{Y4SPS6a(Cp4e1poTaPX4EsjE9+kA)h7qq(KO7pq}tb zKmJo;#DR>edQI=K;gH=z$$4i^79Tt@EH@)m-$3MYpt}cXYzrUz9V#hci64iwy8>-g z5Gk302>LtdDb1^Q7|#7oi$J&#z!}9A#KwGcbyYR4yNN}y9RB#fSrzMLH3XOmr^nwu zz1=fl+lMH2=*8z}{#k;Fxe1~3Sl2nzwdAOn7KrBl+=U>E3GB3@D|V(vdpHpSJQB&= zf?ev1cdQf{)DvdR>6^yQ0Bq~$XIQJtPP(iYuN(_W6yrESeF4ZoCl1qJS=)HWXMS*1 zC+e-&fgh(Z z`a*JLp{G9T*^z&es;%qXS$s}h7N@n0>jz`CG+`lLQ#PsWJGozoC&a!8W6hk@bgfCG zxDct~%vEHEjX*`SbVi8sCGf_^{@rRm2Z&$XOZe*xHS=mOrD=OMXJU2>grc@OTHX$O zz)EJCm8#2UAFq%ZnqNq(exJ!H6@zS3Aq4R*ga~`Wxk8$UU7o_w+{Ay>$V6`HFYl+h zU38t(waug!?;{4Q#p%yg+F5h9Lnu#5=q=8O*1B8VYcf_I8ZXss`6CbgdeBHFgW zZT4ij$C_bRZZa@Jt<6g0G4q4amz~8o1iSKwXIEp5&!n43kFXR!7=F{+p*{mM6Jn}> z5g<76f`Dd7Ps?+WK>QL?K}ed^uAXySNqKJ~EqqTn{WSvDw9!!To{81{HAb^0vZ+wB z0nnW6dO~KM*OlNsiMq-kUmoNPKZg2b?}2+lu>VJVnJEg+nXsolSIo7A@iBSue6&i# zqNX_ssho}GS+sbr{9Tvf8Io-~R`J}JYSEaI>{hC7i9CYz=@pqc^t-nO5oDtt7B@sx zOt*qmX`%8~-?b<~y@sKZwz`((;Llq`W1o~o<^P7ED=j3mlUgQSH#Pl!9=D9gp__XabAjTTKvGoSnMJn_k4HU zIim3*ge|WBlC5bM#oDFSvwwSQkUt!pgz|{*a~vwrU?k&z(F?(?{74obiIBIfRcQWC z$0cWsxq~NFqgZTcw2U=<=bimH#dl=Qp_Jg@d<2V&IGy>ydJu<{2u`Nir3Y1Wfr|Zf zr&T`;13u8=!NqV_Mb4!_a{5BLf4^+*ms-zTuqBs-W@m!_r4tq1n8)ncqHaRXps!=$ zAI+cppLHpOCf!PIp2fBjd^VG_o1WuRgrlzFbxG;{#=+a0LGe$}#34 zbGgJ&+mYktu1N<4E`iohRI))3!lV;Ta`0SrG9W@n5NR`yh|yR!O~f0hUa{;T!q%Xy zNlJ7Zn8#)@VD-_ToE#gP(WlTePCOk?PsWcWA#vD@xMVud z5MFNLi>FjY*tmO36zQRp&Li75;!^ zZtHBI?Fm(diF$G}eZ2CIzbA^GUrVrc0$_d9g11V`qXizR2U3n1rau$jsmp37S`$`i zwkD~Q)ON0SI;!!sXKr(fnlg1G@BHT@I(*x*V%WrTJ1-qw`UrpyE2vVo-8`q?=aXLr zZ5vH#yR0y)CXV*RG~X-!+AOwF$v1*-LSd?!#2v_=bOZaNRR7tFO!g6q&gsr%*N2Mp zOS0A|(^ZriOu0g>7aT6HMy1r&_kCaXD>l?--=kD}VE;FZ!E{|Zjth}(k#X^VE zBGk!MD5!_xAo?r_eEpl;jOfoqb25JFU@{rtcE1yobWCcNqDi6ZSG_CBd~O&*9Rcjh zenjlNxXES~7?}Kir*yW?l6OI)#lOUcqV9N=GQSV`*0K{eIOhRT)0fOxza;68$qN!V z|N22MzoVV^s}kX{lik_ASri%R}FJYDB zN++uDv|q?P$mMV6Pti=4qwUNrw+^3n>v4K=92_h^_`bPK+ZrPpb~{22uk_|Zim`io z2}ZYbbf8-|@Z!7pww}%6nUT-s&>z;|p+0j=AcI=KuCQHFEvafTiW*R)S#$2XI#QMc~YQrGX?r0!`ml@g+n5qJ|E!G!YnGq$pb;J_LYNMJyK zb)ccA;9aKOttG-US0q~>?7X+d`?F5iaP<;Cca0=FHF>hhJP0iOV0XDDN8*bWOJcMs zgE5P?9H_Xz=w_>G@@nn3KFbNADTr$AVH)?NJ1B|8X)B@MUInbmaxgyVEkiS{yPQ|9 zKVK5ydr;4=8+oLja>I;Oe`siwe_1_QR{J>a{#03G2#IMgVYT6aQISEVm5z0I_k-Wg zaZ7GU1rO5l=J6}jO|B5S8&9FT)J~y&Y1S#~gA4H9vgzJBC2AE#5qq5J@^-i^XBs+j zxlVsilNwJ>T9;5gLP=946Lg4DpkpQ~BSvfpR|AXhk5zcOaRXg>A7-s(*b3VTkJ=Cl z&+jgqwwm;7@vbf?|y zXprp1O=chZGa)R{7F3b#CHj!q2SYg*OoGLtpID)I-1qKXl$UObJVM2*i(sk_GbXKr zHf4RzT^ycR+N#r{HRt>abbq}Vmgc17YWE+$5|LDRmdV)@kurGax^lYe!cil`@-1F% zD$j;)H0%qXoyqGi;RmF^qvnA*3G7O?;92Oal#X+fRtu-uJTY=1<`(rfY3KkdPz$vQ zkIjrDXEX0_T2}Yxi%BxV!EQEi`L5PeVgjy?l5wPW@_;(}T2}0Ri{IB}sTD=!y_IWS znLR~`*=Gq}ny_f_*U4}0)Qs6wAQMNK>EUVVOssc}S-uge8#nke()v!bIbieImZ_CX z36o^Km>rDKYo*~kWAc6Hw0MTeYC8b*1}n$L<(^snPRS12it(EAW1za0hHm~?cuI`T z>Qq!-uchJQtzo3bPV4+4uK2xvg<+F#Hej8Yc~GS-23uco-!J+Bx_a{56VpthF|(Z( zJ3pV-kf!N*P*~OplEKJ@0Os<2@(owEIZ2%WFUR=4Tb6^2{7%0Y0~+xO9!X-+QXcEq zJDyPa(AUc%uCF=@FFVag;uU?YQrZi@IZN|K$fdZ@@dUm|v_i$u1n@B-MfT3~y;ZzY zdj*Y0F|qlN!nLtSY^Q75c{J@iCIltUWJDPLcc{YNzIb#qNOI;>JvDy?Nkr-?SkbKv z1QOWgo}o8>WS*mD+Pe&HGI8a)*I)lQynfa%_YsBx=olv{Z3RV_72ZK+JQEQ;)wVa@ zH>+4nnRJ3U{ErTn+jNb!IfNM}WLa_}CtF%HXSc`h92d&GL^qjs`=WB7G}lquV37CO z4T-5N|58jioytND=`1QmTjX?Ghec(HIf-0UERIY4wk&%K(QZx0_3JMviz! zuc5LNF52NRYoc`m(yoAZM#T_JbvpHM4E38#%_B9Ql@#b4X*JEw$3tzaVDC-Qmo&3DddJP74 z`(s}cf{ydWt&=MVO;C{mw1JmiS(`CujPWZkn^fHmW%~Y98L9fw2kO2)&e0!q85jhV zw)yZn$J%>`^W0EJUM_JBW9R8rntxCMlD7yM`7+z{{SN z!o4>8?HkOr68IAt{`dNw_+=QGotuSq08M3Z>*Hh!a7XR zEsbLpUq)oI@=kS5@)hhfq00zvT$;x_Zq-to2hx|Jihsd2&0fkFt;p+#*(aGrUw8x% z5~ey{QH^97P2RL-VlOkf2725vOv4ATnck$cqOMzzj+iCJ(P&r{9`n#vtWqaMX(ZDf z#hzfQ4-TEJpvigQG-ilnsVNWR))%Nk(M1!&=ouDxn-jmI&#p+~xKk=yNVxFMWxYMr z06P62E+A7~O;-E)1(GqGyZ>X3)arI0^0@aL+-9KVm1N0@HuRt?VooHX8Fq|4?|LHi za!pEs01ulntKSP70tjs|rdz0oE98Q~b}i$l9CL%s{hCu0V?uXw+epZ96%%5jzc)8* z_VT=`tJxCpd*6zVTr?E|ZCKm2tgd?`4wvj<4yqsh87+tKg=UNhtz$ zt$1AAqF(TLfp=7hZCieQ|Ao@W)u+3P9S7Zq$LY4&D_r3%Fn$vAa~-a`)E5v^#x~7u zxqa!0ht>bJko!cDooOl;1`$WGY}Wgo`MCV{RXegdo0M@X+y8ACZ?`;HQ#OZNijRMS z$Sn1KrRj{X3q@G-L7}8aqoha1(5zYn%W>eZbI=s#=&Y1Q#SN_=)Hx36g^?l}n@?og z5P2%J#$`|=#4L}iw*T@7x?=wY8TenwJGy#*j|^OZBqR~}GTJB2r@Lk~rClg0CwJMk zmN!nHDBmY_ICG*rw3yXuF#PnQ0=)fiYt=UYcdnY(AZdZZ8fvmguhOT7nh|ZXZFA9( zszQI4fRju$CRfgdfdSH!<_gj+Y##-n={QPeyZ|ujE2e^v5BFv7 z4T$Uh9DVumDeU8ybfq8St%Q`JIN`HpenbP4OqiLBXueP54#I@G8zdk8xC;BFl~{SG?u+_1L|S+cBD)*lDPG(G z(PYbG7<9D`pl!FS!z14YdNH;`&A>lpSet`XZZT-C3WBlD?@#A8$8=h77mReNOgq-_ z|E5i*PA#WT*NVCW2o0=yH*yO}(=Yf-y6;kd9C8B=0Ma*sKp#QX5dwkTsjr9y{23u7 z%;kOwL=?zT)ti_yC=@H4RznhadY7l8x@V8WNG=vy#_zIXj7wc!Bl;^swLv)ZiD{68 z1Vvd0DhTo(uKc(G`lbjHp^q}kzN`V^J7$S&ldn9CfNv9_OdSlGeFS6z1W1TP{^bDD zJV=L(J|W-O;|6hY{r2S+*l0b$!l3|ye+RhgZwQcrkawfd0fN7PG{C^|x{i4O0N-c) zOjE)b=fA|`{}m2c@GF?TUxh9k$TydY7dA))K*7DLTyWU7gXh10=^hLcH(+>e&jkT( z^1C3k|5_R}Xg=DRrrQNLz^5^wLfi&7vgAC{KLTZTf}l*rE4t3L0Bd3f=2182L1kY| zIph21VOsE6@accMTLqzo0dhKaC(w#LVRX=^v<$NDSA`=$RAm!U*BZg*AUoMgxl z`f0=_eRnv-6GMsr4VscgjJl4DTSg?$-OWk_Cj~(uqNn6-vdpT~i5lcYudV!HZuuX@ zlkB2Ts9DW&qy`FOSR(entOfr?0H90-jTGW;X{d4hoA5wU9Y>O=R6@xJR92Jpaj?vvb4UV2Q;+aB&(Q*^ z5eAQ^I7FO1|3y}*&j0=HP^Nz~ye(!s!ynrTCA_Qj3$#1Co4ejWu2ffTIW}9*al1En ziQewnJ)4327BbbtU;nXWW6DFR3zt63<)3W|6$30M+X<_rG?CaCXE#$^hgDO*%wHTO z6>WeaKx*Od#ghr;rH>ynmybDq?~~Cnm9hW!5P5br<_%508zOgdl*wa3VkitdWUq(L zLGnc~-INB83m-j*im_H?ATe6XcO&=(AiA- z???an`=fa>-VbHe;DbU3;x?Dx%NAAZ&dNO#^n?4M0Tu-_BXWZT^+gNi7rtZjiAHhx70In8MeVR{LH6p;E<~qPLDV{$h zKK^a%3m=CQ-lq)9*z1zv2XC)rqhjFa^ zYN8ScX0;nw7N>2O+o;0CI>t?mGzQtC%bapBn*RiBL<+BYxPbSXAO1qE~<9`v-QO@ zlc6FpVSzm9RqH#BlB6FeW@*}J{W}<`pLVwlTY6YMXmaUHE9aH!&y%cFTReH%JX@4& z_)B7fn4w;MlKq7bEHC0cUFYm7Z-^P4AEp~iFm$ZQo8?#K5Gr=iUHEj;+y~?KzM6My zY2c~JsXKPx*QbSFTUP!kQx@mgbTF;HE!k7nDjM3 zej}IZbLFu)2f4R$>osbGLybj6jj0-OBMcSW0dl2X22}x>fQ# z$Tx0JgDC*2-*=C_xr=CO5Gbw-tl=8~+T<*OI#a~FQslmJa~HI}H8x7a=E3*amo@+L zcnHJ8c^~H^(^O6ul;8iUdim(qKW~GoQo51=tg%GRMpJL-mMh*@0^2w z#xiR*L~y+Q%|B{OE>hSsku47S_~T}3afezu=YJN>zOsFxadkInv1jS`Tfq~&Z(bR^ z7?WW>7saV40DXT^g(8hM}Onj2YOnEi&d5a+cio{H=$ zh<5!U;ou-_7(o^5))Ut^;NAF!lw=s!)qHn_aGmT+!nys(goWG5n1}wb)OgQkF(f*8 zd`@O=vms)4ibKq@`C2&k(#*Pk{FyZ6!-gXP&$jCuL(}JGTE7|Szi%lT@!Y(yaiVp0 zBO!-@+gaB|Wh47`XZkf+n^vKO7x_YakB0eg$vei)=M44~b$oYep+3FT@}{4{S{Vv%Rq51u zbf?pb`c5fsJyRU~7cW9sn>S^ymoR#2s)9MmizWtt2=n2x_MG-(oG-w@sKevBS&}oo zq-@Sx`}Yh^)jzQtbDd}RKiG!yBVzTs_J7Nx$lC}LZs!WIE3<=R^_rpLItH6HWrN z88qZ?SDrZ~LvP%n18gjEYueK`J?$tj?ZWiVsQO~nuWuLrc>e;>YLHZ@);cl%Ei|O| zyfwezEP?b`aN{P35u9e$b%bmC*Mx|G5cT0|=Xy;=DR!mZETet#k#1=D%k|KvOr@8x zQDwp1&Zk=Po{}= zbFzmvKBQgjvuJzjnqdnhZeATI<+nGaj4ymr>=io4{o=&cwS+fk51Yh3-=2A8Qsh1F z{=MK%(8Gn_VTq4bJc38cJhk$$rF?X=UfSt7*jw!^kCn_Xr7H-1Hg^!ORJ$*h^|qtO zg>d)9>eby{_Kn-`-hw+|HvH~K5>+{Bp4StRTpWZB%>_()5C!^;Q#w*h8UF#er<+AU z(P@pr1U``_(qGQkK72&DUFQU-zfYXI)t4#fmB=_Nx*AXCMTJX6v4OezS^XY$6!n-Z zvZgy}QuNBJV3Ml@R$yLqGFP=~0v+J~j&yCC1#gy&t~ZvyE5({lEV5dffA}F@Qp`FS zE>%$piN~@R@C+_}e*dKJU>MCq5ozo1?guYs_BEn^n1&Z?d&(H8vblH{n^8ghYepkqBUw zIByqe-4em&O4-A^Oy>zCemX7?Z+xiYR4fI3Xh=PVdBKEiw9T_x>K5SoY~@TtMv-$R zQw>DOQ3~;Dn3?d5*r(OlKVNB^gaQ~QD0&*4M6~zOUSjMcq<)6V@*0%xKz~D$@C%=B z(_4Q?a7IXV;g4ye>RB+|y#jpK+0|pHpj9jyM~^R&1BC5DemvYq5+G(|_XzDb_L3J} zOHD^I1Tq^x^w=Z-!2DhdeJ2lR5+r_p%H}RkU$BD)qw72WBuSof#;XHFWPp$ktA^PehT+a=de6$mq}Amra}VcnAX>Y#Au zVw$3`MyS~YjL^Pqe+Eb5y&cS$0l~D%6(Wf-1&_dYfaFh?lyOmzUDvLx_|PD!{J79G z)C?Xl)t%ANkw~EJUTw*v>_M8GFOGK4?B7okl-|~@;lso7DP8ymmR-oX&SeGDCnW%p@)l>UD zr`W3_8HXEPj9QtOP$baz_ANw!EC*>M3FN_^LUh@I;Y#n~r=mB`o1d8YaU4Tp`Cy@n zZFLpR6|+qo=@@E+&h9o2!4c<+`#ivx1leR{M4h!0pANEu-Ud-+bvtP?>diBCyfhZv z6&048k;g-saT(H!!ykGb;QU?Z+w9h&dsnjwH~e?3{<67reAHjIAg0|H|MmG6``!tT z!0s(rg680?pH3EQv%N`Kx|t%Zjt?NRVGib1VAo{vk_jN7uAr8J2Zta5<;Jdgd(th$ zg;TAW>%?8+%a9|8Ehn~m?m2+{@0*Rza3$R$X)~uCdMj9 zXwAj1UcUa5wKl4@PU#smy#USvUI>+0=S%0GQRED$lMx?Mk^&7pnxus$Z>7r|=ex{( z_atBbZWqO`I7yyQF?@~ZTNsbTB+gw>7PM)*@id)lJbra$Jn^llvRLE!!~{b2ntxBb zzlup&>c!`BHwSX}Wi~uFihV|2e@+~xZ607?z%lc6F^{Fipt!nD%&f?g%FtMP;RXXH zJ&)7fQR01?09__3iBPnu^p7E3EbE%ajvwW6a3EFa6?7R*w78@5_726j^;7H@^3=H; zVZ0gjOO8EK$2FN4FFAd9&y6m!)vC;+nYr52eKIFitg1RygCea}vUqi0SV6$xp>Emj zU0wTE+ZeY7=T;w3Q1-5-+P>JAX0=n}%cAVSfg zU-5d?e&&wEoq~=3fK(`=fS(y6_R9CSu=d#vunP{B!>ZF1JV>S-_HR-PF24Ug)8RKl zDx*+Sc3vK!TCcNzzJBe;O88`LkQ*t=9Iev5ApeCa-4O?yrukMcYid0GOpI)ef|KN_ zXeH8&X0t68^OKk#2-COPi%*TY=E9lIXt+hT!v*@@h5|k6bV;kG)`uv7NBODK#Se?q z?e?%HywNR_tn68Y_H;9aF`RSbIwgS+U>q{uYJUsqD05S% z0YgKg1KmI*i&5zLodfA*`^T7XwVU(-OnA#wVccY+=banJbAQv(GN;-GdoT}#NzN9& zNY9o3q7Wj=K9%U2;yJ>Wv&Ti{OLJvZJnp7t=vDW+r<32A;umiB)tun(XT0z)X3 z>v*47nh^FirYY)oqB&75(>AOP7O{PN)l-NV>XEx!mo? zgO6s46-5?yEm}T)Ni2yg6=qFeyvwkgvK2BGG+KR{DB-_bj1IG1QBinrpMBVhVlT8wqD~(Dh^R{% zKX6vQ>BASEdD62uzYw0zek2$0PeRNgs{j#X0+ z3aj{pM&=QoTyZoNb#$ofwY9t!@7MjG)S+t2d%~=iLyGv-l~5$4`OR+q4w^alu-9(g zW_7Cbp#Md~^P#Qw&rhSCc?7y-bp~UO%9}gd3uNVX=>L#8Q`36kCotm2>X_fuU!%sD z+3j0%VkPa2`GKBu8PmnmAY<)Gu+p67*o}&*C(>kR7qAJr#iubuA*g~0<)Nj=IuJO^UqR!Bz8bt6>0&T&Z%p2i zCL?p_s|9P+yOB0!;sD_~K1fTv9*!Po-Ln^`#2OHe;Zb3!7=mA&=j{;SHiG+2m13&;xnv;sXVt7UAG=4idIJ44(H# z!te@DA{_>5reBxFQg`)kH8KC)ACi{4Xwo?O*70(d%VwpQ?`XvY6elH+^BH2i55HKw zZfm`@;(hZH^>u!HNmHs=(={nQ4-PA!_Ga}=eT{JK-c^faHlL$fKZ)D@XIU2eXJz^E z-Q0SG%MLfj&Dzsd%Du=j52-W4q13bc)vw?fJof@&ijJOmnxLwYE{^lVu+&QelV<&4Ws_=S6X90vh=j)(gId*kYBbg!^*k`f z7O$^~(%L!M$@P%euRs^i^L^66SHL?6-3OLKx3A64It~m(^vsqY^)l~KWQsGCK87TX z7bh}zr7iw-V*e64IwI!ADR?CHFs3o_zJiqJAZgoj+sbdcdDbtx5JvjO>12GS^VHu( z(j613o9?st9Y%BNtA^=!?oi9s@vV0M9bE1I?6Gm0)nScfc7)`d8M}mJ$F->ogpFIB zc`)r%YRcXHJubJ=y1uvC`hBY>@aTNnUfv?^-I*);RbTJ3t(#xsGw>gzVecb2ctL_3 zHY6;ZbOMV~K#)IN1sB-h%qD7`=1liGk?Tovp%!Aw+7p;^0lPPAFqHzt>Vv1oq5TtpXc`E zP${WqQZ`i>9yOmUZLh0OzGE2gIbziUR@))a71R_2WQA?8SOqNY0N@1 zl^}elgJm2aqWkwl_I{Z$8yS+MWLn9llhybf5|Bwc;ndX3Q~xXnRHn|px!Czw!~JmW z(_wST4Ha`X&DvlvrDjAK_A)-JZ70fzgz5EQh4BJy_j{}~E0t+XGxcskclivsHnY4F z1q6)!q{$p5Tpvk@X>l3x#R=CvRSG!q<`$L1s`174DC%6z-*(&Vn1<6pjVaokDVjDk zCi`DUn?t#B?GH(HkPP1-8pi1x?`0|?b~xavgQ<=BcQD=H8-R(3HJ%oYh;4`{w~Q!x zt6(lXM_?`g9Z7G+c#YM%bMLCsc~qFU&c(fc*$C(D9_lD|e1n97unLv+r}E}!YrQpt zmJyE_%VV6L$COw>X6ytebiA-^oStEMNTvAz%7Zoa?uS0;lssh@(J0_+PPjDTTeuA$X#r{Ec&lyTb7Qd#b% zX$qI2+uctk(jLz9hl@Z&?9r@4z7f!Z2uw2FMM*h8CtTipWwv=s`Qf4Ce}`kAxoKhK zs{rOupz4M-OhxbfI|BDf_$Ve6A>DLae}9fg9iW2EkZdexYGP$PJZ}B#`Os`@6WkGj zc?6Oj5_lvNJ#t)AoyZayQ}sJyIGYhP=A9(;&--7Jyn00B;@4-R14uGS_y{-AX!^_Q zPXeBxiO>B+Yp(I?tyRWf*1X`mma-hwUg#}R`m}v5r)%Eb*4ATfPST^8?v$&StGmf5 zbM4l>7|)c@&^T_P^3c#wkujO?=d@cRvsxuR>54e#^t840jfyU~ubW?muf{RA3p_24 zGaJ2i{aUfM_Nv9&vmkv*zRVdx6QS~s(QhqhHeJwZMFhS%@4z7 zq`LI868)8{{PkNil`CMQ?>nCkL}wP{5%mH2Tj^tk2@8tlK+#6{UBocu>^&d%rNLfI z-`(x!H}du*DWfGM5ytWugef8T(YI~C^wL1u$$)=Fzi)wVXgyNm{KIg2D%DJTM#S?4 zL<19eDsFoW-EBA$3T{quKz|lpAz@FcGM4Z>L7IJQm%ef7)ywd+3X{O0EMs zMFY$3;;-O3ck%vE0WrL%_k%`|29tpaAOu-2_aA^Z6$9;HH>AL#yhsA6&P7&5msj>$ z9v1NNa|*68LNgpUL<#f8hTET>%xV+9nEY~VaOPk%by?P{%e(?71EeUwmKME-%R}^| z=BoX_ONGC+_->a*zDQ6#F~B(jOlk`hJ!?a^h=!521<)*zyI>>a0D7Lb_T6 zdZJc`aL`Mj-udgMknRRKf>EW>$)sS+gLl*#FOV~}%4p zh5*UC;L{M>xVCk%1b|v)cD?+Sg6ESYBVhoU3H6-IZs)Z1gDd9S_bT_Tn;_hPMx*^; zVBWmwYyT@f4CKIDSRi6U;+(17ZIZR@RZ57H~(;KvK|~9^j|h8 zMU8yEmsCc0CTnwSAlD?zOHAQB2j+tI(}fvd6+k^_o0Xp-AtmjqKVE5yEk1Frl?kA6iE*L-MnqT7B+!TsjfxH%7zP7}ys1S)5@PZK(C=Rb+?0c&S}H zwNm>GFI?>d@K>L{epxc~HRXH3tnGcErssGba|Qn`!|jb|0a>!1?2!fEYf(~R;_vuX zsyix+l~+DCon5c75O|1&>*saL?WFzos$aL?14hb_1g8Al5IoWVWw(E35n4J)K%spr zWd1_gQx-aqUkRaqudny~)?<4WITP@YP(c#6IcRDcmoLZs5UTFm0eYG>fRgOfLPg%i zV-4d{<6b45&f4~2%aqV6w{h7+;(%SKlcz-Gy~dyN=){&D-`iSxtLiv2?>J)URIM*G-sn8 zAa`}2Lp_6haM|bR|Ah8Ktq2bJ<1qUCW-mbFamb#vEZnNiXVjW+4YQf{<5Ekpo*TL5 zy>NQZU}ZPjIXh$Sp6kH;s(SvT4qmP$AJtaYT!;<--*cX6n_ECyUE(XW-Nu;n93Ik<$PqQy3c}wJQ zR0WlHF9~4Oj?G?7-VS#>DGAR#c%)?w_BM&6esDw>bB%y#dswW~ z<=L|3El=yUC}$$+kQ?qG{GaZ-{%EVWNLjGqUVh~phPr{-t3t!M?H>Z+niA2NTW0?@ zY%m(<`~MziisiYFXTODZv`Zt^H!{@%F*8XquZ=n(Mc*fNz3m}s+(NA4X`8%%-i<@t z-Ob#FXEWafuyr|$x;BY`>3Y<2^%2JSky|N9b_y;tp{vf-d#mNfdxNL3yYU$lST|~%_lY6zyRBx89mo zoPrPe#`ZsLGcq1fV3Dx&Ird6v&orZ~sT17ITVU(67$%}JJ{!W6s^aLAtoB$Hab;{u zRc2&lh<@+S(xvmyN#Fh zA3=K=2a-iDGl#Xnwf4BsxRY$aP6&nj`qGzJpPoLkB<<&8)8)8zJ|NTK5fMhP7K+p| zh5VD|ds8;srX$1@2Owwi?nC39d(Xdvs+RUA4tWeZ+omkXktOnXxQ)gxPf6?W@nxRS z_G&DP|7GPO*Rf@@U{Yw+c%9^2oFI_Jnqks^Bj6qQOhi2eD&M>^iWaE3&7)t?@~9~F zlZCZ{xG_VIwXqwnmTX*uUXQ6ZePzxje(-%onIzx`NWwrMs=vctnwyN$nJ=c% zKdT>oQZY)rJ>UI&wCH!C`KQBRaG8P|87u_^Aj*-s*j9FhgDrtWMfE2wQo^>yI`inf z=B3V+Wlss7Br!~@xIXG;-i72ro9DA-Sh!8bPIru-7BI09dll8^_EezYeo#5GmehV4 zP~yE)EP&&rb@knyx~(XmAbz1G={>@~*kX;to&yksmY$woM^(`a@e3q6nqF+6#9ugQ zdwu`Z*}Sbo7qR?YuWO-Y>0&r7C?4+l6bGb}zU8)7e)?MY+BI9?wxx zL6A;CQlvwq!5}20I|gYfk%pm;(%mf}-3%dJN)07QH;D8MFm%J*J?Q!V&iAf$@4D;0 zf5=+S%=_-W-@TvsJfG)z_CJ8bN%b)OU{}90Dzd&ma!v;tbuV!P?AuJ&tOO6PJ6D5E zC5q-D_h){A#w2S*i-X{Xx@c5(ID_uidBqZP3-_t$OqK@v^D^)Pvo zbkO`2hvM-qkbUT3Bu!bv3|(_h~e16+mD~pfV{k6cXa(AdMRT`+Fzeq#PuG)QKglX?qRBX z^4T@@&Bx8WeBPfFWCIGQs_?AWadba)Z>lz#y0N~@z88XsqSm#iS_jQy6_gag5tB)S zC{jud;hp^10P0m76or6ttdbxRd(;C0b&7%h+O#rk($5hTQ8on8jrL4^XC3^%9icTw z8ZsGi4-XG6U^t(HP=O`SF$T~Zlf%WRuJ9O%nEkPeG>JK(?C_H8SnFZANI?R5XD}mW zP#1fFJhUALW5u|JGkq&=gAjaE|MgkpAkw1kHG>Jpu(108#hm)~S;7%aa8{RImI`F5 z4aZ{?hhT8TZA21gL&`pzN!_qt=>>WgoH9o97RB9hGBE$Et81~`9C%sEccPU<83$~AbEHCH8NyHGv)@?wNu@GZ(E~>f$ zn5X}`IvN};8u7`=gZlNS3c3gmIO`p4BIZ1WJA!uy;=&6S6|SCj_1&o6Lxpaf^!%(_ z^#^2{muJLL_{xaG?!{X(Vm0^;<|Zn=crRMj?%#GX`sEN}rm7cTfKZDKrHT-4Xn3&n zli;)UV#!-Yn00_^@AMyxEt30d9n7xo?gj52UcJCyt7966Z1P9q1%Hx(+q_YBpjTQB zsxO&0!=}zH4E+9?ugqnDTY{DV9!{gY@N0Nc(qvDp$&&f34&^~XAoZeW)xH=fvgW^? zNKrhAr4th#3pdy?AP7}O=K&we59BCXSV_bZ%m`gRq@x@DBaeP(@oRxrwKe&6D`C}E z%p*!!6X8$_zMgKJ17_9w%sanlC(;VsiZZ~C0UeTVgPd25UII+e*D_KR==U&-8jufe z1eDK2g=t8&db-~Ct%>p%y7ZZ`48X|7a44%uHo?ipxF*$75|27A=jWfJNb=sFW@y#E zqXld$($sC9k{ak+>~Kz$PszNARB|P~Kqz?2fy`o<&ZQGIw&^l<^pqGlTc0CQT)v->`;nY?@oLDcHb!GR>GS!oC4UCDJs)6+R&Hu9!C zke^MKD&(ZHj0p;A6dHiuY7BtM83erWPX;Ys2+v1cTuO0!O&^&TvWs}AR1-+9UCM-k zzA&G_0wEgyMqI1UBN@^pd(X!HWYMV8%W|u9?WAEQpc`0^bi1y*epW!oSZe$8feI}W zm`XEpp0p|d@-cbpYB4+vicS|`&8epi>9u(2A4~vJ4jJeN<^!q^yas<0MctoZN=)`- zA8CTYa)A)tXUkF9O&3s9sqtV;7h?@6q>F_C5mR7Kw<}_3Xo#@&2K9U`FMuC5(cr=f zBw@~&-=I4JK$)ZU=P!I1ia>>%zkvr&5l`8Kw>epf1;W~z-Nhh#`f;u%`Fw8>fku>$ z=K?V~?lClDp(Gb^7MGBafUpr~e{f%Rd_7>bNF<`sZSU(eCCg0E@7YGrL{q=CH)Oi~ z@jw12wLA!KsgqiRD7^Zf0&qZYibD65L~krT2Qpo?usIL=?%lAYN;xQC0BGC=8I(^! zwM)B`UDN%INkZUccLGY-sAMjPboS(PRs$s{3D|a{jbai@NlT*YbBfC}*wms>-@pI< zV+52~)BlkS-l}|k!!ss0JpO%1c+ZD%}U|2VJ_8nAm7;$fGjOaac0|jVo(h>q^ z=+6(I9ZRLcGl|v9)?TweZXCLv1%Z8nn)DofaJf9HUq|f<{2=yIHODRbeY!#92O#U7 zgF0oeuYszn#bYJF(s(1Mbeno_Mx6k-SHsn=PkIF#&Mz(o^Au^E2J1nQEvF0tP;m-F z;W;RMM-;$K;Kt!LAM4g&IbK@gkK1#v6F92$JUl8z_^(i4Ub>()PXq{=(|`o+FQBuQ zy8TT4%-C6E%`?)d*i(o?EZJqs znL$L)pwur17<&!OV}s&M2@XJhWhM}FuAu*DBxqlTsm6r~U?xA%W)k@2@Frz{Tl%vS z)EF;>Yl7lR_lStHtGgvec5gWU@^@9J*G(C_AIU(qdBLD*2YA%#0qU|gT9g3Ai{}AW z&rt@Te;3yMWoi#~6HhRf8wx`$OWZDUJn4D4oT_utlL@nR&hF92sDc3qLEfsmR+S|&$e%ALk*s7(;p#QGp8#YYLHfaJ z)8MMB#Nm)--K%du5o7q!bacNL>>cR|G3QAF8sz&Mfws7X@*Ex}UP+k5^Mm&2ktvos zBVmFZ`Q1wn(Iytq4w)$j4SErAgA!aspi5iPGl?yR^p;FxxF(m)#`P+;tyw&&_`c>T zozGz7y0!|;g%8Y)NC)S;>zky!5r0i~RtM8u znH5X$`wq^GNPw0&ZUA>esrH>=iEkgELuPs%@&m{O0~IK@Dk>=+CZ!VwQ&t1pM7cF) zz+eGkUPeFv%4VnbHi8C75&+O-J$?VDrGDodgW7k`*i%49QTYoYX<4=Gm-rjgHF!E4 zF<1H>tdk9c;j;Bhn6=Bx>TZscq#ody(F1Hw=k~kfZNt&wkUwZ>Xhw^W0QJB;{pwO4 zS}0w|iZ-o~gR<&3LHWiwS%N?z^q(#0%i%F2K)xUYG^-#0vo3<(zdGxxz`HGMm;q$| zGLI8`>*?xOjKSHLpal23(|wB)rja^O2EV8W@4irW-1E^WhnFv5yu3}j03LNRSpKN; z)-9dE;vsyl%4=h??lMN+gG$}sYwe5yG$VB&8*|1e!(O19C3RQC z<5+2yAIt{S|H!Pr0a7a5qBqUAj04e+tc4tJIAQ0(Q$`&!UBYJG=&)fwp|1566W@CF zCCXfU6=T0LFK98<5eO~BvBl^|A4i!a@Y&khf-2oNu<)ou`7{$4BEcf(`X6*}i)qJ8 zL{$Oa<^#a1MS$XL8(lFE@c>uX)F(%Z&qM_0&uC z$bt0cVpB{P69o;e%Rptv(FQr{7>0%qA3iAME73X`@PeL&hs6D9nq7hn#uOg?XMYfM|LP>W*yN4yaTyYqy6I9TLSOYr>{a51fY`( z8$B)Kv|vLh0Z36?Qj%dg;d{<2^Fce>)08Yj6as-vsaW%flmYyTn^v^PcfUT`B!!_9 z^rfWY^-hG;F-$WU9)RWrg~l&AIcZlzVS7_TB;bG;othp%_NgiJpU2;~+k`OZmbCnR zDmk1bKi4+_Mc^vTHii(Kcqj?A;BjDyx29pcC~;Zc{G6|QkI;KMpqHQKjeDL*!j}H? z1De9DiFTu_Q=r@v3D$hbxclSxLDgmtH@?`xkN0|0h57qah4cKc%XU>TwCmA|HZv$Q zik4*OCG-U`!a=u9+-g9U+0q5tUwwd=T;Yux$5{`T{CX0j3@3TAh1eyiI;4Jo{e zr8iX&775X}$7N~+@A*;GlE#nHvnh&^3i{iy1Neu?4q+nGJ=3p;G0$#!5(Sq%jC!Lh zN;5Uq6;Za$9M)S@rt}poNlIfxRBtagBzZh*2y&%#iHW4b`olGTv!wY zF&1ASoSUhUp(7x+w(gNut%qHg8!l`LCn{Mg*qx7q_qH?@Uj=p;ZMu0T8DHR=da?Ir z1jn0@VOV5UeEC5eIhvT-+GTc&QhZTO2TO59*LI4kG;zvb)0$CMyF0Omm zu3fX#?g{PL186USzCBJ7rMy3TkCGI*)T3z=`%`cTi;U$edM5Yokgu#Ekwx)fk4N8e z$g~>k@@Mfi-RbFwhQ@iCChzv{1hS7eQj2Jv#m?8Q2M0Vy99SFxUoY3EFOAtnkR& z+op6LGHTu1vgE;&AXm3aA8Ot$vDZ4e*CTPng9Z*^)Wcf)y=xx4d7Y!ec=xFBGYE7E zW+_QD@yYRn0?Xb>_)7nr+H~()kHHr%R(G`<3wd*> z%4(rA9`GESKfnUo7~aP>PXN2*4m-G~OpLh-(zaG!g z*SXDQHNfV`JpK%<$x;^qQK}ZvWJ^~mG$YBg@_=&blqW%GVB$-;PJx%3mIT?&QnJ9t z#oa>t-m}M!2TI3YTSd?szK^r_TF^%gEc`;8KtwZ^j-nx7eYGzY0vZ^=31|4~aJZoz zurenLXzX2Fogg{9a3KhoyDEgE!gBtSJ-&wkvl|C$JTThdpO3dlckiQqtDafN>0n$J zv#)egd|Ubh9=g{3I�ZRiB-0I{~`qukcCUZU=b^_aSLo@7_mQGVPf5v1z_}sX=Q=WjgoU`>;s^@k!zx3C3=X zG`*n6@AuA~xA`4CO6eQr(McuZmDE_U`)Y*qawt|Ah0T_Fn&x#+Wj=59&uQ~IjHS6X zDdEqxvB~2}yHx(jdz=2eu6sGsR!>Z?&ie*G%}jeW_Vo8GSv)5LxmC83!zw2TIB~KR zAAEdLab0A92}0X;iE&6uq8O914OXs!6eihVwiw-ThI)yjJ8os`+l(N!fnGm@R=-hb zgeBPC&oheKlcI4t!+!r`S}t=uKkE})F+YvFJ(liyRLZ&N;_gQMf<_e!tK5~4V6~>& z;_mDt9$U?Zip`Pl&&XRR@^ok3D=)a|w{CrayRGfFZ?1Sx1gJQv^n|VG8MG~o0-g7N` zBikK>H43j$%WDRR$5&@GitiV0r_CJMhUFuy-a2I(@NRcSe}p5Qrmn*DDGLmP4$*3c z_vP#6ki8Xg3oYq=V*C=7%N+Uelr&gXXuV)G=Q-&}vNASb?)Vq0ILM1L`^~D7fx>9m zon5p3q7_ty#!QWXm&b|p{#g;tZfI}T%AdW-VyuOv`Qa;!DOpo^qe_t9ni*`bo^$R={6fSMk&9_Zx3?8i&(2?ff$IHm4dM|4)DUl!Wi z$M6~}%$y#_SCgbLc$wTvpOO9fW|UHKb?!9pOx(4gPtNk>sJ|u4s-x6WyDPHAs;#tO zG2B`}h1HYAHn*{s_dLA%=7yI$KU8D0AbwfEyZJCrhlJE_w+Y=!^@!11Q zN3%bIre!0%vr5o|yADcqN!CJjEO{^&y%0e&hQ4R&kkeQ<4mPjIdj?=f4l@2;zr5FJ zY-_UxLhNB~Vb-sY8g#%y<&MqJgC0}BhQ$SG@JCvYQY)E@Kp*zpPp@t}z2<@pm6ssJOXX*M`j1iSStu z7Ntjj2o%9WXI>%uB7t*~jd{KeYpK1eZ6r;nj~)efIc#$#V-}*zCtGH7xqN{Gy1F}3 zCJFPBy+gN4HFtS=S%!%?fUVZN4=r|;{qH*m)TF1=^kE_wfUlDBf4{p;{kx;@??{^* z+$<+DHN_ck;Je{oN)ldelgP5|E{P!3yn!zRu*$9K<2x>c!kR{Y4by}F4DeHciP9@=pWGu=$~(kHXxKK; zFKjt~mV`A|4*uwX=Ia+RA;t>)6kv{DDq7HEB?&}6V3B_B18NBr-CSMZpYmwkc)yg^ zt#W#LeA6lej{wZF#c5p*%rom03uu&Tl{U;~ymJtq%G8{nyysn_6*hV;h09}vOg?4z zet()UOqXBmXO`iMa*I8)S)2ZwV79-{g2+oPSGA9HGel$Xs5zg;8t<$qo##dJWBo9p$DmV1$}0uB%m3t-4)FG)l>>; zLODJA5dNmQwQvBb6fFaI%J9pyCk_%)?|4IBs2U#m3}v{VN3VWnGhIPWFGdmEg4W4J zv@?PY^Pe{X_JaU%RFv!XgX`>+<6%Mk5F}A*JC{w1^M#Pti5sq1`u2UVk2UvJ2U0t# zZFKQVp$w7*LJLvKwN86X{$SwU!>g|cN>d9JiuY>oZDqP}m>Z+gW|@`B?(LGYd^o>x z#|RXID4fY>5A98_HqOdrzeiT4z-~L+h<}%2npzg|66_*8o?hYe5nqaQO#!)voyy!Fm+{5;*A7e=}lr}R_@ zo#^PYl(MyMFZHF+Ogbz-iyR-hikNL?+VNO`cAv?v7w)dz{if90J*ZjSJl%ifd zEE#OO=dw8wtaCs~(b7prAN7r2xJM%&XWg3ltSfThmTKLy=R3ZCzbOW7f^pYF0VSCm z+To$0&r?ss%HFuWmT#P?3qTZ@l+p)L2?o2NxrVRNy`ubvEcM51^`u+3-2zi}`3;9O zjlY+h{v}VrPa<4xt+p&>V>ls2vbh!`NEw{K_80m_%cs{Fbe6Mv^P@m+G*{BDaGYbc zpzr_vTrz~-<}|c$X3Z%^)EtuiDgn2_*;;zcdNS%!2yDlyZ(k@@s#3(jQMVJcRHGaC z@=I?-VMV2B+XdZHm3T;0-1FHuflc??+0j;e%h}-rhNWvyN)3FhG+~{E^xeS@d5R0W z%W@!@rCyyGQmwY%jL&Y#{zs+!BV+^Tw%N>$I$KAtZjrB2Nqy?crQ)Ki z*9ycZv4P(DYZ?fu*G3g(o=K$rW1Qg8wXCcb{BJt(x3S^| zYG<;hU2QkTySn>`yzB>b1HVn5C@i3F_b2{0W%uuqDc%MeE+G#ioK;osMXhe%xpOD@ zr0DSI2*f=d#H%d#W0qHVPHs=v)?Nyn<_!b|MyH6ZE54DFkm+50aYiQ~pv6w<87^%S zad~c|T3K7qn7Kq-I^PuIL)(4|*M=BJ0v1{fRRU(1~G0D#&mn}u`6 ze=Us1-{z!~g`A_sz8-Pdha^k#d>J3t)O84FsO?Md{WVu*`-HV_j=cm+^Si0gr}|v+ zJqePmv~;qk&53o=O)fGLoeVe@XqqYr{9-NNkp zIqb1?9h@i6td{}Z8Z!`?=>3oS;jY^ZAHN3HaAj&HdSDHI(5dLnf0z*Uef#B2 z`nlFXP+P4n?t!dzzgIsn;eiPpEU`Jc+(){@HQYfDM*pu7*8duz+`eIGxEC#62$%j- z9~r9(sK1>X&q~RFG%S zo+(dN$N{FgAD|FfS`D)GGWG4kBj>yj7|IC2{C{mX&XV})5w_B|SN3Fd@^V9e{=+wcc2%m2_g{?AtY0x=m`*KNer z{UnRj3Zkotrh)%8MWFl{@L7)Q10N2>LnoSJiFOW>_qD~OA-!Ad#=mZ?Qp|Q%F(L1K zfHA(M9?gcYe4?}rBj&_HeeYWRmMfEyOjV>l8LiQC z&i;#-&v2xS{6wK=Tm(;w!PdmAne5=kw@JS9rnISKPj8Pi@2TkK$Lqsc2fBYnGU-E0 ze4}FqT2csk%@cTG*wFYo_JelwvlW}8qWY%lPzRPMBmv?;wzSeK{MUKRB>D!aKvo{P zTUi~lCkotz;SIH{-S#91p7%b!VKJO1Jw1n_WhaDm_nXI?Wua3$ zA`8u?jNh;3rNVRHKXhX<%L%@p%M)d37&v=xfGXlE*Ddhhh78tPmFVKDcb)Uva3Kme zAd6w4%H*`B9{!qP_CE_4;iNUpTG?^xisP^Rd##hv{da*sI9F&BNOnIhB^z0tNAQd1ReE~o-0KhxZT zXZOc&T`uoviCZ;koT|N4x+TR=ai6u>8|iLi)mrJ|t*NLR#@=ExIQF-A%PAq{%G>W_ z@<@ZrpeFRj=$IZUHAT3Et^AAZe(YGx=_z0LEO>H!p6h;7sR+%SklO=&7V8CkwArx+dWz_P3e?%lQ!C#wS8rp=XYgU`2?d&C3kTFy%w zL`w~2Y#WLOb4__cZuIx%$cuw;_pS3AkMlKkXAw4vePz->QwOpl7sIG_Zj*56krizm z-N5ELkBKmf>j>_8IqdXqznoeG8u}LIH0YVy!wu@6q2=B5+Eg!{7kRBk@k;%{E??_d zX4TwTh{XF|=%(uU22Ei0?Nqr2q7nxDy@Ip1+Ri&eri~+ol6}r@&5Syat%|9Uejy1s zM_~hHD=HxLy3j`>L~~?2FO7U(qMTuO!#c6@S_68fWb`E!p^(Ctp@D?Lia8C2N;@A%>w~bt@ z{nDjugPxFwjIPg=morM+=5DRy5<##UOJ+$Ohtt%EtM}m9wnB$;71;l=`@VkCf>dS& z=pKC5_OA^EgC|J56pZ-ZvJ=IGEqQTOWyTsREBlXjZ6yWqUQ0zQI)w0HON=F%Wlv^&#&Tft^ z^sf#GZ46Gsg^E*mP=)M*ou{s0$)BHoIjt)1`IEm`dNG&XI8Ixt_E8^vcUQs3faEf$ ziy38(kW4P^pr!u79=hy}b!VD%c`f2H;yn^JspZuo?bXZg$V=M95L@+f4kNPH79AdzF-{trkAJgiI|8tzEyYsLp-WlB2Gj7`)32y zxa~XjMA^{Qml2=rY~Te;Q5&41%_g-!CUT8O-Ii)A(fpJVFQ_TwLsI3Ojg0bwjuAPq zvi*>pTl^Q?*z2ztn!1}U_u>%10wsAbi0W5vBfu*a&L_~wb52!>kbAp)*(I1J;_)HC zg~mvJksHw%?^Jwb!0mRU^D%i=^mBp=Hn?EZ>SLy69(>Jk1LdO*`7vyDX5U7}JvzBk zHrLWYhg#R;%<9o<;qk5f>U41vu}iW&I4{y@ae#8isR5?$ZhhvN!ZKV`Gwa=eC}4Er z|2Cc7voB!pjjhR2OrZ0#Gl{Y*o|E!9O+ex5>R^*J;)(gf$3UEj_QFR+BYW(teD&T# z{o;4eZ}0i{sSX_cEDssAdI5FzoJ7b~MJV4dcRtlQs861A7xJRmC&a~~(pU}`Gs7qd z&9w{c3gtw&n8OtdR=pD9L^VD^Q^ry5UGfRvx0d#uGj3% zr!50qPP-E7E^~^Nqjd(z5nOE2a{>pprZdz_t)b~H%XTcJv22R@%F<6kB zC}3LN$dbYdDLCp5Kovb1b-xUq;uLG8Zt^T{W8+fg*k|1rNfSSzF8j<#S2-Z5cA7P% zVLS#e(ko#q)ob=G8ZRw4VAX{E9LfpFH)8SLXCNBRl~;l3TM#<9`xd3?=Z4q}@3z4s zcI=UPqMBwN;x}NQt=_wwHogamQAr3Xa%%Ws3r+8KY>U1*^;sWHZs8Nph9jhN8gX{$OHs$o9g-o_?PuXLZrp2a3tefvn)3IX z;5Nt$eOR-Td;6-D0+gq)Jzl*|uUywwF`b(bg7^_Y*CCg`NU=$2V91`!8pgn>gZn0^ zOxjS3+v0|$b8!&Cs9b)#pLHdXqu|Tg6_#kZ*^?o)ORbq$qIXq_Swyv_DFFnm3tYkjJF^Q^_-S@p{UJ+4dMLMI(PM zS*l4_iMZa&gNAW9D?P-`>|!l9OMuFi%+vf(asUN2nh*A5d1RuG8oZF6I3AYTPa4Fs z9q^(wM~jBI^KTVE$EfOB#3nr=#Z;j)&5o3L&gst!NM$o7_B!4<&uhn##h3u#16Nt5 z^4i|bPiV!9a^oG`I02%u2s!_jC!5nmsEfliXelhMwy=kNeKcy1W*(|{@O~Qi6Zzu zV3!YjLjnQA{c5?cU1ka~EFj^?*8oZIoCX71`c_$w;w2UV7*yL0EE4 zXBBe1{Pm@t>PgM9;TEd)-6fT?^?V_R>sG*wbWA*_;fjYhVBZ=Bt^euq^Qe*=(QC`) z-5)W)u*A@}X}Yg9zajj`0mb7^ESiYt1E9vlUhY%tIkLZRt9rMiUwsT7WkrAOPo2Jt zYB@OB$Jno$^kP~x4)b%OU7DZn?Gm$vHlASroWD3zbMq~@SelRic3`4CHFA2wYbn0x zWp@{tedV~cL8Uc!G~4>9I^I3nAc^Fs&*ZUrs#bl&nGiAt582(7NZuesU-HW=C zGb4Hlx4hPp=^AAKzR4#YU^k}-;(4?0XqpZcF|ItHCt6vQ)!m#aC!Uv2u{k}X3=6=c zE=<#xg4-RVq=h4V^UgPgpqHTb(`$J3w?qLO5`P>TAIe;4^wZeIxdwOA)cV6OUkvGu zQ-@C{pqq5PhgZ^LaW}4)St~!VegJsrXKcC|6*^C#Gg6yoMa`y|qscKtghws%blU8| zIMXGfpWEiaG&`D_0f1#;d%4EzHX#FLBrzK-s<2SmKbF~|3G0HFpXZpxDb*`j#F0hU z<+8cCOQTjyPY0&fXY&?P=1HrBMxW1?Iz=@-b^bWxrZRnJWVgrNL;aIF-wEW4+F$% z(>a>)43-tk-~2stb}e+Xv{441^sYJ0D_RR@&`E!#>M{^&fm)Z$S^4z|8b55@Czpc(wI+2&+eP z$!)O}rqS*3vtPE*?cAdkOifk7ghsRcXJk4o5 z*mZj(Un1reJ16kl?om*L3voXATDqybeJsQ5jG747IiR2&Qfo0;w`W#qeC)wvD*3fl z63|IQ+X61z9OBZX%cD(4TPpA_P1w?4$`64;qrTlC8{0V%)wX>!B>sKX+b4?Mox|zl z%i$x(x|PV~zjCor4(5uBoBZ{e(s@rNT3kpK(nW%94OfH8pIII`g)dXY=zC&efrWe^ z{YH_5h+X-n#Za!~%dR%9BgI2}d#in|tN}cUo(>q`{I9pxY9kMUr029>=?@^uh1c31 zaikp0L;j=SZWinNorWbBnAYjnnC0liuS%yqbPyG6_*}rIE9Nb=X+FnUdgY?fO0BYo zI9Tu&n#x6SDoChx-k(YqYcd|QnV`~rax;IKosvJ_NxL7BLYTm*-`d~_{j^$i|DNNS z#y+xtAIi(8+jnWN&R8RTDI9q7l};CX;g)_OYJ} zmKWn8W;~9=$s=wTDdU6A?{u@jMUpt&>~mvMwpdxai1UqSAVbYJ!u;7tO7zse%{r~G zF~;2@jP4U@LOzCi&SR(F^eBY73HF>;<-qmeAGs=*`iz-?WoGYpZuL3KP=+ZhL1rB= zlIfxF$Xe&kciUgQj!pUtLAeg}B{qnN6pt;_37yih zK)p{+0ms(xlsZk8k+*NTU^w?hvg5k(7^9xx_%=Eva1MR;#nCPWm)`$;Kn)!)6#r2Z zira1PYmuYTrkE-v-i^&pL|X+*cln!smvN`$^bu+(n4ht+*SV8$aalqb(Ru_rtXt)wETG z{eH}Qx`V2{fjSAhq7BuH2E!fb#GT=7qybW0F$u&pe3#4nylsa%?Mnz$ORE|N?J|)9 zheyV^1E}sW(P~ITB69K$Mze&AoZR_wKFNFQ z?p7|RVhd*CH zwEh@_UF;)irs7MlDhes)J^PD>U4v12S${EE0(pO`9zEHwnjh3{ADG7#YpXN~7N?eL zFcy$_*1u#-Q{$vnJy|i}FLLOiGCtGX&^*hQNw~@1KkI5H#=fK1w)$@G{1snB?7=-L zUgQPiR}9rD5#+97hx523I6Y_dPCBo3U85^aZS;5NM=iYk5tV4@Wv5;tC9`p9Ra1{5 za7WJ-O~I3AQ0Z6~#n4oB8&!2t8he9m&5tuW_WPGT*Y|lwDzrw8EJdB_M=Woxeyij+ zhQhnQ_kAWGrhBo1Q#hVLv0@3uhd-35@7A+-v7J$eaqewPXTjxxEkk55(h=)p$kbG4 z!UIi;g{-~O;@l6X#U~;0f3yhyx$TX$ecVmR_iu5?GmTM4@)ScCih)A(}aD5b62$>q^tcOgDrT0xXQm^8z4-;Kpai31jM3` zwFvZ9bY&bL)o?t>lw+E`2ZhJvUlrYmi+ha!Eb>wpxS@ua?j4 z^){(i%JgbPs*81NLg=rAdlXDbd8sFc)WOUn01zQ&TD)oUUJV4zh)=%VuML~}w(VA7 z8FB3KZN18=m?y;4EkfJUa#fDBKVu5};NWN!L=L*PDw?j)lIZ6!MOS@B{&+>5@E1tD zf|F{KwM+&oljEQ9^VRt$^(NXq9~ryDs$WbOo}|QklwVJ(Fjp~klU;h2f;aVJV#U~! z;N(siOTM9JrddF;W%RkeoJ)a|Tm_8kh5t>@9k zBR*SGt+FP?@ay)C#6k0$J^XCIoD@wFsYt7|vLElBA~ELqsKykTKI%b-r)@B%uig`#;auBUgK8$&>%BQgE= z29Kh55!-jOC0juY5MMy7B5cKI-s9>UNP7);L|eo3N}gbt;w*d!%d>o|jKm9dP6E|u zE5(z8Sp$E_Qy-DfAt%YTD^7m;LBos@G6L=##HrKPn*r*%i z2N;+swlNLgun5<4+tEPdajgc(tf8f(M~9j9{X+}WmW>n8*%Q@%#UsTvs;pTe z9@``=%Z^%Rm^DcqTd)1$A#{9yq;Q@ru4ug44ZZ0HV9U}@kaBR?e#3*#=F0|}@<&LU5QDo^u{@U-V*JtYs-hMM37%vV9P2yRctvN6Ispvh76po<4 zHJi$o7JsCVuWH^zI zgYZE$Q-|S@dzu_a$ewq-{|2w}pe~MJM~-d&d#O9r<%N`!K;CMwB{{l>ub-G-gatE^XOch5UngjE91+k$&(P*=ff1!{7`c@ zBx1;__+=cOr9zpT&uRwznA-9UBiKI5dM1+zH$Z#&p)n(n5JZ!DasHX_443U==s4EF z$XF&h!0J)cg84J1pQ=}C0|Vb#-_;8t48Y5*S${sBO0cWf{guw!8)toUbsza5&GfCD zX#Z!_(pkvPu#b8!_Vz?VmE?yuZciC5MEYntr{c%tDp}oKk+kC))`<1(7WYv-HJ1;z zhOOo_KYbM81vEzQVv9Bj3}Bk}G|1JioHYGMU)zN7)HCH)@(ZV?PSPx7f^g%z_2wLZ#8*8dvZrqDrOkqMH3;Qw({!(9`Dba=k;5M z$DzI=n)bKkpwr~x0at+SAL-$)^u}^aJBNM=>t*DvnQ9+;A(?V(_Xkv43DM5!BDXuL z!;srvw&I~@j$AsQQ6FYb?jXka?r2VT05FE^Hs#nv<*z{3V|UR8$kw)_R;;Krsp4Qf zmFiZUOBJIm?xkM6K<@vunVqq?GsNCMA>Y)HmRIf{8vPy$mR@piXz9+ z8x0z@O?He+&LmE&;7un)Q#3H^oE)aNup2&qCWVHU%a~@jF)3brZ;t&{*>S#5D}L2w1@>3WzE*{Kg87 z;U%v$1{hfSYW;0e(xh9&oU|jgL@+Y&*Km4QyI7f-1OF#OYWLRfC<^9B|3|W1z#o@1 YJ+fQe@n2b0{{WYaq=H20^Vc8#7v^5GZ2$lO literal 0 HcmV?d00001 diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/generate-hdl.png b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/generate-hdl.png new file mode 100755 index 0000000000000000000000000000000000000000..377b3e31be50590bf6803e314563ed93cbe72cc0 GIT binary patch literal 38035 zcmbq*cQjmI-|r+M5`t(EqD6?#PYY3_ccMkYAS7A@(TyG=(Fqfx3r2|+-RPqC=ut?qdBTf~&o~o(d{!RQE)kt`l!fnb_k6 zOaC5!q6Ix7wwNX$W#}|EC%SPXIw^Q#yqHf!VbS+!=1)Xog&t<3FHN=X9cyk zWm7*YQy;P`neAA? zw?tuEoghN0C0_pUGns{jUWEthHR){2SxUCQv*1i-EU*1LBp!B7RoW#f7u_Ht%GAzO z)UNkDlwYviUI`xbKo1TC=MTG7nCjf7rB#*tM1r6de)IHjV|0Br&F@jgh2mnHlJo<| zl$COuVe7Mlb(h^a8Kl~+OyB5}uk{`u6#m=!wl;ns+5M_?4MsPORtSyddOz#Xh*b=` z!;^8eHKhyAKw&=spJ=J!EWAA|%2$zmxb%73PUEHKc(G{?t6JQzA%xm`_ZKc^QaXNG zKB+UBkWHbT*?P4gBI>v)bHNRQkl2K<;Ai^AI;#H~xP|fj8@tbAa*On(Oq*C~q1aZq zec*NCjH+4BFka&td1_v0I{3UW`(Eq1lQpZ>QJb1cK8_P`UAab8_HZ7fN1v-VoIx5taq)~tj~ zyblCpzQ(n2dKm97_pl{;9jwWm?yu$~i8zG1&GJ2Rm{r%!R{zFhR2l3R^xsYcLHlf` zH~j4V>aZsRS019T!@>6A;qtXHIJ@-60_tCmu7zCR_45@6QYAC%y^cfOc#&!;Vik5$ z(9q*i15+|9d;^0!>`$M#i2NN|74@Elu90)v;>#M>O?Hd!wJ1L)le)``^U6b1l>?*e z`cTkAtyFGR52}C9|HJs9b$mxfY(Xc5)W_+L#?OT`kb;gGM!A)yl`r@HF|V1XwA&mj zOzhH+pcM|~G;Q!6!JZ#yZqL?pCEgRU=RS@IovinAhr7s7*o+q$I~gpZ7c$d6x0Z9K zs@E5zYf>d(I`(0qVvY;)da(~RmMU{|EqdZYB<4+pGylG_S~GTBD@t3Mt5llwu^f#E zJG-(V_Tqe}GHZ08A<1W9eV-4)LVUCeInQ#Ro%^Ag64NROQ!VGh56#$!;Fw{M_Ener zc#CWx%Msl9?f<$3p()w<*qE!lqlrQ`F&@qS6e51R%>)@~lqW+YdAc?yyYmY?y7mTl zBd8g%;jJA)_tEpU=ixMh-F6Vj3QNd_;lFhE@0I{80b(lGHvy1US|D@Q(H{z2SLR3hz z--JM#f(>52OrKKSORU)97P$hR$Uo>v&U2#hAa{>jLP~b3B}oNNDesc}1AE2fVnP#l$Jz8e>5%U3_EjC=^}`4)dJo5`kDyAk+?WvdDe+9s}o> zJ8<42Wsu5prsf-LZc$nvnb==QTno=>*18SOMxK5N;Uv&W6yB@K<~)`ate3aUJLoN! zsvUB9m0h<~$%z_#=w@ds4_C#T+2_~X6-9rayUvr>_#CHBx0P7k*pqn1?1z>OrAbkq zpKYK_ZoDDMq5UyeohCGfTtxbFje@*tSPnnQ->Z#b%lUb_KX~lZ=y-RIpF!~!S45^T zPv12r$ICG4q5fs{mBv3WW$TyBGEr2NTTwEHO{X!nZTkuF5%Sgi%>9GksZkhdo#n9T8zvN_{ zjLtTCJ|1Y-PbOlcqhmbH3zPh8h<5vv#kD##Q}6T{My86gel!Cg*HfNds0r!Cj#l(9 z`0xwFuuBBodE2NM=8n4EmtiDfvuoz_W>;I?_>qXSh<_^+Z145KgwoFNfb>xg&7#t; z5&jmNU+(pJ&;*^m+aYRgP8RGIp_ESd1|w(A*XBOIa=PbV0p~JSWij}|ZupgunwzPL zEa=0>!L^&Q>vN4tU{k7|`Wm9kF2oJpmNkc_exynByLen-Hx&oUi@NK^$Tv_W!?rOJ z@1u@D4DiM1x!NnMwyNqR)l!TG>cEoa*AfY|n;~m>j@4P4vXFhjh~^TU+r_ z);_~sX`BY!N(M#7)E!x6Qr}IJW;Zk{^dVb@ItP6i2t;W+VoeUQPtY{PGe4~MNg=2M-*d@ zajjcO(>55^Z=?AJx?s1>bz1I*3)?>9g#Ly;xw#!HV4>=XWAjfTDK@T^ zk=dIvTpvbcM%o!Y?a7YF)hyhgq@q!w<}<#7ovb(u)j_Ds9NLa&Xb$~zd=(E7_LKe% z>ic71+XEV(JsHXM0Nt4WWJ#_2Ln^s`#rE*cLpr@YOp=~K;ut6zYx#VQ>E5vYU+aRa ztwKm-nIG4i$=oZWMcQ95S@)Xvsrf=W_`g5PQ)e70RA3FgL$-gBzU>7Uc77=4wg6uv zeaT{V^mfFUu*QBmxZ&oIPLaCJ#!!PWy~IIr%gbLkv~n{2h!Q1yc(=sgJr}yyXD|?- zCX!xmWA3LBfG6&n?!JFyX9NmiU+Lac{unkj&3jdER4}AXJ%OiaIR? zgDSW+r33(KR=z>;&}_X|n^7_;qg0kC5QF?N}tQ=o(1RAbbk-8;ei3IRAv@9 zsDP&@XPtJDGemu|<0ebl=|9w&C2#dS(R!de7`y9|f%ScENf@cDVdIk)9Uq432@4O+ znsVRPj(01}`{2S~UL||Y!*=fnI3b@ zUJ)PAY%Ah0lu~<~>pd}~mw#59(d~LRed>a3*C31vPXF=ao#DYM;hEQrBBy@FU8>IG z>+}*%@FNCMmQ)+8{q9r4Q+J4Dyr#MY@r9)85gkG$CGon?_@`%u#!ADhy*VvPQM$u? zw8|Y8l*TpQ3WojT3Ui&C5_U%tll7MnVOtEc`tE)ZNmD1ijWp76|B>sSgUYzWY=)7$ zIRTXJt7Btc*~;J`1>15_zpmEm?%*qPDaC!^J%r2Ra=!K=;+p4TPH%KTr<~62q^4lg zt1gW9da>VFkKH75)?#Ii3q>2kF-I#cyX6yU7*iBpwcMwyb@v@jjYJ``_fP=1*DA(M zDAG_TyDg+`Cw>?-!4|49P3mM0Z;<3>M~aMzM4gA3l;lk}krp7lJ<*o!pS0_l7#RXFn_;rlaK&m##wHUpLY zy=&Jc;VXWhoh+kFOjuZyLI!qpE&D01j3*(~rfQr8-4}f&!aeD%VrE?qRyNs@VPoZ^ zAw182_*dG`aHclv!nq7IB`ixE7ZnL_-J)dmv>HgIVziiT@DT@y+ha=*c0%_!OsP{Un>Tar1 zRa)FSi?JJX7L(S^gJ+nExVX#1=vr-9#;~}b9R1c1AS!PNm_~KGVsuq zAi66lF=)s8CAwo7e&1N^&1gZ1$7!J2a`jSQ(&du~fm^!7#gTgg7QIhoWJvX}8S=tH z1ZCYnNcDQURk(|_4)&PuC#ZaiqWf~S$TZKnHf{m=SaZ5}raEm*)RJ79&`gN_&|8g) zZ%@askR?)sxLeQzK0yeYZE(QSM$v?72sD_?hbd!(R1~LA|F}s#icRm7b&=_=^>(*XxMc_ zRN+N0Zcke#jA@)Le`@73X^=G) zwr5Y-jSbs=Az+oEPJ?*T`^f&!tFTp{^=>N1_yJP0M&pvYyTRvZh3GW;t|akzJMV1u z#b}p0^ugnI%NywhF9e3qT{F@cDTkvN1ZrMr4qeLYxXZ~3#GYWJEQee^SHG~mYZY_y zaVA7J5jzsn=-kor=CDi)Q+7i&${s<$h4#nVitrcsqsV#eGm%Oz-M`zckU%-h$2TYNPM)G{T$oIWU#B!+cVi zVij+KH^y3&G8#3GeNzlGUch3bO?`6>Y9I2L9#&aPI~{yDd9_xUBtpiB$ERDG_0|66 zFTBAN=^&?#gBSeVweHfsM(uQ=_0&z-`t01n0(jQ76Rer`xV{r!wMHY;e1lNT56g1A`DOa zJ`v=4pp%!jR+S9KFq#89Gcj!GQFxNqfT|e%e5vz-7VGd*TD5IpQ0zV9)QRKT4PBYH zSuQi@uln_n#1bliQncmRh41zVVJyofedP@Mwni(4wgT;IkQTx-v-PPz(j7 zO!+w;-%xk!F5giJ*bjNP1%Q_>0DbOjQ9{j$cAMizqT@c&{s#GE>G0q)aO? zZ$;;&p%8D);=87}Vp5+f)+E*3rf%uNQ_gzHb6!>kPt1al0czgj7{cI}Q)+Ft?rG(p zZ*A3!?aO;(?aNEb3QO%uVTG9hJ^&k;sddj&{lYm?7t9C^S@~lEnbaI7+vqCm!3_3`;fLaGf6_0pJAMm0qZ5nL zCetOal+a`f7Y{lx?-hJ^ll)G1=GsX1z=zN2%SEpyOrNPU5ZI;pp5<91{Y=ky&d{j3 z#dzvO5eH4-HzVmkA61cXH3s<*ZP?w769 zx(?&da-Ygv3&5;upJaySKPx0}3&AI(ysZ#Ow?;4e?g;}e?u918x-txx-^?$J+(jH_ zvv8W|#z>w`XY~CAt*k7Kq-PRQ9RpiH1c5wR*d1ci3kVEc&bID~WuqP~wcKUXORf0I zRP|RQiGJhj&S{E>o4%f!5#{&bxWPD|Wbb66_88@7Y9Dq4C|huqRo@(w!(g%C(xZ%A zWefs{E&dNbTcINf7$!&6f1(3Cq@>@Y%;FO^WRX?AK1mcH(iohY-4 zp9;SZ}ld~LXXG&Yz#GK>dRY)e&&Sr7rLsL^zT0zV36Owzi?mG;` zv_i@l-WR3vE`bIQnrDlE(C>fJM(t>&3nf&Nm6go}^abe150ONJXNQ}4h81s0E{-t$ zDdKr*@w_A8E}<23$-TQXn5iW6vI#OcgF>Z^6&mGCM4;28x5f(Zxvu?skJHYQ9u0(j z+MKDay1@=RBP@gkczIOWjz>yi4|LC#<4xHG1a!7BrCU=~IMsQ*g;YAL$hdY0>AWg` z+!N2I3)ZB~AhWj%SV>F`t;^bM4GsGho-H4Vov1VHrYg082C@7oqp9hM;g6T^*|)&r zpta~vPKe_()jU7i%A|Yj^lPar)@G$QK`&F0xX-=-+&@AZ(m1vf%1A3@B6{p_qLF{`xf~EcvGzvlg=e zzFYq$>ySFfFbiZDGFDi4rX7z`BpsRGUIA=>h1u|ODORiXqqxsmxx_VaCM<5=eXBzf zMJCHJlENg{)Zpy*RaZs(5{1LWTsK%!_ZNncKz|+rYN+*c_m{*OoRF;)#en$yKxqih zp)3C~M}54fM@>l3VdpDb+ri4s?fXuKUGEUv(+mV2y$y|NX=!#X>k&lYP?3(1b`B}W z!#|Pq;_@mcr+drGMT4U3;=UMB<3?ZOa_27SyLbOc!uI5$i^zi_*UhnVzf0dfdmMm; zBAv9m;T#IWfAVy5T3rBDW?t#Q(ij|a;tpxH(MB{IOm|}s?+b7M5c>JgLaLkzDcPAa zU?c|z-#u|F`m0!V*uWD${qK4Ux}3nka4R4eZuiSiH4VQj;qk-cW&oIxQ!kRSlT)7} z&1I|2>StQ{MumnI@}oRa;$}au8CATkd^?e=5O!yz%u0(No1V@zn6lnSF)imU8?@Pq zl&bSO-aZ&qV(h9nU!2+>~A&(60d^c}(q-I$znKFtyV|OOoB{uX-szfBtOs$8a+cz<@PG zMpi>UR|2G-m^dDzhq!X(Pos!%LmRs$MT7P5P(-0|GzshkNa6+Ef>J}@qwW0x>8LL& z{V80>IE&7GVMr|VH5En&-;XUS|Me-N?^&T?mk*Ov8{wX}w|8sRn~@My7VeerMtA31 z+LFpJJQ5cy_xwmn7f@<^ChtZI#nA4q;P;TGvN?xwPa$qF9R+aIOq@A$3xl^A_7C= z3S~O=rH>J)w>n-A2PGZGgy4d&IJ*;h@ex{I!gW}WS*01FMa{6^wz!k#?$nW)J@Shi zcvNFTe7@31>M{PTfN?RA%}AsTIgDvLgI+RIl4v_pq# zhGMq26ciMO+P@I3_YUH@?Csj~_kNZ%YOVe=TWBj}_Oxnk-YSZ!rA$s-WGMGyOP*t) zmkc#HlVqT9%ofG)D|rwVwk_9aqsg_Rk&*6IWz)XvTW&S{rtboG$&O#F^KG~ABr_pV zRGjFCh@P(rW4x)X_%HC*#zxgLyiVP1hxc=u4^R^nlw@QwR#EgB0j%9R--Xr{E@$o; z=#HMHg`uPV%&4wLGG_lobcZ!ACRM%UKdYG{g*RH;0KEHd??$~*&J`+dUSd9cKM72s z?WIcdgnV~G4#ekoYnq9Km#SKp|7ZHC#z5XwDMewax9Szr=b=vZ+R82lq8p^R@eGy6 zs;82#Jto|J-aTTI+e7*)&-~Cc18zV0qhSGABj7N~#As?NcDQ3w<8sCxROg{+!DSHN z!3@Tl^Gm)?*zEVi&(?kzrszFNc2$$vS@=U^MNY%)j2jG82#*u@*~}_b7|lftWfmCd zkeJ3V`)tEooAC+@LoFBuHSImhJnPF!KlY_lK~7y{;$5xzTxoDt{#@kPFlxGKa8{Hy zLl)`RP2In_p-6IefBYln_>LN(ni3ZpSHu!fH>`B5Te`%N>w&CV<`34%QDO6~N2Et8 zs9c6;soXQZn#*89vl?40rhV?)qVQ(?*lKTYFPjdzubpOUse{U+0gOwJ8 zS&Pgd9Tm2}7rsQ7W-LXmVo%I&&(ym{6q!o#;loVKQ!#ZWV}FWuE9sDHM-@Flz{S!r zI*%}dV>MC5-P{|>9#CG@V#uc2XB$k_@EaC)l}2<6qo}cj@tkaD(ms2^-3|J3F3wqQ zfhz}p)+r1hxnG^Bbv54p&f`@il3U`($B!9$k=Yh@Z+_k^!_?zB`xdlHwr&@F(Dh1t zvg69PpW1%lSP%o2ghpd=_ueR%^E0XqY0siuMNqPotua-$r&@5dX{BRsH}5cRc=y7skjUNysVqKLm`;=v`D>~|O5UFU z96}{v`9Z3W(d9Rud}?jP#$n#ZIq`B4?%-pVr%XF#amMQ%Dq-ux#o}QOC>%Uv!4KI^ zz#+E$niXUEfu;A^Cms*^wDCcN0`hFkeMtKnEdA!+QU>woxPb%85bhiQ6VNeT!@U@C z=L2v7!H5R@t#pv*w{gW30wI!(#+}$png4im=YzmHfGczXe8~eIKMrcuI4%Mo7bfn$ z4NnRN_%=^Fv-%QQ@$1)95CDKrulxqPZ!SPXBM3F>8lUq@JE%KP6x*EL+RhGNRa^F~ zVn~8#ZEfk+!YkA8u#;|gt0+>d4Qsm4aW#r)4;)Yt;I&M!a}NPS#^(1Avx7<7;x3;Q z3K|*}Aez9ZrrCffgQJtkzLGCo208%#Snn-$!6m)ia@s3uP9_!6%@bQialgj|rQ+Fm zPnSAzPKPfL33>WSr7^pBeP8+Il0~LDr1m}qW^m|c2j6SBFZZ$2*z``?=_+p#Zzdc4 z4kWcH$F$KmaA`%<=x7{upb!|f{76L)K7D&?yCo-A6-n-t~A%s(=xexJ5=Xj%As)(fNKt`{^WzR&EhbM4S?Hh zK*YfXd*;e%TqA_V9-}Dl-ev!Y1skzli$FumQdsB4X#V=zV5T0@$-?vOAW!r@pJ_uf zPDR48k@to`-pF%YYzOIu%=j~E?&nX{qxzCWwBSqh(!S8%D!{%g9Z&5&M!)N4BqXFX zdY-+V{~5H<+BGcZb0(ypr=t+w!DeP6Me-Gv05h&wncv*x(h8ARc~xaUBj1Hr>vt&y z;9-c=`5(a<*U_XE;91K@H<-0t_uN|w`K_4dy%+Me=%?>J{oJST-F9X*fci~6JXK*! z{e?sKqfU+{PZZfJ5DPFrtJ8orfRh-ek5}vWkVd*~CH_8=AX)ADUGhqy3w?n-Z#x0m z1&S7Q={u$p%RbufH0j3QJ07(CZ^d03YF?S@X=&LS?bcY+KX~l49x8A1nOZ^jG52?n?}@&zK>Yb4&QCa_Jl6|HmqhZCb(}^ki zmVqlEm%Pb{<5>>ANO2w$6aJ2gG%kZ-1C560sV0iIvp&jiO|z>as6bl9V_o9siKy;= zb&P~m$nMQA`Q|6Bx9{9}STkN|6#vM6nmw_lrKL?JLotFj0}BYj!fSqCjK>DxL89*k zNN!;<82OvDT2bgOq@<%^LZ zZV+YP*Av}9m0GBE$Fh<7Tw;$iLRF&j_pP8?B7o3nmo`%)_g4piLz~3^N|E0lU~$(~ zv$(O+HI02h+ZFzF6HO?o`zj(SWN ze@xN9r1|w98R#@%87d&BL*CPMPHE~r(t>V_jE`D1YgPX{&%={iD;Fb zW-INSl;>12asg9V7BILw?_+3DqQ*5YD)xewN6!Z*O+0()GDF$d%^7are&SGvzK&4+ zqUutXRwXHT>R_2>rg&aCcKWloBv-_t@YP6yH$J38g12)~dRu z5~~1Ed~2DAx6V0O)Oqjbf5Pv}UFRo3b#bvNH3%+;~3^$QL4F=9>|BOILC86WJXoYrr}2kf~SEY6P%ue!Pl@j7^I z59|RUvn`aW%-9-f+(pEj$8J(rZOyCy9nM}M*v)3JKRV%~tzm1lM*qO_NIMgj*e4=s z8L5?teI60W;x(;dypYq8@T!f*a^MP7ryb!3%@Fq3eTmFpge7(Pm7S*#HrO@NSw<<; z!))m@0o-Kp(nEL6cQE>8iJ-h=0i}_(8P29+qmz2SE9kWm`x&dEzbTvk>t386?9BU9 zSIADx>4#(v-G`1MJu=;Z2FeRZCT3n(BE>F2JqioEj+$})^0F(2by&q@tS33@^58%m3l4qoTv_bs5Qk+h~awsP_<;RK4w zGt{Hyl|i%o=9Y}Yi8&MA!>?9OgeIe?pl5BPadna-)z?DL`7^QO;8Ih|I)d)-;xCdH z`eM%}zKGhsetd8;`dg@F?Z$O3?#q^R$J7g+3FuX}FR!u!z z8%YOwJ<@7n&`LXw zZSXk{J&nA}|MQ?aa#svpEkR7eUcJ;7oZxNY2>$)#jVkL#v1+n(2DU+It1_7 zc;C-K%)#yJL_H9z7fI&(EIu$As5hbMcfyg*s1+N>=spKExwk%S^aw>p>TDe2+*p!= zivW?re8@8>#4PW0|`hsjXO_4p|fe2jwJdF43I%)wq{o~FalcS-z$=PXt4+3t!u zef-_ft9|oPW4eB$uMd*vI?6i_231KV^A!w7O>!Gm7EI$eVXLz`by*RwSd1#)W?T!A zHYJZI&1PfWo~%06l`~8ezUu$MB7rH&^yl0T4_akr!m0og6OiQ*zRPA^Eu^wp>vdb& z_Y&(~5`}iZgv^bW_9mq6jGY$p_;yk=HSBh{N>&gOcHFq@INmT*@Inx6W_eDUg!km9 z+-F>f>OWP*|3?rScV1=*QK4ZpdP&kRv&%U*koZ3J%NrUmJi;w`r0$d6oRdi2f;7E4IrT)cUSYYj zc-9~X(z|9~zu)P3NJ>0M2e9-Uf4S;1R0R?eq!VKWcS~;I!u{g!+pO{57P0uZUS);d zPWyZ4`Bg4_8+&C)2)^nblhpiMf|uGLMw;oo+TUe22sUR0KkQ-rw56uCb?)6Ld;$X9 zOvU2u;Rg$4aa-VZIq{H;qG*Z`IpjamG`oh)R zu^(n~o(F56tyeDU3X(mLtNkfzW@cs?jKj33&WL*=ohX#4y`Yv)vs*m9xLdI6#t?!C zh>%lTSKfP`$;ik!jT@-dM^)QO&eYqz87oi$H_Yd$EQrb^3JCv70FuSlevRdRA+Rx= z6E%JGCS)fFdH)K_BTP!2IH~C`gm|;dO8YA@-{t%C4V3a;12al04As4WPTZt;_^ZNp z+#2ZSLUxlvXz;l&z`4sSDh~A~2rfT5ULX?X+W|T$$3Oo>eEcQ!n?nMQ5~3xpR8=dqI@P zEqXCHP?6=Ea7j|ba_i4)?rXp9w=lUKZ_iZPcmtr}vNJ1*B*lr#Z4t@p7gKGGs&UO{pLyjSTk^8`Do&TLMt3GZ7UVH)fF6kZT}W8;e$~D?kEwY2ah7%)}=#fF+a_48c0-|_{(Ohjg zh6jn6MEt9+Alq(@IYBeu&{t5?VllBj0@DZ zN8D5JGdTts=*c)42kh1{Sv%}SiMawlcf44Cf}oO%yK8;L*}hb)h=U2gLs_*@RG|25 z36-n z&JMN}hnE}kSc5#mXYVeY7q$UqVWfW~W|?BfSm0>L@Izz!Twps#m;~}fXRdER6v@SU zjkl}X^N>^0?*h6_o`l6$-7&9(?OOulgFS-oaZI*)0&@U8k0NzTwa3w)gy&IL@d-PP z>2yum`wAX7Q1Biu_`jR3cFdsF=^G6CEwwv0Z@j!AFe&)&X$6i^=<>o`7$e?Og5dsw z#@Qc5MO9^|8K3Z4yifNA8T~N#uNva-?9kBx)^1$BuR&@+Egt9~rkN^X<3(eMgIV_+ z!k868gMC#;1qc$hZ!?e~65=rR`V7q1-G^!8C~%jOI<Cy>v05ss>;Y)6wOdEqF9xloz#d$8!D@Ez9Kj0gF+A*{0T<^%+~?I6 znd|X{j{2*B)GGKXcpC>-RR3$Tlnz$(i%lc}go?j$mamt`T=O_%Rw=x?X4VsXnxJP@ ze?058Ffjlu+b-i^;QcXwPY0llJC$mwY52mKEAkyv_rR0AvOp+p|L9LJdnG6klC6!I5$mN{-!KsOPt99@y}{n43NN~7{|}c1kY3aKDWmdpxt{bJg_(sayW3lPffgXgce{O2hi!HtW_{iE{n77g=Q znrXCus}|QwXVxn~lLT6N^^V$FX&vi{NyKo+QI|3XMt9~qE?wc^i2+7xgS`{0=> zVWEHDmurw{$~kcuu1KZDZryAIxj<*qAt0^C$iX>nFCe^(zF1C zKmaLG2YCP1K%sL&RZk(EbEaRXdM5u{+_a43T5PQXHf(fbW8-vOd7JAwt)qqCaOtZK z5=V8Q{R9OB1S}O5D*~TU_|4ME!2P|nbD&4wudo^Z2wIC+YCtUe3EeobRkK0A*>DI2 zo4k8Pt4Cccf&11yLuf+f#8%?31*f7?RQ!VAz?Jal%$ks@vY5f(NJNs$pePGa z(?I~KOwW>nL#Qq#J3^_rbjmFI*!?arP6p)EGdM*v-4(3Y~cnGX|=G~rDN%7_01l{Qb>|PIF zx#!^JR=gQq1J*iUM2mZlL_a7Q zZJu=(XPf4}o8!efpxbH?AnMi{&1BKfQfF%q2DLP7u^`C*Msy2ch7cQv-#^}iW+Ud0 z=fL2WmktstCexi}T~^XPvonJwFs6=C3-<$xreJyqqPi&I5yNdAW^ zdI}(;KDXd1&p`bC@Zh6YmhtiX;zd*AQ&Zxa=Se0~oZyn!RQDnor8VEbe}Ax&9MgA}tSHSDi+_#A8?1X5fc|Qx24hr+HsY>!LO_hI^6UK{FCF zpNZF&a7mQY`YyGp_x<)&4D4k0Tc?+WY3V?GdM8vbL)o%B`O#z6{lmD}sA{Po$I##` zP?R}D;V~}6RDDByRhRDb-1Wzruo9ng4_MpVC~1SD?BYc4`+(y9t~-zZ-JtGpkBb*t zeS6cpa1LwON6re&-JBD(f@#~a7z$aGJ(Fn!~OiptM+g*gl~eN z)g3mn_E8c#CXF;H<796SXv6K@whtXUpoMDwgz(()4_aVfB~Fd$G^6thXU8U3BpD!p z;iyy6vA7!&k2eV-^lV z+PCU+eiRbRPTJ8d13J1|f7C&Ng+kRbR%AToGMa?-KE2x2=k2&);{ymtc4dNK&t}oq zy?CsKEpo)L@~sLkj||!zQw^)^xBiLR+Sl%5zbqedIE zTTA3a*2ud+luW0@yzMPDkNtQeLsVw6|A}UTR>2=Y{`5*;tKjl})~gh?cQOx$la7FJ zn+wY7LPpz(d{M_+sr{g1FzyRQ32DfMSTtB&^1kewPs=Pdw67wl3%*Yb#OEMPEVmsj zlOC7VMSh)VaGXIU8}ll`-lj#p@$5;jA~BrucWl^Ih(r6fQ@<^%{t&L_aUv`6SJ9NJ z_c1I4kfq#efZ;O%fDU|AywK-MQDA`x7usc4-LkAK9`v=dWE5IZXV|Ut@G1kOMps!m z_-jMLVt?>6hBb}hKgiJIkOxYU!H0AH$qqWAm$1aTEzooGko4y6#tY`ovf>zFRl}1H zu7?}?o8Pd3afHo&tc1r^}PMT)CunutCs2y{#rjlA*2|HK!*t)ZT9Xj zzrL)C^5L(>dRMIRge8KzEI487-Z-{zVp*X3l_fmNv*)E7J*DD#!UL#m3#$nB?66E9 zpO#;+7>Az^7oNOlbbT67b~4FWQJF9+0LIjFDkx!sAVPG~wD%uFsUU6NH_Pt_g+_a_ ztB9*Z!}Ekn^ zXX_k#xzW!JEGD5}UdNe8Ii~K0B<>6$X+NitE{MM~Ay_8+6`Puu(*%I~wTIB#e7O7tzdIj%@T;mCx6|=SrZ2b=Q%8bcKz5{4s z*ECZ4`?{TAp~bIzV_%#x5 zy4=!&-i3|~j6Q_2HqD$ozT;Y91vdJ&vWJ-7klB-?kwwrm=44cEErSE_J&0uZ7ENIq zgYT0U36BiA&^L$FpEe!rh45Vk3y(sd2g7||dal>~4<`KtG%3qhFiPL<+kfp%{%o%l zq^lMZ8fX}kT(?*PsOZwN|FT=fTfMW=%f=KxUyVTc(`Q%OT? zq)uiOk?uFTb#_-LMl|->MV-Nqy?~d>)gI-_BT@?T(v~cQ99bw}%;WHN8})s#$8=0{ z3)zgOUVX+L1AMhxbdTl!<*Q+?()jUqGoYY(-&jbmUFZb_?Bt%t-h#~CZ4Bymu&mjv zO)OHr)dPWqM6m|c`3rMtu>EQ$W!fK~L7oLP>bLu$@gU*Ylf|fo+BZ$#;oaa9NWP`yx5VDx( zPpmk()_1;7iEY>mmw4n4`wNlDJ8e1oLmEBiRjeS=00zb- z@OKVI)^XXsm#%T_U7NGpw!=7p&BXCTK0013sCfPV3aK^!;^Prz`>?*;vY*Nit=X?G8ZgMlDb`>+O~o)81zC7Y@P`XBxBIZiI4!X*n z(tZPYX_qtx^d==BT*jW?w!*RFV%fB=F7{`Koa;Ey?2_kQKi7~ykX=`Hs@b>inHpasEY z%4Rx)`+L=NH%?AZL(q?N1!zqp0{_ZdkWjo1Q{PjCZHmC0w*AGqpqw_DARRl{@wPGl z04T;`zH|~CxK4c>nZ>0=2&p5ntcL)9X#+spS`EGW0t9Zq8&wtYJfBau0|IY`_#y@n zy+n56LeP?cQ=6_6)5-(1N8Z99;qmlVPL-76W_k`_S#UNj1~PfjMP|5tCLjm{=9&%X zvx2YFcamlWY?nWe2~hDE!uyk((;i#}@ocLICO&z>xvZ?Y6;j(quEcmu{pgsfWH@bn zCCqEn3jcVqNzQSh^``bv>!=f5ljH4uU;%6M)Ow)JwG-Q#hk?eb5E3O>kKS^$F<&1- zC^(}R+7xcWvG1UzdKvOJ|H7l<7iAA|F^y{m(@`C(kS}@Tp96mY4JZmwjtA}`&4@Hy zcN?otJg;#EV12s4pSA`e3G*6&X^L;$`U2Z?+7tKzfLN$M2gs{dx>+O~;--J0TiwK2 z#UAKxOO?M5;$Cn?mA`x1H4G9#%GPl=_GDWgDCh`X{8RJ6rulncrSDNot|ODve*qbi z=hc&%%`*21SfQaUA!-HHoDR5l(}Oi_?HFN;Zc5G21J4Qt&BSHzr~T3BtN0mAmvqS`zlJ#ARhOUL3kS}C?xLHZ8P=@5k7n{c+E?-ht0 zud6d0T~;jLQ|wO$cvCfSAX9HE2J`p%VK6IM~iRv$1=HKQG0nuPggTp{4>Xj2aT|9 zkiJo>b=x9;&u!2nrsm)iK6byTcj*vB-|aUC{#Sc%8C7N5t_x$LfG8nIBaH}1NrQ@X zNjIn{Dcv;@gOre(G)f}^D%~m|4bsxhWYXQS&*>9yeES{WT6>NCZ~u67;KPjjzOOjX zqpluC8~2uvNa=0YazTgLNQ_{cFyxOCAtLup(OSo0)le1 zk$9Oh9*4>#DfKQRRvz6fDLaT4Sohb0&K}}D1l@Q&@2=#PA`sXIJcmE{AWng@wa~I( zN!_MBNi-h36ddIn8~&8zQ8zT?O5D5rNB6JgO6bQnEnw(Ov4mDWb^C@8gZ_~Gz7*KS}31lBLR8v#q%Kv z*NZtFHgCH8jgvh(@hnT1TW@8srK*wifJU~&OZ*ns1mXRvc{i<*Qag#W^%qt_->Ct+ z80jaIboAN0iH=-6K*rR)%BOV7>U@pzCQR{10+QKos;2p45-q|$iBv4E9s>JlIp zDFFF*f?i6pg68K z>+bJI!uOZN>?@}XfS}68NN|h*FSGjf>F!yt!F;Mby2XzXR5B6+!kcJaYOE`&0hkcWpcf@bdp){WqBQD6)eW8tA?XsJD0#nepK!u zF@&1y>bp6_+N2~v$E4{>iMoZqWwP-wzpr3gfP_wvA;MJ=QHi=oo(GuTrPmo8`2=Uz zd0cVu@hy9@uE*`|fDenHjZ(nfLyv9&N)Fn$U+$+7kW-rr3BF8jnnKM0Q^_nh`3pMB zXPgqYMQl(wPyq|}vie|Im|WQTqigmg==?C9tnTN>=e)LhwF({4s)IG&;uuRVMiQiz zZ!};F9fg0>W*>`+S(_I0= zg&q((olQOPxa* zB2-zL$KyaxDJP~WCmR+zd}>H{!59ukdaDoD~a&Xh=Pgj!hnD~?4`~`QH6+H4O0~fF@X%M^bhow>+L*( zR=S9a=$fcZPbo=J5#%-Jxu172fihUO_;P=UV;kYJzs5!V2?>v{-8G~+ky2vDeE*z+ z`?44-6jq(@e@QC)AExSmQD-~#vJz+qq2E*ZV>^IaBST(RLVsv}Ve18*F<;^)h9d{O zG26Hygs#YXB!Ny?mr0udwY&6^6#3xciI^jo&?-@pI8EL?*1?dZ>G9b-{> zP@JpN0m#5y<6C-Oh#Tr`JtYmibK}rK?^6QonBQvK);}lzTgVub`g8iT{ATmA|Cs*q z|3I^EtUveuqe7>t)ECKzL96!gA?+m^G5zH!GWa3~6Hro1LDlsZvrP_nzyn(ivK>)> zfA6(+tr@_J*I_>U>~Am0gZe0{f)GqZ^45VIuc3AdVsXN(7~rdiW#N+`sooEC#-$n`sskp_n^TLG|ajyZUG!BuY(C z!B?Lgd*ECS9pz6LY1bWUY%QKPzirVYgV*t9UCU+^?ugWXsK6n^OvG)y4rjHe6w0+1 z=Xgz8uc^#qRGcbBEr6A>oNij1YEXkxSn?t*4><~kMI=ZyRopeIJ>5EkId?G&C#eIz zw(GU(xdSN3Cj=heipwCQd}iykOkq=bH(ND5yh3VfYy919Q2N>zi1^bJ5tsC4Mt=1seDw9+PTqEmn7kta0CfefHMl|5R0Di_4*}>Q%WHm9jWQp`dc8<9Vk4r7K5^ z6T)#$a~v7#52l~B&=+lHlxa1i%sV}cTlOMnorKfyrd-T!Ss92cyHlk@r>nW%WS}o- zU9#WW0Aj*?b^IIfAPLy+8r?9oQwSO59suoi-vi1c^zPaeARh-?0WJ3H4nD1BNyGU7 zP||&RV)CKKO?Yind$iImTl@3l;ycJ8w>|xV_U%?{c|PeqOVA8rVOjj6jQ-@iYw*dU zAUFBwmIcUTiP9OHB~E~o{>)-GLHmNUkP>T6GN!_AvWo^kMjN#1_4zOSG!R=qCdR)* zU&vd4QAdsk$s^%1V1_h%J?4qapl>d)0sJoYqfSAyfwAp7hrf&S^Vo*X?yLfzR9~&{ybA6h(m4lDEoj* zS|fH%AunELLJ#e4q7_~H@!7za0MwSiEtQ&y=2I7tafu>s;Xs_-FZm7Hxt~I^wKOd> z`l!HMX7$)!^xe3rTbSkQtjzj!YGy|D7PV#*8=|;fCvP_2og#K5+M<1y6KW5#z6{z%>msG;PNzAksyx} zM!$s`^!-RPU2xx+@d>wr+zWp#`QL zs<5Kf;o@RsJ8rd{qMM5YYSar)+dm3x(QmX|77@)0oL-CR6cM~IjT+pQBuf&j8UC7X zAZNJNLVp-Q=!8xo%X?-Deh8D2^tggOFfcy!)rzE8K+Jphy&^dhlCY)^419yAZl*rz z4G=r#vLX3#PI+NUSsXb;saIuDHZ%(>^Eh6$iAK%_fktz@>hfdiP~k!wk2Sut?1rOU z{Kbm8MVZg922I~yJ$pvF{mKv$ZDo9}=6+MM0tj5sTSVVz;)#GU`A5lk%2G4#cR2}S zCu}$Ut@&pdVCs`lW%a)pLjFg)+<))I>lVUK>;t8GZo9NPfLCQfZk|h&gC(M(uB&%ISAr}F9lqa_rs093 z{aMEPjPfn834Zp99lfo2_UzdQFkNPful*UcdG64o*6Qx)uWwb8l+#sP=E7x|-A}jJ zMjJx&cN8Db^y|?(J;0*#j6nEaq`hLWD zZwYXwrTF={pij#A(-Rl8nkDKD#z*vcf1VHx9`@7NlX_`!CcU4+c5b#J=4`=KDi1q^ z!CO?uR@M?l52AJ&>rgJ2);U9H9rG)=y}?=gKXjicq94Ia2u77)9KU2*M@@+%-z;+?JGtumTjn^ z<$C9)OsH7&+C_*RS8lgm8x7rlrfbFKGc~&=?wtP3fL!fsa!uE0n|JpoLv~4_V(*HW z_CyM;x=%yLduoM7B3|l+G^0hbg*mwf+F@tU zeLOAM@?c3hz8hQdP|~*8dQYUY$~Jp_dTL6-4VNS}zvAf5UQjg2$W<2`!}NL{DU}~L z^E*kcJRWE_;_f>QKg7Yk^wy7du*!~4Blo0CyN2GQq^oSoZ$=C=gZ3*WYU!H{nnoh; zbf`RUP|Z-1FhE?BznasZYKd(BS@_7Se#2H*PQw)m-n58j^*oM2LH`>EnwOfjO4D-h z|NMwjerewCt5NuPlwsfUn|kN*?%j8D$jmz`>+9PYyl8IKPVTFcS3WqewQ>_s1K@TH;U$Rb!h^epAKTamQ-bJ+IXu0tC+1Vish?X znk>?X+;imhpqFsM_uB9GFD6E-n|B2o*(A?(RHw0vMjxuCK!(kR0j~Sxj7`6Vs274aSbFO=fg*W!fj&)otzGF~&WOh5P>lHGrHDS_Byo0=|d(3cB>*`#hQB z;}1KRmHw*k*_T^6rofRi!?eRG;R*JO^U&=n``R4^-s-gI^uh)NK(Vxd2Cs^6a*3d|$n4^h&YIt^?An z?v`hNDTp+*T^QihB2LjD5hD5_e_FyFZs){)nH*j(J@ZJ!ne)Y zdI4{NP`5)UrJD^>1)D8Bem4#8@H+H$ln*M6b=^L;tEHmNmx#9eAgpMAYk4FdctpC4 z^q=Xeh6y zKc8PRR<<;tv|cj%r?Y*D*0&cV`|um?A9*EBu;?d5vd>}r$o zWL>~y+Baq&jLD2B6ha`h@x>lxt+}tRdY+!x8V^@+(m2g&1RCJFeTSd#^?xqL>Re8B z8!2;;#%o!E2m}l+9<q=~)OK8Q8PO)zXLm)P&|FU-O zMUHc1P@sy~Tw8}3rQtFp`362^#Xuh>CMTWo8J)L#zNeFkSTTxv?W-?uaC00r{zJ1& z`3Bxu<#zd%`P`t?r6=NE(g#RQL$<$#CiI&5*lrV`II#pN)b52a_=Z{C$e} zWe7$wY&+EI)-%c7xOV69!)#hkFpH{V)VT@b-Uz#t$KZ#(;_}|}^lStf%`TXKh>wUp z$H69Mz@W+@7eu`+cz-t=MfwVKITrVN@3x zDdc2P7Fz6oVX4NMh}3=I@$4@RheGcGR1tlpXs+|9ofb7&oAQc)t;rZ~1iyRBgB(rv z!2;7z?M&~JBP0$f$1^=6Q2^1MsL#mY-7I1rOr|Z9G2ELW^0D`stf++98i2q-DEX~y z?!Mv%R2dn#6nnH;R(CHL1w8~~iKGYkKZl8jKxBn+$xgOA0Cz&CZTpHdp;?G^_en~^ zgU!CNq}VN6Ud}DqRuDHUqgMq&)&~h(ax(`+`r?yPyQ=e07AaWGpv$>2%)fwQoqsi7(wS=$|a+1wsYj@jR-v8z;JWU%qw6ZW6CX}B%P1`ht zfciro2>7j>-CGh(qMkCfFND4;2BKUUm+|)6lUL4O^;A{+p*1C3AHl3VIEp`9XdW?_ zA$GkysIwC1>J5hVh<+bCKELlU6M)E47pX~)lv>q`wbM~{8YP?gOct^ofKfotsIU9v zj1srco^ddtEI0@q_AdGM+LP@vP(i6 zeO@(a!>U}L+--|8{yB1x(a8<#bSUtMj4$rwEjvZ^xhb?E4zL&^83?AIHib)yh;xA; zXYIkwF-3={=j1fI7)?X(jl%>D;FD&=+9!mofQkw9^8~W^FONt@515vAv*+WZiM%Om$nUuK>AVN@C8P-MajQ!?x)na^;}p zQ}aV5`PY(Y0z+EdKWwzKgtplhDg%4e7Ja$0z4_c*!T8Iqv39M?;_ltNgT8s=rrW!Z zT#~4@jMqeZ1+aGKbMo&)CQ;OIub}(F%c@iSj4WL_c{JOUj3@{s;~4p)Rfon8{_5j@?+D#j z$9+I(1SHAVYT2A^;!ls?LhRJT@kX-82i#RwA1?rO2!L{n71yqbJiBb<^r<=|;80D9 z3`q-3NY3Qp^I?uGW9(bJhs8H*+I|~$aGw`}BG>;6;$2b>Ygynd$cuYEXt0%C#$qt} zOHr(z-HCzSKLxY3+#QP_>LVUy~4FM*BWPJk$Sc~3&ZyeCU#{fZqaC8YvL`@)Ade_OBUN;oC- z1qyD?JKcgEl?qcEVO&+`&Yf#AFi1@*QAuLXs8qyD7%{P!uT)2s86L-rl86MexizB5 zy}iB5*nOFolie4>fBiz`?jJgU2-&q|r*v^=zOr|aAG~a`Fch4GP6@OufE^|@Jbvog zXt>5-L*>bz$-i+a21sWq3oVgVaP?OLf!-5)o7q^EN;W&hQBsyATt?4ZO zp<#be%-KEkBk=iitPe&t)x#w8aBhLU8gDXy*Z6Qvi*ro{e?})nIv&6t=wm{lahkN~-^Z*P-eKlwAqAf*gk)s9PpeEUl!V{;&z%z&-C8xs=1Iu4T~ z8>Xits0HRB3=AW|Rycrv1W^U^{$fE#-AF%pXX-Y8L9{6*oGWDr-JOXEz~gnAR|h)h zZ_P2R97XJBhN?XH0iRCL%r{g7iZ=yAI{`Ie)zZLx+EA=I3*I5Z(EG@VD`^rFNcN=h zif*;1V6OJ(7vapxN?@r^19Mo{r$@DQ?idOPhzx0fw+U)DxYx=v` zQ{W4x9c3^)rGq}ZPS+3QCg$yG-$*5^Bg7!o3Ztcnx2ZNSP1vj$KEHa5~jwVlW7ivv6(o=@_uZ8%ggd1oLz{|K0A1~BMzA;!rz zm~64`M=;v2wj4u%Mu5m1)CXQ`^`w(b{{1M>SwzxHxeXwAsr&Mmm^vCA zp)5ww^8Ud}a!7GWT;CTrH@7A)*&g*(u2@ESl1?x`FKCt8K0>aynHlJdhQ#)9H9^$F z92KYgUak{DZN2aKu+}Yg(^$8m>YgQ8cbcrR>!n+RD@*8^+@or{)w*-;D~aoqbnQbe zv331Q)X4ZEP!+4UAC=kjdG$`?LZIWf*%80G?WgWT+IFBgN9K9F31#IA&B>b~QikY& z`x@*tj$oSv^$i9z$6v*;l0+yVKOmaq?qvH7sQwei6Tj7Odp?2~xAH7H5Fb}&ajb4^ zqym=_G@~*_bmg)*#2curYr|;KmfWuN^n64nj;Ji}p`)NRRkL8$#o_U@q!UYM(VFKJU#f|^I1zA{!>R@z~}dfhggiu5HY ze%16VVFEMaTYKn#&m=~n+TxMmV2}a|;!BZ3fUk~zQ7xkobY@o>>5-g9BrdO|pa5i? zO$Ji7(-73bc01Yn!%)peFpg2JH`P%mX917HCif6y$xxycG2mF6B;uGle1O=i`{=jQ z2$B+xIQgg>j2zc=-Qaf9!wv4VADHr-xbqss!}VX2QlIuT)AJ0~wjQS-L-VXxfGqh5 z*1`LCT1f9!`?GCu7li(rng|7T#B2+x2|gWkr1Z1j_QHTEb(2A@ez8sBHarOnyYe|f zswMxgnmL-^vs0_|3ml#EYsJPS)Zll}14mzV9jPZz>oZ}VmLCTg!mj?4ewQYgW>xm5 z<*LbY<@H02tBh4wTs!p;xyJoBrKf~Etc~3dszkMRh@S7x+A+G}h`mD`u1TvHWDTP~ z4A6W9{<^uDO(R+Xotlg9?9 zk$#z@4-xXbtrG!H1nG9^Zc}-`aE3(R$e8n-dxV*`LP%3* zLSZI_fD zVt2^g3`IvMXOjNCy54rrZ>V{8gdq#Z-BIXatrpr2#FdeuG(0UvX)*+F`8_GQ@@}ia zU}%41y#1>;o=JS8ekAHC%5-R<$qJfQ5?~?#JlKR)}oX zl%&m`foKhv^ppjAYO3Y)QG`v+@mg6jR^4k4BUwc({nn0-nAHv5kI)fLl0|{wFwog_ z_0&+Ix!T&tb2O!%r)P+2s$j|ms7fF%#GR3Ia*E&<6X!kL>Nf&dY=wO9{nV*5=lzKo zlP{D84v=}Of;{JZy3E^qg$_~p;;pS6SJuvKSAGPBCBvqAPim9@`(^75i6+m6R_1aD z)oAj1oPP%8b0iX6=Ontd-r=uVon=1L3AF4~rD%+ySa}@qCkSf`AmSeDfP#MU@L?5! zQ}qDyx$Uh6MhQZ&5Xt1;t*LTKub6v6g-{a3; z8TYB6ZInN~8-aVMqV7*Iow~3)^z=}l#JlE=__dZX$upLy>Tcq^-h3f?>lxFaox;*{ZtCW<;}VlqslOEQB{b(fB@wDTc;pD zH_Gg#h_rWMY`W4!(6kSPGy>A* zK41U&vW}tioLf63Ere&h6}g4(Bqw3DW5#kNJ1r`6lTafJwdk{^UfxLSX4sX=cT;sz z3G3Y@A8Lm4)DVr-eFc5*<+Pn_Xx}H90O8-?BI`vZ%&kVn*;l^ObZ!s-eofoJ0@)o; z8Az^gr@Z#4OY(fvMmTluqql@Er=KIQe|=d;r=r;(Cwk{QT^jDC@CHUWgpH1I8S@R{ z|1ormMVQXs>HHvePww!qPrHM6)-~*x>t+zCE+ei?fH>yFuwRJnnm=EN6@WCwcAMyW zeZGmldv>aX&-<+i8|Ev{TqPzZX5??s>As!#myfmaLK*ArnNVIh+zJaTrq=tb;Yw(~ zhg-v;DEja0VAmIz8hxo{v-mR|E$ws6Np>amjghPo!^BHbXWeds?$;ip>WXl{m>rl|(2$QUu{bNN_u>mquU>itr(kd>AL%KzV?bop2>L^^y;L778%-E*T`bQj9@ zu$s^4892|b10%wrCAfEGl3MDnpo;*A;O-7x+F3V)qZ*Q$@dP+v zkEllKF7^6ta@5rqB9`BWiU4(wNe<-?$8EyPT?>DF+V=eRH7qRtwT-QN_Sa+I^BJlt zV6_9%jy2At%g8Ac5<#Xr#aMrK9B+{e3(GpiNkz&&q4g&@Co2<{>BG=dShhssM(BHQ zrX9H@EGyGuuo8Sk&trX6{QjN(t-g$jSum2A=sC|7xM8KT+OHh1he+UD#LXN#*?n1k zTwW#pHipGyVD%XFJ|q#BWOWGETby!U6>IV+gQ zfPX7fDK>4O!vJ&535uywA@5qWIJygC9VCyHq7d>mI!X@OB^fe?4J=CtCAct*!`3$9 zlP&t*^5b$BPX9javk&rZuvG&i&8|QNJ^NwXv{08*kXDaqxtLnKDmlZLt#X}>MDiZ&AdhElwO9wUAiOET1 zi5fyv^*|9b;Y#h^tv-vAgIg(L+BH()Q|Pq8G(VWi>9)r}G~yYNo)($i6=0I<4L z4=i&lR3O&VH&)1w@)EU}?zBYyvG}!FerdPu4M)aP0%u^nK94suh-}NeK%l_7l%tjV zjymxu+o`}8lq8Ho&WkJ~H7>~gt;HMVG-9R6pp2;d1Px$X_|w!gMg1GInO_ffBnvtP zMn}aqt=q~$da2dIv1fdJYq2Y&8r>6}r(b>l?qzC!#5`8LSzI0yW@s_JmfvH`Ei@5N zb?R>!B4Q3vTT^|?qF9cXk~k%hS~TTVZm?1)?6%JEgFak!2T)kX$x?nfc&!8*#X{i-{a;FNH%PFRm-Bf(p+Z}N0P85n~JKkw7H8(LbHZtfQ1~j^>(D4dbXTXVC4Bp1P%W)De+D8y$utk zuEY#?-S*#4@V3+98kO7L?t^5d+&N+MGPk{sMA6)eWhu9K)&lX(MYb^ z#AX{USb!>FLhI%0Y(Vum*-wq)#H^W7 zhGK5A{AUCIb)WbNhAGJn5NOrqC;dBglYfdnqk_FrxX^m%m8Ye+0EInT5uZutTh^l(bXM$2ETxNErWI>n31YnH^**KJbm z46ip9WWWxxs_=WJh)TSk7Wmgyn&9nQ84d;**z$pYJ%#%@)90G({$7yb-M=apay0+b zVqOUm_gAEwgGjINLxTOP5!R`c;FH7Gq?|W#Fd@?n_b#jt*RnLeymn#z!r`?qBLe=n*gw|;MTGOn%_eH-I{Y<+*frmX6>INVTFz280h{s}4U%Cx@r zU7AY2beT=6B8uYHO{*e70;GL8sR=`&W2s?w5BT{%-0R7%)ZKMJ$*or7s6) zFT?L)25eYAwDuw4B9P3Uq5<{b_{~2ojtm69#SU09r~kvqHiS(UqJ~KxK$=k*YcZ&y zn-#IR_ILTeS5KHEWa@@>jI(ZTL%vW_i(*)eItbpZ1J}BSio8XSaqlMi$-4+7J__r9 z@8nQ+>A4wHhQ+j(4}3jX+&-M!!%O;VMl(xm14(gkV#ay!kG!Jg%(f+-cG^18wLU`| z$GgC<7v|?xD;g=%`@-|Q^25T*Y$gjX=j!${Ncvh zwjPj}X5DIP_o=qdFpioqoT%w$uH9Qg4#Ac^uCG(CUQ5R*nb^H6@f;LrGpA)-{>Po! zZc5VRr{C^|<4KwRx zk5t6f)-h(AZ-vT#&_jtLBl#*ECpO2Ta(W8U$hf1Prl_Td zMaDWg9{S-&&UXEf-Ab;Nc<=jn^p5!Pm7x%|DXB}@;Lk{@=!3{!{iaNC-vk)n8woBs zIeJH{=lxW4FG2L_JhA(}$ZUBQA3{F@P9V58%!y$^Q9_7@R@#u@N7NvyKFTk^X(wZ4{9f8KB(`pTWmW) zb}whm?5%llh7iv8mWV6Ha`|;VZA=qX&<~H(^w#S3+gf-4u(ZCCNOhm1)=5#|`n1=} zW5WX7f*P;D4y$Y=WhZ%qSbfydrbV@uA1F~wExottUOBG}O0H<;6IhmNvxXz-<#;^~ z_EnOP&lpil$xWyR267v6Y8AhX3Ypo}#ouzaL;Z1QnBLIHLu%DKl_{pK&4G=%{?|d- zYW+4W-@hFbCO7qZhU1^JQ>dHIXg&ip>>sb zqPQt-)gVex^Kk?9UYAEj*MQs>NcaU_zmj~Qt$pqFD;XCXRgXbOvh<@7KcW4S_kd~- z`BHEln(7uiW;>Q_#9%rcH73Qhyu?AZocy@1q!Ev2wN}HQd=B-BuGHe~p{4a)l-k?b zZmnM5TIdcEDzcQf(&f#p(aL`xFxAkR8_!=aP>CmU*vGDSzk<7CJhQ{9MbP}a>pb*D zPSz{RK<#CUiIxXJ_JZOqg>(O%ha?4z77njJM!u^W4;ctkz>+cB)#m2!?l*^oJv=eHEf+NGn(xThq zfB9B89dLN{roA=m8;o{$o6EzjMB7cH>FJ8oOb&~gT2&@ggXL~SuYF}nv9rBalB(1{ z*%HVlGQBiQK3UM8@A_s$sa1Py<8LKkNO(MRR5+1I6KJAT>0NYO9{X!dU{$k2%~IDS zl=Ufj!biuO!Ue~cCx&dZ1F3qZ`(EEpcN)ctaSpv0n+;mqULGN}toYUO(z|F~)|_9? z#5_1B&12_9+7#b&cQ4^E)iedmg=5_i{bG|;tM^f%fPtefU0%rZdK=NVZ$C{!O+8{T zGS~xoNIuP!$U#g{I3rte!rH`*J>D{(T`@r}3L%q29E@N=Q ztwbh;WciXbaNfI@Mtcv3V+Nfp?h@UV-a(t8w%>;Hxj5)Z1X3)9s2B?h ze`s{+nCm#qG|987rgtu`nCY3#q;?8yyVcfKSQ<^&k&Tp?YO%&VGnQ9Q77Ol8MoO6P ztZ$_byN$ksL{7797ioKv7nKZmETuc;-A!u96#OhjQs#BOPjhq@W_%0iknpNGp>aCQ zxJ)Hf8ah~F!GvGx@}WlhV0$rK>B)P?-JhqLjj}GJ%f&0b!JLJBL+AGX9WPNE1Wu*5 z5pS}9oql;E@;zvCe`U1Q$k{s^rl&=G(?NetzGd>t@m3PE&DfL2K%qo*!OtVTJER=1?)Ses6NKKo=m1zBz zSCW??;5zZ{b-Z$tz+K58WLl4TchGi8I?;C1lytoiI@j+XPhJ0{gWO%ZTBgb%mnm0| z!r&IeOVn- z3L|qd`Xy6OybLAMM8BxfY?B33UiK~LTS6I;Pl}8OTI~~roWcX6S)K}C)C{|4`1{sLJBCc7I$m!v>r2wVz+mMug$XpUeNQ()VXw-+K$@yM+02&9l_r zPNrw~yGUfI$J%$*n?#h>vZ;P#pc46%?EGv*LBq1|^QD%t5w$q_v^r8N>ySvLtWF>0 zR>z|70k?F;o<@v3EFnlIzmtUo5y5OXBwj#5BjZOJHT_v=3 zw30>B<3XqB2xKxRo?OLfxxMOT3UU&rJ|lEPleExh$@^~TyuY8;JX+LqGX{TgMA1@{ zJr$q5I!&X6r2GAm2m0GfKh3et7CFy-KDw-16%CEDDC~@jOFND}M+FwI`=JhGu1seVL0il?JgGa(M6{3N4)G1Do?*%x zBL<*sd&T{&EQm>{uP>8Rc=bl(Q~hEqKiJ*(M-1zKGLseZn!IKZfv^3vJQM;V%mvE^eODHv zoZ(TIvo3wEXsIRp$i^(zwjt{uk1Nld&6BD06SCs&9~8GOWScd0O`c9Gp$_?74n+uO zWtKQi3Ej|p&#f3&5c8vB-{8xA&* z5EC3^hL>P(*H7p6Z7x-0qrS;*99q!*esEn+TNR$B89M`PHu*yy&CzbIniM-ik`U=^ z`UA558f6pI()OUXipwk)SN2%{^++|T=IM}W(GOIbe#@JKWaF(v#juP-zoD7>*~%LWGH8jMoax!KIyVD+}O9ffWH`NgunXg zK#O&M4<@ z_;c(>3WHvX;0Yka}!CGocg{O72;&i^wHe*fAwrj-e%7=&1c?wNE8Y2dVd>Gx6BrM9UjX zDPy)Hq8n;!@x%OvGH0?Uf4IUdr}s(q2I)u>ZN^T+Gg0XxjuC^%+~s>Xl*jz z%U{1sy?D0QDoIZBP7m}8bH!Q~#cRiB+OF}x(REyuROzZ$u)GE-7;rxr|89#r zYwA6IODu{2cX5RG@>Z;$! zL{CYv^Z7YMPKN*e(OG##Skk>km&Aft32dh~zXaGv2-cH3N5p?s`>6l9RnuUhwffXP zfESY%Vx%j(KEqGiv&}7Mn6OY}OSSX!g9_%4#e6Scqaup(s;0|)}I`4 zdSSvwi}fz`_NAQ%>*~1cWFkJDVjuC>yD|fhyQ5bvMBGV$k)yqxOJ|gp77r~4yAq7D< zg5K~CSn7WQu$B(Q6`ist`kM2y2J>O#CX~}&n|Hm+F3}dd)v!m#x0>$(8r5{|coN(? zu4$M6Djpj#ug*;{;k_~N*#0Sfsruashf#Dz-L6|5%V3$uiafeb3e7?CK*yRSPWJt|Z+cfy4%e z(8OIla_&gPF525ZzW6HJNlK`=B}0iMPqTaxLF$jr69hF!iKJec1z+!ngHvF=m0KoU z+C=lD2=91nY_Ev3u&;2Y#BO9fikN*&QDQNaq+ zRLzxGH7Hr^Yp}hw=s)qVel~iKms5A089KCxys=VNUwH)#)c0Ka+M&0&{I}?yD`XX? zEqaYC=T?dqSo#+PXE?Z$YFzJvQZ}>sD%%5ym@r#^WykCB@s=oS+qVTRdOlI?C%Mi9G3UoxePx zL~ZT#ZL1T5bh(o6kv7O^64ZMJc5HS2Kyam)6UhjXVlkpWYS=7X3nr3 z6Mi$_`moYPgNwL|$)n))*jMiyPFo}GDU>yi%dfoVX3iPUvW?gKiFV0 zYPRAUbwjc5*L)KX56#aXX8aM7STVO$a^r1sGR@V--p{+Z1zLrfL}J7>TWq-h&QP-A&RQWfDjuq`Njz#uS8CzOWXradM8MK)>-fQ zId|;S+xhC9q+uyu$K4ASyH9yk6bFjbu*=vw&vVp!h)GtF0LI66z` zosWJfs+TCW781Su`STXJD1vYckP%O>#~f?1+$O|~_sDpjTv>|9Y)kV^=JRh?(9_99_h1IC=9_hu*bW4h_zc99Ftz zmW2Uo87kR?j9Ch3EiIw+r?ZP?n@!R*mFkaY=+7C_$d6$Bm^?0&b#_~+YXU!WiAx~N(-%_8IL`E`^V1xxA=9VX&`>6AnWBvN|>zhZRFVRz%#XeoiiQ#g6^??ME}F5dy6?ALN9Vlsm3hIhWgR&a3n*jUxvK^hj_<}0iIT?BM|9^t+B6#&Iq$cUsSsjLOfCy5 z{u%aQeS;@&R`Zv*1B&I3Ii}t9Ls2iwc`4?`uOQ@cMEve|uV}+pmG2hwpL%2uMkQ+< zOk`eH&$qT(!%G_cC|IMq+Wl~TPm#Y&e)5aO9^p{Ssh8f@?p$p_rgqZ%24JC9yic>} z<0$#z@5xrGze5B(_vZQKg^&o!${79h`bRLCIfeK4(#}HjjXv$W#K>!XA9V~WZ>njf zl8d~bL5Lz}d0awlFV19rCPFXd$2A#j8dM+>?Ps_Vj~L(omS?pHdQYSfSEgl94j4sL zTv1HslK13DwK{0$HE-r6XE=BF@MdpP)j`&L#Y&?DL9QXiofB$NCb`Akr{|O;(jLBk zSvN+?PS6p@XR#hNH(F|r>-Mp3$=vo<+tF5uK+UyJCtvS2M;tiaQ2+FN_%(}nHGdXH zrstu&$>SPWUNn{p$5j(7R#|{~@@+9%CD7kLtYWUhZX@HDGCAK~oKz^4vJu}=*98CN zz53|!O#R1X8{DA;xv1qg;W?{ZPhgMbuWbk;N`|dPbF7=q~e40z-si=PcmY>&swV{083#fcqkM$GX?7+wEkeNn{1`ISV`@iy0XB4ude`@%$Aj3=GVfo-U3d8P0EK#d?SYiX1wz zrJ_F_QONEYz0<#4=tJj>Iq zB`#^-LC&AD6su7oXSm;H~z_p)jKt>^75E|)nb&exvq==kjJ_lB!>FDn_I z`@h-#%gEN1`oPBfGy4zN~ z(r954)TqA8!K+-p;Ai&stJ9<&Ki_!pCr|pio|ue?3N6l`YpdL+t!6*5?7C}F`VW*l{+`8pE*?UvhlGxJ<*Gjb&QkU5)IEB`quM3x>jel zmiVn6JMIwI1ofs>9UXR=Tc7dxp1Jg-uEHv7YlK;-UeBUqy-gQI8f7&?1-<`ThP{5h zerw6M#~*i2?gKiuseIYFGrPiELhq&RZZA&oypXjqXI0FHbt_M#ujtY^bFRH_Yx|pv zcXWIUlAn4;EY;oq3uLBIny=}~)AtzBwTG{c78{s|G)X3J^k2^L z#wDPXdD_D}YRclb*00q3@u`w!(M644t|z-L^d8!Ml{ccTcs4N5x{Hb)hE|#7!kT-cE_ceK~Hx4Udy!)s5lb>w4cW>U7^TDgXUA>`P zd9Tebs{eb6#@fs+)x|R;k4kgBooLf9zx3a4p$YSrC++{VQe@%r$LmZlp3+`w(mi7h zCon3ySvM~eTdSQQczW5X)@9P!s-c268q+4l7{vekaWJhbX2afvi{h63Xs&u5FLY`B q{<~Tdfv#T}LPPiikf}0;I_6bNJ$JV1>^Kd~i42~uelF{r5}E)UOvcs# literal 0 HcmV?d00001 diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/open-platform-designer-button.png b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/open-platform-designer-button.png new file mode 100755 index 0000000000000000000000000000000000000000..4d29b87086b95d74e115f34378839c0854cfd706 GIT binary patch literal 5909 zcma)=Wl&p9+kk;Warffx&{AAmyp$q=A|W^wDH7bFP^36CNYP-S1T8IY!2%Sg6i9KG zLU7lQ=Xt-G@7Fu?{n*{J=iGD8ncbba_qt+qwbh9Uo)BPQU=Y93Pyt|IVDddYo8div z=r7HZmmda9Pk_1-M%4)8_QM3*{^gsO7#KB4gf~_=4|9C5hKVNz21)ln4YSX!)D{DS zrtFo)^p}JK0ZFwc;T!Dv4&Tic;UKSoB-^XrB5jM9$pd0P`xB8i&BYY#e1vFu1XeB z#+Jg4r!<+YL5^MEq*443E}qrcD3#@|O%~xao|>Ni`JXR9F(eZCZyK5>kpGJpQ&m-^ z+MCB2fhs1MHn_5Ja47RT%2icWfqi_UpiroR64|)|C%_j|ST`*#4UdQ@RWmCQ!lTpG z)rEC;cL%_KIXA1@=u5lAY~YDU6)hsk!=pYx-qV&;^6gu)99(v2Bv3t28WBR~aA|Gu??L>UiQFTJy~qY&`z162V#L1bi3Th+kgM^s?Nr z(lS_xWJ;gW^0HG5Uhnv&c~5jIZz$Enm-fxvOXFL(q@ZFhOyDV`JNnO|C0m_)mIJd` z^os7f^F4OZ+?1y3MyKjuOGB6jV&5&sbT?pw_B=1laBEJJV;~!nfNTBiJ`Sxh%9pI^ zv@+Gp%rcz&;hSC?jACLd@Ea9^+Mx5ZOVmUIw4fqiw+zleYNTG@t}91(@xj1a!z_=A z7XF25-Nj#~pSb+1cbZ3?O%)GPwG3KKdne~j#ZRG)5la7Q0JDJCqS4&RN};~x_QNkz zfOclAw!Yq!TV!g>a|g}m1@kx3f)a?NGM@!rLPdeeFNuPh)YR0E9Si-(W{j^oCN7l? z-o6#@KS>$IsNkHqG5d}q&GgaqFO&+sx_}+6`hu@pY0yD zlKLY_%a|j>-?qd!$U|lU^h3uzb52Tg{kwZ}Gs=3?bN+A`GCwv`a;07QdjX~8;>%V^ zH~#99y)wc*&@-MewM4E#TiL(BdT~SajSrFY*@=}e7Hz6xJDHtlyRNelG%X{8@VWN1 zz3IriqWjq6gZm{=n}pO&xI=Yq&h>Du*?dFyK$n?}5JOT+!XAWhve9OJnC8lz^e@`& z>~)h9C};QCZNUqav56+v-Zw&ijuE)wEwVO` zkMp{&8Z}^V)Pn->dxO(HoZQSP|7rYfJzmu$oeB8#a`~`}`R-g5Uh6o%krOrCNw)FI zu9M%Do}UH!v5dJJ20WJXSi=((^4`n{X;xT}O^!X@?M=?n2Uh2{>qXcpE~Ex5EaK^u zu^m7p`C1GHw)@|0UJHs4-kiw3R`~|rA6!W1wMt=in@;5k4AJAGshDG1C-Ogq;~qjK zLzD2812ws#1VMF>Q@1r=M>KZrds39F29Ef$?}z56?WX;H!_$x`mR%~g~<9s%lTih+o#4ea&nEM5`Dk{VR=N7Dg2{i@C1Al0s{$!U#{@wta z<_~R_WU+PjFUb(C0;diMeekr=jH$ z+#@Im1|TZ*yuJ&7IZP)*+9cX%0}H3fMrQ!DPfUQkZa|=q!qlET*ZPzGpBx=i#LntD z5CShRH%O#{@HU{zy+Sb!^BmSU=DMxdv*6H7IBgL2c^N$WGy|4&x_Oj`PLa38(FY7?l-%u8Y!FnUHU%p;8Q8^!_xv z^Ih6*-mZt#WyS-T8qg`5FdAZ;K!cnWkAzBzyjwH-ip(dUV&uO_a*=OkMfLYdEJZTg z7k|ELgI#G1iYO;$`dZM#PXDsV(wkSE{7jkHV3c)byGtyD#se5^AbjsET+T{tkrEqU z49g?!Tm_v;BMHL7(>VCm_FkmzSR&6h=tvJQJ~X*EE4;_k9Bf(~a@KLW`5X6`r%C!C zq&3v0DLIE5_M|s*t=C_+8>@LK^YGDFL-yBx&#)PmX8aKeQrJV+tM)=S1qg$4;DKm|01yoV(5ps;0f{nQr-i-k_ z+U0Pi59$JZMv}C`ppNZ{ukVfDz2kN!9PPfEwxoIg?pc@jgy}P0-piI9M2oJyLjB9=Vq~x_&Y}o)?HKV zqF%(#iNjQOB_3Ov%oL)rDcvA|E7y7&uQm53DbIS`RTN;hos{Vp)1#Xv@3->Q z^6c7LF%$|#_a!ba&QBC%o-??IW%v07`W@DKbZRP_FRVmTW3_`nsS|zrdm!SwyA5RA3;j3sxYt>2c&3?}E=zA?`AMea~ z_XWCJ1@Fwe#*BCfgi_)5L|-dZnsL3m;ZczMYr$tEyKg=f*zB=^t^Z3 zNXJaXo}cq*5i0pNiwNSV-N9`L8AnSTf^jn+LzL5`_X+JTjd$i74$T&lEvS6TmoLN& zu8D*havb?PLyy%_66sIY7UdGP(K9syBbvZil91)zzP{BkTqkYLNktz~dsix(d!(GI zYzhEflNGRQ8zKeyNVg$`To9x=n?ME?Yv!TAEVwA36H+FAc~&A6dsdiILt8tlt*tF} zV!XyiUC^SP(cIj8OB&2*x%l%%z7!4)&cVq^L|WQ%`}4GiOGuoKu-Dc072VvQJpWjr zmuDR{Tcz_QmyC`{2_l1u+sn7-^Emp=!lDrU@RbeU+qT)+LVbq!@Y0YANZyio#*oMT zI%ID2O_s)6-05nI=;~^bqhs$v%b_xt=o;|4FrN`6q0d)P6D!f^8@dQ*Ztew023te^ zOxrzJ8}FSW@SZYn>iVSK4V@v_p1e`nScl7)`(amWLvy=V->aB<2K zd!6@=VLU^hur5y4HR`8dyC+Rk&|M5xb&`)df~4|bI7TpKQ=f^1XGaOF&L9LxKcU#Z zlJeRjo0}{9N&z0n$HOC&lng0aO;1bn4pba0E-A6@_<+eSXz&Qs`q9Xu%ZXM-QHPL(|ay2pcHxm zf9+!Mtz~AH^e%4N5ZzI=B}J8y{z`neMM`!F9(~O(JdK*G{8CQuNz|{A7VsKdG%Q<+ z`k*{7F3rq3j(oOc>6D|p`11?`;hy_Zm0V3Gr>k@-YD14I!8J|YDb@4G1dS3Tge)Bq zul_b>dB}EkeaF<3Mb;J9#29Gq$V+r};O}{6_+*G_EnJe>is&&1ie z;#*IT%CiquzY~iPjGpMN@gi%iukOtSQzHvlvi3*8pkPW}CtpO?fZM+0OX!<#Hhuma|>q?=8xmAcoeKzgfMN zYP)B(?86=(DF9Yn#2*W{H)mTopWBY+$@`s*t0OU&=0KYXBU8jsGti_2 z9?XU$M(n(}-eoqb#-=Ye$VF_rW|hd)n|u=x_h-1!r{MGQ1a+fvWKgi`nvk)>?8H1x zw7Krxe33#*O3LHBR5)0NpO?3^GcT2q`(b10ix~*dOO>WTy%m_k)=)ATSH=0)5?S)D z1UGAyJ5_UIkU6Txv71v;QcdXzKbu}U2u>^{NKP$-S8y!SM^q2jYuQ2w%Z}j6H};Km zQL^#hu;O25kJIg!W1K7P6FgkkOBXh&nTN7}ndb3{ZPu(}b<4xDe&5ggDe7aFJv#_4 z7Ta>=2PNC;{nC-7@ogW?RG%D1o)lzUht0B2e*Ku6Dw;H-eb$?^#>~v@9iS%~SCT}P zr)O5c5*_W=I^X5UQA{y$u>#ZeLN8(Q1e!|C7~}2t+u8(c+1=GCc5d#f`9bGxEg583 zIsrS-_o<$*%f^UN>9{wK*5}jY>#rOVZFp842G24Q&gxA}9L5I9WJI!=D7ju6_90`W z^$MZzT9;WvEv~227Z!rEGg%=)@zOrKC>K&q#d#`lK|o{nk^c5IHTfRSH{Jb3NbA!6 z)WM^^?&vYMs!s=D&^6h-GsoYrTuFjvI-7%^p~!=o4~?Gp$nh!+cT^V%DB=3j+UTCu z|80^*&$rMP6chkR9O^ELQ$^Pnt#Xka{(c6-Kfk85(O7kKJT;Iz2sZ!>Co;(%^m8O> zG+i}O_U*xmf8rg!PvE(hdCe7-W4F9~vJWAEnl@;~k$&P{y*OO8 zF8K~G$do>K%FWNO8Q(;v^15S}ep6-DaiWBHBuAP98wO9f7mN^rvH}URa&j1tiubVa z@$vhIhpCVKvIrE^y6r&%Z`L?J1ycWqIwJ%BA|A%rxM$WpA#TN6nd}TGr{iaeTs(+ji4WGcQh`Wzz(O3*Hd(z{u?BrNUM8bi?d5$zIsxPalIg;om~myR4qoY zD6i*ey}X#QKux0<$O;WUkaF!h-ZLAU5s za!T?)zWX5I!l+vMPD`bynoi7t;{76nS#x|>6tS%8B@eWsGoGFhyfK8cTo(}?+Lt~~ zYE%X4wn=JZ9Uvfx1+S_-$@8SYqV-cVcFaBeFTh02zAS@1Yn0>X`=R$B zKS7XzlG5EL?c691R#t4q`yk`Bwl?)b-+jv*Ie+aFqpsdwptDhf>w?m^dS{au^lYe- z*9o7Vg|Tr&hK2cK(xlFz2?lmKq09sZ4r9c#m6pdi@=+F{^4tT8S6c$RvtI)zv;zWY zy1F)E?$Xmky56r|uWt-{9nM1oU7zIzpLy51GIgAxbt^0o$|tM8>-G*;?PG!`5cewe z#Pcvfm%+nIOMyO#KL24thf?K-b1Nc}&E!NlxVQ)e3kD}MJX3UuGuKb zL#b%{@CyciN=J_5%GbEeW)u#MjHKgFF|vI)JiJB)Og&{oxsb^q`z+L~SH!tpaSx^u z?YsjLZVPeK=Gh5rYai+41IT*gFCUd?6I-D}I(Pp}{Atz-E%1RV%rCF5BC$71`$d2! z%-T6pasB-);H|O86{Zad!HX=&*+}~lP(;H*KqmfsG0GC8mceYS!qowI3JD{gWl3oa zIL*g%TnxPzuXU>qbeYN$&tBTuNr;GGdAPlS*nq04%^4$8^{yoM*;~{C?Y(_0B39`4 zv{p*=6mXjUxh6M)ec0RbJioti#M1HTVmQ>|O$q@aL$v#W>@C#zqp&df!x7oz8jp1uc;w#7TKLlLE@v9XBizT97YCT^@_t~&W%}VS zhmHND3^bhSjwGNL9v(+M&Fyyql$7Dfq3dnlcoE;xJd1)!r_2V2(7;)>M8}!q;v1i^6C+qogDeVC&ziQs&r=4&31V~9^u9!77|v> zwS7QVH^eOgWeU1W_UDjX;wBWzJxS+rb>dGRdypIzZR+TlSz4+aBHsLLPI-m4JlE`$ zP@=^L&6#(Vrs)>H;qB@g#T?fXc)HVlnH@S?R~~|20)yck{~8Ls=OC4C8e3mmizV~w zir7R_YPrg#LNaK{YqDJSaW;q(J64lMtrk9yo5JaDug@vi81L`oY7=5*e#*6V0)!$i z`i+f^I|c>-f{1o+&!C{PYl@AR?2}l_w3Q7F8DXrvoo#}9(xCRB7kI$g`blO|1l~?~ zbVyJ|R1&futP>fnF*VgZEq55#D80OD1k!4Z?rIZPSI50MnE;-(kr1w8-A)?q$JWb< z%{4v)MFa*7xh{9D7lK$8qLOsHccq9Zc}9A1Sz5W3%rj?N^RK3cm$9_Oh$!IKc!Af3 z{i7l;TwUAyH`l2@>FSUeU39p=irL%S-`*B(<+=r{Lql!@@{L3+hO*Do9X$UUFs2J$ zYQzhO)84e$+1hfjv5_x^BFuFq; zv*=ga;QR*)GoO^Z-laOMg(Phro)R%L_O=!|!0TO|OeM$twyJ@9Ru5ekiGqmpF3O&a zsf|2W#+yu^@9wmE|L~9f;!0O!8=!n6OvgJP;RI*;r!;lSE8o>7l7@To^Yepm1Iju( z6x@ATA4I9zXx@C!i_26#k!PghWC{vJ$zQ&NvxHn2IK7+`;+<=WE&x~Cy;wG%PyVN@ z{jXbnFr1{yZgGy%x{XG%|8cPd=l_V-|Keqf{vbjX<>xu@l)(V0 q&;Gv>_rK)+Z_fAMaJr>?On$pXD%<)l{0Ehd@k&)&rAo;%{C@yRMui3d literal 0 HcmV?d00001 diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/pins-from-design.png b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/pins-from-design.png new file mode 100644 index 0000000000000000000000000000000000000000..2820f6ace100bd044d06d0ce68469a8c4fd1113c GIT binary patch literal 244272 zcmZ6ybx>RH(>@&Bf?IHRC~n1Fi@RHKiWe&oAh=7B7K*lbaVZ)cTHGlGg1fu_^7%gV z{_|!glSw8yxzC>6OZMIqtEHiYjY*CP006L6l;w2*03=ob0MQ&B5&nq*PQMlW1;JZK zNfz*PoN^!j2H8R8oeTg_myGpfjRJp<;h}8o4FC}M{P#pywWsk10A9bV$jj*YTO8$~ znGq>b406Zv@-l}sgG`T@oNZ1!Z&!odt>pBi>o|mn^q_HwMFQojr=5N!@Xu==CEC5K zb`eyIKn#yh7D-G0d*lSXHng`4{V6P?)-6piX}4dD+mNwg_mv{cYQ{Pthn@sR4cX znMphEp18H(_Pn6lgIXn~;0i-^8W(vyC3hMu(Z>?>9T(YDA8tK=mEOmu`0o|=m$GS>#jwZ0ejG`j`?ljr zIsU~|rJeqMYEzHFrO*Xse&%YEvU2Z1G6&hg#8=A}s$f^Pm*Iy+hOdK*u}TIkG+(|2 zTX~o%#wuyg-e8OA*yxn2##Izm|M*f-Rrs5=0#Xzw)kLQW;$ty*X);BzEi@PJ8ep}PzB=4B7Hc}#4CBDI& zrr!p4_Ps~kAg!ztpvj-pA5hMVPr+2;>ZNxauMIC1h#|?g(8o6J-N_M92TwTZh+Gwh`I18VQLU#>@s;Xh7v=z{n z*0Q!#0Z;G>Zpid>&CLxgWFFK0{Y&^=G0i>6&aP&~$_NzfTN)RD3kxQ}8%qleBi_u5;%P}`%*~GBu8LAAUj%KCcjrDswD^`@R zTOP_Hff0HR$^UdYaw&5+k|;L67e<@IcvHXLhCpC%{ly%`7Be#HVPsA#`EFUU0x?p_ zNlo=4KJJp-T^ZLFHr&TL2;C=CPOSmDOh?{pX~Kb*kq z4|%M66$q8!Uk4pS1;xEkhs$lVsn-HlyjRYqtkcpTD-n`5<1UvNy7N0CfqHA=C=Gkl ztbn+#(2BH1f^%n)T2A?Y-anhz7K_an;;9Qfppp9sXg@ zP2NGmWu{7U5JUA~Tx|0Cgya!D_oaygY>eL8E@thP^`?8ZlViwLnM05()sqG9I0d=? zfp~-Bpsq&__36eGt)8^ZyxlUJ!&HA%0i}&`NpwF3&A0GJ+s8wni&>1Sfh*blRjNVm z7i_Z5>BHG_D#HGsY#xjkN6R&(v9YN+_lG2FGffbXJ(sz?e~*dbyMC!v)Hjdk$wa1| zLl4)dbJdUTd18J?Q^jf%-&Uw4+M>BoG^ENEW0(Py?SK#T-XL?-tv<;9q4X$wNDm*s z?&W2}AqVwH=1YGo_%aexzS;)E_#+y2xXajzmElXi{3x+;2?^#*;?Eq8$Yt{Ra zi2Trde8HToEESvC*>AJd=;pu->?cO%j~+`G3ar35nTqfu{PrI$))1Y#nPjIKmX%-L z=_3$H($hFS5pxQ4Fo|xC&$p(;3e0;eKbl61&bDF#=gTVQT^uGN$JZ+h51$wnjaa4I z4o^AM{gHj!B$VZO-IBpr*IqJ*+@6@dp2?+wZ{7X-Qmkrfgduz8+q?7M-ru+`uuIi2 z3P<1lQ^e@=nR>d9zgO$E`AXzzb=6>ogm&{ey&E5IFXH^IurN{-v@cpUHny%HtX!96 zwL3J+m6DL62a1VL_RqL(i2x0Q0S8u%O(7(&u+5v++Zjf&u~7_?(#Fp3Z(VivSj3h3 zw7Y#ACD8malc!{EQj0?JNzIO5V-6X?#W?ea06pc3W#}`)1F7RvqgLG= zlQ_eS3~f z;-{5Wh=k2(hN(Kl;p()ms-f2! zrE!@GtA7(u>P&zjE!A2J=2kW}1so65s@mH2eUoVKA?sUD6&>^A^5?cF<8xtC_`clL zMST|F;l+v+TSXiUF5nQ^jH)udT}!aoPwlr}cmLb;hysArH#yBXFPyk7PCZ;-75kHr z43@cV;j%b8mZ(i{p7tQ2+y(XDo;(NH*`a@8m-Lj6Art;UBuy5iM7G174KExed1_HF zfT?x2nBRwijh40ZlJ88lvCZRHN2x06mZ!fw>b_PcaiJ&ZE zmSPCfkds6F6}Lv`1x>0-l>o3vbHX!OVC~@V->$1q#*1tk`48U2lNbm@UM4*~R=P?` zrpys7ut+(hI-7I%ZeM9@EfX-lw=4yRURJ^=%5?Tn0$Eq+>&fx5aB82FkK^llA3ZC` z*?x1dDaO;=TRyNBM>X7lj`?HCczF3>@kaE+)$!Cu(CYPJR_Ie5$^*Jw`e!tplRjqF z*T23T5fRU+ps+t#6Y1F3*SiA1Ma9YZcd z291B5?!RKCESZQ$%Ql}(73su$9`etzCJHKVeV%AED5VLT5;5&+)3*Bl%P`Kr0ZWS} zXaCDEXXjDexj10!67a&R+0E%$*7!K3{sDEHH2(9PaHV8B8L5(Gvtx(<#f6{4#Frt< z!2IE#pd~$U7ZWe+4}|b@hIkYR8(Xl7 ziCV+ZkgzHi4Bji2E7x+uCKH+pD3{UcL+GR8%lgQAhCE!J=~}Tqd%F4=3j+h04k7s8 zN}iU973NLSn6_G3W>N=prqo^ne%<8b+ysBpZ@V^ zvDf18;>J@c59x=tmjH#ANX*bGLLRHWzx>($OxmL0?n2ge5xyK|R8(}|!gNT|RaroR5Ez#- zqLYPbAT_9bi=8dy!_}TO9EgW0#|WYm)KfsBn|R;_m;z+vGry~Xb41U(m=8G#sd8mQ z9y)-3aCm~U<@)>xVTCb_b1LIY78ur?SiQHy!RroveS^pI6%;x3P55I19#e;=)N_C6AeJ2%fr{6I z-6L^}j2pNO;1-Gab!l%yo~TV7@3CBI$c;7wB`);E{5MYf65-3^uJjRPv>r{<)t3Z& zdA%#9@usOIkJrqXWTfcJw@w8w)NjWF$Q;jMKppm-OiC|Xeo}!WJ)=buFyTO2h_bOAEvraEsmhnj$adMEsnbZfc$a;a>zu@86dqIM*r3QlqDoQ^cVSnzVZ0 zZ1hOm4g!?`pTOzi0;4~whDEDgNc9?DncEjlHL8aOlATAHoB&uleb5WYG zt5ISb;w!?1mYUS-1w*+GcwuAU5+AV2I~0F0Ba87Jj(_v3OPc{b~7I{_?D$yQ-08*>W&sHE(93_}QTIl{mcvj*tQy z1`L+(-!mbhUg@{_3G?y_F*4$v;H8!sgGs&TAc$5y@wKofpC#GRjvBL0^i$=ZYto3N zaWTL#5w}y|xku2(5DwB`SnNpiaE>_UJD~`exFoVgZJS0>NEnxvt80uVM1}FuLxL5p zJwod7xCIBS0paJrM57f0VX@V5bF#CqoGf&c{=QtW3?hLWx_gdya ztt>gq@2Vd+5HM-{FA;UlG_+x!^0Vt}XdSG|n%l}a*O<31f)%KHSvH|aHK18-3fE^zjd_0#ediB4xt3IP&o`~-nhA;b+U zE%_I)Mf`hxFvj&RJ9wB9_U#&TG7`=XB5o7g2lVCRZxMzs%08%ZD41|>5)THyh-5S{gq?3e|j~Ow=x(9o3;Pg}ksx1(6G0+bAShv+D|2UD`?+dQ`MpVGn0K;+l6~=dB67 z22-Vy!YSFDt=78o3Xn6<SVUa}|a4C6jv1)oo|8;W`{Vwft{L}nOdBI+jooTK? zoo9}fQKu( z-}B%9)R2=q1AHj)2bEm^@c1xkT}&1}i=dRfMM1e2^|mh<;~B!)qMyC~mL_+#1cBR7 zy~kXdu`a0m5#kt9DH6gBhNYJf-7GZ%x7PrcH%qQ$2ANyXVlACI!BN)xs=&nD?c4ml zw!v842D}GyAQGq8q7C~8C#P@>0w6*&e+av^Rrw=NBe-H}?D)9)P7OOoyy%&_XDX$~ zQtLlm*P7^g2Nw_^3|`4TDH}|72JiLEf4&J}pl9S{(gx!ML*?Y^fBz_#*y+7IeevYu zL$%u;2JLDY*o&PTi!X!J%9=zSZpS<$@CTwgDHsMxfacg$?*%JPdv?Ka=$%7qKP{yfZmE)VR8^0qQfnoBNT!rtEg;)bCEgeF8N-6C z@lIcQ4zIMmM%^gheZ|xHDpc)pkdvmP!;(DlRSGLC3W{YnU#vt*DVc<_l_*e>3>cP9 z2hB>`I_eR!B)%_eBZx)?E2{M|JCd0iuH-2$UdGEA)axjQZgrtj*8gz6pgECh`7oUg0mPa|Ij;HJ|kWV8}W54hF_mQlTniKe|rAI_sI!Tq|YDW zsi1(9+rPTo9P#t-Cyw>sVo%OciE3i|9~@U)LHS){%U&JZg^z2LJlj-PJF$`HIB1vi zU1_%k8){NK8L!727TwSD=e+|Bz#o&l7`w#zp#FDb7Gcq#tDpV%*}UGEpNM&B7ybqC z^Hy7S_`g)>|ACG}QR?aL?fPj7Fir|O={4!X=sez}V$c@5E$924E+vskeZ-Ul=UV0N zGbrHzY=(sa*}7_8Bz*JUcywB^5#bS#A%%N!3B?30H7ai>w;7 z`Rrjds!_|e7TxP)sewW{wSeCll`=U!++UjOS8<}Fm!|Q%1PQ<6)hPDf5_Ir@S$Opzn=t9v=EJ~bZ)#d4MFLM+NAW_< zH7|jU-YY>@mQ{7N+8V3fZzF}NHvz4)wyWc$Yq4nOILPNEp8`rpm-BS*H>0)``>uuQ zzZ*JzI}W-haZeX_|IDX3>j6`!6ehl^Iqp*G5NjcRnJ2F4mHh(z{U@F`hnY7#E)G*p zJ6|$bAb60-zvh>>L+=dX0b<-bAzoCh2n?ednJalc!EYSlFe*}hoFfdEUf>;xE*`ic ztdbe;>?h|?lD47x+ak#{S;TJE0-mt0d&GD4B6rOI&>MFO8#3Y20F9{`ni!;SlTRg2wh@ism<8N*j)#1#zGx~HD;^_qo zqOJI9G%rQuzx+;&i9}LNrqn&*bO}Pz8f8#rv^rAe{iwfidPgs?IcJkL7qNIzaf0sM z^nnP3SN{*`5D1aEfyrC#yHD>GvpvE?vX=$*vm5y}X;UN>ux!yEvHeE55Tu)gvsk61 zxJTDu=#$BR+UmE^n+PK0%IiG!**cp zIVjSchl!;$3SD1yft`UMghK8w9eXYth&}V?sL9l=zV(*Brx{~rjEd&4qDOj^eCSFO zoweZa(OjRmOr}BxTkVxGEYSuW)2b+mjbt*mhIF2riG-#0bU+y%nKN18{t}JnUzpO- z9X=S-Gc)#mDU-#Apo6gY$Xc>;5#aYt4E)d43?Gw}AfG-=OIh}i2HeSLar#?xR(Gm| zd&bJ9E^_xa=pkqM?T){j52`2=XTC+SvwM?LjU1`$vHrP|^n3&-8JC9LygMXE(0;Pu zl)v7vS2{=plTo+LFS@@V4(ebhj!cm9>#&=Zh3kC<=U4i4w+cl4wbu+B_tKCc{M-Cn zwDW!&{~_@Z@gI%am)V9V31>(1?iWF1Oo^Xw)_Cr}6P*r^o$zJigJ{<{9>%V{ci(Jr z3(6`eJdx0r#bIv9R`B!fH5XMp_#DiRT<(nJ@H^~u+&5UmH;8h?{Fsp}W~}(_HS&al zr?t=Jn3)yV@!h#;@$n5+&4_q8?RRG-$W9xP8Y?cmx=72*IJww&EPI_G&MDM`mVv^{ z(>f2!B_+TFn8IY*-XBAC)i(X@o%%O9++qKaQO?+Fsu2A@FMN1&l&Kug^0oWxh}Z}u z=_iS?W1aLMY6|YYxG$5yeM~Ifa|+4tkr3IVH#VC^&hCOQB7s+D?V~LW6z0o5z8HGU zophiiF6weOgt-F9)@*|<0uCB)ldp!u9j}e4p@juWY?w!Fxzm=4{M*o6Zst+Lz_$|Z zR`SBcAJvKQ5~qBJTQTno&Xkk;s?7hm%Nh+FMRE#7>Ft?g>601*(a&VQ^ZuGM74_lO zS{I3E{zz-TPGZDCkW2|l=wE2ZfLlcKJs1!FWnkAF=xT6nYKjDjxotO3tmS0QP$cMv zNEzMQ%euUzq~OM&n4MEJrwgsXLN!@5Tvpo{O$Oq5y2WOF z5dqsCv8|~BCSEOq%+0y<24+f=wQwh9^&uw&pF);gICQs{^aK%VR1->U89#@v-jw{2 zto(@9;lDxGv3c?;R{m?}9;L4~_FG*?!K`~8-@|VO_kY`d$$obxr+)_PXvk^zN6r(- zt_-)OVx66N1dzVZDJFmnuq?dc?e%t#b@5N*dGH2O)jm95mK*%CA|l)zS)a`;jB{YQ zkLheu-K&+luRoogouL-QwI(z-zF3mqB#n0byZa*s_8k*=w?kQYmvSWv(Ni^ zn%mg;;UMGZPa$zy#Jy{E0WQ(|uPQ1}O6`rl0=BmM%kikFZLW+Ef&KmP30&|lqJZGq zs)e53)~g*22e&(}l+?pK-OcsJ06zf+83o0AyEFXb9;Al_N7@qYwp)^MBY34Uy^)OS z*5b%Wq#?lj`nkZ%yFtrq<2<&gn1P7M@r<2ySWsYE2~76jNL?lzJ;CNph5g`Vc%1Uh z(EPH$|HIE#o0d*)Q>u^au|elaK`*r1v)fn1DlOOt+7VR@p$!_}LU+a@Zb)lBaaS`R z@V9u^Y#=&Owdgb25cqqM4K&WqLC>KOs(xL%nyZ@+W}mDSXI%?2go z(~63oPZguB<6nuz{D=&Qf9Ed{e8LFZHK#~nA}v%CF9-hcM@kLq=K(s`RO{q0I8rLinN&F*;ykzJgIJr z{jQP zj-Of{w||KHhI~=y_0x<|Dzg;uf0rYk$2nVhz+O>La9m!|(UDdccVY7R^XJSAB2r@F z?cLq&?QIgiUgpoN0>NXkuao-f>O=*PgNZI8hiX}GP{RuMX>A*Eb4?I5ID$E#?N^U#4PpGP{tmf#pBEIC`qg+2C##`&hpkiPduB`HZB~(G$K}38? zEfzvFN_52x-rs3NjCev&2axN}m7XQXAozxLHZ}@?sm?3`wDJf0o$}%hD3;Qh9ENWg zgsWsf7*UX`cGIS#+ok7ENUo`45p5rD#sV;zV;pNNDbgJ3>9p{3`QlVb%+2yXtfVpJ zA}hs$Y&Q*kYreBd1@z-$lzrecsH>Y|y&Ta^o1WIWXmO8(Op-$3blIbujw}!A6cqT@ zKzx1wzTh@(hdL_vH~Ss#lQ`cwh}C+WuxXRZ_im@v4GrVZOB!EkwVxFFKlalg+v2}t zIPK$&CVnp+!A1grjF;5H+m+wNJuVj|PIiSqohvYW5p<<7h?{Pb0H)BNqobqQ+1Y!0 zj+T~|!on?ETXvR~`3p6Pl$1x4eA0WVsn+1&a7*CzLP0PT`5C(xxg!QYF1*E_bV>hI zxFFcb2pzAhfVM^`R3<;nL+~Sn5XdJ-21bOS*4|OeeW)EQb-;d<4SX5DFOm=rlM>4B z{`#7gNsW;LRMInD5BJr`|2N^?5fg2#q}*p#RS}QngCrdQ0miCa62{dmq};iGj~3*U z&<&F1x>+L@{oF4CcR~d*NP!d8gMpD@sWcS~TZ6b5F**M(^c|K5KkD2N7zX#=U0Us7virAdGY1_rT40({B@`yfATfrWTMMarNFcCGOa(LlVuoPVc0G&k`2Su*is>GATn zDJoVD;j<$3!*})kcCOqx(My94Op|M05PbnE7(uf&xDigDw4&fD1 zFnO1-$oKnK!rSfKwcSRGi3W0{@l|T}U?q7D6DDw6VHvQZDns!N82c#LGW{V4c0B>H z1=nR2I|szz>FBZv3&-PuLUZ7!1s`B?R_$r`gk4)2BEj_abL2u@5ICMLD{a{@GgbBN zbU%{`cxf}~S%`i%eYq)oxXp{1K(K(+P146=Xc-cQ8`3=+Nh~0XdT#W`&NvWsEO%pD zYkI!d))Ug#HBlhe(lZhK93~Hsq+~GlS-1xf z(uikOjWGbD`rnc^u9oCO-Qqhi!e>JDMi;ma!%6iaCLfpR=%RV+@|Yvsj=!J02)tAx`6rL8p{JJ?h{@G z;kzPCOp&p%=UrW^A3luV+`y-f4Cd!E4Gc(fgA2(L9r`e$s!rr zRdB$7O;$gVmrg2up=qdlz%OBzjI>GJ1`iiu=?;U}dTA|>6gM~8x3sT8-W&3>B`}2# z+!t%HaY;jUq%0bH_(wk2-!Sr<%p<(ja=T1EXOb9Vb}w~&vrNY0+mOlrDU+bfPwIsF z>F3=-;){e*Mx?m6rM`mxXD$8rSEu3z3$)ZU`q+(24?P^hr97gd=92`3X@rF7n;QhS zwwty#Hn!xItVTLIvR+>F-Y7Nj(HRa22_`x^cs$;|eapwr9?m~b?(WFXGyT)bQQ?(N zu7M?(j)8%TgCoMo-u^9#u1;DlJ1;M_)5eDF;=5t%v|^G9O!y?F4R#{Q80eU+ntY6+ zPcp}{v@dB_ShIRQDjw6_jHb1255BI43479upfI2M9d7y}iD^wkJ&QV^reO zBhK}_86a1@0|Q?99vnXYsjM6kKHFa#9(tk^5kY@P?)2}UnVDJMn!7TRx1S#qb1T;P zm%>zgX_H7T-TSAVgN>t|jiZyJ^>w1HeWJbNqoYmN!%f%I?W3*rql1Hu6QH-RpJ(CN z1UK#5w$!2&#LB7+>X`g9IV*a$aYY6hG%y|sU1GyG1K7meX(!a) zkqy3bqjbP7YgxB&RKA~)iom~y&(6j+GCG=&nD|ar6%kU{iGf+GU_!n;h{qhpOx&Tp zVsN~^-t)i1m%Z^X-1deI>^H==f{%`lo}Qk1dwYwD=+zryC(+jtC0VRKvB4r$d+3vL zWjMS3J9;^Cn=1Sw1A+4C4fXYb&p*}Qz2oBKbcH|M+rt1d$9d>6KGY z{GrsC7HBO!Ev=}iNZB-8THMigE6xxODARU!c8rXS@_d*$ILs8X*>vY}z7Pz#4M&x>CF5<>(9i(vdJ$f_?fdvE$ssV1%;Z;OAfizECW*%%9SOb zWJ;Hr;nQsHi!P%5*7g*4!O&T}Cv`p^2_Yfj2rPLgnrG0V6+=l$sXs*sEX|<#_OB*R zy8Z{n_W=m8ga!)w*eM*sEU#xpj_?oq+&pp}}f{W8l& zd)c;86J&Vg-)p&Z6*=~}soU7tz_0Xp zuBi9kWZ_D?-|1qV?e2Kq9ptA)r|OCSq*$4L$j6>0HNQ8?mc&vXFzCrKy;w`^(cdQb z1^CRx?eEnLB>&GD(>%ASE#&v4Va4>DD$td&Xyjq@;lY2-K=cB?{JcDgkf*zw!9mn_=yHs0Bs;)mvLz!%tmr^x!AN#>)D?ik@}7qCR8i z=BDAbL5>P8DwK=PbSAc&Sx5a&pnvybsTKR{VBi&wzqkB|TlpP6<+uz1mWLjU}YqbO+ z*F}$)rvL%*EvThoC7kjzGBe=>d9vJsi_lNYfsc=mg@pxyhx)gWAx?*gAYm#-a{-S* zP*6~DL;=>--V{2unZn(W%Q0b(?@!x* zDYE(_QY6vw#p!$5>od`RW?2z>j^fSNC*#oyyZ-s0@q|vVU8|Az7M=;&{oW5Ykut9W`3;aA_@)9T=jEE#?t-(_zwi(p3?sv}xcFyradGtV^1~!J@Bg)WF4|pejbu-x`>R9$wzyCD11#X7qmld+^CTxL zyEFFncExY)zxqQ$QHe!1!m_+PZdtR5pA|QdL$ic&jB7voJp&wJE^QF9({#mU`=Zpa(HGGfM%@ zRTLLTkSl>U0@W^4!@>{-Z3Gw-PBAbrKvz@Z(p&88?01b#P1smia(@s`ie)gv7fx1u zUJ-t%sj1Z%H|$In$~nsJK^=dBYujLvYzUH5v{RhC9E+`<3|dDAbCq^n`<3$l<2PB| z-Du~?bt*@N5302=l-&CI`UiO6Cb4*W23y||Fo5qH^7CmZ`{8rQD3;K1i-h&x2rBsRQXGO@_Rlq-}gYrVaQ)X`>#vZ{=L^d5;~WiKzU zm``y0#{}D*y*ult<$;oIXahfaJ@9SAVBpLM)Xc1`Xnblp33LR|*~UP8@Z-(W^0KWB z-~ds(z`6hhGn`ZsfjwK)_6f}yUN6?JLmlLdLpVFinnsAQ&zZ6l2NSCK#49ZHANSD9 z)Gk`>;F|lr!d#Z`0G1@sy3y-~jC<5oSxMi(0M(@4jl6R5cCz?yvs=6c#Q&;pp~mtS zjtDLjd1As|Tgu3jIXw<=Af?y9S8liNLk$d4-1CJmXke$zQIBNEVoV;+YL0N{<9UFD zgv3jK`tO(b%hUZ{2>33YMbp{Q(Ml(NUKtwS8JG|Sp;r4LhDV+Nr}273|3b8Rlo}4h zpRn{sjwW zz`)?8ay0#2&3k8528{lkfxeerqKCy`3E%l-Xl+QA~Uwl|d=BM4qMMw+CVr*J};zl~INSU)%Ix9b@xT(EIele{N-^phlZ)v_Y7si`T(3Su6~% zwG;*P87cuGUS3{CNuNDDsb+({>8&~fA6ejYC5Vo&o@6-<&>u!xYF~64u>gn6_4~zm zBl*?URpgFIB^ctcH}jq+b{J`B&aY~OXq;@PzHWIXB{XDYT7pgrDw=Ub z9Abv<@Nh)>P&gpN3`;fg@CG3?_q1RY$q~Yas{cTC^!eeMfxi;2-GEq|L&>|)!#|`@ zEL$;h5IL#_r4t<7nBA?wsqA?mqk9JJ(t z49sKM+NryJJfSIRX>YxE)XmH&nT;69{x8U(01G2ED3%01(hFJ-mX?B|*Og5xhEK~t zJZZWBo;YG!Vc*O75X~^QXpPw~0@f&;y~D%h&LF=8)J4ks=*Y;_cMvFi3&EK6vi|*_ zVJ)yVa(=Z*(*``2S+xFz*syeEybc&jK^sg#vW^(B0ILCN`~df3>MrUyAJRwdZ;;Q-}KmL)bemX4pID6j_$(Z3Gc7XJOa zj?h=hC@(P4aBPQbc$Gx(g8)V?0U@EBl%BpmlOJ&^g`|SK{IKu=f*Ysn|B$1M7sezI zhM-*6Le6Vri*M~OMt9b0;);HTC}k}^RF<@lon!b4rzc%Ky?z@lExcdxh#~YXS*PJ-g@I^O3eWn{z`#CY4g=cfSVESr=NnVL&hC)sx6^oM^t`!U>KNwd zhzj`DXG*$sS?w^CGZiS7TZF4JRL#`%aX6JB8iz`DnIQx@H9M%7mllv|Rrl5GoG;|P z*Sj5Z#JSHtl_IsT;OMUoCeBe12vJ^{#n~6Z^se5kkgz2$R(7me%OO0BK9k0O^n-f~ z1_JQtm*F&;AX=2%Gcz+6O|Y`Dt^30p0AMY+n2{%pUhbPp`!fKa$9{$nY2&p9Ub7!$ z*g3v`FK9msvO^)4L!5p_?umDVXMXU*6)z7DEmeZ9H{M%dpgCOc%9(w_2qO)o?K3|G zlcE~v>gobdplm+M0_;8-{l%W)j|g^*WE`z{0$g_EmLz!7Yi+*q-O&GVqIeKY8k7@; z-UuJ<)UP?q%;;v!2ft&JAxZ+#Q$oi8HI01>i+FKPVS!9KMy(u*{!4 z2V8;drq;j)dtr|L^4Slx1Q-B|7$rl-VsUL(*Q&(Cv0vW1Jl#E79Q5?{@u{t?t@ZU@ zD}(8|xd~)xa4~6*Gs5~Q$jpc=UQ?V23B>tq*$8ePM6!fWxt!_6+@TDu~AMNn?2z)J;OPKnxdlV zNUY4WEOIRbh37X;L+O~iDjn-8$QMbEmr3`$JAcG>`Ur1#sIFnLqQRlVMV)2ZE#`mY z8Lw0+{G%!?&IpKt&cb`AKJHB-{QGUW(_fA+8_`3F0@L@)W$JZ|XVdoa@v*X^eM={{ ze-BDUO~{=}54)578HvQLF(#jgz+E_HQP$9*blnyk2v6kf=f~UPueNx!g#IUn>R%#w zjv@q!>IxCk-gpZdQwJdS|>bZ-cu_Pv^$rnj)LB;OC$4hS9l`vvZsU zDFH#a6P}v+p15QFJ@!)^Wg0O?2hP_Rly=-3i~s5QITY^3PnMQzZO7dzC}8$fBOLP=dtcD7X+T!?P@?;thzw3Cp%DkPIBmT7k;~Fz#mdF3sWj|Gb%{&***C#J% zL2;Q(^h!->UZV!#&AU(dXAfw&|81eAR%@Z08p4~(QnO&Kh{SSv4a>0lhK98nGGzT0 zl>$0JpK@Tas8m0?L^?KJs$z^dKHjJ(EiJ(19t+MQ@iPmhaM{fJq-$<+ht|7=ng2S! zD*DV3C}n5MIzBpDb<2l`>gF1MPLq#EHhO>fl3Lr8PlFozlITj^=!x`7Wryzs(~QlO z>_bz>DZY2*R2RaT*EUsM-VMDjdrTX(iaES71JPP|DtkDc;eioTQ}=|t2mjaRrp?OA zGFo&#ao#%H28S_*L-Ofp=%2uP)r~}C z=V+1?YYhgpbE_ko``ZNx5J0Jf`zvnfh6l78g4^=RhFPlgt@=Y1#Ua zMYF_*39lx&fgOA z`fWsgdMc*3wMxaGY9b*f!&jjw?dFE#Q*B3Q=hPm&yM7j1m%T=a{GSS`(Zmijw6>;u z+ndFFH8VLmSzF8Va>0_u!hoxo?GyYC(;jkf=S|Z6hCD;*?5mbdc{v$?I|RPV43GV2 zo?18G%ky9VE7j$tpzh_$VZy16?4HP!A2AJlK6On$??0Aea<}!kCTva!dUd{m9lbD|sG|(ig4+s*Bh;w2wI0-7lO?jd`<$)c>*A`Z z+u$a>$73X7?w;D&(fgtt?P}^wdueSA1yj)xquZ?=|8MoBhVr@Ydd5 zKVT~VZ}-5nvF*53w!Z^R(YZuA|I?dkFNIcv`Nh^ZkDt$UA?^Zx#l{+ViE8R%9y^yM zD7cJ^QG=NGI?YG5{J*;-7dLQLyRPy#Ozv5XPahvYmlK>Jt^fBX|JRawb{;zNDx@psrOeQ zLHHi;UJnircUzj6Q0hE7s_Wd{k{mr7?9rD-l zXhJR=T--b4ti8yTHLVPhyY%D-(&-ciiZe%h`?=cBlh02NU%ssRhQ;k=Fd29>!CTMT zZn61jhp69|rt@uVQ^*uSQq&93r3zFN*^+$fr)(tauCGWodjv)c85n~-?zDvOYtd)> zy1UKH&Ewc%+S=NvmvHWH8B|I2ax=Ibyw=?Axtq2&>$u3(1g$1Gc$Xz`(Vv(1c#pK~Nd z_U%fof3mUaSH6)ZhSyDK>pk%!^F?e&%^Vzo&4-6 za4B8iUKL!B78aW3G%s@)@4PiNc~PLTAker5)XimJ ze5Ww?^%a@vstT`4Nofdal9G=c-*mWI`Sr^wxIzo@`Xw?_J{sA~@PoAUtB9EBaBApx zbOQA12-aq}!S+T*81?c>N|=w*d`Q17;Ma|+5hyxhHm>BRq1>OG{C-DF{#$OC$u+e- z7cAJhW~%5{0*#0L#beX>M&jOHfah*N~q6=noBx5gK$`OS5%VjDvw83zJ;|0sx>OuAo;p zA4;ZCNS4of%YvY1(^%D*Xj?q_bA)o}D|SCscuBQuL$Wiwz>bwK#nPsMN{vX8ow7!l z+H{2aXHJQa*LmqJKVmA;+q22o{9ZZ^7=5W&>FEcDwqI0L%p`FlD$B}7$94_9BsLOp zM53r^X+wg~{1Sxtc3IBz3(aG>tE?;=%Fph41$OZ%({_+G@{gkh0yiK+w^UIDDvA$zu&9!==mo3!0v=r@i zf^p67a>NaMRqp5dc^_NQT0O5bIf7gb2wJKHZEmk3kYfIh02?gCYT63VF7|deYq3K* zh6tNfX-qwiR7cqRHe4|XI*aTcXQ9of?_e7ALpUK_QQ;)-jLtV`1_zZfV^i9HeV)Wi z%;`H77IePVkdVMOkO=QvOP!iFyxRZWJ|0rn50~@~FQ?*T%a61)(=uQxNJ(EhZu5Bn zgV6onA5(kEA`SJ0>C#!fhG+Qc8oN_pF}}Wi>`3@Z^aD^rZXbTE!9$}tejn1M*c16w zi<2ZJ>bZ*0)Z%e>&wZl@MV44z?qep&eUAdO|JklnJl4zc`)Yo$x6k7|`z!Ng8-zd% ziUi=3iS9v1M2O?ycx2FZb!9~f0DNXN$}{Q*dLEvjEBYgK`myFV=zb{}epW05U+h7= zA4R#jjO6})(^ahQhzB3fJoTuLQkZ*tXyQ2~0*mOhfp;s1X_uMc~w=Z$Dh)Piak4rMy^@h zjS+O4Os;Rf2s-F;yz6AIp)k0g`VKaG9Cr+vC?SUl4$NfX*yGJ2-q!oC#C+>v$>nRk z;I<$6(E!7P5s^a>T>a?}79AaadYe+aYn$V?uDLm?E^>cwe?LLq-sk;a9c*t6BZ)+P zH-3l3$PwJvuGIlZ$h41IcJBA@QoV*%4fQE|XZn?|humtJf^O@5WPV+LIt8=S=>e|)mrV2N(@hIGok*KIONt4ZcVs3WL^RqR8+$p zGBC{NscKuD-}vrISst;o@P}JkSS0i`uW8slnE)H87~Jy*EW97&&MfsZ6n8ZSIX3rQ&Y4Y1_mP3P|v0cf!w~n&@(gjM-=|GaBd^H#_H-Q^GK~k zZ*cW;l8sJI4EMayUJe07L{(5$7N~r6jz7k8>4o1xN#nS1O=0lpbwol0KkRBy_?bGX$S6{SM-y1>`iFi}*!2#^`8?Ao`HZ`Rq z)SRT9vPxv+-_W^>MKAlU+M z=T8~lnouHA6oK8JNIW(JMg)U!F#;;~iR=JG6>uA3=H<L5!bhF&JUCI#gWv-;z{* z|1R~zaDkF3`ygWxX;>O(;mc~3U}jdv+4+V+Z!i({1qIK#GpH z1aNB+St2UavwTZ+)-kE6je&t!UG_>)%+ye*Mvnn%vp&Usmp@5fq25w^+_iM@T+Nqi zRpyn#Kw?QF{_rrflvNrgNO`8`fp3)(YZI!^;HygOS`V*FPN`t{Ytic1KP_&C(Z`7A zaz>n-)Bp@1EQ~1Y`7G>whJd)KAH?3F$8VnDdmK%W&XZ2w_#2chDj}Bx=LwK8;R#vgYR1w#YF(0zg2)L1YA0mH!u`K;~~7Jc77=D(>2e=^Ls zrm9!_DFW)8vq+Bgl=!Ij%i>K@tnIQdog1-C4AJ5G`nA9h)|+dMwAeH%cWBQ3B;xQZ zCeYg8Y%-wyriq~F^3}P8LMbRTH;MG;mW}a6Sm~m~ik(r$itU!X#`_^fLYXMT?oXLB zgqTSn)^8eO2Ip2K(+M{>v$%-94Xr{EjY-C!qMrEmcmSMZIhj(XJ9?j%QcMO;1xIareoGdVdRt(z!{=OhEx36Q%5oO#oAA_#LX= z#^xv%s&uV1nOVnmKNqDrXU*RqTWl15i6Hg8@)*>Kj%xhNG1pd*>`d41yy&lrd}XWo zmfC`Cn=uyB#KA) zwKL@5Zxqto&;0n_fxZ3Pe3)^tQFtt!Mx$lUaf+IdC@EdS( zA!Fc1L_~-8?%1Qwz2TKCyv;FZ>Q+wY-v-bLHL9y5(z}CoE`*TIrdvkEvs&>HOvt#x zeEkrTB1SCq`vBunNlFzRBOJKEK=EKJB#j7z*Y?m-)ykgY;sSZ-vpuxQy5R`-vHs=d z!miX)<0`y~k;ht+{cziG6mgshgrvdC)&B4lq+$L-aRjfGl>D@{;pE70aV2asjNVh| z80+PCi{&V6Lcyb6OV|y|o;j0q@KWfV=kfcf{yRV7=#g53-s$b)4 zn;1hq5aKZ{srjGQuFeBxZobHh_}W)?$6io%9#RqQ6uzqLMMiBnHyeyl!;XNhR4$4+ zk4sO}J$=o#@w~l#3d7ui%F_PgaC6iYsI2xrlN*<$*YFb*gj{D{5AfbVPywUuymwX_ zJ*+```h&E6a`nO#^A0Tk@q){(;SX1A+V_F5ls2!|9>7iP>G^6)6&nJ}37uxNfixA` z-m&wQPt4fDYpegy7d7dAg3bgyqq4~y z;@x+y-Pp`l@leK|jXasba=n*8DoW*f>}3eUYskY%%c)92hdvr4P5kR_WDH!TRqu1o zGs{_E8^#${^HNXb(ia>Q`}_OufLT8k@!4_#9%(YjG=c$UnRXx%#$9G(ct12eJWUrj zIl;vgGYoq+Y?Fg@Vrpvim#EY}Mj#Q>CWYDSsH#NSX~J)NG*W1|!crxxF_ zp>_@6{4G20=yVbAZzgaI4Vz>`5r-<3rPkB3tswR1RmF`>NI>pDh87!xX{4r>Ir$z7 z8wSUeK1ugy7pk(TD7L7`XoriEk&~5?lY@~n08LU#h9VIoAu(9wQiS;dzcUIX1brlN z=aEKLRC=ynQxx^cJYtbmx-N+ub4QG9)d+(!3e-;%7v5ZolR5O~cPY)F;SFC4$G}2l z#lnsZ{-A6B10-pc5q&0O>&z?CqNiJ9zE#|ttKL+6KP*cfjgNKL5e zu&NgD4DF6tTwLsSc=MpPBW$(RMli}OAXs$J%m>$oc6Og#h0nzFh$nFzQ`h zW0U7&rJ|tY_YZsDH~q8%uhlB6u_EpIwGn&x%*ui{h&eUI&&6q}!9ebCKhYDQB_S^_ zPZ3k-|6NG9H9&@)*`$%2&CS1q8^HUopUBTgemGLcD;h*Vq^oUY)G+QiAAYrXX(lf8+GTR@m6v!x%+gh&JB7;sVxy61i>Y)pG}cp z)e$!qK_*}#UJgd`6Ut|iPl0{Uz7iU-3q{tb#jI%%!*@S2vU4LIasZ+;Q^LZo8X0nOYLN-6CMUJR7j^l@S%QLUKQb^t!$)TbzVBP~MVNkn z^YGd6@%Y$SaZPdob93B$WjQ(6u(&RFcX#Oi4lBNjRk*VpS?AAb4F~XSQ*@&0GWPEF zySKq{OnmR)$nhz4&R{I3e0WI)ZYgN7HlF-I{=0uia$~CaTj67XzG&`;6Ne)vwX*vW?jH)js+e{TEiVg*it0h-UtC^d()U+r`1%@%iTRRJ zMu~M?`)ldwe0FiE3u&SemHCHa+5N|g$|6AeM;J~TdIfyUu^<%yT*rgFe;;jS#b~9& zh@RyE|Gg)PMnHo{KttWwTuQ}5&cVY$&ci`P&e+&NcnudHAJ_tOD($pY9^M%JdsRzt z0e_}(UOo)cQkx41S5s2}Z}9!%?2Iz%T3+dd*BbTXpRMlwC{?upEio~)RV1!oj;2o5 zkp@!K&08A1T8S1KJG+`&yGh&lKum`>X?DZw0Nv#Q(sco-3B+_q{{8>k06?)e?bS2X z)03fth3DZEww55V$DpLd8fdujU?X2~c_<9<*Zk~kBi}sP*7}p#B~DoK-*|z|gulI2hV;-?JYzUFa8s4_$eb?rd@=P+g#t$uW=l zYa8{s(ZXSZWrVwh@n;Qx9ye7XIW}TV%_PXjj4(Gh2f&^MIB_^QKpAu4v<0ZWOT51h$*q=~HpG^>w@sBMFdJG-$_@UUe&`T? zweE|W+|mn(I2Wa-tjOl^G^yjPS{KU@XA5^lF1HAkfUvM!M^$Qk95mWc|Jaz{xqeU< z)xS4u_z!YbXLCnv?Ng`{tP+*i);c5F%AK`*kTz>^eZ%}c>#foCq_U_V))6tkeQa^D zfM0-^@`XZ_B&ZD}Z=G+)L>t8N!6kdrQft@-;D*~A^nJ2GEhSeQ>G&u^&53scmMda{ zDJidhXC={ z7p=9qmSYF|wYw*VO{XS0cr2p@YDHDUCpj~De`|}=aqAPaV}nk+JrBHlD;8Yk@KN_3 z9NFyBW1C|pL}FA(&Td8Qa)xfC{t(`a+G2Ox($WmJPIZz?jk89Tt7d|ea}sE@FD@># zfW|ulo9f?Y#`eL0sB27@loENtAFjf)k%QQigRYPv(U+xHkK8`@!vK@*mXwwpOB2}r zVb?~?YXj%C3kbe#KD)uX$LBt=pwL(XN{X|8Q>}-oy}LV(E5#L4mMrt_gE~;1{`;U6 z#l>csGr250biV~zmwx&m(Cv;2ei~V{1iyzC?UoP1S2Vtq7R~h!cRePimqjmKm8I7X zr$-N`1C$I(=lK#JY|9PFsDC?Dk_?V*Uv1*?1J%`OAUIu^(3OxS7`P5SDX9XRK+$Ic z@3jsJIDP#kfGdSaf!AVnhgS4zs%Pijp83V@C2k&%pW{xL_V zYwPSlVJY7=4)H*~xJDnywup+EqXEm}tq$R7Zpip>%TiF+r<$PbqA=IGdv5U={y?IbPBu-{OHiS%?4bT?o|x3W5*er)GG zp2L^J!A%N0W*#nwYj3*iBMVZ_d?e;G6Y%kUaymyCCe@r2}gwllRg8H#;Z|M0A#{{FMAyo0W)v8$u8fupa1wDCIEzrN07 z3es5`pIbsuT2wK>%B(az`ZaWWyKfu6J0-b5Q`1sS9a<{sJb^D8?4R4F;b9wXD=TSW zdiebL?!l1TzYf$?z_$zx6h}l1I5-;_nSV=@SM!+R|gPaP0XlNRGGUx-WUw?Ko@l?|bMu3BrzM^sYiVXu-@gt5#>JR)kS!?)& z+lW!$uD?b79U1t_NH0&%pvc5DR$RhZ-Z?($59V^PcAykQz{9}>Bo7WTL7Uy0`B4WU z_#*dfsOrD+g)zOmgLn7zG-a9+fLU4beOGZ+`#u&ALd(HSiG^ri1A0Gbp0;m_wKsB5xsW|1+;2&W22Y5d)$y|Kiq>9blS!pA-oqM1v1Tu?@xFRm8<{{F*eSE3a^qPP~)QUvid# zDwR}w3^-A;G(ex{%KJ0)Zhqb)l^k?AG-kUx!8F=^bUhjcg0%PAc==h*UX!{`I99r@Zt=KrjqpZXL#LpHU^3X}vc!WlV@*^#m z@(TjXj6W_0`<0o8hv4(P{8RZ8C2UzKWkWnJ3h4^3RRr!xKX<9ov>P7e2W`y_B z&uU{hBzgu16}QxcDY!7HaBfpI$;eL|fS|=2{SH6F6MORzpu+h6Uk(i#Bcl6-rWtTpwKE(q=+P zM1;1<_CX!o8}9rs^+XffTlf~ZXIaLB^vwCCLB$9rfrREMi93noUeI39{f-LqT-JQd z#buGoa*95GOZ@~q>`v!$mFBF(&fn#3VLJAYOv8qaj|aS%P9qzjf!6H4`D(v&Xl1mi zHXmF%TnTsAWZD**q$nI!Wo1In&a~+n@#$IVsu)>mWGQJ0$r%Zm86gzp96Z9j6ir3> zT@!;9Wv*s!ZkIRL#f1`%8&f1xl54m|W=@VB>J3~-2nkVAb^;-}SQEeECvFY!7@3OU z6rk%%I;HH7c+_2`S^eHfNd-$6&{LOpgoX=mSFJ)KRn<|u+d5hS5Hfpo(ep&9=*x)pxK`8)237E`W7=TFj>yjX#1(@4) z6#H`L&aCQ+zOJ6~;$=%;t>xWpe{b39^Lafn^2WbNpB~gq6pdpLz-SM@SCo^JB`=*w zwN_bKxysfY5ON3s4>E{3UG;L`t?w$=y8wOh{T+NPamZRpVj>9owz)vS7fDD^10gb; z!1^1nm2Y8YMnO1XX})bVeQjNXegf()#BK9l@y#3Ie2SK$;+%;|&T3gwn4yt@KFxlN z(8tS50P3HF;@a_Jj@q zPI5isW4puUVBI0-Y=vPPg_q9F4$b@z%SwY*N;W@bsp-zigeBMR{k&85CKbyN_V}|XltSl@qYwO3a(JT80-o(X1oF#4sNA!MbUTt?=5HT{cwpHabQ*)Dq z#SkDs1DI%P9q`Oy=n*+W#lw^27--+&M3VkZ5+tA+lrsE>VU_)SRT*6qX@l|3)<6Ja zs1Nb1&7gb%8F~qQg}#v8 zKuJNV1nlo-=c7pXro)SrQG(qxvmUhfz_vQD zEYR>**QlM+08tnAJ$1P`^4O~P`n!V1q8qpftP{YlN`i}jBxZ;-tm932tSW4dUY*{-Xtk7_})ZDO+Va1%+s3pBiSUlx5I>N7yc4J@w} zE{BF~PxU=HK{8e0b-=1|Fup!3A@eP>)&Bgfdh?^_^>eG=%ckYn2`;vv%l<{o@xsSO ziWc{gG~6G&Uo&`7ubYf_M;#^$mD9LnuI8#4oOUY~Aa@t#dfBygi}fz2vvv<{PfUC^ z>eEw1eC7u=)7JGT3&OsGQ*x6(R~s2Dch|UG4z7UhkWIICYmNgE=kp2#9=OI@Jg@H? z9kA^Y$dx+#W<`>oN6V#mCiETRqL$tqKfTtdx8X~ED+k}c-siM&1^;jIPj7SPdE@Q@ z-=U&%mi5QOgF5t6Olo8F(ib?*ie(HuCDBJ}7LWV8$=#?O)?BKTGKkA{a&gXn>bhxF zqs4sMYb5lO)h1Ds{_v`fs(tK2s`!%ZDgu1W2K&*jcXls}H4Q(bvtqHxTD<=R;+H7z zjHK6_Kc#_^@r8JnrcWj-`K|BfU{7>naE2b=y;qBJmXMh8Lr*YA9*oaET`t(EmE?Yh z13_Lb^1|UQg!f~Et1yNY08zdT z6K`3Lq{CY1)>)x`q>d!wx5w}Z(!#ieh!Rd=U}Is05)iN>AizHc($EwD6ecJZV|0iG zN(tcv>;Mu{B&7Ap2*JPgoe^ADm4G7}auif0h^e7) zAi1nS>NY(F)u%(uOix`MsTK=gv;v^(@4@Z1@y=g=VS%k0FW3#RSuTgXy}fiZAEuUH zqyK#)!s+w;IJKnD99VQB;=6SIca&{#6iEQayK>_j_0m#BL=&q6zq@aNgFXj>bTf=cL!ClJ!;g zweEdG{C`M*&%V{$GtX`L-E;+&Y7dViiMN$sj<9MRl?>b7+D_Cq2IIW=uQBfk+-K=N znpxT&TJ2IY&>~hK-T34cm*iZmF`z)UO%A<}yQah3$jbCjuhRDO?gg`G=@RKuxEir-Mje(mgXW$yqL5YRfMkyt+R^((3ivJ;m% zYr2D5eToq6EA++f*_()Jm6WlphzJCi`iApM$63#cpT!lri7Ch1JC8@jH9wmd)}UdF zh!{DAtN;Oygd`7upI7Le81DCyF5TfOXL~>zLMp7P39e=#dT5CGXX7uQZW$>=5TW2u zU^K{IRrREWhT`p^_5;nksf~@5g#`r=m1z7aUp%d^zafVtCUS2j#KncMUv$$)NU&=CI!==m?h^|U92{1JDO=QyR}_7k`X4g;q|IWS!N zULl3J!G?#Y0qPQ3*cibdW|vo{+;elSm&M3n zU1~M_T|5Rcfb_W(zw+pA@zm@$gDI_m_MCyRm&(T3pM#AF^GkecDJeM;k;f~Mr;xUu z%iTo0EnA)Tot%jbP(U_i(0ZjqE3}1H*Jz$bT0DOJ{MhPzvQI@5Y+h-wRQJVHHd{H1 z^dpxVwsYN-awfmkXDe>Y-o%oLTtnTbqVk-(v*+Io35C#Auh5^>q@++op~68F0x;3H z0@t3D2$1gQ`*a0k;Uq$mcrAbTTNEU(hRq34N>~qB=z!2&v?_eA7CiO0;R>BOq?9sAr;Ute-N*JO`PTO zZQ+i7Y-VKiyiGnj&I^kfNf%Ok9xP{?z&DoXJHaW~9r)OMetY9n(#Xig%G1SmHPds* zH~R71<)VzC>|G2U17;O3r%b59jje@eB@&lorl{s0O&?yzvsgtYt>spVhu*%v)yd6F zNP2Y}tA@tO_D*6(rsGv?_`LN~m;U{?%$$myHRx6hS-EUOUf0dRrZ9O&Qr3DU*B!pf zkEsGKOWDuDICiTaye`(-HjXbXM@evm?Qh31y#)JYViJ`}Qi3V`QK7Y+c1N{Tn@{)l z$|~&;!s8N!nm+xBPoOK{;jWdGL@U$zg|_bFCFTDCJTB!0bS-Tn&%g#@Y>Y|H2)up= zjBm-{pq$ni&5)BhxqgLz`v&jfe_U_32&`VVv4a2t22vns?J{Etl|Mlsya3=@lxrs^ zh#lhcN63pr1O&#@WPT{pavzM$9CwDBBwok(x1y0@0d3vI-6cLS!1?Xx4g;ZRRMZea z6ffMb$dC5K`B0pQ7peL{>|esh0HsWwC&n?r@VoaLHl`pEDRE&zVY^vRiBpO>?fVQ~mz^U8t;*}YY0G}Y z-=mo=>~1T86E#RL{@Ef=_Yb%5!NH+goO!OCml~lLk&IKYt&a?VNdg@DnM8Ct+Y-k5 zlXG-mg3%H4Z-W%V$G|xDR2#1tUq4gF7ltVSl5hQF$HX8jEM~|IwuZ=l4>4IQkn&F!##CM(K{-hhHRYlF~Y;X7+y9AwdPEUKO-Dh!Oiki9ueGM0D&+Br*oQW&1Hh-^i zFII1s?PQ_;BgItH=FB9 zwJOpCOp}|NU)@?vm+-K|`SXCFmgD(fZZWG~(AM8bg=r}$Li{0*%eX^Y(B>d`aDSf= zG&MlYgi4MJ;EgRLBqR`v0OFCm>5MsIJq)!BYy;}*SgH^sIt{|12PmNQTO6K#rl#I* z_9>^Q>sJZl;_`@{by2z_XN87V^4hI{q=gi4MUKRAF&@vb+=1ZRrKWQK5tVwyANATg z^H9qe?aup--W%ai;r0jpgy#y$%n#cs^+B&*K3f^PoDWWqF8jUaaygzqOiPD6wtC6O zB%KPm)BC+V|F#_4Vbyb$GI%bCKy*Jm+3YI?bA+hP9EF@5^NN>C?SpCeOp% zfSSb&@9XYwD_&(~S9|BFh}g=RB1}Fz=|KrYzjldAXDZZuOFY-cF|+5gDg0LaZs(?! zHvEp?GHchG^_B@#Mk_kpI&0*<|Ro0?o^~Tbl zzo(Hv`WP|HiwZH7^mKzuHkG>#NiiyJCG*=YaY9sBl-vmwWB z1F9i+GeL2<+`M8rT_noa=+jbnak8c>LO9vmpY(AK#<$MNAgxLvw<*~|I(2wu{H zmimi+wd!e4RFK!rZDaFbx}cZDj>)IkRm5tEj><{Z4OTQw(1b0udAMkL9wjNzxzuHf z_>%wqAjix4_S478Zv%8{ooOGdO?WCOcB`$W2rx`+mE9X<-<>-2QcnQfOaYC6l1FL; z5JTL!HEK=-X_-D+T3XY%)`_4u&?3HvQz6WOyUvCO$btC0kB4K%W?%lE2%qn7Z(|QZ zn!Co>)^-$|fWw&rWt5o1Br$#I%eb+Q4m^S#AT?E03(d?bOv-`2klzvXjp!$nfBm2( zMr}!t1zm2gF2@Gh_&sjYi{}1NP)S?hPoyweFl%RDE;PFIeM68iEmTbKJ3E^t75?b` zgv7|icd~%{J+=1JHlqysSW$OA+Q;U=hU5y}jE^6*^;Pcl8cx`1U0hGGJ42i9LKfXl zMBLUlI)9r~pGUl74d%0*FR?Vj$x40va5u11?mVEf;P2mH_vNV%1ZT|;2rpes+IbPy z!Z>DZpO3Qhk=UKNN1`|maAsi%t(cM8jrxl{j)+7-8366WV~Fk{E;es2+UZ@L zEaS5Tca!T3o%+FH<;*7#;P~gu^q9EOLz$4*QcC7Noh~wQdQ7NyzyHDe`-cZQaxj(& zW`x)EfvL{J!ukOt@EF(0MqH)R84*cr2t2{^P`D>?@Zn+8TipgM=8Y1d^6$<(#lqv^ z3KjE)WnRn}Y$-1MQkfUHJ!VQF#`*sR`b5}Q9>!zXZgx2Bm(kAA9fFW=E-j-cKMzBz zR>O)m_tey^?}ZQ(t@&Lve1(PU1Fy|kw9-wri$+_4+12~qnWj=-w3G7y%tG`mdv|jN z8b*Vpk0=u46AvTUO& z^3SzROd@)18YZUfZ?5wjAB_j68W}2kF>$r}wD3ZB-7_;V4>vyTted!;T&ZAFjWDI; z*G!luGpA-I<4kYQy7t$XPxu@;Nh(GbrorV8A=t9lQIWp^;agDGEoOf0I2lRY(+9=32-(5>B!B_ z-rCqeD}+Y_nZWKfAbHWhcWRmk=!4eRJG6m{zn?@spqpM*&VkT9N~i%XD{KsO1kleI z;Ng;9%p2HuWx93JGBRuw96B;uqO>9od;Kaoaeq`C&Kr_WBIINTS6kf9<~S;|vsZ#% zZ$>lHGYu`b+ie~+TV3vqH#W*S?U0jw>KxRt^?lwfRHhT9SL@ zFCq0LF!4B3pl8lgXVb&j?w_qzIA+kws;40#)ziJ)$nYBHSDl-r(3$m3y2s~X)1%PP zN39jVXyB-xojro1J=!_{#@X+Zc?TKZ6ka>GG-)7-idM8w z{awo&kh!*uERs%A(G_7ZRTA1@5xN`7!55}MaTB??TZ4u9-%Mo*VgEb8!aQGZ8slfQ zr#8K-$%{7M6atV^5y!Q?A$+!xufy^+QTNX?rqk&({^d)JwZBYMQ)osHgfz3bY}4|p zuQL?*z8#Ao=<)ir6(_IXBoX_Ygw>zmE;2-3{q{sx)lwH}{8D+l60~x|s*H0I5k->9 z|SaNa+aG&8DN7pn?+p-^dAG0fG({L58UAXZ^eqa)9T^{W}b;5cP?3I)oaLkSj zEDfx{LAz)aIOM&)bDs;$Yj$}y@0z19m-^nUYvtqVtfAVNSCBJbY4-x$J0Quz_iqKI zK8`-Z*{7~xxieO+3jIT1c+r*zTU0|SJ)!9amXl|b~NF*asq=5G-ZRPS$q-stxmO)VAR@C&^2?~Uc6 z$M8iPSi_Hls=$PY>V?h+PiF{tl#N@P1H*VQ7OQ?0f%neQ5hIPu!5P^5^Lju4z#j<) zAyJQ#X473;FPYuDsN^F|VSA~Yoht#S?WB{WnGdjGXv8A6-XF}Ss9apC@byo}9X3no z4{q{gE|b~X0X}@bGa@P-UFGb2Uq7v!V%snBLFM>mH*1Hto)%A2&+YhRy3$YHBDt`# zeIiH(OSP~!`bTVRVs1{sFUM=$J3*wvvCQ_oY-fFOtL0F|S=%N3I@jeh%)C_TtItF>-W~fxNK*5)=-s%LI#I^SHY8_njpFZt`iwbvZM58sMVj`g8CbU zi{EO%s)%P4)&5QeV1`uaRFtjRdF4#ppB<|YCZxW*9>1-cEeZ$F+1H!*ZNJ5WQ39-~ z-$SR6(1ROalVhUMtuZ6qA-KN}4HVFC^~`8(8`>w^QwzkWRUTe~ z`5M(0iL0Q(*Hyea)>QYlhyq)wKuw+GQW83>1%i}!g0F4kYF z`Tup{r@zg1aR-)FkkGz*n*(!C6qIllVfwYiaymFn>{B{dTExN3P- z>vUgw>L1-GDY(;zK|%HA{^Y&1YL^r4_VOaHau)Z=V94Zy>z4u92#*`d&!?l;tY|-X zdTT{5T^l?KDl;=l#8k|U*a}*-v{-(a+C3q02|Hm+ge+cYnN@tU-uJK8WHyBVCg6EB zcQo%*SL+PLw>$c=(L+s1LIU|NF-e1-VHtRD3=ItS4>x1x{VEN7v`@dqp%uYN82!Fi z1o1n+>rJI&urUSJb`Hcc4`E!)&A0uVfmI~_`>fA*opG&_%;MtJ06NoNF&k1$%r}^X zUryH&zk_H=#m?(olsN`=RuK^(#D}xxWPE$GLGvAWZ9>tAp=I3nFOpgxB#nUopl_qb zoT_BZ39lk{*=H7 zb`1>utt+={jy0YH<|;n*`!k3ZF2{$mmEx`@OwT#YI;A zTrxT&Z){$-ldihb8EcU|xdL+GztO2v2TclwOJ2IkDj(7^`Mi#%e2D8G1RCu=)}M?P z5R+;uWmj;?Q1h`GWDt_&X#h}gfxHlK& zUcTXR+8QeitC#09%)j9J)y5%L8In@eRry-%@h-PAbYQpo9|?Y;irj5iDZj z;h|z=4AnmjoL`vJ(bJX*mYEk2perD9295T>vB53$hJ2EyG=J%=OP{b#_jx|t}W=*rEYyX zcLHhFE}Nl<^YC1NMA-Ek&aDa5`LBYmY1)gB`dZ!A&zIrn*!gS*S4arX7gt!gg3W(B zIw^k3yiezS0s&E{^vl1hs=qAem@eMD(W)?L@i^q=Uke*l?l9nWy$Sn9A{B7_CPT&l zbxfj4C4eF4X6gRCu5{mi>p8YrH>ZMZkU{`!2l{?;cDSwYbRBpNpPIZxUf@ZxWG;8v zDBbqL0aPT$F8&ua43!xAo1BsoNLjO7-aUyE;W*DQObm;KZ6Zc{BjAX`g@i>bs+EM1 z6?s_&JUSIq$8^~ylHqZGP*1-tmpGf5O_= zsX33?&FX=~8$i&+PQpJDA)Ur$62C9ffc1j z4bJ$_pGU=lGYk2hfi4pFsez@}s}@+r$J@=p5Lo^=tOs(d9rk3DjL^o?8qtF@5>0kG z+;2aw#4U{;)A4p9P#QR^^lgfZ2(a<*tp??iGth{5z~FS^fam+Os*;j+z-tW3o1S(6 zrH&+3bzO1s@Q{n^Z%mGkLS`aFqK9m43K{%hyvNSDEn)i|r$I^QaWb4>1uSXd+nSr> ztaPjYfQ9wtNqiSZ)T_X+P(ydmLz}lzV4f`<+C=)PbQ8(t1` z@h}p90;uO`5KDow+USw;GMUU4!wm$St`}?isv>J0WzFVUL(;l$C!DrM48!+;%jIas zyhfj1DkB#5*?Osijg+e%oEpnT`6i=mb^k}KMXbMHRdrj5nt_OrS}sem4_u3se)_n_%O28qvNG64f#trl8w;tf|NK8=8&(D`l zfzu>8Apz_2XGo{Q8+Y})KRAE61A&9}G3|4W3?OB-@7QI zqwQ4jM#bl)qe{Rg*3VFO@SMm&clCL?S>UTUI>SMeM zRW&%@>Hi9Renuf;CS_-Ixm9@fh!OOX5Hy-D@+cbKPA4Tv+FgvE5`haQF(HngkbiKf z5%30Gyz3G;PH&fw-ICC(xj#z^9XD&pshOF8`nsWlHj9UmIuS3G5`{+r$3(V!8PhbFTKMb6ijZK&z z{1Jw-rY4jE9aBXxLR2JjRIR+-9iwS?Kemt1ma-xVzicgoqeTXambm{&8Q@ZXK z#QWZn^{%$Vd61$Vp^nSjyF-Qv4STOOu+y^MCapT>_>q6~*B!zW!d-y@ST9JBQ(XOG z1_E#3;>d`r#Tcn_{BktE!v1hPCwx=Y5B7SNk{n5_c&t!P0{jTsKha18ru+Jk10guz z82Fn%;J*dJ(ldI4_Z|dYo*QnqmmgN|k7lC2tM~o4d6z^3a?GNNnZ;H|9 zlco6Q4&_-KG6joLbu|FYya+;W{21Uf1|$^1UH|?C)GImQB&_=?_dXwNvfqg`;j{g| zR$T?&r^1GKGvbL6cn z+~LeTJa!>!xj(I*&(Vg*L0}^0;hSM!D?%MEW<^v)6Hk+Y9F7lV9ap9Wo{x z?*OdA5TkNCN)XmR5q-dk#QS6@CJ$)ggXD$7iElg>mzG+lrfM{x4fa^8lBr>j0q)TN z2yP*`VV9+fV8PDlOFAOQr?Qxfj~Ukh%VPA-Y)-#hc|BM$aZ1s?&xK}3M~A8^bKvjH zw+P6gGLq17UsP1M+$Yh5VZ=nC0|O3lk^&|A^UckmjHX#@FD-1$J58QKTaXSH#zdkr zi_3M|?nTidN;+VQHh1G4G$uAPU^5or<;ctPjN03=T2&k1{I=}1NsXvMo&xx zL-1kriU-;rT$&(v)I=x(4Q`4LyU>{bbmZyE9&jV!@#Pw(60*&a=X5H4kOe|84=%qNo;FttEyu1 z@dH8*3#nkLwpX`*WMxnHIOu2nu(9##D=u!I^tNDjzx^B2D{fV6vD#wKD?oq0H-qy@ z?skTjDIsg{F-TEN^*j+(#k(b{qRcP#z9oQ{g)}@d1;6_#P5cgpRnDlh8+?0N(JOYLL(57)zlP1(V}p#21%)< zrO`ZAV$+e$t(4WZZ^Hiu1D`C>VuM>xaJQ=|Q`BqSM#P9YvUBEADgo`VZVd~klFu^) zFFTv}+b)Y&9aNm1XCwhJ#-94W zbQl_j7*3I$e~-gkSuD1+@_>cWMJZDqax)4*9T5d&JnPB_NBvwLGaKm zRL)FsGB-6oIX=Q?`E~^-Yfy8dA?M4_X8KL6g2DQ~ER9tNx!q5#u-HhoBtd@z62@{V zM{EZe&fMMQ&Xw;DA}i0~qC&IUK}*x~a?{f zn;6$1Gz^${;fj*nVSB|RS?j7Demh*2BS`0VI^t2Z>sdJ10)$ zW6lt_hQC}lA`xY7U({|?!GhTo}yIC7sbeccyBUx)wV9e1N28?2f>eOKs+JTFLmIIm*Ka?U3G3Y#pK$6jXFBI!s|oF3g!!l6>T-4)z_yJEe^6vfh{Nxscsx6fLJ<3v zyfo~Zqe_pKu~qtd$XlIY=F5#ah+DIlkX~Oljk#xK&SfnWrie0<&&NHhls*y}X}Q&H zW;-D4YY+K9q&N`YI`EJpV3ERx?NaL9>@z@cxVgJa00xMGE)zdLJEi2N3QQz;ct{Vw zR!MT=6G{uMtopeu~NVRjh&c8mHTvcR!;~04GU5QI~N>{~#2& zAj2rJy)PYpLTm?Pg07l{`F}F|1QsS!xjh&si%J7q89l6kOZsx-#bU$D#k-JQq_m{h zhX@_%RF+6k7!hxW#R*4sYEaZh``~b=^NE1*IoLN^;q-7cAk0G)?e<)-+T%i?Vx^IQ z$3aC#czVcw$K(F&LHY&Niqi%*7id66W+nt_8Hwq+tkykIY=|Zkw&-@ceNg-51|zc; z%j^W#n;4v&?ml@g9wpmjb-Z-!Eji+p9%nFqkOKx_+GGz3RhS8;pA%1kO~(Z5(~00K zzIEl04AZGw-o;7UlnEaRQ`6t3`iZDSeA7*KAC12_iFnVJL;esJ z#~ec|Ic)i$Yo&k>LMr2{$f&6Z7%$uLKyA_HN&baTpUj68OxE%6LrJ543W+F0L}FW{ zcOo--R7NtM+w1u8H{fKDKS9E@`0tbbgk z)i5v<(c#BszE7An1aE-d6*f%ga&zf`0v{|VPiLCxDb__zPtQT#%f!dWLN~JfZ)tSE z1^(t%)y(!ixaKwj%#DPdqPgO9{?2QNOCKmM?s__%;Hy5d?v-b>9f(SjqOSt>xbV(f zxGjSN_J5wQko%+ho8#cVFU)^cr4y?z&AiJ9X7|p|pekvh$`w@>h}&f<%6XmkJ3TCp zO6PzVRM)#`BM0qmxgjklxyzap$P$EDc&aQc#qH$K=4#)L>#>@{3;Pi-Lnk%mqUvDv`U~zy7#{fE+1!=3S zoT?wWD42%1td5>UzKN;~O8;8kSHc}4IBx#`$9IRu{fA>L3CawjHI>X#AOmEz!-I*B z)n&WSA|^+11e+eP7<4#~?T4ltF%cxi!9{AGq%md)2y%aKWNmxwO60d&^{kT2D6$h! znl0s))+^~;Z8Cg0IcS~o&Y?4Ba$v8+TB;uzTlKz~Znzi6PDPtzB#uM1e~^a{)e*4D zDT(xJuMrcLjBjcm5u>r-#bT2{qQlZ{hyU;;z!@j7GD0XLZ?PbTe?WSN+5}GSSE$90$>Z` z<7@E|+Z~LrZB`&)QCV0V$)t0^!~kn)G^DWl2Ip@KG;r2{bk@@91Q6&`P#3{;BEVRX zP0z%2*h#KaMfLIU+&Zds0k=0m*e%b`4>jeLJFey;jm^kXTL%MD-TNW|f-%|KU-5AJ z)To4nXaJwg%_B}hEkQ+{q^$A-)Li7#bQF~=(_#3yvx0L`cq_uH?Gzd$6@S`N9y7(+#v)UeJPKYP7XqwU7g<}PMdvgcN8 z`081k7Sml~xrV8!c@&`&FxmG8GH749$sFf5w3Bx+((_Pp(73(F7A!x*8gyh=Uxufz z)?iVW6bohvm@{hFOx5mnZ(&r8jrutmVknBd8 zBX}YgaqeamfW?>aXm-l0$N6dKeqWZ;Hke z+MJA!$^$gMPqDt{d2C?4^s9{)lE5w~sckem^p3M@n@~&`UQLJ0N*OkzOgOxJ zC^E^*!lpLsfdm?!LVAL*BD<1Nu47?15?a3D(7)w+t3iD^X~Iu_iYg5aEmx@tbQFkqyCHG!gs%tF{UhQLxO3+|XK=S4J56%Bzy=KM7}5{8PyqcNpntVJUxg79GktRF zx3~Z4-64c}f2+DKpBA%4f)Nv+K@^uBo0vvG zjD@sH25z|M658k@4fme=JXfK9;S7MtV$sp=EoVAvCn+1z1 zGK*F9HhA%x-6~>?0T%TM3p()k!@8FOG3jQX#ms_juD)}kvcfM*Lw2|0+cE8?J)AFD z0v_J8$@T;sHYx1p#J}vahWuz|%z!b=Wjfws(QduyMbZ3!h9h=%kRb)x!nj2-ZO-9{ z*3zhHHRL`SbGTZd*z93g;u|X8QlSBUqNWer{{MDI%KqwdT5{fMLb5P>N8%gxe@?A4 zFt=mP<+H3T`+PcI39_=2(Vt<{kNu0%)NPW#8-cg)Rvf9uxxmsYJ6CKHY}$26Rq3)e zC7#drvc2X!fWYGLW>*Z;h*#{5@Ufs_*6Z!L#n#)Xa9?EZOC8R+PTNn_tF2a*(8=6S{HZC~;v zglxm0hpY9oqrpbdLww-J-9m7VNR?iq#Ybl&(Q>1p=jJ3+h0oL80mno9IaCWNUP{^9 z%aq`n*WIwQ*PA-tQA=PTm0L?c3rk28aY=LA6TmxvdgtH6ARl;t>}6_Yibzjim6k3A zw?oCi-ajX3nogww6G&FNR77UhF#ki&qs=}|!%6h<1>&+lPmDrn`1a@{= z!c#-|c-G#Gg1Wi@C|Q+M_h-!~m>+~=N$yiuAse0>O4=I+llr}&jfQ4m(FqL5=#C#X z4nZ5O*`pKe^*qk!p% zO4<+UMTO&0&EH?d^#~-W{THa(#6+=_XV9UjP9|HzkQ?ziJbPv>ESbL7RDC!fg3fD4 z=hB*39d8toMF)!VmwDTOYbw1~t&H+PK~mI4s`E)!sesi=$4-}!Nae_S&$=SACb!!) z<4bE*=JVkHUaQGL-vu~tiWmN#MPzs=XTPp4!=Im>H8jm55AFYHJKTW<68;+pw6nHC z)tw|~hdRYGVx#q#&2z&1t$zPFob2w6BIIgyT(M(; zeyEt#+|EZr{>Xo@K(rsu`6P`f&Ee;EeU!h|Upoak;p6TMk97J9&I%o`v(u-!u*7`P z!N*oErYz2bTb7!3Z4NEmQ=@ ztc|QQVlpodH@8l(JP$Xova^T4I_`u3JJ!y?02-T|9P<)ylLRQ3>F8OZ74!_J{QPgR zaWijTkVYc8gw}-myj+iB;-b&(|01LjKv=n^);bExH&#`8%+O#F5wG4}A~5(XRvhLu z8?x*eq)6|do*uyXp;T9U!LK&Y=Dv5lmywxK=e%&P?fOMLBCFbF;rys>-+H}qC!yvj z-hvG%g)N?Y$9Q%7}pSeEA3um0}-JL7eQss_efUx}s*+H)*!h{RNWpHB|V z-&u6qy~!apPG1H|-NXt~ZuV#FYJ)>`o7}>t^9Fqx;>ozlZ>k?_c%>emQSkX}=F>~q z%t^Ri2p{hTqXhvb9*5EFAxv1J4%oAQah5O2Ezo@>QrF`?grcA@Kuk11LfrLyx2Kc z{6GMt*`bW1FZm3u_BkBqA1zsMFaGY!-l}pAzqeZxQB}CgVbNB%Q|kP1+L~>l!MF;IIGZihNZ?Ul?wIWC|P@%gDn5toJ$aa-7kb*6mf3GFb)AxbdGwoA=YKi=Nf z)f0z-3Gi?KtpkvXUSnssjeK$7S?2{Q$Y@~ll5Z2}JfesL$b84%$jNoy-ezu)R^MLv z-=J!*k?3uXa3)`1VKtYQKG=;YdVrZVtqI8JausYI>|bMJqi*W0%J6)H(tK$*zu-lb z>LR6pHTL^=e~vRZo3o+VVY(7IbhrqdVWW(_9mRE&5VJNJJcUV_tJg?;rtK=3zR|oC zc)_7-LnoWA|k&tnSdAHP${R6OFCuj1o2*s_w!|)aGtyazwrzMY}BPWfk zYk5RgyLv|?@&yOe4=RAIMHsl`&rP0C;1@{kBZWVGP8jqQeobndqyDehngh|zN^Y04 zy;E=9886ohySBrt^-cNR(o({$`OUfdokAr?OCkS`Fk`3XGx^xq8sZaqtSn7j-e=v+ zt%}Z0njq`8aWCCnK92fwjD`6ihglKdU)wuLK`0k&&0LfRt5b1`9o@lrvlVDA{0?2w z+qdcZK9>H_K`v)4&QGCPWiLBzp}=u|BPkB)$3#<|m*<0=$fjy)7A1|#50SeoEB=bO z!TwA>g;pmu9!~PHwSP6G_0aqKyYaX<1fMsvVkJ8lOHe@piyCbOMPKmov>itw+#0lT~M3PMN6P-Ejb|35uIoFhJDm0G9E zcUOl$z~^z+4*nV!XRM^` zzOxjY$6A@+)gg%~(cq_7JFc0%YyK z?u9u$7MMRKw?-=lh*n%YnqL+dd7O{dPnz>@0pSKZBU2SIyTj@sV3y3uXgT|na-+_u zRb$-Sh__Rea@3*L_FytS$+EWmYFVDc1S*@FSzcP3{$yB_k-O&e#Lmbpth$vmu6&2_ z26wRn<|m_Pon7#m>*+tB$j2T_C&D7c+&>Vs*bU}gsI<{)>FH7EyjR;1^3#qGwAHKI zF3$(~79puBuQveQ%Hx_HD!k|@)e7XmWaCk&* zhBJwQw(Nge6_Q;#U5+__F+-}`t<#p3KIPm^EHYv5ef}&FPDBkVv+vHOyuqe_wpt7( zYP;81T29f{GA{CX2y1l%aksVVx43v6UdE*IFd-p^NeisejT0c~xg0g#Fiq@_9o$79 zPAlEMU`uG#$6G(;-9}Z&H9ha?thgk#GI-Rq`XvcFY~Nm-=tEVtx=$p<{2yFocGL7~ z7;Jt(tjpu17_V&o;P?V~VWEo9tL}sk8Zh1}#3hv_HhP1+h2k4f-nzyZmR6p50@=fR zook#qrdeiH+zj8Ag*`X6NZc4Q*F2`5ite-Ns&Ozu8r=Nq?!qiYI(O&fR$j`b***QE zmGp5Pi#C;3@k!~CzQgTsl$tHiOy4W?@PeM7{c@4n*?H^VOT5a|Q1=UK$n1(km3Y~% z9ed`YzFhn&rqyo)?I$q4sdh}i(w`laZU>?L;#YUBtz#VehlqkWIg{(N;eHq`Dwa^t;tcv_Eyi6?WlsDh#mHvQEZZ&w6MI*k08cB6TlNF6)6z3F zeWl`A8=j`5VHxaRzd6s4_^`UMO4H|AUT!VdYhWQI6_sBhrQ~6uW}E0sAts@7K-86~ zz-WGZtf?(DOJmeEfF~4iyOy;+J(GwMHZsn`jMM9ISJhCM*{72 z&W%RfmGk|xw$cgqE}7@5@H^y)F4e+)Y-}}-Y)0r>$D0w_vU>ev*-W0bL8i@uOd&bF zZlFH&st+X2Hx3l;ACI=N@wk2vJs}fwq(7Xwy2hV-tz1hahXkmKTCY~LAsWyFjkb)J zGfIT*&_6-9!pg_Xspg-`T5r?hA_t~fem?bQk0*`{XZM{hmMb0PfZGh{;5#^2pq%*^ zCLNp~Mu*0`JJ2nR%}LN73rjY1n4tBRRQ~0zjQFfC?0eKCZv6Ba;q8Alm=xQ`sk=gG z>pKAzzB%*1qmg>|KfiV=^c}?=B0*L?42`X=1^xH-sLFcxm({yVc6O^$-j;>s^%|+s z=WgK7J-^IP#}+MAto#1<7W(%ryE3j59TUx?t0(mI2#tCu_?WyH()%^2M$M#XsI;HX zbX-TP)4F1)vHc)&M*ic+3+MT)`W)*VD<9ZvBiXN)Fv8-iCX3@1AchAv_Tfn3*`>vb zP#<6>50BgIPTHF=%TQ@fdVRP1zq_~gSW3CZFRmAI*EMV`c1UheBjoiwdh zyk9vh^z4PSt~b|-MzBX?dE74#yS;+M?t@R4YJQK)l-ANybO~~j^YcB*>Zt6Foh;+qx&``rRlUO zr2dVC{ge-rlb0SI8KY-Votr`k55I;-_AC_nz${4e`C(?;@sSo?-27--w!r6JI{Z2M zJ>g{Y65e+KI3*3Ck^fPj&?`*No6`0Zr5}f?1)?#_x?sZ<|GJ+!T`GL;4piHgJ-K|Qm(|#ZP zls6!c%e6ak*7i``p3Tip*J;qVXrDJIUu{Gzztmb1(vi>9b`3@W{aC)z=giycpk7*~lf$R8)pDL`4f?Im5yju5C z*`$Sf*l*Y3Cvt{|0ig6tt)S>y)kYVP%t%VS1;j2u1Av9_@NhcXM<)0tfQj9y^5%LM zwUHDU3(efwGJd4LFzAc3Rw@vs!?)W0-&3eF=d_uCjwcTp>JaK`HzohGN~`rb<+@H+ z+ofM(ppDmKJ+}RAyQT4OzLTxcPY3t=b1yi(W4sF8wvy6VDfzMG4!0t|$Ss5#tS@4> zH{S=*h-N^~V14!Hg<9pW+f7x=`Bw^OllNW)crYqL{g(p%88X(D8Q@LZBlI&I_lswn zGwS2#iSKbex%_A8;~CM{4o==Bg?i~JXGfb(FT)MmNv7u`Yr<+)*i7E^(BCU0ZDx0Sm`T2R}O=jl!-qzCI z`kDvMealDElUL}zigSaBS?kM+YLOhj_w35_cQ)4IywY@RlDx7Up5=u#9qq02Up5xy zMHJ*Ut<3(~ndM9GbU_){fG!lM} z?>vQhWoVSq4UWI1q<&&~U)O_c-M#JcibvLFw2#^?WrV+$!}uaa2Adcj6qkz(5EAmZ zd?n{Z!$q<-z*#Q4^~>4fOrF=z{Ku#$j7>ahaDa^+(w@M~%GT)|gjbs>(D7ST1JX{Y zv967iluJ}rgie43p%x~fzx-hd4mN13x4g2_Ken@25W4Eq>U9gJx=+G#nBvDL!}6%d zKt={W4=*X6WEOGnErGqdtrdDJ77VE zb?B~l0so$krYu0`^J=qt1wwq@e~A&q0z^&oO**hWtjte}3oF+ar!kV^sYq|XGk<#( zAW%0p);1GA&(Nl)L9mG3R>C4zj$oI4)_PX#j-h28{$$(1YIGsRid2d;e6C|kKf*X1 zA}?5PI&r+1z8j}LM#&BwQ^^<~1hENXoM32kl97FV_9`6nD1+unC)Ms{b8Crya{ z9zpWbB{B`rsPDBma?((fV&!K~h>PH-Bd@D12e;I;zzZfcbn5qAPSQuKnTm}(9)9&z zw?euO504UQRsPgScna>4l%+||>P<*u4@aKJ$N|iWN^mkfd0`*Dmd(Ux!rY2mGF%Zp1ge0{mdFOnx5(UsF@#CU9tUVqoHUuY~7)$9H-~aJrVO#Lt1!Y;ymP|P_Va9H&53R^z0kNV8HZ! ztJ~`3MZdWJ=Tc@5)4|9@d{tS$SpLi#5R?VDa7Qjxy$S(`B5=|Z@En3#SP0bj1~OQ) zQq!~m-2{^6Byjo58Q<)x4j!8EheH!lQdAV9)^~ro&j=4E|t5*B4}_D2@Yf%IlV)(v&niL3f5&fK!^C~QS#xty@5mU3Wy zp$fKo?OMx+o}#K8Y)HlA>a_hn;SrG<2RRnf5Nec+0kfcf=fE#BBZQ?Qc|9>7S=Jp5MFAj zh>EExDLyk)CvB25T7)bh-#X#^3my!5#xW~wUD4vzO6Ap#Sm{w$E(e$C8#W=E$y4^qo7870fIt@K%;65B7oo!e@2$Bo);Odd5!(z!-FoiXuP85U-;4R&PJUtJyNpc%R|%5Fjp2 zSx~UR&XGY$wFGw7mQY7q{8w_6Oycc+w|`cHRdi3p<@CCHbP9fTRbnkhnUMZo>+c&q zbnf+H{=RiN?vO<^2ALJ3tkWtfhC-QiROS3|=Relvbn$-|nE;f!b}ZYJ7vXIGe$ zo}Px1vgr43hflopUlAJv=n0pBnjn49+ubXHXSYVy_B-b06L1*ZSU^m_tLnCb6}$V>^7 zvhq&$kO$}$v2nm-w$5k3UqDsmFN4HDJC^9AYw@Zef}T}#g4pWz>hMygH8I=ZWyQbU)&T9;(mO&$Rn zk&4rA#lL$wmva4?Gul`jdBO^Q8U;VPSMnZ}RMGix~NAp9O!J6SGjLt0Cw%(=-u_OK!Z09i5e`mm0SK6P5V% zw0(N2{j9>O?Adf*3Co?!Os;mQ_qnje(YylCG}0qG4i#$=b!T zq@ZPFgz0g(A&s~3^!c&-aPytqY@eehgeC=M(TSdekDG>`24iug=by!I2U>P&PEuq% z$4NGThyXKEUHt39w~K+-m59%MbiH47;gTE!p`@rvkP?OH%(QO-5gq}3aBl4;V6kiv zQg}aco?0%0jEc{qz1Gvepg&rT2Ottr=F0Z zll7}bZe36m@eJD|d>R0}0D+#Y7n@UJQ^nOcn5h`aXsO0m7B;4r8w)FQ8apr`q=8y8 zzy9g;!4m&a$Pmr@laNtW|3o?^2P+FX8M&a_vCPkZ>K=6Oz1UT4%k?jxISrhyHeqIA zV3$|#I=iiQJVt*m@l!XueDH6w^GDQEoGsS89oFP^aZ<~rlagto?fStn?M7h^A~8UF zq3Q{~-AY@ZK7YgJ=l5^2+wkk|b2^wJ7pT8{fQ0x1%?sC7Q2})yoteok5Ey9I^Qo(w zR#3ms!VUnENBl;jJY)_=+QktOiPk5TRJjdkYGT6uP0v!p`ODBj={Qh7dj0I_ssC)n zB{zUHyC$8GqLxU=M-k|$<`0}+npEc6QuPFHhMB)No8;PjTBr-=;?IUe)fV-ZhIi}6 zl>HV9Fe$#EcZFqNsQO@oy~$tup&kP!Ui}bjkrtDejCzdEH^j`0t;_7%oZk`)O1?0m z`G~oVo%6#@S`rJq2M+8D<;3LgCWXt;_vuOh$jR)OBG>Z+G7{Nm>&ePW3K{ySJu~i8 z8(5}^U0(2KBxK(_I8z)kqhn&P{9>3uV)>cvumr$+jA)6p7KNs{h(A)MR&*do!h?By z_7I=sW8vUlTo-r!>V{)=GMG+i(=fN=wRRi-85O%wy@YWa+syD}Mgp~V&6J*D=#OAS zWo~j-khIWqMsaZ0agVjEn}wC}8_-Ps_OVkI(G}K_@v*zueS~&U07Vy>H*mPR)ZfX6 zGdT#N(=uvZRB=1trpW#>@+<+DV_@QTX`|e&A>9Obs@qNU-Og&+$nut#mjB93>+c`P z7ynBgpESqG?(z)xS5b+~TZ`1~=>b#edMc#k2qwS+ba{+RJPxqaPY!WYGa0|p1$pS& z8Y&uVdq_)|TbVzIbPRH!${jZ1lvpq-C@Jc<(3TaKSCpX{#78l>Q&Z;Umfl~_5rABX zxm}E4J{*<>xjZxcFlaZMdOtbyfAO+E?W6G)+G@I>xTszC7v7{eLvxQdZ5b@g&j0%D zI^WI!ei-JjsK6l}MCBG||C;g6;U9?4qhbVv_G>FFt9wELy-^x~g$ZdzLGakxb*fFH%%c=3lEld!)d^c@kTE5ha@ z{yPt0%vQBNl>Sr)g%&s4-OMnPJ%uHlErRi?w=wq=Mq2WlwUF2M9JC04ru&Kr3BtI| zIG$(%MIc9_+($UM-V0flTj`~1GtyNO66nE2Zj_aN796&Kd5GRIv=OtB_pq}RPEGUI zHd5(Xemy?i)X)uT z=&ZKE)ByCWvbfp|^vev-Rtco4&RMf|fQtNsCoqzj9G^Igrn1!P@U-&cv}ayrLMWiI zF)}BoWN)uHKmU2YvAf3pyOxEx?fUCABEIrEP#}J)%04bR@|q{{dGaZN-oGRz7MYrG zG}MnVF+yDxTUqAmhI+pK0VG1j2fQXsI{DVNHiF*QPMqt!aNlg4JRJ^f|MG2)v`&nt zq^Ekvgt{A97B=MF?Bad>w$bW!Fg>g#{{b;`*4Aai=XtV&)y@2!)sH{#uY`RFEh`Up zgwDnO7BK1@Z0}#~E;%lKNf!|{`L1+CcNDgna1}-4U#Q+j4up%(Ca(=^`^;)Z5ngFu z_B46hsCB2u@dRI3zP^Y$-Pb34na25O*(7*{)|7>asF1K|b~r=)pKc2YW|! z_dtd?z7V`!_R8nwNj6^r5FuZ(dh{=+$J=63j*hzl2XPP;lZ8xNQqoAJeR-4JgxOehjMLCk3`=)OH#TB> zqC>k1HeBIZRi*UMi}O8Z*EvF8UHu&aMgBwgGVi5N5BlLkNP3!P}o@(0ICIIRe{l~h-v@& zKDD_99-{)t_V9WDvBp^Zs?St&jNW^Pp__U;kJ{>h%TDGNUzY0sdt zzT&XUgPNA7jA%C6jjX#dzfHHqD$5obH(p7Tte_$2llY9?A6YC-;$s21Hfje#hAgDk`cB6sVi^8#6Yjtfi)@xfm4} zDH@5^+!WiqkenGh!4N?P8!G~O=$9SA&7iDe*+8qROGf6R_8lS05O?YAyTxZM=49` zXw9EG4MeaU!}8rrVn)iEfqtvw-48-0m#GhUtwaY02+~q{dD?{kMWo5l9N`Ig zf2zK@m+O-cP7A`J{pB<1pC>mlUbkvoR$AiE_HS&^cD=Qtuo3{{qG_N6N8ewo@}-eQ z9fw?1F-uP*bt7Hu23g{9UQMe!?zZi$sJa+@XU0wWn44%`gCv=eMsl1sSy(!Nvl{3W zW_}Z_0_;+vqUEkzUYNckebCp$+dDhGgAanbL9Q3;b8~aR#HZ;dC3U=vzq^)QU5%T# zV;uKGxVU%T4w_fg>v(CAOM&*!aBePBYnw+5}m`4 zEgzVkF6{C0jBfGgUBO3LeTN>Lm9iJz@q5H_aEY=$3k%I1lfP`km)z%~+YAE+4gGNd z+rD`{JLWC_iM~J1@f5pYTmARW+CV+QOXj}Ljvp@02==eSGo6#m zU&p5gSm>Dv#aI?~hz&kIO8CA}tY?@^skCa7mx=(b!=Mmr% zmety7sQ$)z_{tY%4KzF}WNrKpIxM zfNWnq8STT0KAtbI5hlM4Ch;nIFS;jCtfpU3OTUQIv^aE7eb=L}j z`Yk)wFeizmFJWbF%h6cVVeDz0lMAL{FW5Xz;C=UwDWj8wQ0=1cs(Nm%eD9zE5ka?= z);gQuS|nYZr36Qf43E*kkrI$-{~Zepvoy+8cBl=TnZek=nKG}^)WkTrZLIX}oD8n_ zw6+xTQ`gX-#nzr*#LY@r5@g}#Vo8ZfB8f}hJ>EMbAp{t3oa{{sTAI$=$T5uqtO$9n zji^{`BXI{z5LUtC8Fm&Yq;0>iMm3E%P%B?f(-pecr=CWa9Al<})9@vQex{@*R^}tO zBuGn*Km-x-ImE}m3Om~np{(r@gv+eBoKo;eAeX~_@y(j#!axGST{5fy(z!m)FxPfL zx4^^Yh_oNhI;(uXM&=(LSL7Q+^EGJn6WXu}tT zV^T2DV?IKf)>V3ONxpch@LveKJEg)KwNl?w-Tim-aMS}+d- zo$YP@P$xqsV{MYj(qQ2e< z2imR;Cc5!xo z{!?Il{^+|G+?I@s^~Qfq+b+fKn_p1S!l-q7OJzX;3Kw!XFp~g`_9Q0#?(vB%>Hr=H zy}P@J>N6nZd08#!UIlVA=gboN4ew2M{4S1*Fv;yGCCLxZhAXW2p6%l6qY+MmrM>wq zUH(Zq){3<#tcrjs&$C zL}RRS3>-H}XSH7r4Z@LFro<~MfawW{8IM1_#3k@lVg9ZI16E1c|J)rGp9n53YGA`5 zFFQp-@E{-QnLV8v?+8!sOYxhkPX=)kw5{AOL|yQ18kpIqy=z&@$mm*Fcw5rinzk@w zU7TQy83-gU4qwk#iggSODhz@xf&f21i}wl}=jLLrEXPpQ zfTu&}-@E7E{Z4DAE2pMH5=^zx(7ces_Roo}B#MlSCeY(z(lj)P1--;i{D~Wz|NaT( zp(JN3%C4@f^7&=G`frT9DI5JB3mYRLiUIQ8!TzS$%39cX2`QAX#_{*JzzMjpgxDDS zhuhidDSLaz8Mg0y_RqIoE-uv*>HN}8=Y-|$-9yc;AKtoNy{BML8a!@w*NNg}E(j+5 zj~`-t4W4XI3X+RuoOU_hk{Y5L zU6X)liZDYL(5kn2JZoLrSbqp#ZU(_|@D%~xqi$dGC&81ZXX?!WAG}ABie!pZU6yt~ z#rF#Hw@*d-KGXdM>&EvbcHt)?`=}o)^{-VM*6&u|+1Pw8Hw}HMtGC(!#q@H-MHJQH z#jFpiUigO`SBN6vd574YV$D!9YP!&E7tOy#iJCHphGygA7|!xqW3}HZN|EUo8PDn% zi_h&2h+(1dn_`m>rGhYeA2Ef4=$v^0NB!%O0s`lQiByT{56wp8+qJQ5c5JJSHT8LU z1rOKvO|_0-Nyq-7o_&FZ-pL_c=P|S{ZFkrKSItGtxET_p@|T+qbgwUj=(JxSRn(+1 zgWht=n`Lw~ka3WTQZldDhv_&-7=~-B<(r@Ubv>~CbI z#@4OOk;o&%Kjr4syh%u~-!IqsbyZadMw05{FttnRmTXx{ha}g*!fA1-vpU-SPG_|h zDO2pr(lJ8snd7MCO?X5$<)D@pOr!rt(>KQD`Tl>0dd z%XYi6ZQtkj_kZ2z;~rg|$9a4{Z~itC@C|}3M?(XnUO!At{AHq}KR!PNpeaOT6cC6$ zHd>L8I73!pG%`Yd5L-PTO%3Uq!KHo-qAl-B{gG8jKG{`gY|hN=ItNEB6H~d313^ZX zc3Gw6(Mk4KYR5|ZvlAlb5oTtl^2xsgKirW~kQI%Q{vsm&HQ82;_*>ro9M=8}hcw3U zJ29akAt9Wuj0N{|mZr3NR75B>p~*M1v;8Xm^c7F0^Bm(WDzcN)v)CA=q?E8J@%_30 zWu*^e0KEMY3*553npU1vxWMofEido+=n*Uy#7p9Mjf7}TKlFi5p}ddYhC^Xud5@g)Kl7&v{ypyVln=MqKa$b|B(cUG ztQ6i~a>Y|97#5zOIh4c6ol0jopc~`<|DlnVpK$V6;tCx)m?U zU9=wlD!5)tO&K)Gz%@P3JW7XuL4>xuO<;BLQ0GEmBh}ecHkq)5m7=wW^T189LBh{v z8-;+F>=_-^a?skpZn$(AkZx94LNhNaww-19-<<4)*adyv zJl*VIv~*$~+lz|!nL*pwIF5I225N~FR%O~O#U+bg?Pv#Pq_r{kTiN_5FE0{*8p@lC zyPC`(Qk3CD>?|Fr$pz{v8=2Ul`GvTQG&IO)s*U7o3c4nOm*Oe0dL`DI>^gSvfZ4G_T=s=uN+S2Ui>DCz&6~j|N7y~(? z)8UHy7ptMxDW@9$QXKRriR;IKpA^F}06h_{q-dC5!pumQUsxQ4>m)Ynl1Q7^X4QXq3u(veNIYLJ(BP}a6JjBh_B8D(crp{1P3Uv>) z?V7C4(m8sf9j9KO&42tt2i#WK1#LY%QfHmL04qN zQgi)h3uScJa3;#~jRvd{0HP-gz!Cjd(M{yxJL^rw2~A{be#p%{fGq6O$WiCo=$4a_ zR#YSowZgt>?&Nr9c|nxdt%K{ax!b zEYmyOc;%!fS1j)rZaNJ-9S{}e=a$}JIGylt$yMD&Ny$X$Hy?e%^ic!{BLN`fz_z?P zkCN)#&c^T0lwMki7E^md;eYUEMW`4%@G=m;kx}HA7JjH} zk~%Sp?wQ@~nYkrnAzS|N@+xbwXf5^<)HBd9GFp;Xh?HMq=U|6Z>L?2_L$dL1jKoIt zeFI`gD6Z%`ykKL9g^?L6iUZ{@vvSfI$ml32YJN$w0w;eL2NN69b9?J-V`1%V=U{Gi z#(DpAG_-hizZsTOAsNSH3JkQ1gU?Z)b%)GHT6o!oM?FqpO}}H9z)FRdT3MxNX-N)A zK0T6@SeVDDDMRGkqN3OXu%eH%>=d}5qvRnFcva#s0Fz?;Ox@ZK(w+Szb@e77PGlUU zuD;~M!3DE?-5(nY&<%p_Ij$y%ZKEHOz-nn{M})DXrlG<4K@RNhoZMtgK<^}i$D0x% za}fOVN8r25vXs)tZykMP6n6>_bP9C8-ddj$-686b`D|;? zl8H`tbkGc{Qnf0CJZrHs%z0DL**Uu=P{nJVysbbxd_vLP^bsm+JX#~G!y zDy=CkvyvL5WUSe0ycNz^Szh95GTX;?b$$5P>iII-kcy>ocb?NNVvNHC*c6;0N@1SD z<`+1+z1}lI!p&N}Sq8Y5<^SE@<|kg{M85EOsqdwh%h4UvmkWE-g1;Z6U}ZAisIE_r z=m*^7=SF&~epPoi9e=_o=`97(*_BnJIJ(2#%ZA6qTs~Y_+5f0-ymhUuVPJ;5JhrWd z+cjyG$H2foJ=!oeraYcYg{8@Cs6RbQwQxL$(vQ@9BKW(rQxDPEDIh)}PXyVS6DeC< zWD?%Iu5qxi zu=ea)3v+!`F}(=MhuWzk++t7nlJmcn@D zIsi3xy#@Bpb2J8t_Xl=$`o0yJ?KQay!AJc1l9=b^$mXO2Q|BzqI{kwzIY8a{D;S zaJy7$#XM&qI{o_a{K;@`uHl0m#Khz;)IbK=`A&>xBL4dCxF%rf`BOzMQV|nor>@j6 zqksSp)$XR(@LKGSbg((g@9;EkC){+?C^4W28|H=!?WXrEti`DXs^p<_O0WifA#k|q zACjK3y6k@f94$bA6M?vxY4_gVzUrNNH78X#P*Oc!Wm;@1+v(*Vxz)TZ=cF)!+VMab zm!!hN*3v%s_eXLd9X&R}!1T(7k+wc7f6w~NLTX$RtdFs^d2&Xl&~xP{JwC30uj0zd z{>)6z8BarY+yn>QY>slR__k${Ayu)#4EEpJ8oF7h`{fR| z3L5>tUMWV0&uD6%+gnE|Nn$#GeHe$r#Bs;#Jl zg}0r=)9gBy=%^zkuymYLiYfI=T%}nHC%nte%_g9ibAI!{K z?R=8T1=Y<3J*ZAvf5)nnHJ>l9LmHcsD}G%P(8(m1j;On4eb+ky?|!;Yz{UMC=#yGe z<6hZ{OBT9YIG&Jj98R~(rK!8d5;^W1%us3bOMdE$$KC4cf`R($#+=qRN;NS=&1QFC zfaXnPg((iL+g&t7+hGlRM7M`;e8N4-jW3ZwKf8qt56LCavVO7BLXsyXD;X1ObO{WQ z>_|vLe%+b!+)?t#lUe*uv2QVT>5w~THp5CpC@43HS_$aBbS7ptiyKSCXjrG)=cE+O zMa55>i<|q1Z+mOwEfb)L!2H_H+rqG~f6D(Tq$pt3gYJ6Ugk$-+g{1#WnlRm9SpBxA74UfK?d&@Tw%%aL8s>~pR z$?v#(d{NGLk_eHMAy83b?^D;jnY*(sBgN-xWBgPE>#_fiAISf^oEw14*{zw(DRU^4 z#)N#km6sUy`O`*+Z%uKf`HqtG<5y*6<<_{%hARI1U7S>3juv!p2D+NUW1KJK6eYG+ zc2kp2Uyk7;1ya?NpB`tTkaG9-eJGiLcz$ZY7&WrqD=&kH_YZ}y)=f#nuMA>M+}0WU zL-2g@y8U?@5rx`ZoYQXfphm1SjyCT#Ydr@=aSG;V1{hL+o96vgN8YiP1$F9ndir&F zIsEPAiNujtI}Os=jGD%=q0Xy=XLe3M2zi6hc8`*3J)025lSH(+v$VFW4MA0hRp?q% z&BZ~>-QU4MMkRg|P7p@N6iRk_Q*bySS2p6LUkpQ13VYhIjH3T07k&QdEWNbm1fMuV zPxtE(I|}k7ga=Tqp`=s=fYC&}@&m&!98|m(RtRt-3v+_=3%t{dK|bYJAy^D^a&hH| z42$L|de#}mlEp)zLtAq>gt>H#0QFc7=QqJX3p@0j_khNwqtpM^Zj}kRe%trM(pn2o z^%-Gr)C|NMA~WGAMRM6K!XM6s<5B`4>^1GFb0Mbc62o-)P8oDh-$GfE4N|UY=>q9 z7?%ft^we@!`cVx>y^kHBQ;QK_^4s#CiG&-nGB`LaHsdm%hrQl8Z*_S<>lZjA0>bc< zFY5DDUu5_eO2$*P@_i6hEl20W-h(2WmG|529}J4XL0pAzNyjV^Sh$1EQDO*^`IimWoOeoS_EItvWC(7v!Mf@oe`%luiX>Hij1 z%_<#itxU?wBILE|zaMP=8sP!VVYU1(VH!9{}#LHcuEF~%WQtHsHAQyZ3+jg*|=+#|TO@z>A!OOIC3LWu$I z72YLdIW>x%A#YgysYAE_~lqs61{p%DE9!*2aIdMf*Wzx74%3qHz(HCa|vpT zfcDJU8MyM??WNi`U4?ONtZ^kJDW~LjA`oo?OMN=~151^am^lWA%{aO5p%NDs8v$NJ zO0v+^ElORp5h5B3YmsN!8xf)V@*a`b@077QPXGjR_r$ZhEw8es9|sX1MyGh{eC_^6y%}GlGEQi%{$H(RFZD&D4M`l(&F;w0;swP8h$u*xNVn*op`Q)b>%8ThblwH;`Jwwa z#0z{io6WCeRJ5we&TqsE-qvGVeV~1Ex;(IRaZ{5rZoyl@;Avxed}~{$_}JXs&fg}M z9=m59i~#YS$llPay7=RszT6sF0Tew^<*suHa_XCa(t7FxLj={FEolh{AsAWZDjvA}!S|?I9a?P%=u4NU|H9LhUh9f0Mc` z3RGW~X{K6@Z;|TTCxwBA9SH(4=uLeA#7e~u8w>DYm`2k=htKzRp=*IcqDXU*!p45H z%5z+tOt9hV;Ml#XbWz&pY3!t`@8hGY9x)AV}_`^+tmNswwHt5scu$qWI{Lq#o7Wm?lq zczN^gv<^bun~atagK$>W07MnaF$yo}v%IwG6`tmi*JWpKt1c&jAbnC0Q(RQ{&0D;R z<)XhjMG`Uxu2-)r`fhjNfLbc_rhXCn3+d2bS*xpdVg0;ZVMbxgqg8EQKw}tSpt&0G zgDvR!r8uCDdMK5eMl)cDw29+kf+AQb9)VD07s}h(87msl`S(xHMh)aEt53rtheKY9 zm6KE4GX(V3JJ*icv=(vdOWH&FxGpE$alM>YzV_wUT}NcJ!3^{U7&( zrlXBdP`SLluc@~CbpPk|QclFt())(Ya8XJMSI`5x=*Qc0GZ-dga4W;Hb%1kS<}Jl;RdB6|kGtF9U8Qad zE(AZybqf}P`6wxy?P_f>c*V|H_G2{5Ib<2=`kB4^Ec(gU;&~Cw$tA!#&8}^G+_{2qheOIE!axKuL(FOOX01b{&%Hp9G-c%YqFt4vQ6%d@L z_tjM23GMm^2R|nX;TL@LFMPtBvFCOd&4Spl<|E$A^wqSS4=du3azq7z`%Kyk+PZrO zrz$y-j=kFh>TxC9++)7XQWhgYLe|y@0v>VL1aRSjDVm~UETzNM`i2<{c>}ORtQ(ru+JF%kF4Pga>k_hf3N?X+5%Uiq^_=ox3?A?dOOs>6hmcx zHJmaG7=~2aU_n!FPDrSohleFvGG2t}EU@?Q82;QGBE#Rz8O5QY(44}bStGwNUD$_D zmd;mK+W42fM*#PjiAi>bzNO8XjKb*oDPdZMLAk@3r4&>tie`(OJVYDV@req{NyZ9; z{RVmBtmikh0fS^jld-@fELuxBeR?#^C5erTQ=3-5W8<9|8$gqBz4o(&b^e?3yNj#@$HOA#AR z%DdY;3bp!g&!buE{!OUg-dmtDU+hrIA#(y2ntg%{{8GzOiQLGPq-2TVp==s5zyXkS z3N?sSr-iM#*zw~+P|oLluVP8-u!fU^GgV6+Et>*J6goX42~u5Tb{YPKgX{zsUKQzN>!7u*NAt0nbC^rPu{AKdhr+ll9Mr-2)I*~eQE zU)^D;dYE?67}JLJ0@Tkw4U|Aa*JW z3rCOFg-myR-F5~Wp5B`6Sy>G?J3-%qtgN8vxRLZDPdfzzm3W+5B?oR2?RvI42oHtZ zm_jL#v<<-NgLtvv3EN z-@BSyl@T3mmV*`s2Pk|^{;^W)W(~Cnxe+T`!Pl>snJ>D;_9ty3VPx6_!7z4zU zJhUi0jN}5ICn?Z_5^fOM0Xl$}dd3P6lhFp|CtCDV3vF(h7vJk_~zWtGv zEhix0Z|{JWlCnrhU?b4u(_U^R?$fi$cz*X z4NppDM@LpxXJOKO)tY;NZGeYi7dAI{%TF_1UCHkhvtJ%HZV&q8q@<>L!QUMn(*Ru~ zB?*bY|A&Zndxxj4-dbn~c|)>)7&*t|n{YmWMjJU+fBeS2Jk^No_iWm!*25%JnR*u` zN4TMfydmFCJ%E%d3<~M`OR<)khl1X8DM$ZjKPi>0!Q#K`wbTL5unPHCBnK^sHTwb5 z$V!xsiWjR^OUe790M2JYBy}=S;e@epb^Dw=^ zqn;&o9|qrpM^>N|Cz-?!A3HG?8;LG5{IR(UR1ZJ`%v}cdRSJcP`8b>8oo; z{t$)ohIE7U6KyW9FRK4t)@28g(j{0R9-JsD8jp;8)-RrTbmTcU)|#?d-N9%Zm!XGN zJ;BVVFfo2cfLBv$lVzZ5cXFyQCSqS^b;O&ILE`Efr-LsF$Ps{Emrqc0%1gXHk3310 z)!?5YxsWr?+rvzbPFJNBRwi{Js;UVqD|inV?EHMI%d0xqH#hK+mlqo?7(E18fm?9C zzC^0^E|&L4YJJPgBat|x#&~FWe@5MeIXSP^+t|y>Mpb6e;80E@BLPY=L5#tu&3SNe zP(qKu>AIq(M%e$o6LR{?scch`HuJ1=k5)(7UuhoJXMMmGT0jaFb`2&Jkj!#3CIloV5gr-^+L} ziaxuaV*b?n@s%%nZW(;0fnlht3|KC$FT`P7+WX9pt`CQ=ALbum4lPx#QKDZ zkyb`&3J({b-|zTzWZqU!Nf^9z`X?eIG$tXUJty!=VTd0P^0c6{f$R)+5*sf5*+@zb z(b1L1#KE$i!R6ipx_iZoGTJHCQ=u;xAm8-m%@>-0WlF{<&l?|n<`m2Pj3+_%84 zDmW4e{vWVN?3$*=Cro3T*i2%m@$z{*+{=UC4&$e9dLWr0nsUxnmaTnk{VW}=t)1&S zkPL~PqN9oIssuMS#G!(ekfX;*uaJb&)Ab_4<)H2}G7KuqC1A-?v=&p6G(e17#t17_ zdRI=eSQ-WfUA;VRhzJ^}omiNdn+q%Mcl2z+1Plyt&3Rv?53SVIZOzP*D>CKG%~xl> zhd~q;TMSKrqKXO!z8zg$G_`VgAEEOy78Oy=&L)!17!_ouXY)91!=GAw`?h~=c3fD< z!{(fDbTm3TdIi)?Bcq}kbb2^>d95xlYa@`f+=KgqYXOaQQ+++uF^~&b2|N`QYAPyN z*na4^xLG+lI;yIEZ}`sk?Cdv(rlWv%8@4l`V!D}03hv{s zGO>iS?eS&<+IFPi(HGvlLb6v$c4?&}v`09%d<4Wkkj|ozg&&;lb?})QhM~E3siZ;O z!oDZflC7(q`dv@0B+%YhLSW!Vw=K2b-S=4DTy?u3woqhBIUzH$SFy5nUX{CY@B5~* z^x<^Y;N(bCVjdyjyS2L=pRMNj!c_stVka_N3dnaV8ExBmNkTq_&Z!!Pb{&=bd%WCg zSeZi(E2LB(o0FkzKK8;(VK6v&RTT3xP zTExa7O*TdXHeTgpsN~cXK(B~}g~`3p(x*^T)g;WsMZrsl89Vw3c|A+F^aG`T6rUA;F)psFF)iF!k{jhQs?@ zpv~XdQuN!=EFubgrGqggLrETftWm?w*cKYAp`l-BXt5;81lMbQTU*)2@(3Y%Wp=ub z&y(u@cXh?e&b~B1&&9!^sx>DsD_>Vz z+wHWof6?y*G~$V09v`0uiWk@!0K1JY(4v~_)0szT#_ZoCqGuB((gR-TsXI8k-0H8Z zt2@E#^n1M;e)lsyJ;u>cS9b;X2HuTpB~(@le0=Y_8z+%5G?dYM>G#@a2I}+t4gDEB z-VA$nM6^Ew7#~R_n528GuKox6)x6tXKHs1c_)Khdqxmy`9FQ~5CodQYdhdlf6j)nX zBB4fGTU(RhWqXm+7nfOh1RcJPHc;a8J@@K$`iKGA2qlR@TnAS72NX^91)37m`FT{TXFt{F9^LzY;pUZFE+1;uH#48a53#lDd56Ua%9%8m%EAzbhHtJUs{9 zFHFS6Ts1VP1_!e2DuLV>&#SN6>R(H1D%jbn+UgrWwX`QBrXTHZ|1SUiyD-nv!ftLM z(Dn7n3kC(1ET03hZlOyMV@}OxLBnptpnx0i9KdM0e8@nQkFpQJI%Lh}mX5HONO3mb zh~eamtId0Fl)vhY4a`xrww2LZ&TpMHyLg1=5X;4Kp*dq@<*8-@e`4-2C|Q1BeC2#KZ)2YV&h*IM{y9 zFN+2qwz+(6p^=d<42t&;VPG?J^Q{XKKo^JP3KIoW7!rhoYl(=6DB8y$#1;Oq#TWA- z_$=rF87Z%%6b$)k{}2duTW>=q;JUoJy1OG2zF1sb+!>AqsxX00QB3QIUjhb(hM7Z3 zo<}=7K*O35QoOcaiE>hZ}r|tf^pytQ{FFq z!>1RdrynW-Q4vFHa$9oSXxm`=M;{X@z4qjGN#8q8khST+ZZq}|bCQWohEi1(;w{L=ync2r9z5D z%RBg8v)P#d0gXJ3PmGC%f#1a!ou%!powZGX2U>YR>R0nqBo;!cKIcO5y5Iz2EC~KG zoXC#(W*Jl<#vh_4LW`3L`3>Kn4uhCl)XB1a1I(Bfn;F#RY#JJZiO!zN%2s4#1SclG z3ko8jZH2DtX3+m-x^MQ>NxxA`yqbweBkO|}+(>28k4sEpon8pOJwMZP)3_VGI;u}7 z9}N!~4X1KFKDn$d%sOT3Wd_)6tyBmRCKq-ZIR2XI7__{)$5uubQU;1Db4z37$D+d0 zx06tV3I-mCn)1KEi9Bv!U2I!P4!>$E9EdtPDr#;f)^c|cVUjlPmEvG;!6e8PVBz3m z<%BzhA%s1}LdR}ujNu^6O5^%h|V+aS_S#vY9-d>Lhar%!> z2MV2?Q1bHQQZZSMZg(tPTr;z?y9WmwZ7!LS6$N#5fjK$%o4)+fQMb!0Iyx5{Dq32^ zLG5)J=iqP=5CCCse-7AjWTmAot*wdqTu0W|^<`vajQ$0L>=9q4q^6>>#6?CDT=r=Z zN<5MT;2`Sd&X!=;e4t-uq>B=QAv#A!Mgm$yjF*>}MZSrPL#umSiG3cyB0ajbB5zCu zavUF*kTdg4EiDIDSF!UDS8&j~cdvm?Ot8c0`8f=HL5Wl`7aWm*2k!fEcQ>R6`7&8$ zkl2U`i!c;wpq;%vg6$_*$A$9^?`WY6pk{Dzn8yBJvzjFARp%>ZDTKGjhavKWs>)2B zH$=0I%?U0Z9`yIQV$jV^r1Ik-8c80IHOtuxdC8r{etaf1O>+E!+o^e#f4tQlL%lop zngBm}_sMta8TvA)!V;^c`IlaX0qik85w1e#CwtWeSS!7oHnL+Rl6kvc_-W{P`YE2zW_8Ni z#;3(eJN;ln#!JtPRxt5s4Gj_lA_)HU#wBJkK4=Q`&J{FupFf-N`7{asu*J^^U^)n>T6Ta&n^tSmV_ zA7LqjAO$b8u$=Z!VUS>WLaTlS;(uZtc@^EYMp`qFAo@QsE@OZ6^ zjY;9);IJbVl$3CAaDXNRsL0q@W+oH#grG7w^l2)5XESnphm1 z_HiryR^SANa`a9gpI6~PoB(+10)*2K`b%A1u}yBj=OY?-chexnFSr5%0>(+n$)X`o zo~A*7x2dVM^%JRXE#Tuv{v4P7S(%8>^%LOx@sE8={Lu9BqCOr+iF3W)wQBq_(AO98 zgA=MQU%{v}poMy<1Uy$zAZ~Jz4^{o_8@aSUp|`~TxL*gvkJngSTHD&TiTI%r4I@^7 zvu2!o*&g+%Y2x<<0bjz$Fc?Nyrd7M-(%vx9<0X=weuROU>SrS9A^!qN@X}vCkE+yH zD-8`&kPMQ`7?8u-a@9~{{|s|=-!<6F!^?$+g@=WU$yifrYHFU6mZGVyf`&P-7I)ok5se*HjOO_|uu) zR}ERBsWflobay;Fkd?k(4#bI^98zTEBonRC^jnO4MFg?VDV^?DkH4g9#Gusol@@eX zvTy2?raN!JqB)yYoNnQ0DtHJ8p}HA4C@9%?chF~c5Z_k+{{3NIe6zFThPC%21zWUx zQ_`!w0b?gl==ccs`3XU2-@(BuIXQugjf0UHixaU347&qbT<3@LvnNBE*aR__uk(+c-B{= z32vhm?g9hfVPT*9$ogz;ZF}8opYBeUkdcuW=I6b*4Oqc-?nH>OpM9n zNUw&5h7?ehha^fww2{e0W<(x`4MBx#V>40;a}Fl}guKL=ecusT%M~drJF0bI`2-VU z)Ty2Oj8ItlN-W!}tfCTvXz5SI%8J1FO>27_{rY-j1VJ6>&Sp01)|~>wfSX)s*lM8Z z8zDb`_UHre`qcWaP5zg?fsmx6FcF~gw8D`cN7d*7i2W46oDW4aREajN`9)>{Aj|~F zp7)hhO?_o$mFFozU3ef^X6bpSdPt)%*U8Detfio)p{b&&uB)i5tE>*0csULX8C%?Z ze?F;L=(OzuVj?qmLqd`pcTY2l5K2u<3AHuV#_f+jK||YmScx}A4lf)1ZNj{rfT4&% zQE;}KjP*~p8|gDb*YFS|fsuuAf|_!W)Rr$7ijoouCX&c&r`Y#?jHM$7KK@oA|L57c z1#f%noa_*2lJ=mbLCEj~ugJi%t~Q0&1p*_7-1x06oT*$bEzJlaPs9pELLoNc@YZiI zo-ZRZ(&jWJMxn_;J7@p<-%t5EO)^=96m6e3X03!GI z&mS&wa%z5tkH@;Xg$3lersn$CNI7zH@<7B(N^Bf|1}dti=FoL*An0^r>H`=4^XWt; zw-<21g}@*oUPC(cHB9&Q0muLL+@SdK5*#{|@%G}bqH2(qDh>3|GF8-k+Atk1!(oj?9q@@M)ADx`Avp3|iGu&KVMMiW#Mtt8^ zeglfqQ?=;nX@PM+9~_c^ZSDVeTdlUoA+H6F4f60GbuXQc&dS|ql+>)OC{-jMub%g3 zhSdXjfYAUKxI2MEJ9F$Y^y#G#|Pua_nHAyia* z-uI*pqOBdCV!n-ED5>afPU+ZcfrXop>noD*=-?nSQAh-0_dto#*ClojUviK-;E@I# zYQ}`T&f}9PR@a}1mi^(8iJ&-z2fsjCzdjuxeUl@*THM2tQ z@#xRdpBuFzVB#1v$RVh~{?Fh1=#dXHbV+mp0+9;DcPPYn#Dl*Fi+_jCEt&~W4=-bW z^iI|y-|V0o9P}a)8lR9iD=ul~-~_&;pHc2;sA-ZkQe>s&N^NXvU0mQJBbGaO6vq|) zSf*WmxMXGO#BFA#Dw!|f+oShI{-XMPg<0Qn#rzUHD*~PiK6DvL}E2~tBk|QQ2 z1o(SJd1)C1ARlf*T0t?GgOkRta!mK~ivXvV%Ysot3Nqn5DHJR|=$FAtA}y zNj^tJj@7{o9!w=lNe%@;e);i19Jb4kr#KlV8&R&J;zUV_LrZ7X_rv|h+TL1DQMSu7 z(aOhGfR3)Lyc{v*`1m+D7`m;Ex7vQP#wapAA={sh+S;~~nTDB)fksAB(Pxi7IyH3- zY#bZVOQEDxxG->8U??Y75EmDaks)j7#}t5rhlgj34Fa8=t+hb5d3bmPbOFN!bxO3TP3q9@iai+QXAkWiQBO>=pC|5@ ze@Eli%0?&=M7wDqM+Rcus!vJW{@XWSz-eR3PI!L2V3XTU`u+Qk|ML+JOWx|e9Y4}F znpRe)z$vQm>(8O9Sw z3`Y^68;OU*XI{D6|DWi5$>#7d2|Z9(2|)OeTfWB=10Am)Jlv74ndrA+02jZ&*`Bi0 zl*0A%Q|t(#78}Ji8SclzgQUB z&|jhpT3l_8{m-92&h@k1-8ss$>-sg7jLi4X5+<^pAx(JvIGGhCk>N$*O~IV}+%Ma! z32jXwksWIz%ed;qL?jRQcMCHMfGD1xx#kn2NFM`;_j{f@Zs`dk=&c{_g!}N1r5&Rfz>}DjW#D`hFYIV*@~3}J?J-=jPxuVG)zu5mIvpD2j?6A-aaj(HCXW89-h7ifFCWD zd?ElOY25SfQ~*;uSR5NW0UQQ72iw!rbNp+^hYpvQ?xtjMW8<{?`saM{VaR5P2GYPl zVI+MUISW0#rPuY@Ihx;>A|V#qfN9>bJUpCN;1YmMRb*s}DlpPtS;@%K6BGFb1Q2aK zKK)Tk`T0|TpWq6C{n-*~z~yZfnUAmi^wd^dTpUaWJhHN~R8%n8sJhL~fW(LE_?Z6X z;liZLeRyL-<{)_J=0J&9&`U(lJ3J^TDLNW}t5ZQB4P{d$=f4{oAIslE4k4m3TqK@^yZ^8P>CXeGS|~abll=zjGc8he6&aJ6~9S~dS zBk$yg2H6S!Y=3vwi)82mo)l!^_+4I2Mn|=>u|Y<|oE?XYK}=j(R>UX7>6IJINk;c$ zX_M&j*ak}J(I(S6CWj9@H7hP7-Ve;OeSEt!CEVuefti|;!{doaOkgpY74qO<>o>D9 zcThrOeq>o)Tw+>GS!7ds0t753C20ubXP)y1*uoF{J{{kIFE77CLY|@WxkV!bC{|8R z_Lf&Kuy#Hj@5$LAPsF4wN};xjLqi#y5;ksD+%-1=V!7tU#T+3Y%Ws571!x%P<@Ah& z)D#Vz?1NQR=bQC-%W@K$p`qJn)T1nrJ+896XSxwyn#pu@7d)^T%Hgkca5a=c`&TSHyor%t>&`$N-P!RmD-VmQg+*>oj^E*Q{`mO#)s+*H z91=o!ODgkROl)jr6-chrJ25@|nlA3GNNzV!v^y;JG&c?R{M;UnbZm5#l+U}puB(`{lMq33p13R~_%B0Sgu$qFdG2?$DyN^+c1vWlD z=re6M2r_h%)aC$;gr2Cz*3j@BV;hL*+{N7ezP$rDTA+fV*&Eo1 z-$me*fT6qMETnHg zCT*GjM`P>i(Oij%2iA!*`j1BVo&TNS?Ts8T5HD#0RBU!42GZ6yC%^7|(1d|_Fb2|% z&E_Vgq2c?G;)7QvIxx)(*Mp{~FMMYQvdL|}d zimz>I;$&mHy}FxSSb)4o{{oIy`USjS2=JE($jDzXciCH5%-h|*TU&qbjZ8cds=Yg! zquCM_U}JMVo*ykeq(w(h$jTy=kQn^+>lc7-_J_r5B2!Zr&(Et9bgr(h0-FxdjM>@c z>*9P3eoahNg@k)~2@4IA+CqC@g5!Em$7WV{v^3j$SX^wbtGmiY_tCt3O3NVMvOsu7 zonKq~D6>e+b0~3uH;m+2$6MJoxE{1vV5`A1HUE=If&$l4hww_zAm(IWT3bakX1{dci;u}c`DgACSgMZ2fnuhY?-lM})H*A7uhr5^b z_D|#sAv9AG&XMt(OuiW6tXEpp=F}XG9B~WtGuWQwCf3&UbRj(Z!0%T|+oPqS=}i+e z{x5=_s{mn^A27!=GJ@QG<-VLxWEvtOK^6qsy>3Cn-57}5 zf%2sUE(QkthKzs3knndpOw|a#TUI)dGPDUHkr5%=i5mKSwS2^X@9L=_I`VXNx@{dE zzuI0oX);^C1kZ~I&%#0kaPqMUvv#YiY&JIjvavtLBTPHCCHaY3QQYncC<3~xo7&16 znu}lG!dW5z82fcPWwTQ8e)qJhs&3v=3(_c}+I#bbjz(6nY*m3st5D z@1&<;_z;D&O3%zr=XXy@`=}F9HDRmgr~Eoc1`9jXx&FFWlziWeGL$JVAJvjq9~D&@ z8VZXYX=ZBb>go!Zz=7}(I-g)cK?69{a|`osWQgeVttBJ>-$h*De17; zSyx|=jpm6s`geB!=_VCe{s3J-ui>F4fI$*>irlzF8X5`#jFJ$QmR2mU&o8>yQs|&} zyNL-VU`MnS6rbjv1MX*;R+|wVd(G0Kg$bc?hcsk|2p)Zc^;3w7t1>uYZ;I2qa&y{9 z&!KN<{lTb|VZyxrzSRGjb_Gu|gK)k`OSwB}p$3tLk0K;5jD%nl;zNu*6|KyVyFsx4 zBpqc?t^g}zo_A}FzXvHj`h_h4xT3sTR#ft@$6I@5MMXy#93~$x5APNnCH z_D$(VMp002hrzKh)|EOW-G&LNC#Ggp<1e(+NKUfQZL2`-KxWnCRJfq0b8^HrU{hz# z6~XoCLbTmxcYK>$;^5$@oQh&ob#Yr)lZ7qrk-xlL zpq5-#baFO=AH=HhhqA97bm8KDb92i5(P(-|+hAkT?bXcHR^K;a5+jQK*smp1%WJEG z{?uMEhn3ycn@=tS0~S*&+B!y^BBK6# z3eh29a7YM()YL*dr?yH`QiDT7Y1nl#B;*I;ZU+@>#SIo9tk1ay_`I^^dfP{;YvzPe*`~= zf;mI_(ME?z;uUOyUYOSnrJVk3*~L<1l1Omgd~Fhlvi8m4tX>FUchC*b8G>=Iy2A6$ z1$(jw`J=x|!h0nDFLzM=U;7}^{QBw;GbJgArmB?ONLOWjVU3LT5A*wZQ&)q5iRA3= z`hf2uKfryxtMvKJklFC8Zxw{$RvRcN$lhK*XsW0k+1rI9Ak54x0)j>0@=i!hiBC*P zj86)OkN)D#R9u;7`#M8O%fiFTI=Cd<+c)|&+F%hrI@yi36&S?Ws=E5xT0jHKdqjM9PI8%yxvZ*#Hvz`mg5J_$aA#M- z?}A0_{Tw7Dx>0EyQ!~oJuLw6+n%FqJYisnQe|c6`v2ieM-|w{O5D;t)4HeBb{gp^= z&N|oRHaFMzm{&!FhQGMJoR*a~@Dg{>m2e$nw`SDk z6W(Xs+SZDAeLa~a;1=`i@HCxW7BM2?`Wm6m3DWPq3N$f0s|tLo6o17&++87{AgU|J zB_{d2+$aeX3be*XCGl`^CFJCw%y#>B{Q9N%{N&l?bH%~4EX>4oJc=Qzq{MAwgY+0D zE*|XG*aYC!!1AOduHbTdsIu^Ip{A-TEhGKobQ#ivhK2!2Iy);%-#`Oq@9~~jki_9% z+F@%_5(m%dXgKrF34I;I%-kF!gMg0|C(Dd}noi^p2Bfhuq#h!-~~cljf8h;^D?g3b&4ab zk4mt!Ep&N5tdI9DGfq=7&HFjHeBMTlfyVXJ(l~}YDC*+V$7J8Wi9*2&V4PoCYp150 zG>hdVCrFCNG^`G@2IV5X`yu7%bHMdfj_zyou7X0@=O3J(vj z*ZD091`+%CVo^yM7XE%oQ5p8;j^N~?Q%qVlHi@D8j)<88wXvv}XlzV|*T;H896mC%*!7JT}2M5xW0rM@E*9!MG|Y>HKbZf9{q$C^Gftiz(cc zY;9}hSS0rSW&bG&3p=pf8{OD=Iazsjf8X6u{asD6BLU|6$>&arj+Yg@cGpgioV@?z zp7d7T|K7P_Z7tpHLdPx9_vtYzC=2n`!vFDNg`JtB*B(4v2l;P}-<2LGCT7UlGqt(e zQg<~Q=hDIqXj{|Y(DZoJXqajkTK)}w;N760z7Q56tVMfab3w?zubZhK*yZUAfxY`G zsldg-*2-2_%~!|7&b6wyF|DAYsIH->ucN51w4yb~G(RUOz{kPQ9u(au^6>L|^b>RY z!6KJW7~-Rg6r?IGP4oHWOHP3cS{))i_YgRyM9mb_zJ0dMs;U#8IJ3XQ&HMTvjd%Yt z->{|@)OUwwp{%T(nf<`f7TpXt+t4@mw4NV0dck+8Bhm2M3o%w${(j#6WVU z<4?taMK5wjO!2g)D(zFNQMfyOJ`9@CDGrY3*z8OLD+^1b5@-_#0CBM2tRaqT6(G(B zOtH=6v;7PDe~OJrw3nCvGcpr~!fNH_fqZ>lQeS9ja<1Pl7{uKFURALz1xL#==SZB9 zpsApx;t?9&fTb-aDU3)ic~eRrY>L<*Tc>=tSAd4(ic}y{AObGZq066jfe*mU*a02a z&!0)A2L_n?2dYWx`v?1M^NWuzZqiZ`Ad38l2T@UCB!4#AeetKIVsregO@3dYAae-% znb_MKS)X@z`cy*?tEsEGHZ~=oq9G$9fYkR;ygi<0r=^#amNk3qX=zH%aOckz^aZUo z+1mcA($&;b@Tyv0TX?yP!Kyy`ReV{qj(C5U3MF9eBNW(9PDu8kr-15iYHx0~8B31q$wM28?xRRyQ0U%T-dJ%$xPqv5h*zC{4Bg53B(J z^zCPrgD1fQry(J0lY@Pi_$Uf=QUT=dv8>N1QIRSx2&`_-W0*AYYz0L_c3wKA0zYd=+*IlX`ea8FB z^U8i%*xpE;1BwamsRD6HYU=x?swIy3CfE87RKEkvW4GOVSW5N3Px_g{?+~~Zp}xkMKhkmdm_BHzbm2ujAo{Vzkot% zbQduyHENu11b+(${BHwl{=ik2N{$!+EU>=X`erVEc8m;t5E#g16-~9|xMVFgB^kd1 z7D(>hk;}6S4D{_G@3%!CwdBS_3MXY_QW0=B^^~X4Ki)9}K-A`_lw_ypOYd_*UNwlH zjmv`Zrg-3cOauEuK&yX8E)piRwxyDhvIzbfe=_oFdUbNnm76p!6b4m4#Fq!|~m{6-9GGw+kEyZ{OX&B_(rRVqiBQtq#Zg#jQCzmTVAE?}#{xO&% zn)%>uZYvt8S=Z#vpd=Dxfu5M7va89>#uTWa=B9>* zx#jTlEd0!%Zgchf`{^|ODS~ulsi&v|n;X1Y_~)h6j7oa#+gE2k0g({PV;c+HT+{k; zVxzO`Dw4tir1a!GEILX*@Ptka0`xpBS=N;cNO~*h^g;KfCR18dXlO)1 zLfM~D46W8DsZpTsLdg=q$H7C`TBR2gb5U)Wqm&a12^JaYgI=GAlI`5=q?fH=S7oR9 zLu1@$tc$MSzP7=+UqUj&YB#aE101 z{@L9T`Y(}83iT`q^~mb?h{*RiS=e`^0*j%HhlifCIaCZ!`cGu{;HIi3_n&eg?iVry zzIv=n*D;nvK>sZ|ZFgVMW95Lcw7lq=6(_9sbEoEdZ@m|<)vA5~V*uFOG6VEajWjg} ztHgdY%`~j+F=@7#r-nw(I3O(X;XZsp+(axfY}tM`2>Mtq3+i70T{q~>$z0dxv+_>| z*dw3D!sh4X!NKfGTLOaY?N|eHQ&Uhwz?2rtrAmbDfh|G`uH+cs6Bm%yQaTjaeyUZ5 z?9MgQ&~(XSc~S!0ZW9wRm1cBwbkc1s%NlCg@>)faN99bNg_wrz;wJ`aF{W-q9xlUas*}TPjOK(ck>qr7QL)2;1$v4_<*yrDlZ3#dG%}sTAni6 zu~4YjV91w%dVgO4#0c~{NQHYxdkZU@a7_2RD|4f_v%}ZZxJ$?Q7dA&`e|H#V!y-T( zX=sH>Zo#8;+dMt)1`*rbY@wGu=^uCRNA?uJpi#YuM@M`KIYQH`zoapTL0h()$0F|F z99e)`nzuik2mWu|Ef)tb;^YvK$<=yo&>`odG%PG5LfT83cKv}-Hy313N>(a6C##&M zs##8+k(OLm?hiuE@2<(wUbxsZJQU_V#6cVFMxah2n>{q#n_f$O<(N%TPSkI&JJ+o+ zP|xCf2-hZkQHAV%3qg$EHQpjGEO_>-=lYuX=$~LKTTE7K0Og8BaVl8e(LK`la3?k5{}&#`{po@%Zq}E#eHb=!m6O4Irt}! zrPLOPzT@m&R0BKh-5n(o;f_GVFy@Lm)%SJ!RSVc zxfsu*a5(`3V}74^2@%&vfuh1vnQ8I+=EnHgI6uT) zs44j9c=?kKNd{)ufw+J)rZ3}eMgW;L0QmxZQmAVhMw*pI3-}T4_{HMJ?8(jH>f_IB zVW#co8|Ls1-H*2?yz{fm6!*Kz!sUFT&3pN^o*~+^HU>bDx0y?7cx#!n4{BEC_mtl!igb5rOqr?A{lu>!nkU(e)FRsmP zEUesg)Ea3>FqtsM#x1XDfonCelO{OLDJcUDkbcjQF1SPOhP<>~eycOegi>srSBsZ| zem+=RUZb4=bdzY-yf^0dq4l7<%k3M zGLT%n*pT$~@cTLDfwIRcAN1)qdqod{wU0RoEtOI>F_}(D`Y>w=zRzK*x=8!K9>xaw7tAsC3KEgieKYh z)4ZyycWczt&=ei|iHUwt=dejjH446YdTs$-I5Ik6(C$J?Ps&X~!$#Fl=>M-iKQ--O zv0La#+ku(usDrnOd3sK=!>7UNY_PSg7Dx#5Jy~@Vd%lprEL;em_=a;E!sw>&5G7 zNn`no(yV~g$h2r*B&aVh^8jf~Xsa8N1+Mna#As>&q&@H*ykD=KY9O>LpQx*vP4EjG z3Y-hlKe)%hKi@xDDgqv~9qhwfJq2?>FYH%gQ3(}IVG&sm1>ZVyJlft#)imhOh9(X{ z6tTlwK;RC{lVHj1^oadxv!ar!nvSxxBVE`R(2PkHvXkNl2YS?$RcmVNLFOSJg23Ll zbJI>r*LCo!VezCDf%suA1v#;@(n{O6L;CUvX;N_TCOeixvm>3NqJavMrz7cobX}V) z`QYql&sT|#q;u;F{~sacOXAR>gw;-nk4VGPW16X{^3k%u6yd;hACdq*1R{9f^oV_t zebcy8T~&{rgC+S}j5A!&G%Umy>j(CAy2U_^Zam3qcN?VB**CFktiuV-$l0Hfhvbom z-y=b&#UN)b#_tq($(V43iJl=DwsVFdK2naIu78xC`LVUh>3+ewM^zDZlN+O%qpKn) zsK)el(B^QRC5Y$D{Y+YtR%4RVV)AAB_lGC%XRB=k%r_fEvu}!`Z&GjYpoafPeyv~( zRNq+wVN?O^n}>_8Qar6Ozlvr4xk+2mn5loS+AwPN-jmYt+#Q~E_V(QEtjJhEKX~5a z%jl*zj<_vhjKwi86~sVE)y?3nWB=PB^R@n$Z^fCFYujPX*cs7uSDjjxT%Fz%hUFz>iTH6#8*Rxdcy;oB=w}oMDpXH zvRU-la7WwF$mce9(BmeF|!uS}I_ zj`y_CdPhJ<^JSe7n24~}>_QKcpFQ4QL-~eHg>1uun=MNiko|*a%eroEQ<9VOBg8P9 z-(z-dp`xzH)Wen_TUCLDgGuKPy`_!0l&nNHPR?eV72&I;hLXna)n1d!oEneiYQ#Re zo9za-$NTTNJmOj;*x)NsX<489YvM+)e~}1FZdc2bQ$rCGQ@F=xSs7`z7M2j;$ESER z*u@zL#}p#qADQP*Kz|JOHpuQNL|gB@mONoXcJ$^MJN%4qL!aTd0rJM@by(kREKnwm znSi>hdAZp+;I4d{)t{v;!=shnypRNR(t-Q}tpco1pB-Ml{RV@f;$qOD44IT2E_=np z#y!%7n3khuwmQXThOJ}v2d^TH&>QqwcW8C{N5hvJdO4yjwCo8ds}pUJZuZhvP^+Hf zGQb^u8LN_cd2QLM#1h0|v&H3UkUb#zUw zIOb(4EFgfHi|b#*gP+?X>V3n11$pTI3i8RxS!Z=FW6;tOf8^M6X+U)6<0g5M<4j?| zKq+iCstwmV6Vg&l-@=YPJC_cnKy}#`-DRX)uWeBwY1v5O=%>Bk$Hy7!{|%s$G9DnW zX}YI4o>f)!)c9FL5#F82RS(I|8>FKJ=+I;LiHfbr0OkCoWMW^Ry zD*dd7tH&EPshz}quuT8J0m8gwi8)xdqCqaVYS!!;cwP1?pL;+I8y4o zxOxneoKrcxE6ddz9bReiEIedv!;IZ?1AD^(eP;3~KSRYW%dNg; z@!9+`@xEQY0Zy8imwTr>g_N}H-od4?uy4=o`ub?^?h&EiZ-|hH0#ZCSc4}%itP^M% zX}QfNv#CKu+;}v!tGe3N6%CQq4&xzUq>u3MfPQe$6C&$syXCvP%V{&5%@GzZHKfS!aP;k*`-BHCM#hNvE72|YxJg!Ac@SF{iS*adGjX{pQtY z2zi&Lb}I2Omjhbrp!=MVNag;-kq?!CdFl2Z|H$t@*6lym@Al>k^r%C2(thOL4SME; zdAI?)zP-KG)X^cp#`gM~ln@}3s3Mx7}u{Ao_ zIF+s}fdI_TC@Wh~K)s{^<_;`Vy}_#L*~p{_%YbLWtmF4ECsbs^8DKT8#Yk26a9a;a zzb00_k<)Ri?y|tMHH3W8MBlZqzqG+xxYcJXk1iW*BC2BR!ua1L7MSn8d2TOktf*@! zct?GPWOovCA|vZ`0NNw_x<&SBpHDG_%Cpr)sO-0Yzo1$P@#$xt@|A~T&bh0t2%4B! z1W~eZ+|I|DjU0EYQ(>Chw7q!hZUy_TxA0P8_!zfJ%cr-@1iUY0Y*BR1xLTh6#2%;F z$}mi3cZupPK-d|Eaa?Wk@bJ3sT_TD&fsfLrP#ndYXX+qdWu#+M(MZuV$THH&)NFJ~ zi#awP5N0q<&uuhIt%b=*g{|9TRn2DcL~=D2kZ}71?%cLY&#m_#$91%&UIzf_*J-8y zWDs_za`IP*?2t9cNk8GvrOA$lpkIjteB7sOHGuuBVnRZSVU2_;o}8rG4k)fTT&K2; zqsjdNtKuLo0D*a`5+@Fi@nq83)ne5Z|CClv;P9cq3Mq~?TD`} z=n&C&L$)69enVnX{z2EvfM&c0+{K3IRi(`0L69nToj^iY-Vj&L zkUNM&3}&%YFtKp30;%Ac;(qVk{^NL3{OH#dra>|+jC@2uJgo6G_(gEBQpfm7_)!7E zbKHNFdwUDq6lev%+5^H*ka$YT{QW?@2<9;!y+ILxD9OQvxtV9d`Rm0gDAK?bno0=+RVM8nWE~>BB(9 zw6%wNduJ)%TfJWZ`6V{63C;vZWXJy=5xEA!@7W*9QM2AYVq$6`^5X~8+U%?j1MxWC zo$3R(dtUnkY`eh?w|h}>aY2UvC%b#k*YE_kZKk;X+hwBE)+56~qeq&HH~nWaBIYY! zJ7=FNy!3L7xdwQgCb?L{Ip)M@kR>&HPL%yS+5c1tYXRz-Gq0vVn5d1NHTMjEE_r#$ zyI8N-R5cA{S5u|%HA;+nwcr+74~_MwgdOITbe_Yyl29xoBXUY$SzuWmUMO;Wfe7(#Q$gK?fjpe{S zakc^dPZNX%4N`YYjp0G&S|Kp>c7m!-^UiH8fq-;AHLLn(F6U3@c zDfVc04RdM~{!SCijtG?H`w>X50b2f^c&iqUac>~Gob-$(+vkt#GpwmEZi+7pr@yGIy~ffe{BdtEn$Fg z|4>?10_YK>+EI}2MT#r<9KVG#L-j+I%xkFoU7V^8|0@0)0Rz{8?n8i!KexUNW7256 z=34DkS6fa?OAPA1qPf=G)(Ykh8Z|J~U)JG=91Fd6YcD7xE4BH4Ce|$=VW-~}@FVd~ zsMkBt(6=YU@7bjqBRrx8^bVfR?Y$mtr-Nk+cGJomypx`EXnK>Vg zAtsIXC7AzUGG8$os!%iIBx2FSMM7bVU4V(5@rl7NW^dg?1g!*b!5Hq^(Lc|ZmYDPL zcg!4;aC1YFkQf0nO5T7X1O$OV8!Ib$DJi6o#z!D>#-Dh*x?89a1sx(5f(!!6djUi> zAt7uF(SGXXuJBmE)MWpekhF^}HYTPkHMbgHp|0Rp11_qUhlXKE^j zo;)Cb=%;9Qubl6kHzYI=)}pTf_gkD-MJVIoa@`PW6AWAq87`m+1o~>rIP|x**tGg= z4g}-#qLR{VuE1x*B{G0mfOA~S8rT`u*UI)f5~n+nr{C%RghOnA)@T4JpAjnc=rD%% z*bhU0N1_tLSB|1oMKT|7Q~nhDk1XxAh}lE?WaY0OrVnGe-a3f2L#Py|er$8KZ*dk& zfRA}{rXNK=ucFFa&Bolw0e)S^K;7Qs!TCLdn5Z8U7fnw`)iEPtfp$ITeY9wGvN%3u zbZ)w1JTgo3=DctmoVFsP+ho>V<^hjwL^NaJ`RvC3I)sfHGF-Ep;%hEb(wXH?I zTQ?$otP<)HBIRv>apquzrW^EdI4-G5YqAMFa)3gSejEA!^c81!QWLp zFAopJ5bT>018e-M>3y>z<@g+E_rUW(Lgs)?g0?1`AD=XM(a-Y^oX{Co^)P-Q>XIEO z($P_^0rkHtipl#szx#ku0jJsuynS8vUNG_dz782T=lYt9`&Q-QDh)2HF+3@_#+#nr z=FH&Y_%Ig>o3*L$&SAq@W3t-mC=K-uZ1v+{!x=-g+`sYhtcwf#FW%-aAtP@-fv^+; zGNvCvL6VawRSb+GJYI*9DQ!c>dU`@^xkc~m>-GESz5)XNOj?%dnd5ZYv}eb|!|7#Z z$;F4jCVM1YQUP=ktPivZK#+Wd^+ZNR>J+sh*aNE@*Y{&ZgIX^-Iv4f^d!SDVQczaZ z6JVix2g6=@A3q=No?c6_vzGbih|0>ouR{MY4xah38?=kQNu_b3P2Ht>>vA7;jTCCF zu8X;zM_6+W(F}1+WfAif6?2bJ$5PWDI;7kAyPm-2-D2;!Tf)L{r1GL|n?v3i4!gmh zW{=e@@k_%GMlb!!+BQ3x=eGx3VT~hSdu?`I8R!wrN?G2|{01Bs6(Bz{N_+cA<#Q;&u7AJ_ zYgnUL*Jk(ZkZnmG?Gri42=DxzEM8o6UA}wgo23+$kwL`{ANQ!zB@4;BuT-hcXt{BQOSXx{+z zE9C-beI}nY9R>Bs+qvYK0U;UA;F&zRUg@zF=%fng(pGMsCo$c%%wv?kQsFgPKwMsD zd%U;9&(b$<9Xa1ilN3e*N>Wd|`#e7fsi~RM()@Wv9Js0dbh)QAVa$Jz1w( zH0?2j^Yh7y+x(X)DMv;|y;Ep8I|jxlXTsUq>6W8-PnWm$HS|-mE=}xX(vs_4UedQw z%j3`2LT=C|E2(zk!B7t_jX#2ikXtnDSX;SQ4V_rt+E%Za^aMQ(>>TlKK{D|0$#~tM zj_JFHg6=kT(L{8CM&nTy*I=Rde*ReK>xEVHS~M#sy^^|0A}oC4ek{bwIfYV8%&V=H ze|^1bdiLgqPfJ@9FqwmmD=Df3A_AQ_EG&Y!S19u8s=sO7++5&0m@NZC(8q_D+m#q@ ztI+a07@$Q1nvQC~b@{i;5po!I8$k$8A|g6OO+!dwH;Rk2v*@BDo`opADU{Mz84nLW z8tE^y0FUPh7$hRbLY8(D=oLs;m|V`UX4kAkRCd-qd^+E-!OsaV1{7T(PKYdi=f_@Z zvW$#a5yyKzen@`u8FFEy0HLPt3^oJ=HcqT#+v;_b?3`5i8^m8717+o<@JZ^J>Zp6D zBa$PeeI%e=P_Iza_O*VxU#RV|PaZbZZKSDh;>`J7(2PcrB-NPMV)&3%9jR|v2!B}o z=KKXfssOR`$cP09zFX6Sow%MJZ0%ap#XAry4@7N&YpALG)@gx)B1FdL0ejyE$RwKn zxFwBFN46UIV%FAtiB~IiRe7MWFoA!9f@q0|%soB7yTYtIKFXDNpU$W0b6WE_?k@wT zrv8CAC_q_{lcPPuoy%pudETa0teq=NTmrDA3Xw3*3-;Ea(5I6Ozjh#o=Pq$;a;(M6 zo2Y_{k_O&EC@q8sCBPL$`1;2IIw5m(-%AlCd9s0a=o@A7A^W`xAGl8jkG4->906x+ z!QZ=QzV`GTlKA}5)3Iuz!%?QjV<3hULmbNU~9gKYv3^D=B7D z@?fBD4|yIvLZZf}hDmVsPo)wDzQ3DOm)h#J{jxTP`R5c6Nk>BhMg;3l#lzzGwb=n8 zZ%CZ?-%SW2BrJCt2tj%G`SAY@@)u;j(Rv*aUgX$R{L8x)2M_9he#T#G1g{TD)& z5(r@}T&l}2$5B&%Wmk%QgVQrGF*^b?Ktv=4mj{uqM#yf6 z6{6zq@fC2Zg31Ob1G4K#NNO+hV8TLNrFE4168Ud6W;`GUJDcNOfUFy^S zYNDPa6H9B}C+6s~03c`uvl-L2A}p;GI>qb$v2`s6*zj@qP4d^u%fw z)-HDn9s!>8{lE3lTf zR;U-~ZZ-48%~=Lo>Y&s20k^6C1yhx@6T81Zi>q~vzoUKIGuZ_>g?m6?UtsCsq}j=x zeh<>Lo%Ljc5Remq7m0XlS|M29>vY@5hQaNVItDIxYR|*c#=Wr@RK^_j-Lll%<## zaC>ae%R|8eqBu%$y%ZEA9GFpmA>=~)VqAwoW`u=dg~34rJ_-m3D|q-XO0A*W+y6qW zAJ12yiGq`LnkJA}Yl9CRaC&>rO=6;(5yd?uY znERqf_3Mo3f7f;}Ht70Jiy2^WDV-rO;aj;2SK?le$rz}&Ct=3X+ zJ3JS8`PDS^U(_@gmpA`N$6{_~QluObzzT%$4-b!NX=vIzIIJu$ck>5-G5cA-I+oEr zX*9S9ay4^vx-@dQ)-%;?mReLUF~3jGZDplp>|h_W3vhxWgO`Le9Bhr@Xp=CE{Fr(^ z%P4n(V_9jrR9#YKV$u!m?cMd(iMxramis(uuJpq zc1Dp9=*u-eXzRgB@p}w&#FKiNcu#P*waqQdrWzO4=$>7<4uENu|kt=uC}^5 zslrpB&imKC8kcKzR+f(ItFyghbNIDQSlFJeymfUffWD-n?B6%{$oHLLGgEUcd~9k! zZ>*_{j}NdRB@BeVKiqrLQyg9#Kt8n+UccFuREac^lM|Pgm*y20ih?2?+n5{pAK#xW z{vre8^<>#EER2A^OR=zEokMxb>IU(%_We9j{#!;9_d+Nu8!2dR)dy=*NbGjX-{yvV z|ImZ<=H>Nwkn-tjHDY4C&HWMV^at(mfPS_zO?S;@f1l_W`VOvx^%8TnG>f#T$4_lq z?UqaX9^9IY3S2=kV zcw=^-EFVfF^@jPFNx68P_UXk9kMsVU*VotEe4}{aX8X^(doUX{XL@7CAt$QhU+w$K z*b%#|OB^h7O64@0Tf+&C_pqe&jjJ21e5B{~GbkSvmIcGdGg`<83aTtY9jLOB?)yGD zi)Vy08V8k z(&eSx(G=Rkg6dE4P*8Wk$uYmAJ~yKX3HkbGPhJz}3|a%fZH^6xY!iU!YAnI*gsV+aK8* zla6?MyadJljZ^)7;`1GCw&4DDz)4Urr_IgIt-h(Km_pEp1}ymDp#hmt0OmhYzffk! zxwVbx?_lTphHn3VjmgE!W0;wE)+;M%)v6jAFjz8?oK}`)t!=!9744bUhK4<*%9Msl zrKQZ)sAy=ALrKYrjt=&tQ^TemBYcZN_kOx9LMb`%ZUODhZ8Q)U;aUqr(U+!y1yxp^52@`K8Dpfc!h4DXve#DHif3BUN55Mde?;1eZ! zytMIfS?J#{jE#+rX=^kj_E;}9zEes`;;8;BBrZdQI|prKeSO9Fxj5ZN2v}CY1(4O zm|bXEWO8Kc>E5=otSR6@lNyV~%ELibMPy@U_i*}fw;K-x1pKH`&rj1VB`p94Y`kW_ z0<;$$4PvV@+LT3%Wo>jZ7zvw#3Zg>)TDgldC2qBh-Rr5=fMm=qt7PcM(toO*XYFUS zzyFI@vQ~2B+cM5>f5-EH)TB9;{y6~2Ls(B%L`Fk9=Hyhz&%XgUl7N$3kWWfvab~`{ zvX-iy3ML^8*0;6w^k4;e+v5MjmQwx@=+sL{*c1GC2VVk@etFSEM&_gnKun0=4gL+m z#y0;)8g7nNNn1N(tJ7!i^pKB@O+n>1J=O5R;UOE-G_TL=ev6YRNGV|FudSU`ubj5o zXnYx-5m8h74iE3t+Z)L1W(%Mn0T!=~dCA_<(OQiSt}O<@E07bBYjEm|#+_fnW@M%K zNw(SAD=IBk2U-^p70ypigVE$)ARtfrEM#tL()qWviKOecX9Jpohk{g>^s>=b+?9~q zVS7KD-s@xiqdl`@5|7vE?sUTGZ`exeO6c3S)Hj;{5@jQ=-lBRpaKaiLJ|bJ3@%kK~ z`a~jkNPDjJ-&6xHVq0q@2BrEu*#mt^*~(5oyv`2~lg(j;{ki~A0D$7ZcxBygs1D4~ z)xyWiI>yJlIL5WU!nHoZH6Q$2>{l2aS95u@#UV$xZBH*k>T`E@varx;L5)8aAneFrVh`?uOamNp`bGN%04JWffM$MZ6>I8NBrLh+ZPu#(&_d+Yh*_sEDUH#J3J zF~d$fmFD`_H#GFZ*2TlWrHqbzCt??>2tv?Tj!$o*rSJzx^xjkM}Q)1rI>L`OnpC{;LA%Y1Bc|An+6c0(r zTjKwCOSn%P_lPT8o?epCzg<$yxtOVkj;UJ!nccU@_bUx%Z>6k5{2d!if(FM``mT;O zloZ6fyT3S@-(b9qkJ_JRM86ou=yxasg^59f2VPXzQu6mmBBbH5v8vKivGD=BQfMeH zmigBwKY%a=2vuNvNBX`HoB4TpzagNyzXL<+zL?iopZjA&QHWXMfu5f4n3&*D0A(O9 zZbwM&dPxLdOY5}!K1Eh0a(Gx0iC`UhT1>_}Iqe0>AD<5NEino67;=6ud7jEv=i_(Xn=QO&c&m(bG?4W#I*VfIl=iGomU_$IfVAYuUayjIEH0 zHV!tDQA%K$W2qFWZiRQkJ0rWYxy`D*Dx~X%$THr$+@krsum6}L8K-<-Owz9YbI$g% zQgAemNXL*_uw3I{R-%t}GjgZxyMl9$`{#?=2lB(?`UhJ;#1q|CAa?_rlPBZhyzjWA zv7$EKYEdJ>W>W4~kvyo1MafBizL8?ae<5Db?h|q`^77HqF-Xx!PhSGEatM8^1229D zm(iD3ZhkOsHr|YKqKeZKn|H(RA=Fve)v!xnu7jl$Ue6 zU(#K#%-`lmhsXUZg9F0g7sbIvq@5bYkuUgkw*01jNohYc?o0nLpkhlX{ghlAfBxJP zhiX)F;>wI|PHk_5T0r!qWJJ~@4k&p}HEkcF6dHUV1*09}#}&t&rA@hg%c%K~Rkzi9 zyml#S_96eEPUw$iW3}efOC9jryV@W`K6LNo=i+F@@O>w&pRh=`Vs4 zQ2>6_DeDWL1A@#l$1ETrA+|2rO>8!q73fE2GeKNeIxyKAA)j-Hwn1`#FAUXbZM683 zeXAsx8y3EXJ$jd#@Ui+po0r$q(6G=gZ=N3$lhVe!tL8kFeMOWT&dRF1zh9W+(`W^R zGC7u1Rzeu$7m5y+TbP%$wDMHh5utqoV<-?TN-C<{dof}zu5Z3zOlgski2(tf?d^2k zE69T9&mz$E`NhTSpHahGbAsI|vDY}UTVv|^{^s&Ix5!A3dwY8$qn(QYX|#96iJ?*M zkJ9iq>o-cY(BMw)Z&)}!y@TZi|Gf4{;=+?lqvGgE2Sfx@{OiQ+7Y^9y?kj9{DGc)r z=_S@$V4u8ep5}Mv`Zh?*>#Qag=$l|AXYK`mcGZ=mlnYiqeG6IGVziFHRMYsFd$8-V zx)49q+UUPWilQ((6a^qji}Q%%<3-;wnh+4}tedQ5EA0>%8GMG#E(-Qah)L+@Si=zL zW5VTKT_0p5$I|t+@N=>o9Gq`q;gVw$B4lL)e&nV@&l(-S9HM&5+HMCKR)^vzTfa3F~dT zTDmmUjA@DCT#WoKm*~|gNm;=)ErwEJwIR`-qB70DBi%ja(kl|8k~@ntn$DCBLBnXu zyA+jF=@=M|_DpQ9Y`umD6XP;2ard2~j!$m~;~P4X-2BxoR7WtkyrBjz1#d;H%$y7@ z1ilf7y7_dL7Zt#|pQU}<kHX2(X|a za^rJykRg~H9a#WZHppaPAXt0*`rNEip}ur+F@**2ZG%JIy}VGr5$R3(_;hS_0Lg;h zN9X6$D=VF~wf)^f0AngU6-!@TpOu@PftuRZ#010~GL4&?5cB&%Mn*+0$F{JgRNsICjXQtz5{g0wDTRw5aCPqwiB`74;?A(?5! zZA6HBaDG-~Y;WIf|HPn(su-fFhw$W)fLv#{UNz=39R(Q&y@2js6vescZR%8C58B8* zB`mz2h7a5;kSQ|IkTkrn{jd5J;z9BH)qW&5_~4CGgmr{KydUA8A3d7n4kAJ=6;v!2~ zc{cd>&)K@#v-FG+YHI5Z9Tn$>2+OidzT9k0UE9-3m6@4aWMqE7q}=9WxaTVp0bf7) zsTXB4OX<;5=~0F(z93C4)BM~rY6cfKXJ3h_>Y7dlV*0fBv_><*S?fJQR4T?N&-Q}8 zp5@ptZhaSye!i>7MrF@;IY3Ti7bQptb?GO+NZnTh|FcTeYoM$5CCwT0?jy+?@ICYEb11 z9Yw%FRrn#UV;E2V8$0*I{V>7=e)_}9Lqo7&#YJHmPFmgz{DH|8S7SF^`4{4cK4?|` z>ZqU@6*Ew}a^||AsvajdFFT1180)F6Oz~OUnt7N*K^Ar&?_M`eAMQ_2yXP5o87d5! z_(=;4O4?b4pxn(!RUPN1t0P-O#&HkkWlXYPprG!;aMO;FT(uMh@Npx4XP%EODdoqb zmU3%OY-D{?RZ@$jr@ua1N;h zuRS)vUg$th!N9}8gZB(8r^q_}s3aPj9Qa-o=zbwYtJByV&xXcXo>*(`t%iz5DJ`S* zL)1vd+jDjRP=7L~hMtdmJzWL=P+3qdGAV?Ug4;zkCNCr>n4Y`l_c1cI!^cAHeoVa> zZ<)&$AeeEOfgQPd4e>;uNACpf+?m#n?=|dx>oXmE17Fmso&f!kZPB`2> z(a}@>411eNga146PZoazPnKR5iD2a`HmSxfmzRT>hM4=ZpBfMD)T`!ZhPy>mKtL}) zKMWT>9a@Fcj#&8vK*WIh0J2qLe_=VfS2f**@o~|UD6lz^5MH;De!V{+iXI*sVa$H-PsNS5N?Ho>*M;e7dwdIVM=|^ofW(=MY-n@Y;`!3O*nP2gir1gTw~d2-!I~ z@O;pU#%LnLi-3ku28tXQYdmdv-(g^2K%)De-osKI_1_p%Iz)>H6Oq<1WcL~u`@%q( zx4WIc23zI7lNj?Gm(G-$#cXf3$~bOk1XV!{B#^{E`LrNEQ8ApbDpygk> zUVdB*$Ae2MhEKUeoQ+y?e&?k4Z0~tENFsE={SC?Z?{f%Z8*(^UX{o9Q9@W2t$lBIm zR*9A9Yp_wUvWu`C&WhI0kt7sDEhkX`PH#YvQ0Gh01(E22nRHHh`0t!ud2?-kLlt9r z?QK?qvZt(zuXLS=xrB`Eq%w1j|Mhi!;5%@*hf~dg46B<1$fnrtxHJn+J4SV6ljQ_` zdj$>W#QOHk@L&&9RaJ9|;l;t?td5SNf)B7?tW~uLn^;KMmmHJBJY^w_iw24?Oos|7qZucj#i6=p zl)SoobMvZ1#>S5?_mYy642=xMgk>#EjZKZs%5w|1PcB?8d%4LEIBRYk66X`~bTVU-pNU`$h`f+Scb_=&3YTUQd*aAtf`He}{N_mV1Ipcwaj5lqh0Hjj>OvBI#YCEB zYKaAvu1@Cn??;JANg!^yLPX2mLV`N$tlzrBA`!a0vA0$M*4HLC5i5H5HA8k&J=@>H z_Nu>C1*N5OKYk#rAD*2d8d_UJz>IHfG!zxVHhOq)A5HCfy0@~kvy=TLLT{UdX}U=zoOyRZG@dFY7P1W4pm<;aQY z1p0ZGM`oF+SrmnT=$!_eNICxrN-Ox6nh9LS&cHcf^pNxdGXtBdHkFdqJbMTC_V!Kf zEZ?+5rNn;%pg$6JhLzdr_04s$!z5=}3m4zRDZI=;jueiiqa{p=@4rIK2H%Fny#_y}%W7w(dZNICjm3SrRx`>Z<40nxiQjX;nBku_vW zD0y&7!aQ0~BMG9c?mb0Q*?FY#>Qb<{$(e@YYpcGf@O9*45lFtdG2R-M_%6`-7#DXK z5a5M?K*6zSR8=&Tg84z(M@>x?DH}C)^&`^}0AK2&h`f4AIAC!1O z!YuFZo`{YCnIq`+_`}`7=7E?uBRTsr>+Q+u?$-0o6F3Td-W`|9$|eV9c1DdbcDhUR zzFs-mETxst^B1%OrV?vnd-j;bA06%KN{f`4MzuJ zO+vJ+S!07YbK+ABARcs zaYRVSBZr<~QQ##FN4%a};^p~bUvX@0ePA=!z-n*W4D$u{Nbi`UzN*V~frgs8ufM;k@e3ywB9cf1IVB}5_c@m1;c~LP6ZXNOjk)c3fUN{@r+R7`TPG9dAy0}26V0k!HyAasG-g4a&97w~# z9oyQWYR&i@J7MIz|- zt@`E{X8it(1GG?^1vPrf<_8Nryh|jZuen)+eDTN=>^v>L#U*M+TBBppj9;v*tub+M zh;RuD3*%cwN?VnIvzC#X!O&E=dx}ut!0O6ORduzMQ^E}`sgP?`X{n;RLPsn1WA0j) z*Ywlg-eH3krdl$&?;#=k!O@ao@>M&c6$>8WH~5S0BCEpbiSZGJDcGZI{d^%eNSCX# z!=0)7R4;4u3ksw;A20}@)YG>oE$j?1v)x9 zFqzuhOU}$}Y~WgdR8)kmfh;l(3~RLso4~*@tz;OlLd()8%#N(t&@nbRwmmFqm(b1D zc1_qlTVFYGzGvl|&5LcFn2(t1rGT{wOFw61xE@DvPEuf3S$1i0Q%h0*7#~e?OrnGT z)wi-73>TzZhg=K4Q?gPgM5Tm;1e*oX%G}occs`{h8T_f|wmuZ} ze_@LN?9Q>6w^Y@Hk?YPz*0SPaChBWKdwS`o@?9z+H+2%Mfv-Ou2*FzGLpkVpO4_&_1gjRG+c6J^gx?B2=5Bpi~w(AXb6{J>wk$ z*n%EJM;(@5uX;zTOx)EuQvTsNJjo{m8BN#lcjwtgp{P<+A1g<_wA28)(VCH@1(qAZ zzvz}SCkKyyEXP1K%&YEvNFs)g&(BFNXZl2$#SsamrRMKMWMr)^ed9ASrbh>*B#=y- zzAdE#8eE{Qqx_is`WABzQ?pSsr$fIM-MGoE!OFyJf^LkBlf&9xBHdhdS(T@StwS-e zvJ8zNPAM>>s(>{iHy8WWkDY@vFgy&Q z7Zwf&eF~Bm{lNTu`m1StWCTK9R#`bOmynjC?NLvjo{5i|lJ9g(D)h3D?ZZ)6L0v@3 z^JhQKomaEUhRQ~-L;5R*I8MchTSg^P-X_j^opkl@g#~)4Np3M)PrJQ|)fHJu@l#_H z_VV`j!DVHiODzgAmWQ^Biqmi?xo*8TA+}}C_J3vT5I7L8;Oj9*F_kOPpO4rajyW7p z*qn|yo{cyjP1@s6m*QtD@X{f>hQ zIyu2p-d0m{b5d?Bqhw5t*IP#~Qg;w;%j?RdQv?CBCHCjS)O$+JSaP*!O0{VAk*}~& zpWPd4FO4}d%=(Zjm34!ty(#pJ4YPCc6BJ_akr0$7J~|N{FjUo;cn?VTiIx@BV&!M? zcd|a8AoP@9AT5{ABahCAN0DTD$BZh4Gl+GaB6k>tfzf9avT*@-phuh# z6SMNK-wPuP!ED5eaEOC<=I3mEbM+*P;0q~JUha=EJ0DmZ-7v$i0n|_1X)R^O!A>f+ zYH+i+IbO2okG_vG;ltMDHf}EDla`4OnJ6~;Q2j%58-t_2mwt(<8}8pA82s5UTEcA} zbJ75|-E!xAj!HE($StAmh-JBof9TRPKv z+xKUSVgsg{HAc*3&dSNZyBy4%1_#G)#WgYyDf%C;TUAvty1O?%u}CT9^4^{0<+rrZ z!mzfs@s*X)0`Km#O_@#*Z#Ju{vA%B4!g4o(u-(BBh{pw}+ABO#lPoWZQcE`4eh-e^^jyLG+iqC+>%8Cotr;b|ED0hhOYWH%d zo7-G$)Y#V7p`^me!Ml*6?0ZL*L%pA6Q;=z!m1lQ=YqN)avyXSTjdNjrP))s85rK`T zRGO;Ji(fB;0D_C)vrA!TQ&`r~VLzkUDpF-o5k#lwhhs|dUL=%%DBb{xDy2ay=Wf%m z;XhB966)SJB-|3XC&T{Ek%ICwerQBo#ld)&tycaV?rui2;l&g9QRm|4k@`}^mZoMq z$J2u{Ol^M6-EGt2&*V?AnrSarb1OF*0Z1H>qC`Hr@MN{ME~qFIAD1*WaQ61SSf*f{ zp4Bt6Gb5u!b0deOr33`{h5!7)@S`ZYlIEjhOM0YesH$QlA|mPu4lb<6*pZ;Y_2l-U zmJ;*_t~9COXp;bTwXXc)3Ag01yrPSZccrKGyScO7lo0m^YU-u+335gzPs9ec`sQ6C z+~|0pXeBwmc)$41_ffgYR~)9Z4XxgNA*K-e%eCkP2Sm`?bfXMCnzOybl-|V!+2|Ra z?O$3cNeGBVf^b=8SO!Kns0FFUriN7IUvA$5B8(Kq2njOTMa-$+w;ygFJ*gSIT(k7B za&x3Jm8OchH%65seLA!1O9XJSzC9N0)B|E#nogK7}{2|Kr&ztIYdL||=4vymd zZd5+L!$Jx8kBV_rR7RsCvSNs|`)0(sl!*i%;$mX@H#Y9>PW46gl9RW+&XYFV6yQW@ znBOox!L%gocgMNg($El+e0h2K^QQ#FGl-;xK1D&j)7Qz=)zy=YjuH69cVKtSHZybW zCQ{#2XX)ST414}#h{yw%AJ_B^?`{t@I$1vbys8-53}*o6v(RUxxoe{f5%r`r<@hLs z+iL+&lb)_HKBmFfWfo(?Lu=?jp}%`-=_!AGCOo%C`bwJe&4?awwfi7{TCVW88s%bc4`VFe96rq-=C}E;+e`Y1+_nM^x0wAH0ntZ zt%+SUm8$cJTN1I5&dQbI2BZ&9v_V0C>WjfP?PKkCijJ0}c9|ku1vhyN@7 ze02YS@cT78BtAVP-zjiK=KA6f!HdG}DG9dwO{IQjlVWUqcj5Q!y|Foda%`@_&)cCt zN*+>Y3!_qomDJaZ^Yb$mlw^hc2wmO>UB3Lys_+pxhmbYSG;9y{bFqWQ!XRXN_8ks+ zUaVZEEH3(k$mi4Ql#=oncP~RD6(I@{sRy%7BA~Xpl$oC{JUeMCQ^AA~XMf86F);Zyjp(9q7qLxf=ZcP8H6?O`4qsue zGjCP3^l+CwW5^Ho()3s5_)gpt*6r7^eqzFqUh5O-B3=uj++KTmIk(D)Z<{+9YKG>z z`R&WP+t;v$=jh-q^4XVuAi zOT(lCjW~tsD!&&!fgevQnI6K8|*V3B<8A_m@bf|3ex$-VW7hH|{qcUcrx%c^>xmRLpEO zO_he512e1hlLKSO!e8!4g;~4m=^xbG>uP%nyEkU~wED+Bid{Qs1sX}16@$R{_U!DM z^t+Rr2|#ZZ<@$eg=M2^k3KB_0*wR$h^tcmbpPrhU6_e1Hkkh5(7XDV!Y3^@nATBM~ z!pj4Y9fN=aY=ijlaJoVE;^O?#{yAGCYm!!et(~R&+f`|Ncem4B;O5eAGaIY(!((`< z(_dl8L_A#e8p+yl8{z`Ew~d>ttGu3GH?DPVwanH1;|C*R#IiDrDr+yVXJnr5@h4^_ zhE45EO&x4(P~bE|3jdfcpjoTUso`m71o1X*_Aeu?FqD0${a(0-NrxOMUlfx+HzqO?9pr(G)znNaEToEB=HwXxR)zSp$8%RLxBDdBqf#Bx7R()3ruAHv6oa#0>?>_JwoX0J^Aes=~&8_G&|LV}&McY5Vq zJ|yVPKTdY4z_-K|4t|5FVAxhl%0*? z@UA&F)a&P-&4zx>gjHVs>|fJ)QnHaJ+&@1Se11+y#ZH@@n<-YslHdps>aXp2!nHRR z3F2@oX{x9xg#349k(BXC%v6>`&P|44+)RsxdoMC`gli(aG9#yZw!=bS8>5WQL@hbyK`9Z8>E5k`}_CrVBLj^ zp<&+r1~9+d1-nRzfAV0Ki;B9`UCsJ$dj!Sz`r@CGk^<2K@G-te z@ks?mxzj7y(8p090XIKe*X;HmPgNyV5on}i(LGCAK5JT=D!DlgLHAxjRIc`^h{m^_ z7d{ZeD8ft^--g7V5$3V=ncvIb>II{VY%9mG&aHBKk|q6RWij&_(%VO%!-PBV2+wEm zA9h3I{8Lz#E~?s0FU0etV~bz4qdC?4c@3}iz<;+;?NF&?B##xCYfZ-uS%+;=QFIRp znYk}n=by?|dS0%M-`@K2c(uMJzeTed2`CQ|!(nm7Cq-59ps=JZ-*dVsSfBj!R^Sxm zarvD&9x4rKL3Z9Y9Ezv)^~c*$->u!+RM8Lid5|?EBOPIT^u5EEUvc82(oX)_$uWHm z{rS;(RD3jOJ!kl5*NAAO*;$eDk($d(!Nr){pP*k~1%Ll0ws&Bh{3sC$-7hWyfldA; zJnYMtuquZtvFe@evmcG^skzw;3%_SuM!Mj=@!nv~A+S9@oK{33n6of%!FkHF-iMTXS=IYHBmvParwi%FfKm z%wc%)bzouvN-dM@M>c*AEF6r)#pRtyz>&Ry{mKc2lbI5m7=@;euoSnD}c5|gm8aT|X$q$j4&EX|`L zpsD&)vJ3Gz?3?(V@3`%u*OmrgY{;-Ac>>8x;pW(5Jwj$+X& zMMg!5A>5+e+SpVi7Ip!UCTQ>LJN9enX%GqK=ck=dT$~GXvW^&7Sp9Ov#l;}q2_TB{ z@^YZ9w=}l~$?cvgk@$HK7{urrdMd7mg55Wj%Zg2tceto%WULC^iS0grzcrSp)>h)- zJR0Kq`brVlpx~6g)x=+r2fesiRm#UmKlx=JR}$IL>t-E%ACDQ1fali>vJHJYzsZkP zxzN+m)75*d_%ZU>n~*V-L*ixaJaN-I;}-Z9=728A+4FsHAk6=*_*buY`%4w%N$pYv zM{D69fq$i9W? zWw4!m&u`y2JpY=F)^sY0^WQ$d=;^r6uBM`U=+_)08%1+tiL<9AJ2UrFZ%BZo5qoP_Ch8F0mV;nLY?1>CRQ%;hV&PQo_-yUUWPsDYHC`% zyGClr`%N-#Hx9vY&Y`WL*@=zkcI`Ymq1Yqsew!DSUy(K|;Pz_RA%Z0TE=KkpUWz{k zX4C^^m;33D0lNy0{b@t0){~PnIJ%|gW@T86d#s(E6maaQp}`5Rg*{w60htN!I=3+M zt)L*(or}u@IQy?aMMp(OUJ79xoSb|M5UqWYz70@LOkJ=e=Vtx+^Zn@wF)9iFdzw4> ze|de2$IE~^PD|bFOioVJObxQKf2Yq!4QmK3WFmvcl3*o1?aY#UjdWpmY^}d((9+x_ z920}|cVS_Hm!BW%4B~I6-+g>YNZ-hateL*adQT9U3DO-q8(Ujz>&W={IJjc7v-9)w zOjbg4^m}r0StX_X+}tk>y?=;_ ziQm6}5Bix36cJGl5R9;}u-H#dOnmwBr9iZ98kl{Hi*KKu)f5#$m8mCY06yHpX9QV4 zN_+dYDvg(+aCSZ@$lKo!4JSR1UjjoJ*U9wM6S9zyj!2B-Q*!t5QeEzWzah_L| z1A)!qr==DKqLDS>UFP@qe^^Z|9`pMAgA%}kw4vcTC1u`(lc(jZ#Idrz&}X@3dP`{| z%IuczkOjKdLCVAa)`XSg-?u_M8_RHb?G3Ky`rJnuit0{Yvg0<=N@cAe5^u!>6sLb3 z6-6Hys75lj%Ez>+6rx`U}pntNq0({oQ4F9*e@wrtgsF(7Hdh z(It_d6yJ$GU<}d%D`Mql`ywhORsW-TVqhXOHMzW|LTOr-hf9d! z{reNLEj#O~+UhboCfdW3C~r>1kx1Hh1p^KBkFSaJbPP%A!DhZLwt+rp$LHOaK`#fm z2%}^4+jzmdcMhrs_kZ1)|wD5bexv5E*jq7o^;|7EgIS!}Y#%+*w{1Jw!jUQS{ zHh`H@xz%3C62Z_%njRQP#qL(Ib6GcnfUu}#ihZ7@JFQ(}>1ALgf5@rrkvIidX=%aS z>J8?*OakvzUPm2P-x1cl@jIi$%bM0ph2c}9yCCWkN$;PX3g0B%h5Ql`_#GzN821 z#CRrp=FGgWa|^0Y_71Iq*YvrOX)%24Y}U z7#J9Ga&qbEBkj={%!W1P<=pJ-Y#dDoM@OdS{`i=fa1XF+-^a4cl)r|BN!i*Qrlx6% zPL`FFKmpHS0vVa1;-XKS3r)7RM>R%L(m(X|^k^Y5X=uKRBp|=r+1tClN26z^qwa8n zFS@Vz;D&TH-@typE&&()2g@KnDu#=T2Oj;)f%c~V{M^#f&N=NZ;UPHX%5fSS8`IF# z36eSwgh0k=4*l(+Jn{DL~#*VTpjz)M}-PoXOR zRy_Dz&fmVVsI#i0(#_KMNJ85DhM$SaLs~jJJUqMn04Y+qzz-b@@Ab>SQ3e&bf&|Df%GD5suR zKqam@ZFU>#iPH$_>#iOg666X-G5&&P=jIMem5A_^uDHIs`ui6rHcnARMFj)ncW#b; z@R+g|oNhn7q^vB=+S zK+U9RB!^+m$N*pQ%TQ>&)WZDEzXy*GS+{3|_+hU%1ls!MaJ~#eM@UGhWDSZEWDWt! z|F_@X1PHM1>=XpqhK*8V{r%9TrBP8)EZq;=f5$UaRaMQ*%)mnqHt2Q0!5R7IVPkVx zER%3>aNzFY(Z|iffrN`y%g@QF;ii8<`veFW7+Bcf>xomk5bV5Rsj1+TSiHEn z*y!?u>33r_#+ZJk&L#NdnVqupL6b@nJj7&XMmw!k|t4=qit z)S~qvak8<_EpG^MJk=|;?*+Oqj+DkV{oGFYnE0aL@e0TG(xh77AmZua5wYfEMFt0b zPjL$Ul=r*CvyiDtCm{RDPQR1t)QqoDaLCK|h%E(+>{M1#{-n=@w9*ghdr+qZ{(m5G z>sl(~N7#q> zcvMqX{c0wZ%S%;7CcsRNM4oJfFx&7&jrs1j+{Ah=H!W*$YeIw^<{Sh(o@Rtj!zOGK z!(MFf?!tUbN=%fMm)BBNg)IVGd{8_B?mo&&7#?#Y5OCc>laYzgRV))Hv~6@tNx!Bf zCo9OmpHu~UAuuJx^dv9L&VtB$2?%JkbIf2oRswt;r(|ne>#w{nW2=K)LX_9fWP!o9 z0yNBbgcKC$nbn`W>dN22a;4=K{d92sA#i{8y;D;l;EwSYnoz=w5>#tZvMq62TU)@` z0%@DDaJPWhS!!x(of8r=sA;W%3JM@<&<7I}lMllsNS=B}fHG4N&kQ zsX%E276mJdi#QL5>uqj{DPY#o(7?Vp8%tx@-riEJZ8yaARmdTdSAz4%meLRAOmEX>S=D2Uf0{-HW%r3=M?cI2NufWOL(pA#~ z316?rhtWVsA|&)$hjg;C0`p&bSc@#rKf?wXt$!&`cEjGVsWJI*UPy=% z9ltYk{kM>v?GZN4ra`wqH3bD7B_+}n2-%^ejfkyAMMQLQ@my=z_cQr1GviE2iS^Ee zSD@`jz0lR&9V|4vq_lKHT^%1U@8G~--f#!RLRxwjMn+=6{TazIr6-di35NpGN_KWm z|I*YfqzZEINm%5&i)a{h=@S) zHwbF|`4x8TOY-N>p9^7RFYoUoIK;CO`4|~Lf~w0tGdFj2DRg<^uI*cFZD|P}FBLug z_JN6$qwU`5AqXk-yZ4wFFQ=^@vCr0$SLz`v3c*B8JxKBcZyE;KohzjMrE~pL zkvQWdN^m=AJ)52`{_1LWm!@XxmxQ(Y$(f+&jn8-sh!95BK|4UtsiAL_my^_m^(fjV zSF!OHsG|6KodkERu${7KjASI$r7$sfZuEVv5xExat=UfKK^}u0InWj#!FYg-gfjU5 z#349)fiRu&G5>ODKe4_FVJppFWmlan=`Xa z20Y4t;G&E=pfMe3R$v1C4G#~Gwfpr>--_0Xgk-?VCLmybqw9HKz(`7FSiG^U?CW_H z1T{4mzaw0;__Xml4MomZnLRmL>e9}D%Ku#K78gBw*)O$|-Q1AC2)A7O8{&eUMv9^z!Pm?RICzo5s>IxO(|V5!1S2R|!F6Y6 zj^5{Is|~bVTsWwQCnsNAFD@@32O)j~-00!q0XYjgFh4&!UMuceyZfOUm|6h#V_-Pm z+S&s44qLq-m)LSIA}~;RU_f>rFETwH0PWzAeB8LRx4oS58E37bh*v|QMGKr=s+Llt zu4I2Y{)Odv9d)0Ri$G0kJ}0jdfuf?SE#}9`jG}4wxkTK_0#TN%WtL?vyd|E%ljR4q zr`Ii5LK6Hb272!6%eouSdrvnscb9u-*NTVw)!Tm^1>5WOK#jToWY>q3KiK-~&vWgB z_pLa42lpR3UuEOtH3qBxW?!7^F*@}TVV=(0odwvR|Hl&|3TF=88V#-~w7y_eGf!!y zV?)6)O)KAfG$*Z#zNqF2dz!mhsH$rDI@(sTigeU>56!4-&W;B9cvLiXQL|Fb3~dZ8 z{HT$db#l^J&9wdQ4QitetjPofa`weT0WVYUGP}5#_S*Fu zd{2r^T!oNH|cubaaoP@F(id+$FO=cgrA}fBGb+FbRg4!V+;BxF2;57W;cz ziwp0BWl$+7s87iV85xDn%OBF48|nZsYC`o{>`Z4VrBin%xq+6DCBv9 zF9}-taZA_~C(vBjI5{aRE8}5deLlz&@Pb%?_yAJb$q~WcbRsf3`swkJjf0~<0oFd$ z{lNo5O;b~|p`iirei5i7W0RAxccF%$m%6#Rv9Ym%){2FNb>RKtwx8$$B??;h{QUfI z0$GH}?}rC`4h}PO^URXn@rf$ze-JD_J|$S`*+)k5YMm!`a?nC~i4Z{usglNq{Vv}M#`EudjYIiC>CwS$9{)m_NMBoii93ITrR3>g1Xb8_Gb2-tBjsOX>Asi z!;>y4I@@N1Wzd7Qjf}*sty`m`fBhZTCNC{0ILPa!5XHyAnH(6v#?~~_ML5J#Pq966 zGLDYnX?hQxNncs%aeIrKp8hT>s>H$^>hou$XvA}MRc2;N7A6;$%ZCSVLc;7(IrD** z^mJUwO@Ua^rKX!(O;uH~Vr1kCZA7{cAGY@PguO4wg9+<0z~tK4*ccovDkB4q9}+7o z8B{Dm;%FQZPw3~*78VwN&H8rUrmHD=RA#_TV-Uj?_?J-$&7FWul{}2h|09 zMZ-X_Fa`!_{kAqXTif~ZQE}Eb)j-}Bc*uS>uxnyq;O(HuH%yuSDsqyKPb9Cb)GFsa z`Ey`odEW3SEwiVEmTcKfgvCo+Mqf<$?BT%KO2CdHg!ZHR!Z^}AtPg?sbpFU_G|~ld zXuV-(dYK)KJ^#N)0sH!QD~!I|^W>69fY8SZu3y7w(=p_Npnjp$ubEC;!~W|ET!$sk z8?I~N|H@P#bZ4L*&Ka7mgAMBE)hcF|C6!fOC1y5mOiVj^g=b%W1%;Ret_8+wCg5ta zi~TpaoxK0zK*dA_qFvBUPj75xMb<~kMpqYsgM;!SJt&w(6dw;SqLN`wgo~Y1MJx6u z-Itf2S5HsS@{>8p>~wWKadhmpulf=WgFGGIw^77Az|c3a60A+du44bmacp_{dErpq zq@%SJ;E)XM8iV@g=0Cf;@2RPISXtZM_DM-dKDV_|PtEQ;;IUMG?-mLoO?afJ3~$HX z#DZM8y{m?UgmNXS7^6Jn7-Vr0OV^vZxzVrOS3CME`;{1#IIrwKbWG&FDS z*RPvk2tE9R`qViE={lGSRWC%vN#=3GVP_=Ko;U6Fpi1!a^RLN3+?m0e9n z3hF-gjc8}N@ps{;kd#58{|CnldJsCb*fP_7VwFc(BW&gZelIY5ry~wDRHh_C8GqX9 z3$r@u+A@7^`v1YZn9Umi?_B&d9Zk(tk5^$;3k(b#X0il_=NMRlLqk6gj(1db5@i(b z$;m&EQ}Fdo&7L9rOL-jRb2MTGYG_ftL|sKii}U*qr}fsUp`qm5cQiWxjoBcZmY=H8Y!F#!Repdc~0N}>WhKQ<0daWx8S@%i!b*Q_j*yEvi&uyy$O zk+HRPpJH%wvbff33viG--V6W2@VcLQLzE(8dWLYYWl04gUr*y7y|>S zSi=8a+)OA7-t!m8vDDVpv4Xj=ZdOSe9X~EEuCTDMS2H&^*U-=~IXU_J_wQhs1TP`+ z8sx|SSG6%PfP4#ZzkulgsplX!^zQENf)I4g+q*kqmu;9-2-+}Xu%SRry_@9OG`F-o zIyiu^fn4lEgNX&C^3}zKvxf)B&HW7wR6xzs)3dd<1`Pq>919guer_D5wXxBQy3~l1 zTT2*ci{I_(V00&9L1Whm!*Eec8{y`1;-g|oX}ed#O?q6L9AMb$dhR9o32~%!n$?+)|5vSo;SFgBmIwW~_B&qfg!J+$#S$-q#DB!z+OjJ63BNNbdVpAUAock` zcYc3IX?C$n)&L6wuOd=_h$15`lPBmQNK31(pkQWUQPI%>f>c5FpQw`3w@M@edMff> zJWNdYyOYE0BY9c@eS{>uZpWIZqe#1@Z)5UOa-%9_PJ9@D{`}%^Xt7nAY7P{3H1ImF z^6(gq?sj(baQE~~Oin&Iu!i4&y0W&h;q!lBy#N=Q{s5&rVE#C)SgmG4#=}$gH~0i# z6KG%!R^leKG&BI7%gS|q`xXeH@^>H4#MHF(MH+`4#At(6Y!67Pb`)?U)IEZ~3ymZE z!G6^h@G8mUG(=6cUR-c+aCUTZNJBx5f`W$SO{1f#si|(`&#{+1k!6EWk8|LStcKLM($zjXnrg z4=*n-7nhJvv@~0j(U4F`sHovTlaR!5kGjE8!qkXlS6kEs_g279Qrta-=dFzy(dDNj z!9%8>nURTj(+?p%Ry5L%SjG{4qB@CauDJUa3XurUzF>D>%Q%6(X9s(Vy|{^D)H72{mXsi@@;_0k zF^thp|0^9reO`4O$omWRU%y?%(X|;Zzt>>VF57!Lid`7^@?dR7`MF@LR~xf7dOSL7 zHM7B$-RIF;Z%uz_SY~qK)nfO-$j z$-u(|JnDP1qOMo}!BQ#;c`-#z9RqtChcISb_scnIZ}l#8tlI|{Yr#$y$Z9|rfH$LJ zT(P^mTfXnhN*)~%0q@h^(eYJCLi!lsX*6VHd?KQ<(o(V1#6)LT*W{283R+tDi{m{- z^XTA;3OW@%BO_AZ$dVFs6BBZDoJ3-D{4H%?Uw%kPF-yz6l@*)o1T>>ya(hG7uwEg`bW+|V;;XBweZ`Em0Tez0l=G68}${?KA zbh8{7SqysoF_fM%!|2}gifUgoQE_W%Bj0-X`0-vl*AGgDX=vj9-Tzk4I)8WHw7*vZ z3-^?&{W6O{_D?D%BPSvvt-AVKO?-Wr&l_33ODK+3(C0Vdi%|H(Wfj=4J|aT8D`Uj} zaio>)gcx`b0-M6UI^v=JVu42YqjGS*{K4({!hc-r8Zfu^ZR{jEIe)hYAc@QC0rA22 zZ}BupL=E5?0v=xAzukyWWep)A;mZwOPez6S>|oc(h%+7@?G`JVa?A7mxwKUHyvjP- z)n)huDeB$L{W+2YO8_qq|HSxME$Jc-OY{0 z3sps#r3QK6;3Dtg(MdO`SAgX=REvuXMaIC;U3pn8+J?q$T->hLIVhwAdH4}G-64?t z(&4q;k8pg*pGPOAwzsx_b$Ou?!tR125$K%=vOsbg8d5T{2qZWq@%zxik`kDU#`dml zD$q?G98LitRkGe1@(~B-@%dRK;OXY+_V}V^ab_mixT`zTdZ#iy%*T?=kvJ%ge1gAeKtWrEJLdR zFM|XpJ=WOB`{_NDm5iN@oT{r@(MAnWfNN;cw8LYMV9FIVmhj?A>$#{FmafvN7M3mC zpC8y&AR!1}x_kLEk36g0WTk~+;L#$z4RTOQkX>6m86Vz%UcQ)-4O9wLT8dAR)o4o3SPByg;w3cd`ALfsgzgw}iu)@aP`@6sY zt+Y&9N{W|%RY6XnSL>;%BtHH>fb9x}Od|xV+rUN5O~b==JND?NF7cVBogW1!5^d#6 zW@Kqo*vgB>vWkG$0R8 zj*e=;QgI81EaPBnYv3gjr&&^x2QUK1$H&UxB4~##BfNbn3<(dU0uYnr)f%*g$M|h5 z4Q*_SMBYJmWmODMJd3%Ed zyb$jiRR7}Q?L-#G>@1tt`9^V}4+PvUcxYFbV(BC>CWr_KT|xhZ`Nb6gIr|PAzoC$k z83Q0kLGcIB>b+|8^z;Bf&CSgLUP{zN)Ehu1QBYR_$hQ0dkBTB7*X~Vc4_5ZawV59Ju*4Fk{_uX;kEm`IFe>&<|lxPH`otj$MKFoFPyhu$O zhClRKq{0jgiMhE8#@5@t54QupKO1!$0mTL74rN3FX^~Y)Ote@!jFEwnpvT?DZ*|1WugQ@B5NtTM37zPY0U_BQXxxi4kjE${f?Pc!c^S4w1 zCXvjeHv6lw_ss|!i}Kg%(^`pwMzHe(Q)y#s$$z##$HzoF+gRW!#S;$_5RhPHB*IX# ze&FTg1fHdsvJvc%x3Y4hTPt9R19?PIO0ICj3AX3(kjSlYsBFNE|7d84$aRkF=#T-+9^keiB-B+ z-@b|GgL(xHJ3+%tOHGA{0}R#qucqURKmA^3>jY$Ev=o3AcqJ?`=?zgO2d z**ApvSA_&;xp+|vt*ncJTups#T>^b=Y%B>5Go^1;FUFp5yaxvc;^N7fk_4U>tzOrk zd~Iod1ov&$E-rr6!^Fi++yt$&2OShY@G5IISGQqrHLQ$f^p*9^^r63mn3_Y@EKTh# zPJZor<>B@^YD?dHD(u^+pAETPlwwQTk8jpe34gJR%8%DN8?O2${eUu?#_Mdw$kezBoT$ zQ=2y$1VH;(8#*+FR>c;_HAQaCUxvJuZxvK}dpq3Ten-5*>W2;y&My*IM!9W_y}@XC z$9(7cV@~Z8i%}*%!R_sxkl)?J+#KfMJ0v89tmViQuX10fb#g#yVPZ>KO2Wax0B#gW zz(Aq}q{!)J5IUwc$1b@mP7k5FPJAkQl7DbIZ;+&5`te0Rn+%h z7IbBm@Dv}W2!7qLWFXS&UFq_3($S&%wLCg>_YUMWw}$`t5gi?E`x2Ak;eInyXm;rm z1)&dagc0#im%iu`kQ9HbC_Fto8}04o6ynl1(0JModq))yrbXd)C}?P~7~|pLxj$V4 zRGP4$AaZGcI*)R#$by0_2`+WxK&t8SdWE-9LP{>jCHc@>?@!zY>(~B zYgpvQqZQ?2WJhC_#^cB7WtnQ32(n0X3^MZ!GQZ~jvyoo}+s6lWZO!E~$&F)L?=@A+ zz`k8hfAJSZJ>yM&uQMoefpuB(g`Lr%=Fk z1avM*rm%bj;NuH6Hfe0)1ak;DKhe?EZ)|RXP|DKF^!4>a6qKl=Y$3moJIYDEO76Hn z510A^8xF%RZ2k@vDUkU^P=KeStTyMBEhnQkqrO7b&24AKq$jZ;xV}3-CeI;I+Sg67 zsj~!TOIy`bOCdnf)GH8U#$FMGBeL1uE@>P;(W37=iop`Kmgv(PT-vhsI-MM(1&10 zB>6=~RqcJvu7kr#+Ge?U-tg2Lei3k640eHVW-*o*#kN(9L`8`Mgr&^%-0Un40l}-% zrn4KggyJhXtpHlQrn-6r6pP{ek&zKhTueG9DXr&lLTn{@Np~#N2#9cC>e<=(p7{9S z0C|vKpe^u@fZZG=9uvziEin-$gOisRW|0Y3sj{d>$K)7rVZc_tKLnCWrGbcCuOyJLJYhPFVn&_wyLf(%zgY!k9Ref*q z!zUjHrB;_~4T%X4e)2s*7w8x&t}4DCcx8N1i~PizV^eV{CDq2kA<`s{5{JC7pnZqp zxx|^; zYDD)BFS-p?;PqEI94+k*W+LiYk0ON8(9pMH${j2$b?2SFAhx3)FfbP=AK@f7R%Vb> zYs(+@uBUq#KZxe8EiNoTI`wre%+I5d!8z;ye2payTm1JO&}}$c|J+~mYlcSKMnMMj zpV2>smxX=xknfoCCef?yYL3Yc?QEUCfSaC;`OPTOTco%I3pt8@ zXn8;{b8CGAYoF%3bcW@38XMme6O(#3s&XfuHSTdXKa4Pp$_z<%V+Xah#`fkm#$qSp z77Sv&(Uy40LJpE&V!(I&(#N46dk$;kLz-7lAQN`~(cJBPq#W%nm&q)D5AX?RW9hGJ5?pshP!zC=gWR3Ee?W2w)=_ z2Mc#s)zsjA{K#puJv&7{R5=OMBB(-l;OItcds3+1}zYBnRI7&5{$S_e%FLFfd?`1cG)zFIi*7b@ldw8(&0FP}jiV z=D2wimV5Qz$G)H?74@_U-i-(BIhG$v6=_@^?;euZHOISTWijaPor%SQky7!Fs;ei5 zMLT!*ch~{)_I#{tTy+M0*ct`NqxcYx?2Y$+g|(D4-E3txKpw3~cp%8^u=EM8RSkse zrIv_|fS2nkWaM4Qw|Al6LXbv7uEfM_#eUg_gw}?7s)k%|_$rC3_l&K=O9p*t#A7*O zNFOSL)t8B@emI#WE%RIk^!=|VyPK_TCVCC{lf9jEbt~K2Jpio^SWQ55f;^-xqsRMuQBl#n{Cr5~;o)H$ zTU!9$0D2)JG7{{q+gn=>j*j3)gE9wFO#wrCz-$3~1@O*xIGt&N-9b@N5scu;NoiMC z)fD)+Y#qowY*_VGYE8`u%vk96vT}OPE=yzLH*4zVTEBTHn_Xx=e}lO@X22`q$~cWk zJfFzmwE5_`_Nfz>80U0X8+LPUYF#=i5%NtX3IppOHa;OI<7_Q^By}9j@0GS|CX~ns z%b<&`sp0IY;_l3z-LA#&d>8|y$GfkDn|r6f$HHHJ;(r`mF;ChQ^lvfrJb=Hnf~J$F zl*)(*5iF2s!&%i^TWg<@tJQM9P*7Q#P&_*Y1L6t1B6znw>CjHImzS)(z>oIN3aavU zejv~PBLahrPM2DC_v?Eg0ET*L7xHy)1fFFFBLzLa*#4{L8B3$#qUyO zF;L7rlW{qE9~_imRu#+tkJM^jv=a zB!lt=yK334z6zp^4Z04eX~@ID@5^l{0bZivL^7$&^SBQmnAwdeG6g zmX}q5&kM+KZ*Nfnvjx;1fHxBw6mzjq8UUAaPzrJhL*1p{2(pE!H`~JEV&8(62U64g z0vE)&yo`**uV27)3DY(XNZQd+Dsc1c?qLH7drGR>%nTEqR>vhE)vQ6vJ&yM**fElm zmmC~W-W%wWr zVqyq#U&t^7=|%9u-2k+KS-p31a%id62+$8lhldcI-bDaJcd)k?77^*~>7k~ff#hdm zWCT!v_b{N@fQ3h+$(j_5QVEHZ<0Yo5M4)W{@k2#Wu&ut{!QFjqX=!+N_B+3~myS+H zdwc)#K+jt5@07o&-SKuH-#b6IGcgJ4r?BVFcK^&h&IAIF+xF2(ObWruv^)l4f6`YuHcsxyy<8~Zm0(h zS-@B1g{1jwq=akUEnH8L+80U7M5JB*3Emf{%FW2=?x|t=4k|9_yM36piOEB*2tg1U zalq4iUW`d(!#W^GD7V=DBs4N9U3+BOh=`(mz8zb1yC7ilgCr zS0pSXxMWz1d>2U@^TwFYCG@b@!9QdU>J`^|k zr&8s~SQBufBO=}9x>VKHu=6WOI*w#R2dmWpX7y-+_VK9&+Dd?#mp1KT3+PB1B~gP@ z!%oLPSLrDIWUzI^+BJ|~?Oa<|-I!Wm+}NnES+jf;iBnT%ZmeUHeD#1 z_Hi>YX|WU-AK!8xxw=M|r|S@0etWHIEbQJ_mDe}tH`_QHlX&AS@BKf!I5BKx&WV7` zTfKJ+Cua&dxlm|`?ZQGr29E~@KK2J3LLx#U>Ni#0qRQ0BAU*w}$QY>NRGSDw!c2nH zn5w~4U%l|h0kTjLs3!x&aD}Aw$8Ii5dJvaI4I2R zHiA+q*FAJDs#z%zJnm3GM?i3_l6){LA195Pm6JMHA>gl=VT4>PH+3w3ZV=mS?i zJuomZ_2nHKcsa_-j<2pl7b;0D!34%!Tq5583sFsas*o+Lq~L)>0RVA?oGuFpaUGp# zMMc*40KCSnO7ZoLX=G#ceLB?$d3^kgja`3jpSJ>dAPZO14-H^MzW+of_zb=aPLSU; z5VZNh6;Cex>F)YkPG*W9YZ8S&JT;Y#ld~Z&4_UIMqhssj1cg}zh$)7KU|awbNJCQ& ztQIm#_h9;h2@QEl4Zb}Y8DWu;Kwbb|^@<|ulcOVB#|E#5UwI7;A@MgluCDL!@vlHq zr=TD;MPMK-;Dq;Z^oe*cU;1{tHl+*W>O@_I31);2Z*H1@U-$qj7BVB_oEvHk0~3Aq zRJ>2U*`1e$<@A*YWAWQpQLub|>JyPqz`?^mJip)#>}yG>2|oAc((gmE!z}(nZ3RklXeSaq-Ew=i_BEHO7xz|2{ESTX0w`kewlbmUpra%Tfs(@1is!|KBK&8*4g z7VJaenoZU+{~pHA+2h965AZ$hjT57z5rNZ55~lP`2B=r!M`HqV=#0f z+5qK3-sAgiB;3Eo%`+@X4 zJnM6Fnrdnu$1oAw_yIp0nOK=|F`|OPg4Q>T-*pmWLow-y z(Le%AWG7l4Md9bWYsbp+3R`a1G=j{&(QdOcBN**CyiD074U(?jv%mjrw~m{A)7qk6 z1%Lz*m9-&}PQ9$W%>6bl^O}`m%fUC6GNMB!E;Tc?<%hVa?bX2Hh_0?6H8CBV`orO2 zjh|n8etrPxeRxy@Y|Q<`gP2&SrkYU4wPxFUNYU0Y2|hCN zJk?g;dezfvbwD*@E(@VZdp_R-9jm^9?ECi*MwuRv%$f1=GwbtTKi%LFK{mkyJbKGA z+k;qa>tem6I}iM}zn+{EF)NNz*s ze^mH8=tB84AihE%(E zaPsiy)av$hMbF&S{&4IoswFB!+1PPdLW7}w6A!{76(ygMiv*c z*!NCObBhZ!WPGnba;~(>uI2Mq-DPDKZXDSI&Wv<3aEWeC)-mMVCg~dK>6>2NO|9(c8teozI6*NnlqDcFK_%jD0;^+J7r&rj z4-yXT{S*1j$mx1J+Reu6OO+Y;X`ukxkR+q3YKX_JBdCM{0P5fdBH*z_KIB_;0NUfc zJS_HigjjDkN*jWP5agm94u{kPo`9PKsXX4L;~6|o0HeBZ`VQq=T1Cam3Q0TG-Hm8* z#ZRe}qu18uom&BFA%naC-2dObbc1|zRH2c%vb@;K(gh0x2jlg0VyV7*1@;HNV=jn7 zJEuE;DsESp!m6r&eZMiCot*)1Xf%=0-_4N{7w3Guh(4reX6AW0i%yXCGCs}hsLOEU!REw z-T%)>2F@e1BQYVr_Cl%cqSE%QJpI#3a zt9P=yx8!!1%*P@#5!)6!?VKG zI65*ViQs9B;-z5y@W@nX6N2RQZFZ$G7$iuyT<;VvKV0qmv~+nZ;h0aPbHN|ix5LYU zbD|0QpP#Ur>T*_u`>i-acuTVjKg;iyGvAcT!b0LOz!zzMruX*LMxx`D!_@!uojqjt zgNX!+?8U?couI-y1Qs5a(1zr6j&P)Nd1+}NS;#B8hm+;kZfa=(`8tqe*$WUPfCc5| zjkpd6VP5Ta`Jj7H6NdjiQFIuA)SI-DB)jYqkCCoY z92eItRE)dPiC#-nxFdvvh)>>=T*&qc?*avih?Z5;(h-d<2<(b6>!0&-Ncwr)key3g zYfT*C9wx{rKRxPfu#Jk%9F<YL?B0I5au~e~P(zllibQco;hcJhY)#SBN&%!@n=ME;c3y@HmNV zG1qV2cP&P|{$H${7NTY<6&2DI(u}xxoo7cz``XDosBSh4)Fh&f-|NT5?kCEq`2E`k zEg9GCv4yLJ%lW|>WbF`5@_7HdT)wQJb9}gel9rY$vxwY;W3;oiaqa7lc#O%?%M<(c z6U&`;ae2O_smeJtn1X}!&(yd>m6g4&z3}~#7^RyNB10bEY5Hv|$p#6NP;Jur;-C0G z{FxA9ajCbc(&MwWvBfmaT^ac9E zaIv!kUGA@+KmV8FRZ&(hDk)J_R&Hr(8X2W}e1JW_;-R6Y{z_Hqd>u?qL4ka-;gj+o z{-dOXrmd(aR9hQcTF2az(!~=UJ!M>qxH;<|N#U0N*A1au2X? z+yL$`@3qCGCP;XAFbNN&M4id$>8*{8f#tjb6q~84E>BHOIXsyqgr|SyA>S%*@y+a9 zIb5dh?9wCFGJ+HSbCxYje>H9x-la_$0xHH5~s*sB8sUd?V#p1$#rp9Z~X`Dv)h zjXa-2MkV++ojb1oF_LmAqY2Na(9Ftn2nu88r6m|bHouRoVrHXfnfHT`32*$R_#t?v zei^UtVToAD(`IRUB+^2W?;D?&QP|G>p_F}WY)bM++}7$QA(JzOxO8I75A`*{U3b%t zPFH-2)<`GH${xD^IT6PsB)*M$owd&?Yn|+B`<QLeHh#1 z*{6H%W2zbou16nqG>K|H60i4PIoz-$(vn`?0CE@1tHzd=7PmXgU`KoV%`FWAe0&j+ z88oy=8EI(=Ny*xp8Y@c@u_kVh$Fae|$kbGvTPQvgQ$&TwM%)sfpyb7C!X-)VEhMQ=?%)Y4*$gA#b0R+?-Lg zD96t%ii3_#-{>szw5e%oYp!aV){?UQe7xAF{PyBT!LJl@DLWI}YeC}xu#>c8qGqT4 z4~zfau>O6xQ0S^b+LxrIlR!hU|MdAg3(K6ORAjc>n;ED}ddzQ#DxgziD!t2YZt-;a z8#!JEJ|vy0UNp`wE=~L9Tw>2W-@XkYzB}(X_u~9Nm`?HMYlPVinu6?Qbl;mK7*c@2 zyE}{iHuRGZXICvvq$OO`3bWmXobp@X^`L=a{zki3VrU}LP2{^4=ZEd`l}2eU-me+S z;SUV^J4sE(!Fb6Ze~F3_u)AmJu9%dBr-uXe5ekchfy>R%X44411FXtktG>L&pCMHT z0nco6@ngk;V6FRusRN~+N{W68Sm%3qx2F=Sk)kg{3UPbRO^bkipV-Mz*T_&yO0B79 zEzHf2iF$8lVgXb*w)Qpqo7y;7?ws_Ke0+RXCMLrJ1D`>yDF+8)TTa1kLezO+MaApC zA@LDQ_npqtIknJ;htKIS9@9muFjEvWmlokLV=U8!WQhL2*-Q3W0k)-7g zZY}d$ZJ1_R_JYwtg2r%Bj?WgH{eD&=5nyj!xWhEEG&F>Obh$etm81I)s7_xfC@-g? zt5jA{nE}wC+s+OKK7ICyd`J`xU|BM^ zuo@noxIj9;Ik$atoM=1?Ti;6S>h2x9y14V<2AKQ$I`2!n-=`mYlDC#b6}%ERCn^Un z2K@?hJ9Zxi5?(Z3I1V_bbQr{H#ZDzp_kI`*Um&VSE&-U@W`gMLHg&?ieeL5vkYLmu z8TvabKR+x2bz*qf{bHK~5z)rj7@(z)`1qlXjSF+7ND@ClgwW93{7|ho2&h+I zKOv`+M`X{e;@=$7I8{pG;;Y~_8yY?n1_1^a1ibFEe# z1nn+Oc3>AcoK@*!`Eq_#*}>zwn-m}a2bg<~PY6ML+}-IKI0SU|*h&ECXI(KgWUMgP z*xc*_bnWZw>s?^C7B(;dHo0gJV19j#W^VB(HYPVTw3d*7MzGgiZ%0r=y}Y;Q<(ByV zL(%BU;PB#ic<0fnVT{G2uI{r{o?|9P%nY7=9}R~agICDY2iOA;rYt6 zBM<3ifEeS4kxx|kV!up70`eaKg@)hfABKi|4;uxC?;vplZ;y5=vpT~znHU`_BdfSf ziwKN0F2af}H6!>oh!<*XRzCvCPjsN%1q}!0?{O;T}f6yq)q}%L^N8 z-#(N6jxW9`$8R(&H00elm{UbVx!rT_lU0zhO-$?SSxxfY9`D-)_CH4N9<~j41MMt6 zF0S;Hl(3*6N-{E#qr&$;9=ox=>nLB}o}M0A0AXnVag-VI_v#{<9sahw2k#pfODP5Y zBU=UuiOSMa6HF{-a2Qq9H34k`Y!nPId1Zk83MgtOyJzF#W|^7o-~Rydk8GfxPbomS zwZ#<=KZO*)zhAQ3%Q1UaSA82AXg3KzMvV{L2FJy%E+MMC;roZW5l#DGkzVv8$uOgP z!ctL{hP-3k2nUgP;oD0nGPAceTBOq`JL z4^KN`clYb)C=!}+F+);$f4&R3o?pWJ=a`j75abvb2(PT1?B@%e$-4*dre_EIE%|wM zAJ52S@0{-JWo2a8*_U~?cGA<+!G6B_)|-7$>>`EM*|8p7E-_|i@*^4t!;*IWgl6q! zP3V|9?a$50C)m9k773G|xM)R0%1J4%E#yZW>zyL1Dk_2^B5P}N^Rx0e*w`Hn9b}{z zhqDKmAQc?KetuptlgF*$g-i`NV8+MB{_gIkWTf~&2wO_N{zr`MK|E%8xDv>{!(zDcYVnD*C%9O$5nE*e*sa|1ybbTa$8yw3|IOM?Chv8F~z*z!1m5~ z4OF5ij<L=(;2B)MlWWl*M+#A(B5wgC#`0V z;xvM>wR8#ReJO$2{-uG<^v~MKg{?bad;2?(6CVFNDOlT^c>9T*l-D=#aQt%G-a=yO zHvC$|4gV^1G*QvZfEYkS(&tBRa?1Ne{|NePUwVEl&q&%27C5@B{=pnwxQRbOlwGaMRKv+uM0& zX5^Zi*uQ-n4gPI~g@a>nUk7OdZpG-R_iU{56Jz3An*_kbX=`UEBPYkz4t5nEK@h7k`M z=1~XWJ~uBdfnE4_WO$2^WK4SctD;8#`g%)iVQ&{d9Uot!|L$Mdwkvql=ktgC%;x_w zDmgga&Ckw)dSK|sG#)pQY=Z&y5wJeq-vJL17KR2H1KwDOJPL}uv~+bh*GC+LfMFCY!Mp_KS zo4|2o(yw2(I3EfL2+G}GR+$)MQHhuK_s_C($TAZ%qqU-j2gZwvi=R)^KT~Knhb*kk zysNZ9ml0s9bz-y9I65{@N%@#lKrtaMB^{RtRpiR=!A8ji8yvE8(R<%?Zl-h&_ryg; z4h3F!#YCfJwIiB8DB@xEJGwnU2|FXZBWkI+qO2zRGsI`b-qv)GW60}&BfX9B+vXb& z4i_Gox{$sdmxPoo{!7~R@0;4%O7F9Vfd__~pq71!V)<{Ar%s*_!V^=dG2L70&yQppp%D>7=MA_(V2Z zB`PfJ+IsWy^1mX~oE#t*AnWbj5eqeYea&80g^R(kWto$R!aNsKRQ-8Hvz?mNn!B;s zwK_jCHN0MLg>Q_Ea&0}40dRjH*E>Bn&&_HA9xxb6><^xvZ?Ek@Gu@Q{qIJ9d;6Xe; z2a(-KTY?aeImm#bXQ<|f&~taUwS&GoX>5IX0O>7OkfPaCn9!>bh%&OWT%4SR`G$+j zi=joMG|)2Do& z7VnAEjoej`qT*czOm^MfI)Gq9EG<0(SpnGCbJh$@Gj`!9f57zqv9{EU&-(T2-tO?s zLv$nJPz&&9hvrOf_5VQJZDXcI&P z>zDK1MmV@-e(j!~XJ?!V@9GxT&N}87`6#2aJ1rq*Dy}_%{Q<_~x7%r7dTS#Tbo0m!OF+OqxKGzx z?acm*2k_F8TFGRrA-dM`PE1T~EKF@|ZEY+Ka`G@69IJHwRt@yk1)W4~4J_RBAW7cB zz;f&CC=@Q(71UdBu=fdzG%}M_ZhB?kZL%=&-UjvFkKOlqVSF|kD142jM^V3=qUU9X z?EFN9oy_y1^F5zuL3)vy!?7u1uZCZb8&9*fn>4N z&dO}M9|d05z<{8x>K51KgoMAYkDS87a2FTBSFmz&nDFp}%^bU<-x$e=?x;OgO(g~0 z@#N>#Qj_>QtCqj%C+}az91gUwVhV*mr}e~t@|FnmYd;xHq-$~G^!0h4gJ`xfA4hwU%y8?fpqWz|!s$N}Hc7XH9b1Vg{6o;5#@%KwEP zTwGZM{A@tuWw%cYMma4RcgQUy55olwKZ7^xB2uB>^!Yh0hzsbg0K4qw7ePTX^;J6} zqKxy{NFp9%0}IDPW>nr+6)vvOToEsA!vYkPtHgNhg<1LAbNiHJ+&`le*4A|~v9ZCy z2+YjW*)G;-jJ@kf&V@c*n_mFk0h2fZt-&xYx1^l>T^Pm}g#;U&2;vr42~zN^dIX;V zuNQtJNyKM=ea=fGVX#>Xye)u3|M>4qFan)`XKIO3jBMojnkA(24#3`x`MzXEqpfi) z6VhW&cYQB=ad!Nb-r!*A4+%m4NT;Tv*Vi)w1J8_!q#?C!Yxm+5b+(*!mhV zjS0NuxWyb!qF>bsc!(IQ8X6t3aIpFGbz$s#2RoxOGL~m&Az)QkltFej@R?6fpSF1c z=Ou`~xV~Qh?-73i|13-w1&FEr{v8?WBLpoesSdvwHMP070eF8SBF4|IQG7I58y6I= zuaNjdp(ckX=)M!$Y!UE)?49YQB@}5OoJP%qZG~6^%0Eg9ii<0rfPdGs)K$xumnCIk zZQ#-84Aztvb`lM;3+R+EMw0lLkydPC=_mX5HF9&|@fKk@()1mnThjXv#zs>@BH-H0hL|`KIFla(4}lcT!U6?o^9~PxvBPNR1GX*Z@)cxd z0F7o&47YVsTH07&-{SQ(jJJ}sG-wfnh$8rYw$Z7kppcyfn7uU~PR?8ZMB-oUZg6zG zwDBo1yyQHUg>Li=ycXvAc=s_8Db)=n47{8sE}rG(&3g9EVJRW5&c;QhwYhC&@-O8n zvAMm|+kzGn^^J{Xoh ztYBtKkB5GxNMajmx|1eEZnwXysl+(_tqz#DdZ;pPZi9gFo8T3i-}TXY*Kc_yQa~FM zebVHOhJwgtd;euw1#wwSo+u??_%&k&WNPFCg)ufilD&{7WJQk?y?#d zJaJm`!MjVX?HEAk%g2xJiePS0W`Tl?45WNaj5UWxcqFj2wviOcNdGVfAuf#Y4;2AfU2_kf$wgw_O5Y7gP5jWKrL&D3=^%5R z`H+-W-5MOcsHNO2Y-B@iTh32cXPTuKY&ZM%y}CD@xt;iJuUr5TVw;C>zR4E7*1K;% zX5mBOuG5o>41aBlN~dQ-T=f4Kn;V6~@L6~=jW2qB_selWT>6(09lKa>6;Z4%v()zY z=PBt)PY(CQ5S8crec9-WZ!j-5=Rl}#Vx_636&>fEUtA2Z3Q!VgrJaS3ftOWS36d)W zMV&u=86qx0)!oKlUQQYK92k`u64JA@l--CkLgTunG zq=9=49)x%3bGcl8cXv-K3@Xg=m322Kd&BImixY?E!U=Jk)gbhs^Y7Co-wD4C%e+98 zK$a@`+HPTWYF+0*Jv5y7A#qxEf%+>o7CJ^veT{8Rt&&G>T5>}7cn{n)6DRjC=aazD z*wWD0mE-H@-+G0imtuH_DL~txA*b-PR58&DKu2$eNjB%F4+qEB>c3=1kVw&+UU%4s z!hTS~Q*z-y#pf$ng4c`Kiy6Ja8e!d~jgI&2a;&&E3MwD*oF{*s=pa5ZcJv_$I!sT* zW?3!zw#Ui!kyUXBV_AD*;!kXBw9xD-mQCN}+?0lb3=pfbi%BX4_cQrq1?^ z$*TMexa3uEqVz?g^Vht0ejwlsX1|GY{zOEKuOut4uBG(0=ClC|55KR!&#$bZ!R2ts ztbc#IVg>5MicP+B!EJ3G-<{iIeE6HGm9*M;hQCQe&&<3oE{Ou|94>|2(8vY>=nW2$ zLI03Yq^m2tc{Y-dgt)kQMPE?b6x1}fkBwja_hrVvZ92!U0EBI7&Q^28{^X z89wfzPkZrjHDx5Rs#ZFDf?ihc7ut~mkE)sVhB_YQ^T?w+ZzTc6?!T84e9M&dNu)5i zeDdOCjI`TlSdg!C6HXq8Y|z1hF|3RP2S#218z-Yh)m*&k2lD1>+c0w__#$)a6S|Df zHm?m*3|&TN{sPMPXe2t_=ux;d?};NxDCm*@gw4HqzgBuHndnKZNo&2a!nLDy@pow& zFK(4Y1_t16X5Y!w5h5X;>6ke}-5-!|*3&~v-!Pry5&O0y*k;Qtj!XT?cJo61Pjr1Y znaMa{rh~4c<>H}dVFtQYC?;1|*-1$Y+Hxo;DTUP3)`pb%7#Xp$OzrJO<>UyzK@6qE z#nE$d(NH}tkB@(*ql51n1rb71daU-+INmt_&DpSu3|0aRJUkGi0*q57x#b#48fIB{ zwBNW#2En_;uKrW+N=Lht?!)mOx5rP`gDD#XU8Gp#z+FOOvQsfhzL|6IS3;;~smneeZ$KS- z{*$PMcX)(@y{nd-xN=g2cM_b-IG(KLP5e+ zZ^2K@Wc}-bZ4B35M&ZeC#a1@%rWGX^$tD+#i05BEGg>;Bco>?lkM&(XVv_~uwBngY z2fXuce0LRsf25XSeFZJY!cx~$ai2u7xOcSIS?^Q)t#WS0Gch`37X9mQ6D8Uc>LX2A zdC&WGPYn6{7+0Obd|!h1!qe{sv!32G;?Q&Jn1*0TEPxqi6K?H|6E zA0NOm_W14Nibn*(3$p!P9W(Q|)P#LQoiEBJY}lN1c$gdPIaCk+@c}+D-hjEeVtj&C zMH$`L7__YfawOUf%Uo+k$Q z{o%AbTVB6D%5@1ddDsHn^=Khp5> z3JXCyi1Lau-ba#%V49L22cn$Xi10r{mOd;@bZjcQPwvwf;j&&G+IFMsfX5% zoSaHdP677El+nZqH8oeNn&Li=Z@UK@P9n}gq4*7QlM1m+>>ASy3q`YSE@LP2G@txw zzP*NxfsF9F@`SX%R#9QQ+fT=fztf6>`Z4VRxz}P#O~HK?hWfVNrG7yTE$jC~`rnnY z@R_*K_Av=1crI6*9-Lu%e92Ky_ym6mX2fSO&GJoeaQ!>lOH7FAXlsI632cF5F{qF0 zi;K=i?=fS;(#Oss>*ESCGSrn67cILK?jIkB2n;#w;ZWD2o0!tkKRMj)Y1``VI8p*7 zivL^g@gscN^~r*pVPW*U?b!<^#lNCn*KWT%<61mI36S-mXFS|cvwnYRt)L;V zH}|%hoEu%(n4#Wc*>-Xrf)4)g5h2~YvEq#%3H_F8ni}L9)DTqhMs=G)Kv-Q*@5OIJ zteO7Q@pSvo=jE?(ptn}k`o`SvrRB7A{^dr9<_5(Dhz3QRgapI=zn=?rkRZole*oX4 zCJB8L?GR$#+}eDA$_msvRBma#oh{Y{1H&{Q%u4)&0eu5n8q^__o{p=HrzvEQ+p}OV zF??mb#eV4WYTfM%c}DzE~jBb!-B~`Do|!8AmCG7 z9bHp{AuQ|)YC~nFaJq~f9a%3gYfw=gcX#uoWj#GllbH%Zvyu;V_Lp}dZlE6wWWJ4! zh4I^7U)LpkFm&fuXF%7P(IXdpmTF5QC_al~S&)}Nz7-1%`DkKtQByMz6exy(D0Pbl zYC0}sXIzMC67%+X3Je-tj6h2a&k_&DDfV{};aI}VVaZX}Sq6eF;+wdm=JaB6=)K;# zB@_)7kLvyHg0r!o!J>b{s6QGzeTeFik~}cpFDovPaE)~bMzg8DR{cBD=%Coa@nuU( z*NDuZiNTqD-iP+(lI4MAIC}SXcgSYlT-^iXe=S^5!s!{~cZe6=w;&Cs4u)J$!w$)hN-4Vk)51IsMgDh> zmsP0@&h3Bt>1h~lcSJv}gU<|nuL=qt@eY3}A<`y&JWx%+&l=p;;)eD%u)MW&F>!UZ zz5h7w2|+z;QgVrp3s5^9(vF}o5QX-642{^@uBL+K59xZjR|U|1oX$%+|DYT1qO#Ab zE@)|}Y3pdIsjeufZ?105)pNHuH+21g!Zirtj2%x~NrI^g1|j_B-aXYhqZ`>eVVZC9 z;;HF#RzK3N?i0w9fn5zfOKsx!R+mOmP@4ZE{>RU!!76)M-LIta^oXtl{}_LKc#O|| zv&gS}=6P&_;2UJ4N9Pm#ED>SK{o$3>wqDHS7sa=Q8#KtuOsB=SUwc0kB9y9>=otB!%>C12ER4;Cg(V+mR|^X$w@=?izzYPW z1SzwZXGA^m2ii>lYXlPCVowmiYqM=eZ>I`JvaX?Nd3_cS2NxO+hMz$cX7}3|4a9$6 zCqtqtWJik%^Dzmi;TPoQ$@8afq^y%3TyAGYfkCv)CMicgo2O{Ejh0tRQ+H#sK0bTO z%QOG~`NEloFJC3MiR2H7l*HVFGQZ5LLEzwWL7c2h9iR z2>?joe(M_?OiE6M(1WxB@FS?2_|`51fdz8PV5p%LXJ+Mtpcdv8Nj|Z&PxdX+GmxT| zsG%7JEmmn;;RN}gYmZI0wYJwsHwf$fP>~Vl++~}Z{w6M#+iHhX+afIHO3C$kN^mr* zBP*x6s-(S*frDaRc*5Pzw7k8BrH7K6?(4)L;sN_n*;zGXl|zFqCi?p$%TvTl)LWZ7 z5bms}r<+NXX<}yT)zc3zwd&IjzxBCO%vI{TSy|kfTK}K9z-PD-vC;SsasK!4caFF6 z35uY1m1A*nP-JjUVNNkFj%%6Ixv2>W>0LMcqJ&^i&+2giE)1QedOzFr0v_?{k*QFd zwtBn!`uZ|8%h!S&X5!jvZq`|@$vNJ|Ifhk~**S*UDTd`ajhN(mZU&AyK6WN{btP4z z%`JzAT3w{!d&SGVl#`p5 zp364_-2V2UI=?K&K+v5ZhplFe^U^~!NLyGq<Y3_}bPYb-cKuLB1*s!RZG^2HETA-E?MqK{)+FD7;@X;aB-|bkCez8|J-@hkCNBgvL zF(x72Dk>?31S6=eDBs>V>}YUrEGm0}_Ut+WP%j8J&N`8r(o(;_kP61e^y>k3OmdhR zmg6PwY&|UgU{QRpT9_LkQVNMML*QoWKW?p6HBBuUpZa027 zn=T{LORQkzJ^p2>=0%4!V}llh?`A~!2^9cpQsdCekzD!nj|Fjai|X2n(o zB%ScYlBn~yaGZ}E2P$P@)Ygv0i81GSevocVax;rWynW_nv}5AVEsLpmhunF&DIWzs ze2I(o#Kk>)^Nw*Zs?5#Jw_M4^Dkz18tclJ@cbFb%ZN(WJXgP|uG0gI1*5CUB!A3_; z!_!4Kc4%m6o6^<<(^fwK?{+bf$>>>VVP=BN&CERc6Ng9O(*T?Fx|QKCey@4_4hsQ``l==J1#v?am+F)Y`@~c;9qFaS$w< zmrGph4$OA;*Rajp za0|L#?=;KpI(!R!wLSMWGS+s_v9hmov(C!xL=!afvQqMra`IBL(t>Z}6&7h36n6XY zH)>0zGv5nE(=K)xcQn!1Vk-=_|Y8EH}8r2jh+rvALM%sgJFX4Rjbfm3&Z|O_USaiAmc#^$Q(+_|2S=stb_q=VFX8nnje-0Vs^_$&rD;Jd&l;zi~hpFlRc z3C_EensKnG`wS6a7cgycxMbGG*kd_!5 z2d{~)a~pkQ*GzwS#-o4^ZOx{CX|BcOEnWZ;lb*p3jv86rk$2PCKI_`8oT}uV+OBo& z#UE;`Yns{7Hdz+edDmySmg{%4Olum6YXiCc)Ivo&7Y~F6?G@1fKLC_LYrdBa=Z>9J zl9qn?{Mn1APdHc^_k8oYo0E;Zv#pPp^KFvrJX~ykzV42WR*p{AXU`rJ6?;ZYd!Leu zoQU{JW>&JexbUS*=Quf82(DZR3H6&_nB?Q**t7R5KrZxQmXnjXbN3b)wRPoSoI8J# z_~uo7{Ih^@z-OeSLuFnCnPqH<7mE_`IJOjK1;1wMiL_>Aw zKxrw~LJI{dNTJ1Pad&rjcXvsGCAhmwfP{nu&-YG-vfZxSy=&jzd-*@_Gn{kg z%w$Mr&iszO$AajS#BfU@C|NLm?DWdb(S1Jj7YoHE0I&Dw9tQXZZQp`)^$rh?u3quI zf}9eXj_R4ri$nX5F0_p;$kEQ3?aB=ZfKpA_&e51@(MnQUBrk=M1j@`Q@=u z{RF%gIvfGKkO_&5scFjSXnl1R+?rjjt@N?6n)31`Uws8pG$k)H69Tyz83Wzjqk}_l zHMNfHKTu65CqoUfvrG8yJuxBSDH{D}!7DQ*9gZ!*6i-qoMhA!W-{@}Luw|gT&q&vB z-_E`GqEfWakuoyYQr`?)$_Ucdh1%~1ug&W=19-t1=wtMkFO-%sM_*D$$G2_VE+il{ zH;J@V!BxQv2GSvL{?vJNg_*Y+I*0eoFNF&n1}AB%ZAwc_gK%*n@~SpBvRJojJ#ZMB z2LecQ+CoL@S8G&@;PubZFM-$G+}!l^G(5628jVV&j*X4YzuZV9NLlD-d|z#CZN0s{ zQBjeOP7YXXVQgHCk&&VDDQ>h7u5#122PAjdO3RYbKU7_>oL_gg=bCWrK+>7b7OU* zmy4H}pxBO0JN9hdt*xTvWbOD|@)>L&iHfT$t40KeH&oSWyi$vcj4dj_<)mlFN5$!A zyon5nfIz5!u#3GDF24}IrKH&C0B?_knDA*DxvjAV2<(B7fS;#}h# zE+3PNG-#Cplf|czHX!+`WBE z=J7)h7kg_9qoW6R3*F=0vwO>nXHvdCZtQFq*x4_NJrGoU`Ak<&)7-)U!XhF9{sEqV zSx=g;U8%XjlOFQ=8Y$<=G; z;aE-0R}UZFe<&shER~y+D)~rMN%5J=Yx%GcKZt6#_TNc8I?rl^am$ z>g>d%!CRe8YuC-tCw>ZEkQvI-FF*Mlo^YTpU@t#U-;Y>WS{qt`x3p9>&YnE;8OtY{ zD(aBJoYMH`fETb4TrjFD=dae3Bb^ro*@Y}DA1zcbPai+y>Ffys$m8nZ#_Z)l#%w_p z0ygG0ySME|l9)1hYbYm!II1?(F@lo=&q0_>97~E#-n15R4ulk8ze-)8MezFP=m+qE z=VlIGWHW$SeSLjBOaVv@4h|BD#NpxLdF*0>7oGkicSnF|uqBhpwzjqc0(XGDV4Ibd zb>QHEu`y(rqn4ItXlRJNz3sDSvgzrmoHvoWxVX5Os_JW7TWb*!p@zmfQBmQ_s&b&N zr%z=jC+SCy9D=Z#nhJoLmzO&L8S)V$#C0o|cega$n@q9{T z`2Abl-SzbaDXBs{JcRrL!JFJUaY;3WrH}bV;(WsHT;^)PR9-oJcBBP)QRynZQGTN2 zVC*2uE9PnI$;Zw&H#Vmvqx9m@^FWV4elC8f#0l}=mywV*(KY70$}uxO&3=*1%h^+2 zM()W&DGvvCqc_MfnrZ6fft~xBYnmR3NcgyV!y);Zc^Qf60=Mo&1xG%4C>iJ#z?fm^ zY3f9WMp_!14)ydf=4K=xh@>UNSQzP(hx!ie+BVYP&6uK_>c2HJ(81#hNQ1rb6g5;J zWYFEzIM~qv?A6C~G+&lqFg-@?Zf@;rK^njstLsV%i$?m1xV%DpOKUxCZAb*ITJh~2 z-kX-@25G5rMY!DWzWcnU1|JmU9UT*juJE&Q(;7S;0g>dCSRk!q#}5pTATuRRP4$4W zKKYcz-NO-}3IJ9|#KS`Ox1Y@+fuVy3Nc28`1VHJN;I!}|_Yl;P_ttLG-C6`sAgag~h;Ui+EgMI{XlGr?;Il{A)-oCYTW`~vD4 z9snSFyKUpvf$qMR`sSYYZb*rbiv5`7qx`Hq_*?)l>gf2-PHg;l!OPLcDL<>=o6o-m zxUp-lGmXWz6ow5chpzkKnoaeJEKV(-Fw zgcZKDH|lQ%Zr?@03*_$Pv3BJ;^xFQV7QySEqY_Lh8km`!otc^e@Is@%T~rE{Kp>Dt zN!{JuytjF4YHDye+|=YGH#fJnmDQt1k01}+T7A7e5)u#F+ghoVF(4{DzVy~DuFlT( z*qCTxMLQc#dzH!pCE^^k|#iNS6`nK2oPQi^4HrB%h1raH#HuHKqT>LvNb z#O|SIkDms5`U7d@re^oFbcx&%swt~FuoLmyuCJ-<<>X;yWSJC|SdPPw_6{3p>FH|d z;4!6HNttzon!C5|pjDA0dk*q)A_KortzWrJMgkfBYkMwqp^mg~QHV<`n40N>jwzf=D#wN$ea}yJ_;bLLHwKgX=O-T3- zoB^P%ufN0H%|S}?0lFRNM;vo--Mpr$p$y+eV|`^^E#a##Kdz}R+rMuI>=F~b7aJYg z)>3=z$~lP2J%5s!o=7DTv(r*K8k;A6JTLEe2>0{gg|s-nFL)bKemtb?eGPZ_H&oQ3 z714jDLW9D0@7#xWDZVe%b?T!2>-Fb@7u@Z6MWvAm$xKU%j?ajX!K6hO6h zXzZupMJA3;P-tZ0NPky%dQvg~)~RF11ATlWLqd)8^x@6X+R&7mkofsW9~b20PEu*J z6H~n%-5;^A=xOT|6<`x%kj5}m17rHw_{$dxcX;@SeS_&qshihrJbCm4a8+Dn%;z6{ z`uM@4q5>R*cWl`X2SR#GM08|G_+U>zq;K7@B{d-#s0%1?fx7+(cx_&{IW7VjrwL#L zmseX+2Vra;cFpoN%>6$SWLP+DU1dE)p{5?UoW zu(!20H#Hj_9v0xg6B-gSGc|SO&|xhN&9`sfL`OwAJ35+~m^3#wvR%Cz6CM3fOw7f} zDI-1Y%H_*`zP|D=UPOe2VG0U#-oABnbvbeDSVvo%tF!a{d-qb3lI(13Obqn*Y~MQA z)fVCJC3c5rw5L^G>S1)CHzqwg-n%@Xz;%f$+Am6w>z=!fTUKJ`@-LT0 z`iEUPeaXhyDmEng=$=E_Ntqm%*sF>w>`birIeF7#Q=BXvfX_CpST`{;?&;tzaFegK zrb$soE-o}CKP^XySFpXVRZi-;k+wbr!hC~u)wDI0RcgzSb}Xf5vdPgAYKn3V75KKg z>TT=RC`e0rI@)C?CxrQVBP;Cmc9j+8WhBNGS@g@$mF2N7TUd#!Cqt{iAtgXcn$XSF=ppd6O!03UtPI$8Sn>eZ*y@s*ERTgd4I<8 zad%q>Kozjs=-_Z-Ov1WVYa!2)ufFy3^zClz0)*;m@4j^Q;#Z%3dF5az$x5ARyY}^@qTVS$>^7 zdeYC6+2%aOka;X~Xzw9n-!Po{^zk!By2kZY4PX#JGBCl5{W5!7V|!C=(}mL)#O@PmM~Z(x^Y`YD5LZHc6Wp>>o@?N-oGn8g5}5 z5f=W%XP+15%`Zh99~&DT5lI>u1(q_<)92#k1T1?guK>K2oRAn45a{LM5gHUsWjez{ zGZO~}d%L;;!q%@@?P_le>9f?)=E~BRa5Va^Vi$Q0&o7z9(6Jd-_&W=nr6B^SZQ*z?7DhkTSddQ3k zMv!|zVOsuZ50Oxad^0vimNCImvy>^Q3pSS5rNtyy7gtmjl~0Y*V6U{8q>qy)9EHiq zA86|h^6)RoV-DG#W+X+%d)m9zmR6Nx%JkKBROMfhdXXm=mz@(I7FwK}4dF@B2);0{ zsgmGgZEsT}nqG%5?Q3gBpW&Xi=D7(Vt+|d?WX%4||C>Mg`)BcOB<6j=T8WW-8*}M zm6Myz-OFi~F?p5!g02D5$wj6PclEYi=eVM$ua%jVoRl21Z~qPj1=%xakBf*1SXrAK zKD=l5?k#WLs@>wbF*{2uDJ|T&Yjb*fLQgl+RhpHNBzX6BL0(3EZTZO1&(Qqu6Apu! zocQn-{V8}2^v_SmB9!7?Z0uca>>X|G9c=BrTs>xJ9}W>))S~`r`t!jnzo>L*Z2TQ~ zO%7A1iPSkVd3tJabbO$*bF`vuw02~GOzvY04Kg}<5bGsE(aIPcpCgV>!y(kk+36WZ zdUDo6t>s7Hg|HWDKQw)f4UYlz3=a%VP-v79GU{gw0u42FjOn?$%9^>!87gV4zN!|G zYp9p$HfCxL!a!OO1X`M;PM{x0>>Gk8oB@j9{;uA!VRB?hIFQXSbG;z?*mzfK2XG$L zw7_654rJQTkMTmMC8j0LySn~a!T*8=wyfV8k1h}~i@5cI3vhFEpP)ULYko<+Ur{<^EMMezFPXaT(bxR~HI>FedAq@a|Rk~T%3D#jK$**gge z2zt1>BcsMTyNvV=6y)RyWq30aQywnvCz6tuX66F?cdlH#gfA@x0+W9s*H~Xa*gsHK zQlhP?rKA0(t+^#LExoj;_?h$*drNclvm*UH?{Hpqwlpfs%V12?DloY=hPtUy$bHq< z+{n6b&!ywX>7g$6Xhq0 zxpA3{aR#MlR7qO?!m%@qNk&~+HL+_z;mHeNujWc*&LdFQvqv(*yn>ZjJe^3XDXLI; zuH^0L0lT^y+Eb&GMEQkG-x|SD@F|%IX3Wu~*HR`Fowa_yyVm>=2Z{CLb_&@*z z)D`OM1=JPpH$QqhGXZIzejmK*s|dF^+3)h-9_;Hva?DINHPqp77}(p+1h1yH*7j~> z*OC=Wt?jK9U&;9edC4isTG$%ntBN#rRFczUiKM>r>XNv`NMNbR7^K-RDAZ3yUFq`G za~H3iZD_6zjR@f2x&|rNu3vniAoED-ftdJxKr0h-{k0ob35f~-Z)s@1mVGWIAt|P3 z_y#x(QncQx!Kon6#mi@Ia%1a0k&P>Vp@{`Yjw}90Un?}wL z=?yjY=n3Jd8Tu4@&R+p96sl6=k?--BwD2#Z0b<{K{-8go$ie?NJ`uyqg;6iP`<5fKvg_Vh`LPZED1 zjxQxhJe0^tO$+ezd#$Wof-5?6@>EJv66cNiu_;$CU-tL)6%i74a&U-?iP^truZNr4 z?wva!kGqTOy*mOp4EE@uL$Q$&^0LxqdT+fPZTLB^csW=;mk>#b3~sH$%S(!DD!zDd z=XP}wCfeUOHYgxIIHOa89jtox6}g+v2~ zT|0FtE-326o+DBIVN${pK_31AZob#fT+WD1(Na>64~@YWlsprc)=*UCyTL>19mZtj zr$#55zBRBiF!pkA3~+NxjtobJ*bCoJi;bEaA5)N)iVY6DdirERS_%P^k1Q6=>}pI; zjBBc`y2pPTz^kFIDn2$6Q;^fw+fAX8^9%EmQj@#;`v!)I<5QDa`MFm1Hfq`k3BhyE zdyhL8lOgd$^sS-BnM)^V)8u??wtyh7m8~iNU7ozcEb+&p5H7%GD=NRp%1xDj`79vV zJ2fK#V9V0R1i*`V9)^O_a{#Q}`?f=vLK``C_BiZ4cw`TuvLq%xe9!(JnK>zE&YvhN z$KlIy7cQIx_5#d;Qf7=o+_`IWL`0yygC!hG9PWMa@V<+St*NPAT3Wohxj|@%Urbbp zg1k&YUWSd8iLf9)X?UQyu9o?+e^kYof+_lk;8nS}Im!C{J8 zn_6GWzl;crgbV;)RpnJm3W^$PY7`PVKPMNs%Eif9`Q^*#h{$3r?j{EZ@RqE!4518< zDJZbBvGsI!x3;u|C>;JuNy*LGB`H3>r=z{SslndD#Mjvllb+aCT{cY`^sqG_>+8I9 z;&4e$#{FBIS@AJBiScdKl{2IfVq06Jmyg~{l@5GOdT?}tSBTE@SALdGNXu6HV3cQY zX*x!NU#!2Oi;zco71v)s>BevU+?eWw3v2sQ-z?121=H zPj_d@M+gkjs7U8&V^jSMvvH_zXrQjS2?B{}DX`tR4VhW2rK{!>=sry8KX>I6L?23t z*g0Ev_qScXii~7G#(EG}QUC}QpAhQ!g^_~!4c3v9m>7(7fZ4~P8-hJD9dfV98 zE|AHCZ*|mVWgn4915oI}8D77Z_w{qv(t2%fVK6;2URz60RFD-C?^}nFM3cLo%lmXJ%ATZ77IHRA!=qzK@YA`A!DJ5^m zN_82f;*1g@M!ni#mO@*O_Ha`Ko!UM!KHg7d^idf7qmyLHWJplt0x>YbtNvet7a$P| zUa0*ncmXg0DnZKo;01Y5_5!qmFzfQzqCpu6Cg$9KR@ZYVe zs+D;72wRA&z*hi@jSi3A$ zsyaQD%@28m;1F36si&e(8cJ#euJfjZC7eCLT;-59d;8kW%-9sJOE)ZZjKLrP_{Dbm za!N$JmZBOj8yDmflfV0flBrkg>EOR#EqkDJXC@BUr{rJz4N4YOw9PVs4P*b7w^|n+H$UR*Q3Yk!t z*HT?6CWx%<2okw3kdzQpj6)pH!a?cGb+vo?`^e+Sz{>1`eCj04z|=rXS7ZH_@5ksP zPvxHgZ^5of#`umsn?)W9;wy^h7*mC~TrQp)xB0k?OmscGU6fwSl@hQ=jvoNn3X2Tn zzRh7`t`A3T-?fR8n=K|j9K!IBZ`r>7Hvi3>{B)=Y151TR1x3e(oj7&4sus`AaV0lD zBQ`Gl%-Q2~Iw?5B_pzkd+O;dt13f&QfVWs#4~`eg2=@?_Qk1_g4ljO09;6SwCKvYy%QuD9C z3!UKznE`+RuweU3;DvG$WJbXYGN9mv8idhA6uclOoCJsp0IRj31w}4MggmIB=Rpw- zP5&kEnxIV1&}L%7W6^)=-`1~k(j@iYA5!|$mau=NMezFPs04$!A^4s8@lOxD^-%O7 zke02ro%jQB7bn-CfZ&GOhNgyQd?_Bli$Wr&rKGksx3ssm2KxK=c6Dp2t6N)I&dtmw z#KqTE*OcIjARDlkpSREK^fbP-w7#Zxl19%=LmY=jhljygXv2fpjMVmOLP2u;_&|4S zMVW)Cf%siMt(S6A!uMWEOI8%-#{~y^+FFOWyBDWt_SQDWdIu#3Mv~k5OHy-*jh%!n zOi@biRgefPK!M7hC5r&Rm%_%^T!Y9zr(#~r6nq@q9xFh4j zwDr_^@7+>=tMWingqx4k($oIYWvd^EGm>Vi8%b&Y=`a0)Tzd%o6v3pn9 zFDR?XpE`TY)5ke2Gr`F8?QMRJ5_~}+E*nY>Z$DQ)0l>}ai0I&(x7aUSK4a%-1(cSW zk?>Ym;|Ax|Yit()u$y3)mzVRvKerK0iG%}n3Q2wsc&FVkNE zUTIA58tEPB=p^F%2g@f1ho(C_NmZ$X)v-ODnC|WZdVeaTCzUZ;JzkSPT!)#U4s}px zYw3(C8l!>A=pjvxlE(uBLgvqic-1RztZVM+=pP*#d(X(DL!@_xOmF;)z-y>?aDqa| z<`pi`6>8`ihb4S7ikQGOm5D|0pZ7hkZjEdSy&X;IO*z+eV#%FWzn%ks6YgxdBB zq$mBU=wn0Gx0ux2S@P6S`+$p;v!|VVBcZ;(wb$Lo#azc&MojXJvPKoIoKB=%Ie7sL z0*80(*Ltbu@8WHxZx-eqv2L%JQ^`@bdiJ{>BCd zh0K_w_chd&WMu$a4Ysv}`g-}gIs3Rd2l;x93?QQ_%gai7yOGYg-rkVRL%*DSrs!}0C1uP?J*G`BKkVd~7eQ~M9@UAA(Gvzw!% zi(O!dFBi}CgGct5TN$OK$D3Lpu5*k`-&|okd*JX+HBH4?#<=hUekmET%hyh`-MFA{ zr2X)bu-02u$S?o$>E~a41cA_qfVlVwP0d&Q{9ISBofj3mw|C$6w>s(tg;__A?mKj7 zx0#u~q0w77v!#`>gQJzK?4#@K7uSBj3|%K1w#Sa_tFHLdh8q@Pa8vXTeX;&5cx7g0 zo;ZG-`NjN5q9P*GQ~!P~bWw}?8}*lg7kRLIw5YDnw7y5HZzzB=Q7xybwdb19F=4f1 z!WtLuD_;^+JT3V0zM_eVrFUt^m`YZa60YraTyb9ibO(t(LLT!E3_?!`Rl@=drl+T` zr>noWYhbXKIMg>hGDId3$H*gN6cUwb^WBT6Eg%$X3uCbUE}H#u+Y$={@+!C{b3!I->vG(`IVbxrJv?zBaS50Rn#rbEMGi*0b9T?F<~)(AAe^@ zXA?t{3Vb;iCs$cX830*icw|~i+T{zE2Kxsg(bvl-KPT__k>hZN(4gSU7cTDEwR@ls z@u+_E(BaJFl!S;#c}dBxni>IiHcWD&p7Kj)Q)B+?*RUCB-HmmmzHS>6!wam(OAB(_ z>Z&J6qs~@VeJyQYv3zWyYxMa30|s?6Av8)}>M5M?!Cg`E0O{(%}&R-ks z8hm*FL1bX~NDp!6hV2t18f+ma2m*brJ>r5)7ci8mk62jJ<5T%Lc?&c0qk38U_Cs9*#I6BsCi2aof>--%8EIKjWEt-k0)D8q zxvQoccx!faq#0i}+R@%oRaswBG&wxf)mR5$OCb*Aq#-`v3v;p>YLIWcy0V-xH&a|( zOks94ws&=zSz6q=Cos#HB~eC?u^zo~^SXhter-d|)Z8R|Tw+Qhwiwgg*3{nFw)*>3 zkEA3bW5TCqX@E_dZ(biid9VbJO#0K%R(5c<*tB&up$gkO(4wLt*U?=EhZCv_tLuyJ zi`+K1Hu&Uor0Ay7N8qT0l&B@kzl130qmNmlV?wT6Jx3tm+S(f+psS}TBO?Lf@`_?O ziIVaQM<;8j-c?qX@blf&R96mRuG<|S7cTW!lrcyD)8gk{>V~)U95* zIy^L7{DF8wZ9`#RVM=0(lf6@XYyw~zp{(57(+8pkdWOUSVhOIq#>&RQ)uD*eVL`$9nOXhq9Z`P%(LTPxE-t~&PSZn!kzSrwx;pvE ziOm%R#_Y6}k^akPGOcyh1jm2HN_G zQHf)NBvL;SGFM=6wuXk1g7?a@vo0Pzj7?1e(gOA>%1G;PY>4#s%884uE5<<>AgnYy zi`3IKP8ys83Hu5;dqh>o~+{VMEQzUteevi$74lqa$e z$0tU<{_f-0gb+54bNxfef%?X3uAWv~cB}%>nwlMbA}i`2cE;$JWtm7w+ zr=+D-G}IBm8tcj%>Kt9?m)W^=<#I35Dv4-n_TL{=v8YA;mHO+ztG9C`1qH9Fc9oj` z_zREZKRxx7<>X5i)>kY?U$PvPXJLit<1+%Uu_T64&8&Po<8@Jg(Hx_NOdB2>^$qez zt144-(*;<}@aX&;B2=?8jG5`V>51tn`V?x@^r@M5Hajs5Ha9tg+PoWxzt5P}zn>b@ zNyK2!P<>Scx}f#17!=o&)T#GVrpDo)nnmrW>5v}+V36`bo`nO^CAyv|7tC9XfCzKPUIn z`3vDe!4K{V`a8Q!4h}u!y&dc8!^V1|v#L^rhx_$YnLsy}3T&aihU%Wp8xz7q3p3Mw zoSi%!9l6=q``SAv#;7TA36c-Qvr^I}L?1%6YWMctL;XYxQ!9n%FXN*Vd2jKsPI(k!am*J!g)eNl(hS!*eGhC}MPA z^up;2d71gVH+i#Cvf$okyTsPl(I+M-mYb0anc;@VV@u1i#SAKK-=T4TIOBmBrtrdisk>SDSrW!1UF-dPkhI29I#>WaWkfIZpm)qafIYk>U zEyhjI#`E%WDHIB%x3nX$5*-_J>g<_)2avUl?%Wf2tE=PW;;i&a85klfC)?WA#=zJp zG&~H=z<-zjm8z1FsSaAK96z~V;6ArcfD44T?p&j;B_9yt%E5KMpV+kO`!9pT-7Rf& zkDS<*ni138-@NbO)^C@7IyH+-v8=2qxpw{hrY)->92n?z=+N$vP(S5Ya>q{`bau7@ z{4y|jV`iqmdGq(ZeeHl{GBOhU{K)JC(Cb%nf_HB}e<}(8B6*DI8S&2$7E?M&|FDhf zeeg=n&Ro3_S;zLsiQ`o2&$xZg%FJB8d^uz|di>bmj9jfv?X%2senAy@#LLgWD>%ua z{YTwhJo~!;v@dp1|0w-c;59ggg4dJsE&%|q%ARNdFP5W{ET@!M&ZvKJTJ5W|s-Iui zT*0NvEo+wC#duLMBiqD~FYL*iVl9OxU;0Bx5qT)M%= z9u^XE`NBmG_UqoBUc&=}z3rX(X~>$&wEq6?n(Bgt_@lyfMuGmHM?4wzD%bX@lo+` zCqrh$56cX)3Tkv%42kJ!FF&gQmyhdg>4X%hX>>Ms=4a+MSJ!1FB+7`2@?5#h&vq@u z-SyPIJwR721pMsi2)rwEyl>TaxSt*$IBnD41Xmkgp) z$Gkl~E?hV-CogYlZ6*HbQF>Npb#3k0^XJksGYYZTQat|fv7_#u9)dzbD(Y%pK0d-? zqU;g`P7__qT0(qq;a1Et|T#Yvh7q1>ad3L|Pv8t7w z?x}P8lwLo%#dr1M)f17i0l-_5(jrh-6Rc>%xsi-U|EyL{Iy=7paGbbDIe=$xOwlp`OkOxOcdTZ;D zk!tXtArmqG4FMIw{qv*J1dA#Ab?`!(vshSm9@sa#@_RNyvS^pifvn`+e+n;^;|lPrv`X7u=$LoBm$#I{b)*Rgs1D)yKz_K02nrvQM1l z+BKYZZ^N8*qDef%MV#KT8Bx9vQ9@Q9G0P%*a1-^WkwwaWc__u^w?(^69Fs%tKvJ@??Q zU~_pzVRCY5M#j;tTLPUN$Gf{y0|Rry!+p%n1Ffv9($Y=j6%MXgbz;Lt!7JDDV&Y;0 zg3NUF#f3!742^O5g*D|>*DhXp{NRy=u|-NkDwqK8y&YS3mEg)ELZe%o+V9-HD{@b? zxvtsQ!w(>ghx0ZV1R_JC(h}3Su5+C_b~-L1E-@wvxJr=!{;`9{0n17-rPw^|@k1wo z(#XUyINaIJS>>gw!ZSr6Ffd(BT{R^YrKc|%%PaDdk|KS)0j<&^!h7m#$z7fGB}E-o zl?>{bizjnj=z~JEc zq(m0!s@8A|!PiuK4$+)E8)Xb>Z!~g>m)fbB5 zshN>6@d1jjq%(8k?~B}Cvi!5F>}U7{IIQiAA3YJ-vTZHc^B0mHo{p%?uAm@qNvQ|2 zvXAT?EHg5bV&lTOxY#VMjM>>Qu3Nuy$BvCP)n#_JX4|)}XJ@;xfA4l%8`HXa!u)N7 z2zf)$86z#dRV}@hbjHvOV-z@z#^@iL>7HT?(HMPnM*qZIAA*of?`T)Etx}^zS0+k&q6)1Qed5m;5o_zhu zaixz>$Rpr&>M^^NQEK0hTD+RZ=)^ISPmuotr4*Nyj*ZW+MZZ`F_>>6W#tF=9|Yb4{5o^;Omsx_ZSLFcE$v|Zy!>I2G6n!l(D|ML+bwrj2#QxCQWPsjfthBH$+|h%Z1~VSZ#vV~W(=TU1n)*hi`Y z>nBx{XwC1a3u@Fq0L8!TYGl$BOV_~s_$|gL)$@)dgSpUJ>MMh~kaz|axqudY1)3At z@poy{`mG6Z$V3WMD0rc3DQRiEU9)oC=bwCa@%$C$PDUl6`q<$U9z!*FbN6*aPH=lnKV{0J*PyI2FLFw1c0} z{+iGmef|gVdiBY1`HxRLXW9P%!0YN0qr`s3^NLv%ymF@)wIuo=Wz0J$0DY?ie&I?> zNbg#_7QySU*2KFS&q82c@4yS~yFtMV0`q$p_4f&R2>u{=0c>SwWM`&j;xI)6eS;!G zqN&NL@v#ZD)pY=55C!UTcX0>6vNE>{3l39ORIaJ2WxL8w8X@y=AxkntHrNIDB_xP= zGzCGrmxq_M)DslER9?OI_w}_fH7ms+CAgEhuK_vEEB1Qd3J+Mpk2P zL3dGUrk5Z8u~S#J?v%O7vv0}DB=3NSx9{{ewqz%#h4}}`%RIL*GOH*lZ*Oe(cJuCP z?Rq5k*w(@>JUD9EH!G%TbLmN$)3jLtG8&mCAu5rXk_C4u7z9*as@j;_q{gSx$LP6-EyGea{#t`baXh<|8SYBrQR4}>1ZN5wbQG!^Ax z;WCt;KC8qaZiu0O$N{^pB07d#3W$?A-{ z)n&rMcVc40;A!7~U?*|7_wK#hZS4(?PS(~orYOU?y4XIF5DpFYh5x3sxZvoKy`!Uj zvGJiT4PyCj?e3T?HRRAD_Y&6hmf6S=1lbUjbgp6O0)EueQNF zLWfRK%QJk39=r<9}!Yrr6FG(8%c!?&qh-LLW2*pLT zQEEnM{S>NK@H}|I7F$*_`g7wb7xh;N|E^lI5SZ6{;FX(^i>56E7WFp@c{Ba-7O&aq z`C82I;BbCU{-Fbho$Q^&gvCm5CBR$94j(f&wcx$Qn~{o??<tSXJ0&5}lE^sS$1)=4F5fzQf_?u{cSEzZ(8$BvcO^%~3Gv?x^zy@G z=bt!uEW|fNL_oM8t3X2Z5qcgAW9vZg;Cp=YFPO8e=NzmZmw$`8UuQr7K^(lgV80N!y*aMl zurs&0#m%2 z!7IeqXRy1gzpHbcOq!dXEH1*1j*Of=d-f(b_uw$m-O~dA3%!Od+qXG7J7?!2kJUJx z&U)&UskwRo;2<){l}1xgdihX7TuxC=&rnB5Q~>E}RFe}D<$J5ABKtzZ-OFxzZtTRV zeZXFgt%N)b()z;k5eo;`g;7dRd~(>~qwJT@*oA|jBN@1}^T0F5?kX!J%@?5^@FIRgXjpg=EuJxzYTn+|ps zN{Y`!MFhsjktJnEsYBz_NRu9j&KPg$X>94Kr!z?H{dHAMxRl(muz0s@Tr^k?E*4Xk zQc;JgZ7!>8BGfhgFbb*=TSA{e3;rLJ_ueAe02!dF>UlRPjFEBU(_EGEMvb~oJ|fQ< zE&XV6Ij#iFiAMh(ZQHW*>o33i^rO#LulWA6kH0`K0AEruzniJ>kf__-d=SXZE`Ysl z%^heuP|+iCDKrcM0165Gk<&yCRV~(I2!EpjdP5t%;HW*jkSQ0aRxVq;a8A(D?^XbT z0nEW?%_qIn&TYG?V@}OKK1Xpg*ey(f#FLDecgTVu_1vWJX}2V@rlx+(qe2e@K$m{ za&2`Tz?qqe`6<@ZTQ+TlfUyBmM(1SZ(5Oh6-dNYjn3=06B_u?~Fs5d?E?sfAvN^G9 z59{uIVQ!uguAbDkuEOZJnV$YmOtF)?_Nn!oZy!IM;vFDzovXQ|yf8JZsu)ijrTDsf z!rAcHve+KFy87O?Bk-b1^#?$Ug%xoX*JKTm&vEr2uFo0ga+ zcK^XGj$0N+X54Hy?(^IZ_4K3<^aE@aXQodQ2N|<7_?&D=fy{l(R;VanZ)AoeQ)Ri? zb8y|=*TrMocALhw z-PpEmyGa_`P8zFmW81cE+sXTVe(%hk{C#I~&p!L?z1C;hffV(q{Y+Aq(085coOpY* zcYUe>D6Ay$ui)T(K_czIh$1TsjNcue+r>)T!E~Ok{Xf;mi3QHrK(NJU@5{Nzz0tb%EPGE{s6>;DSubJd^nM=|+}Sm=+xE-wIP(@Os)! z=LoQHl(92goQBI@L=6c$hz~y3;G~>Be3XECq1=2 zeoFe*_S&8-Re$LJh0fq9DB6dfz*%R86Pw`}Q2Kb|QlUpBF^LbtkXwhv^Ysto8)2ZE z(}dq28afFC?_v^!DRKDPv15l4l0Xd#ET?ZQTGaj}~Lm7$^pMR9)<#s51dp2OO zvLKq@9yfr0+1h%jfBZ@##yr=B`C@?okejYPTe|qLznVgOohhBpghQN=C4XcAA`ZIX zS9o&0Jy1@9K()NC5CqUpTl^B(VO=2S56+LzFL#lqgk4S9o+h+`_n_LLn({r>GWbO29vm-hZtBiiji!hQ9Nn`M(3X0*DQWtkitn z9NX;J$Ztgz#^C*VjdIp}#u3LIK~urR8kU_cZqFL{jlaLfgI~|zbL!w^ zWOiGpx=7vc`B43yx2xjH1D&jGm7QJt7MxRy`YA5PZ6v`92S>l>;J3^7n*t-&ZT(W} z3eR^zDLL`Y@#*!+S-pcIYI@=&rL9+;*I*!>vMKYa&ORlV%ds*4AKK;n``DSd8LrF>WZ?FhYEEk zM;+o467-w=d@_IzslB$QG&iRypUuq7gcKXqkA<1t<{}GFOpP_)*OF%{ca6RWhE6g{p9>kd&qepgFV^pG+$OyX~)$4 zB{Rv01X|3`CfTl;`CV)P(K1c?5i+c5TI1yE8(C9}oxbXl(%(vku?hL)c;C{ho7>%Q zi`i}LelM6~d_p<93GEN~YCiW&V=K->&o5l=S1TCk_+a4+3q`?eguWnnI+C)$g-e;ZuQ0H7|p?)tUOFG?2YoRW8^6VCLT^YB@SC2EbgJfb8~^maezJTQNM6uaUVa zJ(jUV#1Ak*n*v&t9H7H>n!->-@+NK<+^W{w(s-&OPFYce`KKaT8iGDaDI^J^zlJf3 z^GL%&4+;6ZO3x@WR?w1lKu{uWVhH<;#JrhDA@Ce z+xQ-uC^HtLs5B`uU7I=FMbeJOnA`cNQ6(dY(*%JAD{nn}d@cV(wlw+047Dw0-bwSP zHz%6T=Hg6fstee8$-aI;Rs)f#=>m`ptfm?KLf;S{0R6qYOGBeeW88+Paon$RE^fT$m1U&#?MZAdhvd^$_p9`{aF@ zNW{DV5g9QY1*$60#Tx!hUf>mji!i9x_za1pMwiEpjg9rm1>ktJBg#2hZ1n)19LOpok_{kF;WVC#W2Q z+hGqC9E{arwbo}RMQwpZVSJmdlpq{SdFpo*`(+$)A z?idLE2Bb6seJyuJD_(URD%atwxF&44q95?j?$#i`u=PcB1y)K`8CJjOe)bm?E$-Gt zS(;>d$otyXWJ&}6;{9Vr;|M*?s5?j3M;9kA`VY}-B!4EXAUfK#^qk96@pcc0(BSVB zl#*kk!p%h;7vWJ+=|#c%zx++vZk6_%M{x0o78hsqeo@)jS^NGVH8e8A!vOTaN(x@_ zaRillAJkNAUREi$g2Ig7`ICaqm~GK4ujBk)Nq87erfaiNh;m6bQQL7*&OcAE@9bY6 zGE+juUaK~m5$x7SsL-Y^&Vac;;IMcHWbD2^uFp<7Y=N7FaD(qa9`0AYqRpVC{Sgoe}Z|)PUyCI zKzbakO?ATb}~*#d)u@OaSWB;1};qPCOZ)`vqzuZt$buahKT1++)g4$R8&XA z<9mJ`{+R=WX#XKI6BmW@8qDOd%QS3U&g*OB2VvmBAF}V#LL*KT#mf`@E$;t#gKhCP z2fh99QzzmH>uVSVwlm$FnPItV{g-HS0x-hnLqQD{^p>VXA@!NorqgXsd(99Zo>o}< zor2(i>tKr#=YNBC+XpFP5W&yF$5cU50%5pZR9O~8go%L?;Z`^(J`f~ipxCA859u)g z<`Eqg8;GbZ0W}orO&O|<6Gfv2D^1M(dx=F4l9e{uv4v=n7GuFxnC7(Bp2;k0hLL?k z8V~Q-9`D@#9RHHAFb)9kmW^X0@Q!LW_a5d{C7g~vRVG=QE~q}2TY>RDUE${C!y)MF z!K#UuYB2KV1^&Y8%qP%SyjlBuyH&?rQ~sp@h$zNtOce$g#(TQos2Etj{O6oloO+yQ zoxAIl>c5KexMm8v`8n6FSe{|GLx1Ih`(ZSfY2jy-QOx@2VxIF|XJqCFfO_Q3Z2kCC zHP|W+=!Fc(!+P7ON$G1F$}meSipx{p4lB`gVDtX+{vg3QmeP`H?IMyw7V6b znpn*v`Bu+bqHs_ac&Z#+7&m-By!@I!%d=z$$SKaXI%0(Ka+iZ;kdshRa|>jW4h_+J zjmjg(JeONuwY^*MdHQ@r*pgXudE-L3H3{>2db_orW72|(ob`uDu;1w9&8vryDYmSR zftin1x)zTHk5`M=h(K~^^}oE%;77 zMC-qP)?tM3U9#O@*1*!)ScWCV=M&T9XJmvGEe+kI46%h3#Q14dg`vW5bkR3-5%6F7 zQA}usBEM$gMcLMrgpNxqiOwzXPi;bp?T!v*gSl2NC*?{s<-5!9@bS#d%&GR~bq={# zu<7-|T6a4q<`&k#T`*AzRUt~Ua{{p$p+bXrO{gKy*Ms5XUe4^h?5=G1+;&mkpM8S} ztrzLe&MjLiOf_R$XB z`Q~LQtLp12Mi__Hij4_^sPlY^xdniNyzZxOkh`VzmyUN|Xad26w|rhU23<2jy{1vM z1i%=+^R8&D`UAu-eZS8M!cK2^dX3CeZGD;w&?>qQyWqNA>z|-7-t;ukf{!1k59$oH zq<;qux+O&5bRK)TCS+(qQ3O7Yon839qu`$hi^Ak`c}}j+!-1VIadyY8N50zr=M|;k z#_;>-sU>9uVIWHuO-~=OCzpm=)R~!zXO;0bN%C0Weu>g8*QDD!T|=R_opKPCFqM$- z)*i4>U-^mM+17+PxlN2Ko|8eG1GX(zS}oQGnCA40{JR#c?9enB4R(hjoqF*_nw3-7n=* zS67z;cnDvTSs1{v2aK(a#b3q$4rzvii;|Rpdn1GVtkrKrD)Q8l;y!YfLsmydazna3 zUXCcD`F#~x+OE{$aG4)e37%!xw~Bby70SUxhEIdP3=iGfMgl&VJHqQ-RO<6`KJeIT zHn_h|7^7Wnasbid0j&)zXtnHgjqd6kQTDedqh$#!FYX)b+FWm}(%O&-mSsx$UAKQI zELB=_kZLI_dA@PSrpKJz_zr1TXfyAz1iy&-=za`b350Y&yAgy?YvHEUoOl=Vgz$@R z)%l$*<@)xe83JA;vCHsLDgKRG&yvgno@g2L{Vsb$n-Qm-mil8T6>y zwH^_7s{=03GP8?5fGs2Jz=1<^bovCLUFSbe6PaZ}Y54DK-ze!DdHwz5VB^%-isM$A zI5}9D5flf=y07ZUbUW7(VB^EW$8P=Vb~9k;d22#MVDtUZnabj$ruydfF*uM|g7o;% zw6dD6)9kT35b2p(Xhj_X(15&~d#VN2mNkOD0})=**TSy5+ln3^K@KlzF)5F7#jYGp zcRG6-4+rjXmj-sSru5MwK1QQo$;TKoiUF&Rg*b+ z#X?Yifr>K5tO+=(>mf&B`iF5rYyc5h9Ki^*=1Y9AipmHpj96D-Rzw zVZh&QqHTN>3>2UH>6s7j#}bNSjSS6{Z7GEzbV1!3cs@xD2OJ$a6Ag-UCr1ark6X+t zl+>&^1Z<5sZ4hFPd4VL9Ooxnz`*Ks74_=?Dtj_I=W31!D3(K?dKNI`k3r)o-<=xjIf`d^bbzl=^JD zw->xGo-2wa>iw_JA8ms=Kr@mbxZ67VS~_jvgWB0#LqX0}-vn;SFBvT#oK&?~1tPx{ zpA#^6J6c$Cy$EMg=#v)e?dhlIZw&tZ+sdSZe++8|COR9UzPE(;KVpSF+SmBIERf+i}%!b4tJiMwIyRCk-$K{7JJ>k zJ*FO^P1qY$Ob8t^>6t|Zjpvew;}7xcj3U`e?eHkwH%&-x&++)L4ybMaPGE(1 z>u@tEf%QOKB|zNH{mQ-Cx@NG(H_gU4E5OapEuNP+h;!i^)#xWq89yUu#wyE<_Z?Su zufCKam1uPN`1u&e)xx9D``5DS+N#3Fs?NgfeO2$&Fx?=iN3`6efV2bbF+9AlC!Lj| zf7Ny}@0-1By7*o11-}`s`hHNZp)+6XVJQIOVoT6 zz75BrSzOWYtn+LV9W^E3HfsR-DFx4>)wKn97l62ZS2E?<>J^2UDDC*It?`1_GYWHz z02&Jm!z(h#`|Z$nHN0mJ71?JrLB6-(KHRYSX{@bgamfOG64-aW`gK zdZYv!Dc6FkBIBGm9t*?wW4~n`yi5xrCpTMLYajJGrCYX>GCvmCZi{1;Mdp-H7Dm3= zH>imbore0ldu&Agyo%GOG_vyToT8v8Pxq^mG_9$vx-1X3M%_q;%r)s%>jsnKZ33L< zX>q$^Fs$%>TqnLwxYs>+r#nw?cLsb&4yYu)L2uiaKr#M^tNN7MqXkgt3o2`c_qzvU zM{wBkIq784h>eY^SVq)va4;=*6(Cen{HqTi89inuwkMLi2F8}KII|Z&3D2skZM##| zb{R1__pMJXYzQu|2KEn9wrfO!KDbs^aA?_SC@IOfrS=1GAS}?)vo|&<<#NuCPr){K zn@2270%cHxODjU(g2z&A2+t)(Ok{28Mc!+VTOujlFE(0D-@I0^ zV?D1NX1_~7NpSPFUohx!^$a>z73X0vN^2gZr!{v^^5HjLX}ND-KLVE&DLJ8 z*X{5`XkbLq!^=y!x_Z{yiqFN#0PcOTPk|oVc7{LtM7&&O|Hm;h^kOsuwHt!@K79qnP) z?LZA+l92`48Ec;eEtwy1;Rs042r*HxvEh+&KV{_P#^s|cCBrMCBgPP=Wng3E5n|-S zp^A z0MpBOY1U^3N>h7bpm;GUO-um?*?Ovgw{pG)ls0P(Nptv4T<+l)Z1jTq!WXMHES6{X zmyoKu8n}}Xh{xE(N@Z75?n#*R)yN*D>cQ~#C65mns$o2^Ww*7m04DK3OA;jN(Ko@U zZs|ituc@i!clb=@0WW;Nd*VzeYm2W|jemM#E;B**Wd?iB=ZT|P-C9=nxtc!qNzWf4 zzfaBdT(@q-BSO<)K3aA7*uR()(Vnk>o!fL{edVhB6A z?%sW2)OwW8GO(O~^L}+_bMFrpTfxgj{zta80O4HqgN*v2x3<9T@Vk`L@Y3wM0?Ygs zAMdm@p;!o|JKG{@*X6yaDN|J&kp5QOT3uhzP}|v(7sJY|qYD^Q3ls;-#EQP*5X2aKV~MDy6(uYU^tf6twI>5fSF{L1 zfV#L%o)a73`ui8!K;2e%1u;f?hN(wMK5hU+M+abFjFy*g$90e9?6WU8#w0U zF)}2R8*$Jd9ZNdO_(~-7K#5ghLGZu@cO`YlnKQFsK~Itdp&f;3=t55yXJwZO;MJ|v zH2_ql$BHCITO@m_TRh7DJoSU&@l0k%rhZ{7!%TH3v*mf&-GW;Iuay+&7a%Y51Vk^a zf(}YVNw}`Dm0o~Sfd2dBSYKOl`6JMDr(33DPw4}66luPM)3eJy!w40rmL z`XWMuvmIYWdvo^|N_pu!$EXpmi>1?>of-FLyQU?!SoD#s)Rn%EK>OM)TACl7zS(V@ z_?d*chWVx#7uYLmD}eb?DK6>E^kPxCH1;m`y3vhEaRJdC{`iMIg$ee-p!s#}mT+}F zW1E762qg=b@_m&CVqQ+HHVGH~M{@qF0&z=qqK2LpJ`q|bN@{swsgZ?6dEq@b9pBiN zzh6so;d5bsng>x#rfox*fL#@hjbuDiJ4Z7!x>83LAEwCxdOrFG??>%;gPKNcjW~n^ zz=TsxQ5A}4%516*)wl8Z8(HZrE?l0HVC6y$W?GW)lmrDUuE3ohT_kK1ub~!mR z=j9A7t!=ykV!qyF4Ck%GGTYKA8d?-j5_V1*1Oy~;Z&JF-c+znzyi-7m3Ma*&JR4WX zNy^o;Hg&c`K9lh(MV)P9YkqlfmXetJcs=ux_KYG(BcoWHSaD$efGu9UDsM6nG3qp> z$I0FICvFIuqVTfBd`Q2`v$njku%Ys>cL4nb^2GgW1FW^xZ_h}~R8gaOYeX5k%{!yn zUUzI<>K@r)iDMdv;1q|wp!dt-&o^-d~@&5ZSs}`3APFZhyR8 z;&$6UEJ9b?=v3-!%tX~BmGzsCnA)7$$5HlA>-N`b7kXko4i-_$CPZCckJY~QlkP#j-|e%`5>utr zrD3oUH%@o3Ol^=?w{SV!eleWC{^{y=dXO{V->i*z1~t`#r?)m34P?j*e4v5-S$lVf z0zqHpaktq(QnXcN>%`AGiXosG9gRmry>oI1=%3-=ZMahhWd9I$0N6-aQc}+ID#ll# zZvCL6#m_l#-4b&dz8gF=rlcwW83ToA20$CZ5x84 zDqR8TTp~~;^yZ-fuhVUyo{IEO=I>@jrfR&raP5?G4RVUEROe!2?U2X62@Csj0=+~; zHotTK*qoxQ*v)FPGi3}Rr;h~(^3y|SjzHyR+kakO{b_#$j~!~5>yds(I1MGY?^Yu# z=~OG47-nUxEKfl_Ja7nzeVK^9rVq$y4~S9EFAyZWZ#xAYrD78mrGL_x21w9{HaRAi zGK9f|uk9`^uZrR==Vu&$5)k45xSs4kG9-xVG63-;EE1+e$>XLI_t+v7`bAlCxQ<$& zih(ik1FZk|Z~f5`7TKKxct$ZkTSAh|+8y`Hc5|GAZjKm@}TiBGFd$)OCBz&$R)-@vo+ZJ_rD~LU#kc{W@$I}%T`yK*HZBnvr)v*qK!$v<-^)W2jaqe#v=rX=M7eA}d|X^f0-W=! z%SlP;%6`mfw_@M^2(ZRfj-dLqYh>p^1I1tg-<~|TiDoq-Vc#mmT zoF^7jUEmv+DQ)9m;N-HukF!flN6&1O5yR>u9zvc2=H=zJ&d0~y))w%Ox4k`%REnn& zIy4we-M7ibQb)MAr!Um~a?{qzuG8h^Hae3dG$fQxyJZ&`Oom6te(eHEk@v%jwB}== z7q~m%$PEpF_H8=1UYwH%683FyRWZ$x{4Md)ClM9%@V!I~H{A-{m8(_5=keRvY_h(_ zDvr-P?thDY64QaxYBm2aT`jM_K-Q2pozK+GJ)4p+2!haqJNC?2|t*qRUpJFwXej$DMZbMdQ z=vQofm4mc19z2()6rVNOlZyQCr$vPgkIB*daawG4=r^)WL0-tD%mOcT7}&|uwK`i< z`ej z9oV`Vz550w{Z50C$CiL;m)BJ@Gh2Y5_`-HGK zB<51iiItC*N%p3&FSqUdY(v~|gs4Ei|`{so6jTAy}xOGjf{4<9;NBu-I5 zQ@(Z3LP`G`78x`oIK|0EW&9A~nsVq?t#8TB;rjCW8xKzd_*+)qKJjx(UBQQM;;%u6 ziYH+^LUK47wPbsn}cr$fI4g{ zhc^|?-|2MOa=DR%G+GJZa;Z=1)6vrg5bLUo93f%rYYHj4E3b_&dpMiQ$|+eXs>!+A zeym?xpjS0BayODvR#zkVpDn}U66vuVsHbrjJBJ4lB#oaB@3eT`lhHvwL5ujuTJm4ydj>JAq8G|xJpJ2rw!O*5IrL-Qhf zy6G!Kii*1I_CU5-=>6thXBHu~r68Ufs z>NW|_^JH^<>#DM(lqI%UWOj^K3K{C%=DnhLGVH(#^0)N3`vbZWKJr?()kjK9b#ipo zVrUW(%)MnYTi{dcAh;q^*2)SYnq8(KTBpTFT>I=nP~es>8tLg`wHEiL{FV|{T_*x- z>B{sL)NE9@~|?l45wd3g!@&;g;x0;hKlD-x79*@3~b_dq58dUkzf3 zU^4NJnGcIii>6%{0nVv9931PdhMrl|<{A#OG*w3fdS3fVaN4EQEjS7M@OQc@D=hv}MqK9#VY ze}1OY=0>?a0I{jDO1LZtITajQG-pJcEmH>cb#2ZU#Zofi{e1B`A?(ZE7xSvpgwn{? zQ=NmL&n3%pb#KeBKR3nRg)K)cfnv{xoi;=mmvIZe=+^Tv;E|Q-kMM{3qu&}9- zt_2=y=v>>cXJjc<8?inXcyDvRbY^AqeINUAiIgz#C+c9oDHqb^UB%K3F?VZxFl0oF z1;-Ny+-*k0Z9q<#Kz-*UJ6`(y+>DyO@FO%zu7bDu5yzG-)z6Px?LrEMfg@t>e{<76 zwM8*J@Lrypo2v%tBwIWkDlsHJvWEf^B#P!rfG}}Q1K^)9=DPu2A)1XHlCn-Og9D&WOAd`C z;sBNbQFD~V=2ABYD5i#nnhj>Q9ww|9V{&p;sSNtTU!dJ*qX!iVEuo2&loVH6_5K61 zn1CEtIzmD%S5V=+&)i?}i$=KH8V{7=Ukkh}1~%%I<<&v!q!=Cm!%WkTXj@;|3v*<`tG~9Lj+e|0{vOQ* z?rWzHH66au^>C5IRu_Awd$12Mz-19D%aDJsRGrlfrTXL3+J`XNHn*N65~*ygGv*eC zX6#4AO?cEjtbUh|ucxAzy0*Nt@!AVZDv%$(XPnT+1O59_0C2H&OiqG_BA{D(_z-se z`srbkbzhIopsEr@JHA7sw&Z!Ia9jJB$-%#=7k6>kV7(F^2Cb4)vBYe&C;EJaafF4^ z_SRIjwZ5^atD`ZyI?c|;-(h`5zkKVk303$Rc3|Ah`@BK;mjJ8-Ovg5R0OI%XNB>Ff z4KAFmQIbwLl@+y+nI`)_MwIFj%WKHGDl2{Enh6Q%fHy??u&3KnRquX(ds06Dwvtt* z^29yy%_ii+Jt-P!9*ww7NjVG*3`}on5k9>7QC*sroCux>=J6LWu)b;ECINp+A~S<6;=^!p)QA8Ml87whmZT0e|$7$ApeHcrx_iP z2%V!U0MV;Q-vh)-ClY8;E9tfIJ4+D}D1P(+sYz{U9K~@1BEct&(?sI;FKoXAZh>|$ zA%BAQ=^dT0Llok28}*fB%w&eFHXK|cOyW$C2NYv)V;bj*tiJ>{q?hX_&S=QQD_U!& zg=D(5fU8{1w+#X8*+<7wjh_Mks8e<=u{R%Gq~JZ9&rYu$Di$D4*;|?D=qUVd=v-M5 zp`;AIKYzAShv#q%kdSC3rjzM;oPX?x?jM2SyJ@_!p{}YJAK2;~J}};+8h7oYsL=Q= zzkll4NDduitI;;HxSGd^?EV6_#-a&nEHbv6A7L(^oRAoYs%~VYl&%>jT@RFQBJP;K zgXozFqM6xx^@bny*i)o7Ie_ zPpZlDmp8DZyeT6xBM}JUtBsCr*+qQs(*Vpn0hvO7gi4>wxhx+>UtcdfF(GCML+DRa zk?)_D%C_Q8&TqzrwMDd4t~L2umzTcIrkKReV;T(hYVHZ_g>@C#?P?+MnP<|QR}$y zB+c1ewU1Q{3wKAv-TH>L1z?5Tg2l)RIv@wzp#%&QhqlymHboS{&!fE0!j~T*D(^DJVj~(+TF4@^W2q>a6vGX8Mr=3GEIi zQfBPVytK+8;@{uhFSWGSbX#3a@%WRuX3r`ZI@zaLey2`TI5T5YxVh8Q4}Wx3G>0{> zgs%XDBf*N*2ySO`cuQEz$FcJx`D|=#RR79nZfaIM$m+726F}S6B549Obl#s-*vy&C znokkiex9B&H=|@eE(hu{F1(j-;E}3dmoqe+CGU`VlE`A15*fXn#{j0#a#$c;M9~v zRYd!!PIljK1zWf{wQ(n_gHs8J5^*yzE{FqV$M}7>kE^81$V_o=-^B?N_@? zv-8Ak4EFm`YzvJkXaG_Mg=Kp#(FHaO6C)EBC+;E9thj|)oY|gFK{516I%=7u(9MXU zq`v>XYnc6yxSj<26w3Z@K;+nLLcLOAow!6C`)$-cm^TkHtmDe2o{6kQT&g|@{?P;} z^T;@sW|oB9iK!q8^S|8CGc#e8{i82gdP0S&8`vT2tCLp}vn3qO)Om~-4463%NvW&w@ML-q|nGMSS2ntz^dkKOJ z9~rKe${mgtc|XjwvI_BN&gFB0p`D)7y(l81I4mrQMnV)OA*FY-vukW>;vk2|ZJcsH zlJhXx2gLEgDqy-g>^7^-E-y}e;6cEc{;y^m`w!Or%&SgF<)!)G7zjv5dsmc?*Fs)u zA*l?rIoPQlfUu znXLcxzZHrmqcPSacoBUUk$ebHt+@4-pt%Q$!-I@X9d5Fr>@ec-tq_fIp=KY<9-6W$hm|(2T(fs0oz;M%|G%*B9pV`+k zUrALhF433lOUBFN&r>?sNMML{pL`ko12|gR#uu4pQNUy&^j^D zmzE(NDSv3T=kcAi=v614iGAm4-|zD}JT*aHmesZ0HhcV^%ZxLe^Bt!7{)XWZvx={C z@fUuqkTKsr z;aR+){GY$~1drAPK)9MZeVupfi{r+A!QA1d1^&rd`jSf1Pk!;A9IRxE9E=pCbh1C+ zccyVPAEMnnWd6lgR|jTg1ysdEX4835`ys{j-Xr9#`9Ni?+8i+q1WTl*CUq@L&!~AD zTN}bZLw22LE;=JE#%M3v+u8#`EElUCw(fEEC;}V;#$Z%db~;Ahw6~1ibM7%A^K(!< zt>qEYb9=id{W~+4c7yjax{{X8Vvy(gjG1j=x`ZlZVA`^P2cDDm7q%r1?o?`aGMf|` zA|kr==^5+4f9*bpYi9I%Ek66twQ0>7tZ=~#$=2%7q4D%rK=*ZYxxui1aJAl6@&5V% z>~G7ht?h1Z*RealbO65DnR;$VoGG6#!hjdKvhK=Jg7+i&Caa)ZOiHy1CcE8>-s=f@ z4S-ZE*b_!3T5)~^tB4yWR9h4QVClL#hh0VF5>K0l2Vqgdj?QjxF^Gp)Q0M2^T=sfx z4m&1*Z<(eDn|J*@<8*Xg$7afen1kcf68;1bzJ8e;&b@7$_WV@|8Z5gne5Rn}k&stM z9)XUX?nl0V3R1Uo>xmZ`e#VNM=2LVzI4KB?F*hG)xNP~;V9nkyIw(7HMBEK`ZM%RW2^R}81zT_}MIctTNVR5KzK51#y6%0bCtDe@w$j%1+o{^RV zO2Xs%N}HnqDi3*TR9j;1C+m&E!4dnbyW?dz|5LpIM6Uq6pbJ8;CX$;Q>HLA;gNhe? zKLdO=Ljcv;WodcXz}JGL{c0mPsII47r(enWTjRD&)- zXY#q$(bXN${LBJtA+?-izPuBFr0U)k6mDwfvb)ztW{Wv2$VA@25#AHuwqzH0$GTUc zl1tqW9Rlis*x*8L&hN#~MY}RF+tC4MNme&s%j{u`a*4XAvdTEUY@Tlwhnkaso-K4i zwqRKCLExKMkT8KrOG2rTnjO6-{i3dNE2=B&Y#`|2}E3t}Ar;+^N3Ne`BVI z@chxIFf=^4UJUp-B5?jx0auMT4YUucpOsIBf=M*LJhS=7*sHCtIo6*lVqw(?KwU>xxIC;x3?xo zL(QV@#%T3wzPr6kEW>c7kydtg{(+z<@d((neray{b;_HVkd&AHh44At-iHYl{MVSr zPs()?{2nP)>}<&=!lwLhiZC(=fGes)Ap>oYVq_JTEq7)IUcV`gh?Wo?axMFcX# z1UIue**m-~E2V@WdSjZ#^S(~r-&u#IW34 z5v1-UOx>;t0r{JGt`6TSvz_l_xZtPkXZNNEtmBA94kX=+nWy-vkMk|yH#E(8S1^1N zOdKzxhR^tHQe)Ac7f|x2^8g6s7(0xWl@lnJ=jV*nmEK%hB7dH`(>kYvaEaNvF8GKPi>nm&oUG zu(E;&&;havK@h!rCNFd8BZsfy>R;G4J%>HkP@oJ;%GQT#hMp22;y;kiHiGQVQ3Ok-qJoF82 z3qZCTLM2osKs&lnI>}#0x0=a%2FIkhi8`d^#R!Qzanlp``Ms?E6u4Oq zAoq}AD)QIZZxqx;-PuK5)Y4fIROSiPF-E61TeQP+|5 zCN|{!s3}m_QqeL?{Q~rBj3dEXz%syMyk4x?$Z1!3ukFzaq-{0!}EiH z&c8BI(X!Fefj+)bTb(=29*+M?D2L|~ub|9j95lQ|?xJbVRZUf|Bm1WKgwlN~^7afa zU{qVP?g{>*FV(&wt0d3=>bT!J2F(89>*$gZk}~pA>K?^$@kyJT)3Y3;9kSs&|NJ&V6N;=%TT3Vd( zi_S&_Fnse2-SQ_3V=0d*iyu{3WrU{)Iq&g$S z3oSWt7H9cwTdX+7I8v(FZX<*_@L?X82ER?!I!Htf_*S8K-&V#GER(OF_A?Hjld>u5FevOp`MkEc6dbaj@H5st>x*qzMjCwW*XzW zNAf%7_Co*wONt@nj+ziLa4c=QLYlg0^LeL#{HZPMXgG7zH}rV1Uh%1ATT_zzi^iAY zy?b$(mjx>wa$QaFDefufZgLJ(J2=Q~vGHt$od1mXOuY|bgiM=i(iNEcS^ zV~+M$A-;SM9dmsw0dk;KW|Ol|-=Oi|4#N_?7`Be7t+YFsO76)%jXQLG+-z3FzRih; z8(+W1dyoJzlH@c6ARgi}DpI#Mkzv=rb=#2KU6D0cImP??iw^c-!UZU1ERB?6gUEUT zG|b9Me2X6-GMDap7T*2**i69+!zwiIO!7tg>cxpy(bTGS?Q7f%l?#K50Qz9quIl8n zhM3|@nqYO)<+{s8A`wDzV?K0k_%#5fK?<4|F*^#plt}8q+u~qDqE%fxY;`fkOwkKW z1gqNTUF5xol1kIU7101_9VbT#CkMXm6CODdYD8oNTzoZlx3!fMGaVma0M`;4M2+kA zG^sCn-=<$70Mqz;Kfl7#i1dh3RaNQwbgxnGRteame2u}oesu#qoQ z1VEf!=lo>%iyfLWlxr~S+<8S_;OnU7gX=|^oYMD5I1Pk}<-7K)Ny#zyEi)W-~ zf(Lc?Rz4_S3nTgleTWNv0y7JJIL3;m0{a?s7z6leIFhJImsB`*KpiDI{L76EaKzx1 z4x=E(P$^U-)|FYeHkg8mE&4Aji9 zAh|rIkw+UV*MEnSY~U3B>n|$ql2IE{8{2V}4sQNryH31=iMvlQA86k=*VIFC^t9w! z0znzo5ZfNV^mllmNwqP>coe-L;n{9OQg##uIsDY(qOrlrr=SQK+s6FN%J!n&eQJiS zg5&KiH1zl2JyKNK+HxeX@Zjq+bM~-6LNXJpP;uDcz@Wk1T@JsWiLC|B?nO2RE*n3q zO`iS!)sC*tFL-2xt*$eWwQuae6+<++28hlCH|rAQr5TG}G$Ai!34sOLs+Ik=dnkrE zk2#+$AApPk2pK1mC&RldJ2QP9KV6xo=iYX7d+vrbDiNbws%lKXQ!KD_>;;~}ntGo6 zJV{SU`$dnd5+@+A3mw$w`t(p-ShT=C4-En7>gJxRuBxU+K9(3NCMrn6!ZS9v_6BHF z9MV!_r$k_3F43mXn^*QqorlMl2&J3H%c}-NuP$Rg`1+N zzr?h-pK`$J%;NRgd*It3knJYmaxW-Yb2Z7g)=L(I81+!4+vOAZ;NTF(u$rEhoDEip ziH?7GbhUGEU}IH|jdw_lidtGw0qTiFyxBMC*3-K#C?b2Zp1#*=zYx&jcCiek_l1A* z`0D_ZGyt&rEC?JG)$Ixp@gs79#T3~!rWa!V$~QM+jarul;JyL)b4Q7OLJw@w4u@R- zoI`t>oo+HB(RXp}6u(+t$9k+0eq=N26Q7=)v2buymkUQE7Z(G^gpnC{MXX?knVI?a z?#_5`FPvOpP6KgURaL*~KQRnGMD=pxpc_ba*;7hc4z^d5EQ^H+UVDNy&1sl#-!OHA zvU3#io9M}j1>~8H&^lhjr^PXoNsvUCYm|o5AZt$VU?O zuT-Uon;zJ47AAhZgrYZ?2mlSt|WczEWLH#isn+Y z&voQ#bF$M-jyu>?yrZM`bUM$y;Y_XG8b4I1m2lG2mfIJY4$)C=kznY{%6HL)v4VYm zyipX8Ffg&H-Ur}_id}87OwYCs3>FuZ!_Cl?Or2U<+yJtis~uxotL#W5pByurjT%6@ zb_C=_3Uvwr+hV|33pjL89v-guIvuS|er2U6)EhoPIfK`)Wi_2rTfOplXO^GQNW%nW zcND#(&4r>4X_087voJtYf>e8f<>mZ>4idGolap{(7Ls$5Hs%v$VPXD5aei`UYb${T zq)Jr;Sy4hv8^Ps^eG99Hg#ow8`?0vb==Hp;*m$-ya}V4K6*e}4V-95o&arfZv?(TN)cXw6$ligx#XXfqc?x#DZgwz=R+ixJWeh1UIh|$9OS9ti? z;$leVYhFM=g@0GNX8=T42O63mC{F_=W>xF71_WMPY7($&VLCtjJF6{@zICM_?f~ZI zCNjRi9gp872^E)L0*LA!BV($2l3BO;ugobnaFl97=W77msu z{P)Gk*eW;^p|F!!{e>S7llZ4B;42NVX30JF-N|vZMlSN27kEpW7{`I+9;#=1eCk0K#Y zQ@@k0T4=hXk>ai!s#c))m6DY1oNiPSRT;;=mV(O42m8{?@CCQ9kH6YUp(z}eo&8Ht zzjwaK$dflK-shZce0qjGI>sZz9ec@IsB%DDLBG31%>owY8f0XxOMscOrq(W}tkmL{ zGnm%!61%brFatwIcG8}{?c(BsED^O1uTySW#nIH_ zh`l^;Y=hK6L!ru0(;B6VmLUhkK#M#`BfN(J*=#nZIf@#-zZ{I z3nv{TfHhNB(Q;D>k1F$UHDp~uAXKXeIQIIe`%5lHGLfp!1$$S(rjilGr3dDNnqo)N%imfG3n z5Ff9ofu5aEQW-c(rP*$2V&@Bjioz#(;o)5HpT%1wWdQ(Ph>dnUHYlAE7VN^D^xsB2Ah+A!2{@<#0!?CnN zfi@dIlRq*#IzvlS+dt3`;s2FTCS|$K)q~482ha9|j?Xb)bnp z@6{9a`>noUH2$oEe&fiz_`fH8DB)_~78?c5LEnMphOv5mE5>;`Cx?=}i>q?k zY5evFin7`aAR%8sFcDFieu^a2URi}2Nn1_>={8Gd=ZVBtRa~)hGhAH!4ZMJfR)`o9 zOqQ373J(j%!f8cQ`!(X^+~9O=pOoYd0a`SSa=Y z!;+|Rcs1>&F?`LnuHHNa<|QP0eO~(-_lnf&XHislIV@;XPe%=5J4b}=)>y6r<|?#t zfmnf%NATInvNsgU`wuAHZ10yoFJqwNoc`ux=kb;54s1u+UVlG1Lqi*ig^UE886qlb z!+(H=HO+|Awkt`J(iEVdMa7xG)sMmlc-4R1ZtmVGZ%h#GWSoP~f~~_JZb_JOzWNoy z1s;I#pR59NJNWT_9VXlA4t5^#7$d1_8utnqi(Rv{5};EDwwXWik)>|V2z}D~!7uh~ z^#W$$;QzO-tOuf@r+B|9Ef4I04GtI^@usA9eSB$-z%nLy0Yt^3-%DjCBlv*s$zLEL zDt$CO0C*Xl82!+az0$&n>qFE1NibW^rN5*rdGpt>}vi zr=X}snfc3G>-lPh&gOFdO%z-!+#y|u1Dn%P_3?Q45C+6&|7bECz`D}93=uBR3gTCSV$g#nUZ-f%KxS;di2w4uD)wRh< zCu{36yS1-h>FrkPVx@C$TwL(YElO#>va6EEd*%gx|H4>NuUCFw@5c3G&Xuzs^z0E9 z#x$k&4WIbh^_mlKjXODm=)P8!SA1mH-h?nZpT{$l+bp+t5*ElI5xBBb7hZ7avNZ%Q@y+vb5%j!~$>%I5PU z&uxm=$}^)b5YQeBgZssg@kmGsplMO=(Ac>+>zU0k82QS_jV7Kr00sqZi#+mL@m)3O=&f@x6GPa z+c=n5q4k0?I%*5?Q%*`};sY`su}SS8KY3H>-FA{QbbwwTstLeQFhkq){2qxS2Wxs9TN_?pAIW$D9dx;?TK$84 zT(?PknpuHP94E;WmE@2n)@5weJviu00DSR7UjC=3sO%4RHV)OJE*J`%(}a=|DGZAw zR^pRgBO60Ov0U7J14)>kXffiwA~N|zQ;TW9{^7CY;gj{|nshf`y~6E#1c|M>)bY8r zOCtWtbS8r=6|=KlIWA`?ivm=1f0PjaO8+(P`Qx-<44ES1Z2e^}Ix>N>@@9<_I&^wQ z2ox0lax>DN2fSOe`-7e1a|^2$!yX^%Nr=pCBg_UJtRsQtQqSIu5HBMy9 z;X?J{12Gd*!#*fWQd)r9EsKMJf8!?AsGqu}#RKpmj~pLc^@)bMHgH*5w0m62N~`EJ zw>Lm4U}12ti~n1`jrVq^0tK<9Nz?~Fw%$p`8lB$*{^<^iz1kh!2hQ)VL+O@m$eQb$ ze{xtvPD@V@RGB(-CWsU#kA;VaSB%CGk^MOK-%>PiK)3unK49R>%+LT8PT!03>e{3K z`%g#TXKeky?%dX>sOe!nMVo(0Wo3G{SP>l--J2jQc>x)D-6wgFldXu1tr|LxRD1b?>@!OXC9-6{?@epdpBq}W)v@RV^>;b`Jt z{h~oIjvxGEL*N%ddPnC+KfEsa7uM}u1AI>Yed|AoNcs1Aks}<~Xm@^j-KHEPG~z+d z$9PLj0`dr1=HfA;=&sglHnhwEQN3MMw(y>`Iqd#UZQmRz24yguVo(?^PUxW6Ut>27 zWoM?O5@$X=WP$7K9=7?=AeF0?bz_<%F^8XA#8j8033SJa3FwaGP$In`UMwts#l*zq z$Hyrsr=oOP$&C!XU;;MWkMQv{+kA|Os}BC2Oz(_Vti{H1q&F7eVre(KV^%^rthbmL z*?6D)BcnGmLJ{lJ2UXuRHF-AL@@XvD>zXvOv);PgVr_LKXJ>h5pWCMR+AGU;+sJ1< zlsvRT?v=MyOo&H11^QDADSLN+OCF?XiDoQ~411p4%rh`7BKR*%eLv%SncPHgT&Utw z{ZT(Vhvw?MiS!5VFjb7leJqh9^HxqD#wPPy)*AWCuR!*HndvLBAHEen2KQDG`%RAb z@9}!^xa*e`K$W_3&dy=)?98dp8yA})-=fvAb0lNo8aXrp;&!T;f)(KrUrWow*~$>( zpqsrTEHq$^2=K_cKtulXw{g);--jS5zt-Cv{23RGhF}-7i3lIF{e6R&p3n)Z8elc4 zim5XMoyb{Pd)KQeApQbp4_;V@ z*KWozU&O%kje*|Cwx+SwC?lY5;`JL4B;jappO%%Cuh?IjaOv>puLI(tNWh1kylAqv zY_hj5^Wpd6x6Cv!Rjx#&4N54VK&{^EGMvAdHh|wScke%HF^>nXcEE=B6fs@zAbr`Gq|bQx>0^tV0r5 zP)=3vg1LT0QbfQ5mUGFHZ)UA**5TVh#zQs%_s-P_XNYrbeow;yzqQJsV#?qg`N|?pc?F$u8Hi~iO zz@;C+#Zb78cZ7m0(WhbeW@EC3pZ~p{Kvh_4@79S6WSe5~v(BgZB}9j>pbnxfLAY6m6w*5 zvnb6?N@|Rc&o1{Yi5B|Xs`vfjo0yWuF9j$+bvv!(l1^`JCio*)rQAuQ9xOmaBM+e1 z$tJhr(rNb6l3zT0Leaz^4SX zG@$hrha0(~wKQ1p`%=5Dh_98WrtFJK*npp5!J7YQP=TX0dVpRX!rO~~;$Oh=n8 zw_^4Myb2P~#7n|u`%co+^A*bL#QhCyY_RTPq&G*~E}fQO=QxLI&N3JHjAiNx<`(d> zx7zuYUBQ4%K>@8qOC)fVENtvrHGcV*C3u)eQCAfNTiq9)Ea-8w^U@st2dU5Xa-~lO zm@huLdX(viCNBT{zTUEvu)SNJVx{|IP}S2sr6@9u{xn{G70j>O0cz@lC*6&}u=GCg zh^*>2C{W1d&C`ZkYw@_XbacF8Q?q|~e6+Bz@V7N*ELmKGoKv#q&-_}iw=$Luw4ukDk1lXoUl%F#{6=lOA+p`F1uJl?atp>oWSSl2Xh zmm`|qxJ#|2iLA4QdZ*bm4ttRS`OK9Tr-5OY70sk@wTc^5MB+!j)Is93dhn%@03c8; zKaM0tzSu-0H#X+vcY+S;~*-veO3NjLR*n zG3pZU5M7+FzmsPPEZ?6kHW_b|Yj%#A?4hII~d;dX~-Rne7{6p{Maa_(PJZ zL&RD;Ev#RPKP2>aqob*rm)$X-{`w?35VY3pbtIZLb@ z90J}?&Od%6kkNAkJJ7}1d1Zl)NY!Lx_XS8g8}2SUCRI%=we;R`bjw9TRHb@iq4)rj zD&4&M2k`Nb(TIh?PcdrZi1=KmU2ZHK>`*~nI+TOah)6tM`q}k7k3VI}m>iv4Wuu}+ z{00c_r!g=$NDj7976Q6*%U`h`Obo0G+|QYy(0LZqAPd z*JI0E3fTf|<`<3h^A#4W?QQ<0K<#H=)$2289pe5lbC`ZlV!hJrv{th#GhhAwT3w3f zGPo43hf2VHsldA1rNYSAo+QC&wvJ%=+@!(=K4a= zWv6Kz^R)M%X)wp$NMM_9{(Pn5wsUxfmyFl{yfd4_yCFaDJT`u5!Udu}t}FOhOeUDb zyT^Mk%YY2zO}vdL2%WCh$VtSX&MGr+R5ryhvk?i{|J1eQMHVzpLkLM_cDxyt+KGFZ za~&j{GTf@gjQ7`Dy63pb=3i~}9_X7kt7B)+?;*!BlmgrTk|dRsmYkWM^?rM4PHlcH zV$?cYr8(82QOI<(clNsaar{yk?g9Vg_vdd%G#fk1)a-n{Tg`fnw@eQ;iW5?e+*srl zERPu|<7g=#N!~+YdpyM<-{)yJ6fS(<`}x&xzeNF;DQ&sqJsIYscfHLsyf@4#xx?Dk zF4B00KvHb#&h|E45>}nv`qm=bSiue+6uzs=$wG_oJLv9w+2Q@HK05?CO~`I9=rUhE zn}Nf>+e#Wm*U}r=19yW8BasOArN+_K<@Um7y%UMb5V;4IO%}E%XDv1I`|suaB+c2F z+BU}hGHq6LsUOB=m5pe+_W$$_fCMR?L*oa|gWpPnG%uXglzv`lpB8_nP`uQK<3CMDVD`vRU!*&X`tQ94MbV~-|-3hE&3Dc?JM{!^V!_w>Mnua%dLZ{hN#%i^nEh~$5bIl-0Q?n@gY^BxlC|auHe4*9sF^;O0 z%TA{?sKV&f(#p=vaQs(q-pR_tzfT6Hs1bGGzrSzLpo3Vt$!TP)#2IcK99(KCBw1V+ zetn#`8&AKS_$?L=%H(Nv8>kA%(0=irR#6rW?xqMun@ACSohelTMvhNsN~cRE^MSat zTBH4_F|9@>-IfB+>a35bL>^Vet!D4-Yu+Aut?sAi_|rHHS-uCKG$zld60fxy?9wjJ z>wvKvB7wK{7cr;ei42deo4=Dr5&`|=S$xfQ@8cG}3Yr}TyEphMoVJ?YPoqjuNmW@% zt)bI;zH$%u>!lLWMBtYlpZhZ+g$(XG?}2`)`2M%&3B3O>Kfqab$Bto2C2MSzO+G`E5 zRjA|04_owRgwH|)3aryyy6m1=`GuLySwjceKDTw-3WR)O`&nvAY5H@$____Y*G1#Q za^w8&4+oEI##2R;M&dlp+&;Ir>UF|`_7DHYy*(u&Nz4q55>*Y==h38%RApxaCA1kYvD+azh4hgYFN-9hsOnMl)l13Qq0{!41 z&OS~rY-saB44T+xwTpt$MAB)5C=M?1<8HAxjJH>&%_&iZ&90$^hxAe2BhrbDl%$;8 z7)u4Dt*y4EroOJ;?Cseu-PNXtoE#%A9!MPJwLcI(ZK(%BbRHySoQ|A@iy9z2J9RJnxrEl!W-W zHSyCAwrGjCn`GAgM!jQ_aD*(4OY7m_9#bQ}XT;x{nkHQQ2u#)_@rTkioP5WIlu^te z3$)3E1hjp`=q0SiTz!pbo{yQV=;OCYacjjkdLoQ>NH_R`-%n6T*Av7li`DZ`13y4W z2(LsGb|uruT2siWu_9i1FV2ax6R9jsjp5PSjDKX0)QE7sVAs1w0Nyni$QLAGX3XQ-e{NdQ{&UZISU&S(jBpY^_f16;@}I#phT=D{L^OG%1a~5r33Je zR>#|#_`u8`l-k^OXFZ;7&o@W@I?J4P4a^(@o=-Q4GRZ58p^uW=o2l`|tB%xK zo$eN-ddxhc=3FCw;vA6}TNs`l?_y%$@%!mS06YIND{D<5Tab{&a3}C1L7U(!)~u4Z zh{y)+9dvX+&v#zuGeyM|P@)WzPP4v+(VI!f1kgquY}j?BDswK_1ek~I8v@@0`mS$K zT-u*~Vyde@##pUEQs=8O-}kdSrlv9R#38n;SLNyxj6a#_1qI0|XU?O;Iy9D3-k5_% z6M21JzFN^^N-0U%s{M??J~*$eejZ)wCTEv)aj8&8@08I+g`B8%mcQuM&pd7H93Rr4 zOZDZcf2ck}t@8D5Zff!LaGDnGAQ_ft)9gR+8R56+GQYwrcddDjq>O%M_VE4W@-EGS zmzl%HDd!~r)FTF$Btv8ug;2NJ#Z0!6Yxlk0-GyIU8b{mX{>gjhoF+O$`A7Sxn9D7C zeoi04Aa2>HV1Cxno{N#@|)nHCjg@;f^Bq}AVo z>;KU6lbYZBK@XdBuu86k1}~;+k}S&z>S%L&kKd{!hfg8-yt_wm*V=DJGy@4wPH&G~ zw6VyJqdd8c^4AEc$QJGbm2Ipr_ z&p)c-9k%pT@(lC&e5ZyMhc+K3dyISuGgD(-?cdijmmBY%uaICbu5a;q3`LE>55w5> zfcJGwZp>6ZJ2fsPKu<1G0vNiYkchasx=3Snr^`M+J~^p3dp?8I-S`&EJJi$N(`C(usU-ml$+x*2IdlZE6#zK7*}WeMT;e{{ml5OgtJVz({qV=?O2ZTE7a zZjJh^nK>}ORx6TZJK+n zMvLE2;mM-{-g^i4C&LkCaU+AwqRlsB$aP>c@S(tbtH)#6RFzJPM;m#o_vv2#GunFi zA?1v6dB)2c=%TEEwa3ln-lLM-zBWa&Pv#`KsN^ISO){ z(ATpyQ1kuUcpIOLVa>wn@PndL0+Yi^F5Oejgt`mZMKyByl00^)ay?wic4?;rJ+4fv z*!G5=(fsCOQUWzWr#tVv&Cj-2zN~>~hYJNRCMOwH#balzV-edZIe1D#}bmOtM z6ii=30JEt}T^3W85Xm5`)sw6++IlW{<(2OP=&$gnjJ)k3(o>1(Z}KxJs~z4SXG{!6 zRfvIQ&oyh3JZH2*;tF;Ik0iizhDsI#HPh?+YD!4n~g<9?wHD-%{07* z^+?oAPi^Pt*^Dy0y%W&_I*DVO=Jo#Fyz0B ze{-;~o?ct57f{-2hrH?+0AO_9cBm!nOWUiOESUNDKU>rVXU`vo+LQN1u0 z($z4!Ag*Zj`X313=9U&u&o=dqL<~DfR;&dp^2Ro6PlibfW<{ncN)#KiQ=q{aGqR*u z`l$_NPs~V()j*XdTDBu0D1QmU3%3Z$Vl}&q;8CIZo6oxwQR=U8KQ16oLJ?>RWm9@- zj5mx_@BB8^Q7oH`U=sJeG_z1=Lc!xukjkXTt|N-WP#x?I6UWLv9xd02bu=h7@sr=T zt0p)+0sd}lscp?BT;0@CQ`c0@oRl_~jMs|3YI->mS-n{LR}?MXXQ-JAdi3AWi#)sE z$PPE4;6$QTm?Oe|$^MQU*zATtgK+P{O?l$6h_zCZjx7ch!hYeJ z?3qy&6!lS2_xC@5Jy%-11t|C!zcPH~41c$+m|7bN zV{sWEsw}!^Y%_or3sI)~IxIi*>Eb3h@Wdp&-I2CrGXn#Tl!1w#Kw=274ArSz91gJR~=m_q~rKBQ9 zK&0`S04_B#rWO;09p3GJf!tI?P);qEfLE)FhL3|cZVxtP*bN1IE-(^`k~Gg{EicG_ zN6SP+M9j@|)zrH9b#3nLZEkN%oHvkD6{Xr4;K}q`5gV;>J(DTqP8i*QBjlaLi|e(k z1@pB+Cv7|VZOXt)>{`bv)LUOsH&IoU-a#K9+@At1nrzgf&xD?UL$YMo$j$?UZ@wdg z-GOMu$$qd=D9VtK;P~=L=^`KyRv&0*cwO~7{}rAdADznMvUi@}^ca~#yIuTGyt;$> z?e?Jylbf@8IpUMQ8ozEOPNde)v;?9vf%A3ug%O*W5A#UT#FW!)TZk6O|3D%kw`X^> zsOxPuT(|y4i`^!eKI#7|RT?KeeJ@Wg*^Y!9Rw!5Cd>nXBexp*X=RbPF)mcydhWDe% zvzdn2L^BDfR=?cME?-zI*AQii^zcTOEo$Fq$sp*e9`1tUi+IZ*CH~rXHSo55K07zY(F?u+| zkJwH0*v(jm2y|#9lr6PCA#q#rQz~o)Vk>=tzkB;c;rxI4Y0NOT;NI#kn?PV`IPI521|GeKs~RDW;^fKebHN80zX0 zJgHffjRo4rX|O+2$J$T#($I*T712PE;rFE<8W(twfh`2KaFVK|G2px-NR-u$9EvLE z26EhBbxR623u!u3kKbW+|5a+a0RvRcHp{4ZUudGTF>rB_v1zj|1;&}vA|9h7zG3e1 zL{ILrOn^s?h@FyYCO4!=u2N_!1oi-{-H7OylFyL#hZ`iAOl7(Im^UYw7u6lZzVXV| zkXP;u56+V567OTwC{B4+S3fTzT^*p`bJ^t^nDY~x?aVnqrxe=$^@9xLWbcNnDUv9) zAB+g2d_5!e2l^lSBEyK(rfv^Zht4b5-5!y)OBAt)C(aeF+ht*#3VKcCpJ@!MG5PyWeEoGe;8}uKt)28 zks;%vr;lslYXuB2v2j_6!MPTG#nq0kLVQlg#dIdVF^wJd2+J{HjkIhuv~=>#hr3(y z8vcl$V<@uxn?q&H3LD#p`PKOfT;33`Yyb!jMYUndP~FK#DgB*vhejQVkVS!bt?u?w zHD~507RpIQ6Rxjb#wNixe#;Wk6@{IjgF9m7;_{o6(^pfBaT66KEkWAMZ+q`M*sMr zt4|`Ve_*P_tEgb8#9_uMS;_vA`N6@o@{R_{=?RTy(uH8bht^b<=b@eE!cw2|#{c>8 z(uAweQg#p`9SPbXh%842u=Xu7(GA#Ef z%2=q6P(l*L6?wDeC`p|;%_>sw)!cH{K)L9Z^qO$}TG?+tBfXsYr|lo_`f*c$qSiWJ z?mu52u5O%%m=>|d0?vI4`(izD*XE4R!tJOOtd9o-hwZAV4h|%2%PLZcxk{}5#|}$u z0A3WaLI!ez#J(KA4dM4rsC6jS?e15-E~I<+gAD_`FB$5E(gu#UY;Q)!Y1U}lfrb}T z-FA#yF!>v|3CXv0gz;A~re-EHwPxp5>eW5yIK#Id!b=L-uY$H-`|>(zTvoyJDIRm> z%Y%`6SNKMZx~u#+5al?w&*Ic3>>ODUW-MZ=Nc#+FY)-bW9;h}@Du_^`PhWP8b+CSn zaO;IwEEcH7fYe_LH9IVOUNo+oQ4+aB-r2t+=ypWPiW^ z4#n_Io{YliEsIrWk$T^H|NFDl2i?dc&Z4Y~u;?vn=zox6o~CzwZbhPsp7QWA$`yd+ z{WfYsGxET!>V4s1#;9wjKCA^MTT5Jzww4H%{}YU*7?dZ^FqAYn3_Gya8}NdQ=3k-t z>xTu{GrXX#ubdwz2C9k8(i)F0xa7fSntWvonb1Z=O7^Tf|>H7h2v3uUoiI6jsBVM@ke0uGeP`Lk{^O$3S^1WdBt{ zK4J2iy(w$2q6GDbdCwbvsqi5|!h`UG?$QYe4kU~x8%Wde6r%LAMj}zQhg!}Vr76Ul zE>#=;7Xk{+7aRu>!xsCet$;`#} zo9n@A7zV^{UBl)dbnB`y5$})=m;Ta!aZi05jF$Q=^;r4X}Jig z&T8g!m&J1`)lQ$TzzW)MjwU7ko{hm!-z;WMdeD{0;xWKtt1ISeBLb;QIS>av5~eNPx@k8GxL+cHSh)Ust<>~t9FhF{x)bHn~5Ku zRkz&je&EU<2PaG?Tb!?_`%mky%yk;Ia~7p5`nZLA<7w}nho;QDp+^_l z;{RB5O*A2z95$JFB70wyE!R4()tEH;Y)Z^Wf33UPkddV36W>j+vu1w=mpHUh*L^!a z=rZbfq~mc42StY*9av%F3s|?D#S{9lc9_3)NzrO-K{wcZgtom9$&b`&gH~aK zR-W#0bfN$v0*q^%8&r`M7%OvrfI5ELL+JjX(_$fp{ba!Tt*A~pE9UB}=CR0CPDhK^ z{1ZN3Ywb)oq@|Ef-A)4RYcIZHp=Mm6^Rv$7XPumAMW!U`l(f-cfa!wR2Q0J-YfTT| zo6P{+D;8tD0*j6eI#|#QC3?HkYQM+Q%D=YZpixY+#7gZ??;0T1+cZs*sx@2n{IJ~A zQgj~V4Y(FWROBJqksoP|#b|3^DPWB7I%UqAiBCkJKr?^YQms*%)oAvV9Kw?^ASFsW z9zVm~*J6j@b7IJn0BfZM1w^SVV84svqgK7$YK3a}$b9uZA+!13iTgz_Q@g}ao)n+! zd9_N1gE;DAwwvPFVI}Y{zVowe{#}7DhpWX^ z?xf47A_+6tv#}%FBW!wl=Z0zRQS(R6Nd~JAsetuhRIOa~oI}uUl3DK8ReS2>I7GW6w zH~-j8rGhYeCKett9!4r6_>Y{il?HY>ByR}c1gYsh)0UQtHvPMrf1-w~8#cxdH^e~% zvX6-Ugyl^?V-2Q&@47a~9T&cw2+W2e>GD|c4CZ*P(0?rkeri~89Jiwb3*u^=N5@YV z^*8&c(n=RwoEKZI;4<5?Te73mfaKuR`tW>udA~+DMJk-%0KYjF@y)2nj}b-y=3mMg z+v@((PB_{_&w;v}5D%_?Dia3JRakW#i5m zsihVX+6HDCU1d=mD3AhJ2tYQ72tbRh!ieYuie!p>C+dN&fO%zr=8tUCiR3>)en<*o z+B7(n!rv<3=Q(AAF$yl)gQqrq8Yl){L4{^wCi`TU{vh*kbrT&c3yUFi^jtu$ef!X! zr3=`VYFZ~(qUWnEhP_c( zdRyV9SA$_MHygB0ZLM7!){@_2_;hz-WhOO;{PqtUThoEBr0hUe)0kk&vCLwlkGcEi zWpp87G$o-TWhNtKX62yn<)AL0#M#n)5mX)nG%*4dZSY>2r_yc7Zq9c-@ z^q=mRZgsX9ekyW=&aFPoV@O@6J*}8BvzfkSlAU9V-gjwXFGo@aGODjU0+dt~+yXS* zY+RD0Q8-dErU>rqKfEuxvtd;4kpLqEzoXao5(@U)-*pynrjSd!MvtjJ2-J>?j7p=m z50rt}C-!HC0({z09ifd@1KZ?&(IXyk0~}U+zslRFH(ez7CU0OZx)L4eTfysKi2^hTy7;&et5RaxH8_IrUHeHuwXdiEUqF#J*6A$9^fUyxu#3sAO1z9cS zMTR!|&YFydR;kLUQyg2&*4+Y>$!x?P(^u94W6kkl(XNrnBIth=?i;r?vzSXjY!%}j zi=;-pS5x%PEFh6j^TC4#C;Lkkq6G&lNV%bOFJQq+B%h4u+SoMm+W-g1fo>VV(3GG~ zC}MY(b5=)1CE3P5$vHd(E>lhaDZTaoZxP1&)53vl7;PZTsTRhzDG9v^8=aqp7d|5C z{y32YQ74{G3%kSOr}1bskE1dX+!O0^yP>BmkXY5~ zL@Eeq4+$eWsUZEg&YQ2+MJ_xB$`<7`Rizg}*KeqD?$}}>KN`zp&o@N5RBtE!aEI{= zpi){oOCJrp%1=n1`ZiRh&K}zRaLb4L$2%0EK)lycnjGT)F}H8sdI-AF#Debj_XfK1 zAHJla5eq@yCAYKoUir|@Rv>Q2PnqS(gOqunq+pVh3Dnz(E^TJ8g z8ChDtu&(D8$b6?o6Kw6S18iBOKve7x6y5vt6(Q#hp~6?UjgFpY`iA9sTPB@9DSRg| zO5y#C33Cu7C*tV)?Tu_%l+od8>Abm*mFgd>(R<1fbaw(PXwnqEX*Msyop~v!2=L(eU7@iyxQj!R4#30uu3cYsJnBn%L2v*?Gz^g z-!bb91O=*}Df{5zazXIMx?y5!{lU%p2c%B2s_r62awB=oDNq7!Xc&Qn3rxG zl058#WlYRaZy7hUQJAD@QUDLC7ldy^1*BP9N^MIHT*XBi@2Gb$-hUJ)Er{fle65`SYaEP zB_aN}jsZSSZ^xYH%HbOGX$%l8-^DR;8Ibc&Fywtj6`7*Ot7Uw9QaNPk3#ZXg(5+ds zwTQgnC)ILlDZq48sw#ej^S}Sv_{P&DWq>6;HS73tPc;PYDH!%+O}1O2_p0>H(UyPA zN`EMbtjDYI@v?ZCVcFKvx_LSu7*tF0tnjqudUI#f=pPulldaoD51~z~JzsJQm;Wa+ z?3esQZ3?Go?jzm*eTmX8nab#I0OnO)qa1Z}ye~6HsX+Sy4k|^)>}6g<-tJ*;`U@2j zMt%G_R!qL+Gqr!_=ZN?%lcd8+Wq#e$g#~4L19j@hV}*4^bXf&_A+ck!)aFx)WWdp) zTpm#dqpNYK(U*iUB0n)o`?sQ^PPTB@6yiTrKC65jdF;}8yz0Wy!rkK0lE{Gmnu`?l zxVS>0v!`dtc5E2x&czFAS~Ph}JiDH_%W!L0or!P5T{&pma2&CqX{q>k#(B=_hOBYh zAo?p;t6H~m*)6A*OvT|@moiB9m+xg784xjJz6e2yR!6!U`G*elKurvdhQ6=+jx99X zaG|Kw&sF_gZZP4?s8XGtD;qI-7d&#ak+V7Skt?JzVXvAGT7LNV-y)fz%f}7C1s@;n zmOt@6^*Z0)xHzLYI|F4`dKLh^%sw-@jj94jCQ>BuV4lU+rt2Zfat;yYki)-c2Geq; zF7pJO3&v~a;V$`doRM4$oU7*Z=4~czv(ykcUI?5=eNtMzj(sCHCS7Zm?MQ9)iF2LPmBBM zI%YS^F_^&Hw7=2K6W$B$NZ@7`O?{i*!W+jUB!T>T8wURvRWC}Z$kicw_DW&>j^;gh>p(S_azRIjUXniTX#Ymu!`N~oA;nr-h`H6x0Z3AmU&mYS18vl zv0W;*T`+}Ru*$TU!f%!!tWN0N1bjv4H{tuQ`5Li>=ug{<{Y+LqP1IziOX&$ zUhn><%MC;WQJH>nRN$_zGny8c3qh?pf z*?RhL{FVho)31e_w0FK0_N;V5tK|GyR1*uks2+IAY) z+cQWXqzbz=QfE?BDQ*q?lG`dV*Gu=X*uX(9`?}}$oL=AXoI(w>7h-kaPD(&{U(}GV z@f6(QI^GO34L9hPQD+!i)>kT%D1TwfXsLkPy|Xw3h8Up~5oQ124h#64CfH zjBi*P2)dXwZ>8l{k^=i#KeJj|5iR&kcDCj=Qwg#1T9KoVm!9tRe}n8DqZupsVEU9z{_X8h(( zP%qR3WYkL$*9KXu-MkNgA%;Eexc|2TC)43UJJsi+CsZRrH5D@_mqz_u71N&yhY*G949$GDUiXz{=Eyd$5X%N z>5aExVs?7aeWyH&yxDj7=UaN?Y*u4e-I?h%Cm|zWb^Xbo(Yzkz_&p~Q&h+b?9_1d> z5gTwh|1ra4u|Ac0YImXEYXQ2QQRAA9dp+2Ow_$Vkf4F+9fT+Ij50pkJ=?>{;2x;k% z?v9~Bx}>|iyQRBDYAESeq;rt&0VGAZ$M5fd@56oL?J)c7v-et`TKh61vOjyYiq%s9 zRbk0F!*p(uNKi@_HM!h?qSVg#X9=->>J(0P!!K4|nICV(+`B!`e-sReN;8$GwT&B zYClpCKMW&`hpmzReY`C#919R?KanI#r?}mLh52D=1ev_)^M&}jz$oscb9_Bd=9PD5 zq>g2^qOq|Q@oL3h?(wj8W5(_`YvIl8U>b_`+`d1d9(nFPc+?k?yl=Ai91d_#iOkFF z{SSmb1S0m5M%U1~j_3SizqWb@>%5Q=Og-$@NYn?6liBZqzVZtXe3Vk&(_J=BA3N|( zk-R0-sehww2YVEYMr?FUgd{9N9I+s0{+l{)Hm!p;b%HjnbvJ!cH_gd5%^5cpiqHn) zVs)e1-6+h^wM7;d9-dAbg}g2nTQ4dVXhLYYm@~Sl9ri8Ld|=nt5ecLzG8Z17FcK+6 zhR@l4{6bb7t@$L%a&u5-yVQ_ub_EzcwJ8pCDIq#+;ObLxtp%W>+~}RKj^?(WZsDbk zd|v(RiDMPbw38yfqf8pgQbN8%HM}IzXOId6uvqdzAHHg_U}x-5FQq} zVV_RGQ|y&Ycl()6!ov&4|K0fr-g^fRzpTu&51-cIW@`0Fy_{VeylCceC5+c)FXc3~ z9$>ZDX&5X*Z2Ozje@vMHIF+o-9DwnvN;ni%`V#vT{bmErUiPU#tBoMa53Q}*zc3f&@@ z)4PGM<3psY<#eW5AvXp$#-`A0WL#UE*aEAe)bVQV+PaK;+-?btk9vv`Mj9tKBv$`H za9P;)7+;%4ewHaGh#+s@I&+?al=MfJNB91;!JM+THawVUCPkjZ$+%Aw1>(k|FzusG z;{BKN<5*`JU0gnI0H5t%Di08VQq}WgWNJ_PODyg+M)_n_pmQO*cB|V`*1H zHQ57h(`!ME>ZuPC^ZN@APW@i%))_7BEu-7-@-z|~8MV~}$9oSM5SY5Tw}~JBsvYZ` z{9UpSUV?1{Wuqb+K00#A@A>%o-TC`vB#0Oqu6CQH&6!$SKRVljYnW#NyC>u((}Qn? zcWrmsE==k=W05`6aIvUT)A{_GaFRW@p{b^Oz2)Yca2b?-V&|D%=L8GbXw_(ij5GNT z%kl9i?>(M>JL8S8>o;Q*E>BHyrH{S9Eb-E@^g~?Is~t@VM+7 zP);6^AM0W@XguAFs{(U!d2U zIY8osfbJd(3W%ty`d^)^ef=8^xB`N5%@9Z!W;Pqxtb2f&)=rBhu5pJ=#9c9UY=Rsz zIl>Y@8D2!5Dse=_j$~{WsttfmnlIp_aVBo`#~#L*V5-NWcjvu_oh z7YuBN46P;&&%PNRjTjzH7~0Mn9`ze;&lqkG7#8EiH7=|5?0E>GQ9%{OZj zeW*m6xXCRx6T=eo2`B4~WUB)-VO0vfj3ysHL`u6gOwbc1XCeE`^K0*^hcW1>E3((< z!hwQJ&>`!;O0eC3xImnL`R=BA081~80snm^I6 z(L-QpIS5dCLP9eheqmiLrkWDkxtWof;pY8*_=&kjUE|}fTh}#@$ofUYuV9-E>jIy( zo369PlXgSUa?>`y;%0ays;- z`e1gigS!Y_pc}L#O;PBt-B)6+%QVnxgadPPQ6SSRsjzi-jRWw2uwwVfP44ODSK%SC zcYHK6-JbPmwG(uEJ)&1VPniq>2~+)Gfg`7Re(msM)z`C}_|uD>5;$v0?Y8{=zdaU1rel zL^%Kc*|b@$ELmFt%!>i}Of&z37=;8SP~8S+d;Hg5Ev|kN&uXPj?JNCXd2@}WvTe3Y z9Ph7&hhL&twS-cr+U!=lWOfKkqmuae>0<6h)NckRR~o)G$o|{DKLLG~g6cFAB6yfZ z_$T%6!~t|Pbc`3Pv8GIAgOJ$dgQ*-rqC()F>+&2nAcbRL!M;13yPp5?b5B&8@t>;j zcd7HEIvsHXRwGAuWu02W@4H{3yZ)bEe(;<8u5@bK4Eomx+b|V{rcC1gGS^7Ob!%;v ziIA+;V;h@9we|5yjzsO(arpFZ9S+p#7CY-!;{(;E$N+EMOouIv(xjSiebA<>|gyp_gS8l8U*BM)GWHlNK**dD|occHqvsU_@a(74{rx@@WT$sCvWau$XDJi1*(KFJ0gN`Z8 z!g;uM*QS#~)Pi=NwQDPwz6&S2_s9_h1~vR!(n4TKdF3Z?e%l2&`~y8Mxqr%IGmokH z&eYQYuL*}hd*iSi1>{-PW5BC`GXN?ajZ@h8RlfM4Bnb_0mwW`8HtqV?T0B4J<}}89 zc`uur%?7C5s^3v_P@;Tr@=TmVLq`Ml@*(w}_H{pN<3z(ktQO?c_ch(X-eC9Yn|)~p zNj7%80L>ZX3}$;ZOm&h236!O*TC!RIKgeYSHt7u)a6Z^0#Xx0Z<6FP2M3sX7dWM}8+X|{;g8Z?%o`4JR}1denje`7!U>b%Nje%RPrF6wgCATsQ4zwGCntaD01h(-+y}>dle!$nT`uQOojf+Dj%R3Y*BCc9K-A*oPP+CqVxv;zk>H)yNzlxl zajD>Q_7PVtl9=FSozmVwt?_{;#P^hOtj&8v?9AH1Z0O9Jp536u`=Ley-GJ5{pbU;T zzdC-nI~Q3=yVR*Sz{A61B-C9;;Qy7C0JH#{4)wq=RaZxEEzE>@kZ72?(*}W{y*=h9W$|^ecmJyhwKMw14BKqP>_V}=Z0&W zS(bsxq3>f;L*c@)XxfC3V29!I{SaM*G27>9p;oG|E2e)}0+*alFeAyE-ftVJtu)lfMG5*7aJK5f!_A`iMVOxJ>~4$Ui|q=BaF|jcb>nT*JM1?dQET2| z8q@tI=~+h~kFf6T-nOf&YzTRf0iZj5>nS82s77oBVKx6s@Zw{SR|itnmIswiHExlr&}Q4N$z=dAM=w@<=af5sPcd!4GV&) zm5m#_=o}4md{?y{u{X6zjCHm(`a2(Vj1#A;ZFWzm8xzTcFzN!nY^==+$9#i&*Sq@F z_W8LVzxb9>Se|?zeFEpM@s1JOKK|qu2#tppzO$NJ#XONsAPFLpr zhbuca083P7)M5u9E|@3p{uL@M#>d_8TYuXxU_j@u->35zXhzF#V9e_Pz>;@&@tzbe z7A>J7L3=QWRDzMsCJ1|G~E^LuLiCor9C7mKx$ z6a|bM8Dp9qiC%O~sDI5Db#=>dx%hak)7`dl^swSN*{EZ$B5Z9&IgH$(1lOpz1k$*B zU_D@R26XFwx9lV;^PlCz-cysj74vx1!hhg*-bx)SyC$4^aX&VB7p%eN&3?c~ydMYZ z^?gjo_}lAu2R@jgr$c>>E~DFM^yg|aaQ$m+@1I-Lr;$;*+r!1UM{#8`-uACeGK)K> z{runQ{}u-`x+F;|k>;3x8-K-*jbTirvokXY%pFY|B;L=W9%Lc_B(~V(?ic%ipXx&0 z8E0`k&3Nq}PYa8FPfXJBzj}27lHf0>xUq1p7s;AG_lW&_hy-lTa4|iri)W)d9?sSS$-7FPrSN4=USw*oLxh`w5f$ z8M??`{#x(P&%bXKLBBR7Hdt5ie@8D-sIG>;#?8RVF)~M# zf7bDf)e8U>_CsCV-NKR*Ggan0oHnZ7-j-PXDsuTQURAj@R z&hFIvG=thiKf*(H%{wvnnx$Eo=^ZB08E|9j>m6|lRYDAmVZNF`okTFh>9K!unc4kS zFlXA(deYE(#?V^FCP#jB!Fq$}L`9gwF6Ai{UWP){Ht8E>&Bo_No4=0;ozSD*{pC{C zaWZhby0I>Z`{=`&*plMQzT9Xyvj#6>F(#8V3H{}>#tg#lL5qn}lTxwJ~W4oqiQpCsLg1RhhE zyHs#BWa;v}A=>0MN~wv6*Zg^GbxJ`s@6UUmr|d2M#x?1U4Ph@HZ}5@O zmVEPg^w8W8+WBq=e!1ULrZL%)11W4lTuXrUUV(I0No41HRHp7!ABY zYV;zjbv@!;&OMbZ#X+&O=DEH<@7is9P~Ww|C-(Ab=b94zeDgKU33o3{bJVG)+wT1j z*zfDp`TCt^p3y5AA2iwX{&we$VuD*je4M6_^N`|Z`r12o~_x@@$v`rQVAMdRC zKv1=X)6Uj(sK=j~GaT9u`Bh30-}xppspATS2rCc`JB*24K)QmH*%C&j9xOB zG0>7jnO=!@tTs?|w-n1g%K_?mUu?oxPYs##iU9YYa+uMNexVLGIK-)ha-d#EmemLV zXC;f%1|0c3MQcozz+XO{zT_gG$~4y!^L6RD=Xhl~LRhG-dN$TP-Fq>@AUMyASVf=n z02Om2*@Boi4Z3o4!zTkcprwF^;AW#aPfX;g>iiobRH^eL05ec*|zKknl6 zcxUhAVuuP!hK-MHv?>{jAi0%GeZ^f_yBZpbfDne@lAz+bDi9On_|3X+{!n6W%W(FufqQJUu%(J-V?mn!xMjEC!+q zqAC*4Zg)D!=M( zsy9Qh_0vQCX+hmoy;8!|y9+V577srDcXzIL$Rgn=;re+iL*b*jhk2{nYqAlt0I;xX zxPtnCG7utER8%RpJT^T};rD>`Bz_?t)EI2qhb^oqOde+%JrQ@Y2aLn;4rL78#?~SS z=37fXNx2dVVAmIZ6JA zPJA|z_=Sybfo_C&^T8D0;qC$EW}DQ7=w2o=n%Kpx!%dvnJW|Z*EGClBbK8hh%gpuo zD)MBg6JT?JCIXUoGDgs!Seq}wrtNZ!!@J>o|M?KEQ(_vH4 z>Iwh2aq$l*SXav%e_}Hl7OnA(;WEBN$1F~_ZU54jw#J7Yd?Bv!h?1pK7;Knf#Ke&1 zk&zOrE@z2*t~tlDcQl^yXbWh8^2aSI4a$o&#aBpH1oZ`xJCT8+c>MJ^OkPnwDoL8I zSov3(qRO}EE1CW*fFPMa1E5p72#p-INMmtKQdMgOLQmI#hEw%dv}4TSj5$X&0zn*o zi)?43NMD)_EqVB8664dv#Yx(I-;{ilmw&2jieZ(|5@22zK&nK1{N4&pPSvNhwA@5R z!bYb9v_acPl&9Y)T+fMKBgsBXAPw_tTcpMmo2!j5r0VaC-~a`0xhNeBt@d_x(5|ONYd}$JtbvxsRW7)hVSn0e>Wx&?juQ$ixZWO5gr;g145IN zluLyV!Jv0g(K`LyfkKOfqDpeP%eNPv%!Mi(znS|**kHddXN`wi@S;-kzX7IsEK9%yaI z8lRK1MxU+Bf{jXaY$NxLDWL9SaUj(_5t&CHP-M&8w>;1m=y(P^KAOl-`m`~GzY{8v zWhjkapY1}4*g%YZfJd)Fts(>-VhYTl(}OW$z2*i{^LlFpep6Q{r9(o6y~5GZqIqU} z^F!GMx8z$0c|SFgg%4I`uqrbQAx&Oh>n+Ru_d&DYG`7T~f}XC*R_QM+NR8$pIaNVE z0DQw@$@X89twjMSS3tb_UwXH{?tT%@(HB}=v>~a8zP9qXLwV47EU0#z)Cqd#idi!) zM*`XSRa#@_MPH%c6dv(a8LKi#?rT?nt=r;uC6BQ=i*4&?KGp|E=lj!4kAxr7@MUaa zRNoZV=CXtPLFn#Rwh_mlZ~u;4x?7`S>4E!rSh}w9NAxT#WCwTH@ zBo7=wo0Fc*^i!=g0UVyNTizdsKtfaYgmgF%!l{i)PiF@k2vJat)fd1{`7U7Q@?8@e zzl_ZP4UZuW8#XaL7V+l)eb?_W%xQhd&v@8TK)61FFv+%s`F^F9;{SkRK-Hm*3W>*1 zsCPx!jE(na!tGLwotPPLzhJmyzUxjl+TPzaiW>zeOWP(B;P$J#rLA`==;-wB`gB-# z1A9$w+Q`GGl0>R=q%aCFo$RFTYAS};HwPvc%SK=0OA%}X7Ei8$a>VijZ4jlP`>~Q! zl)*($&1*1j%+&f6E*7(4lMWF?P31K)Hw6<$1Y;n&9u@w)(Swo5%8FjMK*sM+8pw~R z=gVCd=zHI`2pi(o z;x-XXbo9*V@r3ppdRtp}dGj(K*MgwEu(QBwQlNPfmfSL|$dPva@RY-2qf+?ZXZ4Hi z^}(!{hX)=JDB_6)8X+XN=8+4T-iJ&xK&A=X_yw-{x?t8~A6wR7O35^d==7$$aGY8? zvH02{SrKuX)#KfWPfvV~%doTlke`*$(>?@>n859{fC^GD#)rUakwDrN#j-J|mQow0 zq-f&vXb z$z}k0_LZBSRjle?P7)wl0wFctFNbp*CuqyiC&Qa$9wVvG;4Gx#oaBKr%|IFoheb_cjT7k`C6ao({7*zTy1tqGR>Z^}JMDHb0|6VH9P+;W z|Ea?M_4djt3w{k=ceg#nCSR24D3Y*Uj5}*0ee5bvj`c8_r$Q7Nf1NaFxNSY60GWxDVULK2OG02AR0J+Yn`ZJE5OJx0qAP z$^PjxBE}O#MlurRc&DMg0F}ARN+pGCh5&EmOU;0yVB04jplxSwoA`GP2Vm3ykB{T& zrSUfQvRQo3-f>FPxo6}(8`60;M&3TvM2k+3SI+fIi@OyGpYqz96OR*hNfTb4%k6_- z?RV24d7O3{YvS}2^zfLdbl-Vp;wD~Yjf_!7&z+yOOpFf0e7N2{&QMN9ScGZ zJV$4G?j%^Z-2Fo1b*x;a8TlU{+GTVoGHOR{hm0bfnE1qq^8ea#Sr z5ln&lNBO^xGLDV1E|2mouknQQ3PAC8cPI{bD4;uM7dtx_JBrh@YfDROOKX#(YipxF zf1;;Lg$L`4sJ~LknT$i>Tabo)+IvT_drB?dPD+hY()!2dntQ+DFf~NnB!h2 zY5)E7UX+D$eIL+`5E7qTp48dFc7>qyIDYFA+$8!3y`ehfCZ=fec zmVFqQ7`n}^fDq>cLopXur(_+Sztz06eSJ1v}h~b*SwutHOb><>z6eeoTnTz z!=2-XL^C02)U_3nj9T@rp@Ra05v;^UqV^q0?dER7lR%JqU1G{tl{W1)*bqw^cRaW9 z4@>PEbvy0q=wGuX8HralD%n|h0U+1`qGjJjh4)FteD_!;peh~2Ui&A+-KbJR#`tOX za5J)YcGA#xP=5ohzdfjj?XiEU?fGsRp-$OtsfI$!pB8Zu^Sx;3{>+@aF!jeR(r_|j z#v#!5K;QH{;qxgWIWd+o>blzs2$YUCHWpxbSA|zH&y+VZRCF7M=M7 zuW34tkK7~$yPhB*HP`J8a8fbIFizm);++5^^-a7k)M%EbNp*QD{o)m6Qo|THteM$) z%WvQ4rYx{;RX?S_pcA3Z{$45eQo(PV+OB6-VsY(K%m-QeH=>U+(z%L`X0W~qY!da+$3a(;N$+OSIpEVvu=zK#VSI~x+OsVqm1Yv zJ|TB#XbWDIq=s&y<$sCxgfa&F&Zg}(z3o~{!(XToUf6Fw>(a3 zT7HlUjh|tXnEJV~vG()l=%jG}Ea>~z*6bSU{*2en6)ZX_0f=@XKz9Xa;J+v&K^^62 z%u-Y5aDQo*(CmaF4Cdz>)z_UWclegp8V#$eV{=+@s4{>?K)0s1 zHk=2V8;QtY+y;(B(Lp!hPvv_<0oM%zLI-$kwY~Q<90MT|EHUYP2>t5$ZgDU5Q~2D1 zZ{y=sw3q*Ez8cc|8dDozZ3wXo=EC6SKK9lJvVLqTDp-bUPI73F6$3;bwg*xkXO%9D zdMZ6$ViKy$dm=KzGRjNa%6l>*3h1XMX6CxS42;fAE@)>LQQ?tLr!}>uyiusWtbPW= zH(LKN<3f8sJlD_6oDPWS#$B14hO*0maP;Nqj%=77QX*PHLn3u5FyE0huf~6U#WSGI zt|9dA@|6q??R9c>Ad`3sdL#4n-x`x&Ro0}OLt$HXu|V5c5np5m@ck=*3>id6sK~=D zx05byHU7BUd9^2#7d+mk>C=Nin-!ky@FfU!mhTS~sT$Hie>=J8`!T0sO$*-!ZQS27v zGX>E9)>l-IypZar88}OQ`&RN@tp%w>O`2s5gaXR#pU^F_;6Vo$TTgqrp<|-4jI-ktW9>?#?UlOAwnl0xhtKy2BVm|L=rtF8qJEVQRgu9U58 zh*kisiT`zi?3az9JX<{bvWs00s6|sBbOP8)I%^QmtcJbZ-e97E#t4`sY7AtDySBVw z8p$M@%F6W}%E0o0aUl3~%7%G)$@!_lL~aUN4H2upcZbjB&VmST zNNljmZJc0b<6K{viC8lwAi*8yWof#RNEZRN`RT1aGhb*yg&F{%)m&bAx*r%}gDL3! zdQiMW!mCRC4?KJ|P##c^bRHJvRFZc$m zET{ht>*4kufK`T8TQ)DKA+v+)W{O0*J#TUsSaoOa|6IiEFhac^=Bz4J^C3QGLM!zc zMrVW|b?T#60g9*E*_sdie1f!THHHvT!X9(3sRkh{E9)tSkGoCg!CBEbn|!{~cdaW; zl9>)8_@d*A9qHH)atiw+h*3QqekQ6ff(O2S<|e)igbdnN6_(@SY|mP%R{gAi=mt<0 zbHD3ItwTo6C{57(C|)fy4)nO|Fo$cMKZ^#v^I~5575)X(h$@8RfV! ztzfg#r)OR~HrD@rd{csixB?AqiJ(1CtBRe$^hT0dKiF; za2;WW5T&}NL^F5UU3CcLg{tz=N*8GJQ=97Qpy{!s)gM6gx*ogoPaI=e#6TUKwm)6l@qOma>n5-lBzB&C7NE6WU%JZ{ z2)TJ$9k%aa$EODD6D5AEnh#uHCJ*3x3o&7e)mmX)1|by!x)5?>iQzqEeOM|N;CZe) zp+_oY<%vM@pTH0K_p!LSvn<5@1%}4HH3V8%i#!!zxaG`Q*OQ2q(9`y<%cZnigj7yb z8>J{RUyqTqMYXho)@`jUGtbpQ%IUcM_Dk{BoAQ8^W*k?*lu<6R`5CsDFX|Z?Of+c* z=m`{}RZQ>vA>6$5@1<^Vq4XM@@;NK7k4T!wnSaE@G-VGBEr*v4rGHs}FChUgu4<~{ zG|>}9uB!1Ciopf&!x`fqa}OQgMS$F@P%Y@S+)f2#wc5Z0d&8E~Z=L7@mNygH6a7tB z#tA)LcajQSt~V$fN{aHM)=r+31Ki{qDn5c`vK)l5R;Tf#T9WVs4CE2^lYjwz6WLLV zmHw8SbiUza=Y0G@e4;y($Bo&d0Re11LTIm3|G}-#?Q(T|$40=U%`SWs!<+e)H+8*j z2F7e?EU_(l+Q*@xV;g$!7`pP@l$!560iK7;c{*8G1xYVnY>Xnpf*h}PwBD9%`N*Rw z<`%*>Q#8Wa1wX1%!A@GiMU2A?X)_Ij#8BAO3K4)%R%>GNU0LTuxuo%Z#J&TD#*`_lJvVGX5RzV5?~Vm%_i%^|qxIHmZdZ_0->&UdE-A@57NJ zpyG2uXz&oa_)huP-pKbT1tK23wx#>m2X1E#b_>KAph(O@lEaTj0NYkFgEk7}Wq*!p z(R9^;1LMk4FZnfmQ{oQRWuT3*!3`k(p*S^JUhn*^bF<2T-~L8=hl{-ANisq&(uB^# ztkCqKq#W~P{$ja8q^VAQL-w7Yaku#ykdC2BA+pgT&kA`vO~$(fsjPNAFavzBD`N`P zlCV%FzhWfG{HiR&9D}TKj>EPAbevEe3FAFR#DB*p&ct-}?|5ow1PEQk+(gmdP1wbY32QIH6!^2AYX*^MDz=v-`JEYjz* zp-bi0S#a{=Ob&oOqXyU(=;ue8m9r0CShpyTs5ChJR8I9D3|v4aT?prg(H}c5(fOO| zE-H)YhZ*UI=x_hDP$6@F-zEy^6W@j9KaE-IGP(X8a;jI}0*&}BmFc&%q!S2__2Tc@ z+%T6vz*0mH4DnnjD1zbV(nm=~?uziOmU0dTIUR(AZL6 zAnXVQWG2R;IWj=0xYjl1Q0skIp4a^rsF}ij$)Lz>jw|P5A*EJxoM4ixfQEbl$o)Eo z|6m~bGnDTx#)MrKOwBQps{cgBj z3pp+VK|L$cc>UqL>_8pRELqXuuD?P^Cs|EG=Xe7ymav>y74O47)u?5t{$_p;h}?pn zFAwr_+|{`Po}jPCTNN@kzGo02*i!5V<9k0l4iD1qH<@iZ@ zI|Qv)%Gb-IAeCIG{NUVU)8&&R=u(=V+PrajByYQ1cC%^{i6I<#C~$rRLCe(6w@WHcY&DeEZU9N{ z<-As}v~BevtoilVr$2LLfj=WXNU0@_q=2ALj{M$Ns#;Mlb_QhdJZ5RVSXHz?iZ3gZ z3P>KV$Bw7SluX27iyLH?ECU{_OSNCUwg2V@eHUuFgDy@R9?TZK+-(Jk1wFs#N-;iZ z%?Dz35iU=cyAZqk4JR|%qJ~Eqg1h({);io)6#9Px$A5Z=8A0e$fuUE3^b5s%3~R|J zK>7#7E{HL{taM5ps0syWwCijPgrU&7NE*@LO6d4zO3I@64HV?&E9}^=f9CRz;|o}* z)oe8TD@(EFRWn`tcPYJE{<6#fuB?)*u!K;OvE$aRtdWvmiEJSI!__M1uwaD(?X>O_(+oehqv$_hx|+ z-eZ_Xp_dz%*k{(OCtik%*{RJN(*glZU;H1g(il}ohO}0j?p}~Vfi9*lIfRXXym!#- zvyIF4x=g2*gdeel@p8D|pG-$OwPv6Nm_6@t+Ja!lD#WWkp08cNJKnDiniwknX%#Js zlffPGxqK@BK|2a}RYGggMD*iZYw#YUHmt>l@g~Z~Azh&%Ats3$?oCU6* zmXijPDBq)?9{0k+X&GsWU|K+B)Cj2oRxTR)3NIi{oT{O#C^LKC@?@wbOF^Vk;A?cU zoKFT6nHmla0>tK>ev@z2Xq8ttDF1gEAJ+$Gh1$-B64g*Cgz;};A%M{;e0B&Y1Vexb zdVFmJp{pLi!cI3%P$}U%4}A`U7fKJ2bJvy0+SQ%;{xoNynmogjnh`j zV$hogw|-B1^m*K|Q?wJP`#4H(r;lz8Dihrqjjv<}1MFUCp6{=IA{{r;kK^T6*@r-V zEFDw!Xx0wLWwu50Jb5@(DRwNfk}$4 z1{;FUjn|{WBY{EIo~AK0;aGW@H@%;Yzn6@2tly0?WZgd-d*Qqz%gsxA&mN+?RakVs z+#QtNJ$$Hhmwr#cW$2dP7*b0 z5mGD2WFmshdO2wX@-LvjEDh~$&xW#*?(h8`VvvV5e-nspyU=_8aFdyl{*aCgdS%9~ z(IRdfemh|-?*k99EFWOi1A1fQhEr%7?)F8JG;&yJ$CR%vbGB!_u#u37XFA;`mToYS zVKe_6PqFRQ+H=@ay^-plp?2rhOMrL<`vX&r{1x$7C&Vp2BnrFUBS~<)K4RT!%KXBS zmEtZ4J6_*T(;S^m?+nC(EVoC75;^`|ZFheG(s26C%p8jAt1*{jlVY9qD%I6PmS^Vv zUF~$(PH_Q8e07_0kwBMF>5KCod~-L&8~cS;&OlZR)h$%{xUn5Y zh9T{RPsU#6#I8kQckLnZNsU7m8ybY+A2?kjUc9%#{{)^XPfPxF6T;LD2&^!|K75%sgetiQ|jUxF=YKgPDf}w2euCr3aDB7 zc64YQFz6$MyyEk@Dr&P^a$M*-8`rcUedmy$Sx7=cpxGxuOWL9)_o&d)(%}(H%KOp5 z)=67We1CYk%ZJQUBJCwx2{i=%TZK~oT%{Kk=L%5F9xv4w0X4s)Spw&)x4J516)25K z@{P;S)QlGq896w4CG@+svY909amw`Q721oR*SkWyqxoM8m@vj7xLTI?b!^_9ogKi`48aw_@OwP-w77E47Ag4K*s#7n zejkSUDB{8J2x$fSq4LlAiTN?HRaMhejg{%}it;ktMr47LF4`m+sEz$_yxt2go#}`m zI+oOiWbCS*)YY#?F3mJBAn;6z6wK-Dnx}JSySqO^7~p$*2I#QK!u8(=$ya_YP_GJD zEmehEgL@B{V}PVYhLw&ZNu}xy%-n<~n267|xX0pp z2GC^pyWWj#00M9?+ZTD@(m&sTyqQ~Vv(g>!SJtT-`F*nXChOAYVzv?7F;^yGkt27y zcf48bv8Fva)@~n^BAL@Kn~({WF4}evoUB>y8RGniG>{&ML%QoMMxb>m}z+7K9bb z9&tDi|FI4IaEjZx-u}*8qUHhtQ@{8%{oIoz4tcV)3I;@)e=GYxz=&W?Oi!rk9gn3Z z{aZ9arWVi6&KRx@Rgc4EYu0DO_GLOg9*Sl)Ca2!ieU85tnt|X50LA2v*l; zWEo)=`mh=`t5mOr8|=24WXa3W`O7(WaP&nM1y&JzfTV$7jwQo6@lI>P>z3GVkChYX zQ*cz2kraaH!;W*XF7^1+Sx$eC%)lSwkwmk(l~)QwUSHo}-h#%a%`DjY2yFB%f|3cb zLB|lzX3293Jv7K6_(>zITWDmFFnR|Q5E)RVfiWTYXmf!UW={PK;;X^PQQy|*<57Zl z$4*GB&r2|ui3$yVpO~PheBD7(Qmdge9$9`EF8Sd&TPA)zne%cM8WtsyG-8+b!0%dUc9%oMI zYd>mlZ7J#yv(!166qC=uLUoWEEA*qsyta*5oZDu~z~9tu9%isG5dpk51xMOlr1H)E zf+;^E-oBXq5h{zPi8E`FX?b#p2tR2~tK|AcESoZb^4SU=YcFxXpY@HIV&!(~+x$Ew zlmV0h!e<%z2)w~qe7D9qXoR~OgCBEgbM^WX8Q>Qar37rr<0;a_BkM**$}zzVO+@5q z=+~*k5IWozG$#Kylm}gmvQKTvd|pS(52CGZN#m&>NtgAm#=GB|ZsF5eFQcM@akwl| zV)GH4GNVq{A?fn~Vi#L(YH1!JjYR8Anl;EdU}lEvxl6tg$;!eJV$CuSMbr#fAvl$y zEum)7u>AOm1m?0$CPCT0k^3%u)K3!`VUj|Ck|2#?E}8{jHw`RgiFcmSyvrcD2E6v&tY68DEBC2~R4dl?am8tzyolS-sAX=-|UnPZdEGf-r* zhO)ERq+~U6pq;A8q-4ZB>Ps-XdJ}!1Vu2(fCMi3s)GC?wWkz1BRpVsq3q1@3TZ=xj}L@j`5B)fidY+Jm}~azI+e@2^5Cm&@7V({H1^c(X!!MfJ_toU99}Dthr1 zT)%RM(rCf?vQ`oUayqtfC*Nx;jV;E@_;AIwqWH^EY898)#8bhVjC>bfw&KJZV&y>L z9hyR_0ISGQ|0^YIf@l=f@R%*w$*QQT3tsWgmifWn)D61YZRm5|It7JB62l}g%;q}= z=S|%s^0G4+aWoQca=dqfhm6c9foDoZP7D=;R~Gj$$#as*B&9MA5W%hdqo8!drZPDFlaV5i3smgI?NDCX8x_(HVK3EdRI4VcGVoIJ7E-SQ z8G}s1$i!rD5^5Mlg50c>^wb!sBv&pkP%t7HQ^clQCN(`XI3&`=)!W{|&DzG<(#pZg z!q&>%%G%uA*38V-%*2j0TQgJmSeaW|;>W_;#KO+l!rsu##?jR$AvL=|^fprT+f`n6 zc5r}SL}*ZSR76a4RD5h~LR?&YJS?`@VqkHcMaRWQu?9y>Y_56JKKc@`xWG6sy@<~sIWV?ZtU5$bHlnd8`iE_yL$DSRjXDm zTfTJhV$Fw|iAhQH4?u4d;N|AyB_^X}5G{mX?8K(3(ZMhVLW@>C`dTf1x3mg}H@=g_(tg ziG_ucg@u8Ir9Lq@%ndEgOe{^zEV0M<|8JHiRxm45YiibJHm`5?R`w3oj?Q*2?#>=Z z4jtpWc$iz*n6hTV8XRU8*5(#gct-duTAQ2Lm>b!e8^YkQHaE1lF%1tbo{5ST&1-;b zAF=R~;*G=$O5f|3kWfrVyS)n;np$M!*?tDLY7e9Ar)|~u_IR>x>2R7mF>+a&? z!T0vydwTFaJo)aPu5O&Uxp}(7Ts=Kl^K|v}qUPr5dwiSb@9*XJ>gMg|=k4d~?dR>|=jG$)>Enl6$tx6T>vHoLqjYDR zUr>KStx3Sh3)mSgQLMal5`724m7>a5SR~8JCG$Q7g+Vz6Z)s|8Y2sjM>}Y9(UkBnY*~Bgcu(LF^ zwKB7|GPANavqY9zVip$GmgdB)%&o1>t!+57M12#rO-q=WnFTd-VwM)gW@(BHznaFC z3$JHZmgKy+2J=$FCVOGjf`dcz^Gg;osTO#Fw3ZtBPrl4eGL*$IyR|)k-FW}BRr;nI z=Ccl7KWxa?2~Uh+M0re(kcqMnKIay+o6IZ0q_F7$#uX`iXWP(V-_qcv*t2{4{X4e` z3&{LqIa$R6KG?LaucvqR+*urta1^`*Qn9nUtB036c-h^~+SAt3)6U$@-ptX#%-+$= z!4VEqX9qJE2Qxl??aiDW%$*!89UQFf9c=9!?Q9)UXgXRsI>Bt5oNZlP?D?)vZtl+R z9xfiGG9YU1XKXxH!5x*}G`m(+mm-admNbb#ZfbadCBVa^*X^^6mM2TRz{0HCs1V z2Y0?R@^cjQ-%nAJpAxic60aUyLq{|c{#ayTG~2#`3Bh7 z+26TyCpa)LB+x&|*E7h+J;1}+&&|Qt)y|7=>+Wjj>T2)e=HN`s(b>((*^Te)=IZR~ z?(FK};_Bt%>J8(&db{$y-1r_Ie7+ao+1tg*$Hfum?c(go=X>y7-T7|Vi!gk?H#Jwz z;8S8qW^Tkh-1zS9e0LANTXoF^Z^cWQhlh)&s=2eL=DT`2vxesbb9VJ&Z_PV8GXA-i zj*q*izlUppr(J-TS%9~mpSQ8M7vIy<*Tc)#oiiVIFK>4*FQi8|Z+ACuH#cwBVuKsT zzAkugSi`&M>E+3prs^&$k?ECm$87_}Jb|fHoZ&$p+9^Njj!QtlaSo8v$0EqrFu>Qu&&P#*BtD*a0GxSy`g*ZOQf@heC)w*o&4)ZuZyz5RGV_@f38 z1P)eeUVZ_r1+oSQd~k?QF*}wve_9QAq0zblHD%oZ=IiATqp9?T=@XeDlBl1jukO?5 zZca{aPL6KQ_U_Jh9!|ENPBuPHR=$pwFgUOYPwYYfn5(lr3Snm#dnXsWVuRbk(Z!K9 zCr1}&M;8}r&U`-1#nqLXtD75Z?rv@a{JxKMln+bE2`^X@K`ls`&f;S$T`g5DrSdXW zr+dbmo6ojVt5t#WOAqr`>m8^dTlJZv96>2p>A)DZp!}?gSJD2+^(x>6#WQ}{OgK$* zc&>Xj9F||U3@R>WkY5VfEHi^){AJ8VTjxu*PGJ(cN(wClUI@r_3+CmfpE!O<&Qerv zcE+(Ihv3N0Bm*gS@7#gkm8(}$dZI`rluPZL9GsmT7%2{iD0nFiCxR)9KRD>2*roJT z>s6G-t_D+)@WAnqDd4KbDJf3Q6OaN~sAIw|LQ)1r-&%9Yx9)<71VeO7KEe35Lg|7L->F@cPJ; z(;Jtt8qZu^4ymdPq~#4ahC0MWMc9d4ND(0 zub6ZJh)BrD3z0jb2%+GG(&pcNxBlICBaSUyid89i$s~ehOXtfZg(r^vN87!mV&Rre z8{pWpYbU^K_T1U3;01@7wY8;{4Fbrej6|hh0?t%+l_D~mKI=%RXwYq5p$ZT(OhkMF z=X(1R332%!s&X>fR(Co1d{5UDg1zabT5mrC&Ol?pPrt{lpv5S|iJ5gr$O@D}5xMs1JMS!|&!nyfZf zu?j?`7>->YqblX3B8NbN{9qcw^Rg=VJ1UDYJTxS&l;ZVqQwn71#moPfmq=8qbj-?vVF*o5XDM~2}S$aVbaku|GU;=pOsr{Q3l z)+GcS858miL8&>uvt^8#fAs28SNs1p|Ht{8)?7Ea!B2@&p7mWx@OG9veNR-T#Vc3A zSViWqzN*)M&d#4!9^a(lc*`R-ynJdXBlfRYqF1Ud%8TS;fod%8qf+CM088EgFi|tp0EO>f=jJ>tAsdc(W-gmVKU;Nh*6S5LWOs)bQaRuCVNh#Pd^27&f0(JInA-_4vkxPVEMTRcio~R*yM~#l)6_E z%FZao^msV;0u(bZI)A(2@pqe_&bs1eltMVQOs2+#wx zTe3hb5}Y`8M9O{^o)8ziX~Tw`tgM2363L%`{aKt*E2L~Z#ujEK<`yJ6R$+;XGxyDh z&t3P+qD|BGTv&X`aLDF+gVx?za?Q!Vh^vbfOPZUT#W`7(`SVw;Wy@E>xQ$9A5Y*Vz zlb%ZJ(nLv#^79Xz2CusK`NsPrPT5U=5IT6jN&lVCXFv9H;exyqy~p&Gnh+;1;HtlY zartxpdpLB%?cuxhrr!+u;fUjB+YEcHzBlutwOi&}zlp+^D%IYt@>95hgLnw;GG^6d z{`VWN3|(_&{%O-irwm7~y7b9}Z5!`;@Uuw4hPO4PsDk$^H9Nh}7k&8dFOl>LUUejj zu!#F}@oLwjj(4WaVn~HRU5D@6|FQP$m^CLCpLxFIjNY)d*IG*ZX;|xg!&;0!no_22j z3#!JisjT#Dv4G2Pyc6Ub?((N!avgKhb<`Q3vF8JR*lXE;-NO~v9ivq!m91D&k+)kU z&J&5>SBQO$_rS;3pVT>7RTsS44q4dp`vtM-lBA@JJ^x*pwRl5#OhR&0fH>Sr9PvCY z?ooV#W>TD1MvM+4_B*$A#@VfN84;aJ0>Zn^ozU6E)<3{2pPN7xdu1|-XxWlQVqxKl zV@J1a+PG)eE>PFq+jnSqXzkjy?D1UX89v5l#>Qr*a3p6FuDWd*{q5XCs~)&m2}v`% z7sD82GNTSyHX6Tw-c<*-JAqS=PVIgjF+w2Zl0{N#dcB5?a|)_k|64GB5scfYL`5Q5 zMtTm?_qnqdeSNqL<@T+6V}Bb@-5;(}Q^;41DS4!A;fZC`ka;H;>m)wL-;`mD;3H*z zKI_W+_wo$Qpai5JIhk}2f3CL8+O%)lrbAQm`^~3c9o=_CCgff-JS8XFSDXi2UL@x` z@Si;JLtcQ(D%V(Ga z)(Ky3xi{>DIk*88<#@L7X2kaA{Z`yxayJOII^0Aadl#P%_}V+9q_hr>2yNcFWllcf zlAOHUOII%U=+UD=z4`+O3_N-KWO=l>a^Xr{UR^wjTD)5QKJRz@@bOp3PkFrB_KtjR zm8hKj%!^kpbnDr*PW?LH4E*}&$)k8{X)skieEhKgfd1{;xBK$TFAp3% zP$(o-a65ZDE2}rKA}#(3;bE_`?$$T`zIpQa3AgdqBrIO99kg=THsPCXcSoEy#?z+h z6EE)Y-S@s)eQ~LV8--m8HD3?xkNZ-}!;4I_{5}YYHm~6AR>LxiR{%>?FngbQ^_+ix z^jWVPnT#uHRt$41k?FtNtmB;XJD&Q66*uK%vpwAoeEU&jf9=?{1D@FEaibmhj&$!^ zm7|j@jN2$yeu3!9wOc)V^=;6wDSmhE-k+04uJyT&!4oYV8s-(`b`KxUD^J(K;7bkEHmp{8YcFfaj^nddVqTsVUlN$L1vuy&~ zv5UVkQX`Bzp_7YUP>6qC$s*Y#Z@7K)#`&{n^Kx<&GPZIhQ^4qYLBWAR=gyu*Fa?>= zRwgHTFTl$PMxtYw>t+#Ouf5KjesY#Ib0LcvveRhHmgh+fbH+i@dg;SXs~=u>E8(iP zO&j5lx^LeBv4rF=QPHv3r0(iy`O+2t&YZ<t5#^WU?a`P{oKYL;5k3Um4 zr;0LqQ6cFcNFyr(FhaJ{P$aCNelK~OHM}a6n24-~=!#Xy!1dRg{Cjq}19QHR`Q%u5 z@54UGT6Q}McuNhMuGBqmMvC@)p|nsS?$-X3$2T63!^F(-J%{mFZe6)WZ-2+;oh8GM z%H0#ts;HpU?bA)=Ar{6Ky}I^hQv}iVOE;*a%$N^_N*ttvvN-(PRz@=S*S{K`)NT8P zE*lJ_UiE|FrC||Qew8{h5lBdBC#CL zJU)RdKaQU`on3AaMrF##e8py=>MMu+1OaLNoK4~vYwva1_-v&MW5F=p=Uf@H<({X4 z*NYdAti`KiWMIN(MKnXImhe=)U%sj4b(EAua)tti*=x_V+i1~j zi|#^qsUgF3TzGEib}bv!3~iVutE{^$e|pfItZz%zp;coY-4Z}qv1-ZmnNz8||Ddm% zw{GUZcL)ga*EiN{*QxEdKMbO~l)8BBVz*x13{4D^QHoZ|6$MdFf01wq{LbNi4=%Q#WN$1JW^U4Ny{a9U{Xf*oP|r4tzNH;f$Hp~tDU>` zdTwA6o0Mv5WxI9Pz8tnVzIFd$`!3zma|-AaMzr{)kCR>`E`VM`8R6k0z^w-*$+f!R zRU*6uC0+c84L5*19Tk8ehVf?@RIR+_3U7AS)jW3SFv3zJa^%|whWO`_aj)#fvp77nS^n3Qzi!{WMHX-*hszXXa0t0g6Ei~?Ig(-4UbbzsMp(?tZY!|*SGg?qkrqvp>swC@rz->OUi;* zUT(ph*>kb^!w*01+PMcl5_Vxq3v;VxO`5@fCbFwPr%j(aU0Ou^AKZP=vUw}`<5IC% zA_XH6N#Kv`pE!OZ^<%+nC~?V|+5gOL(X8dCJwFA;u{)W&x9_c7w(_SRernRNN!Ko2 z^>y^ekDJi6QPb{SdpOy1Jp#Ged4ElrTEA|69O&ZsPJ8o|if7A6{K=Qzv~0KXM!TJ6 zQ!SXD$3s3n8$0l9Fy5%+Ac>XM^_Ls2y>5hOinl~2W4gEdM5`FQpj+p!;o)Slb$2)% ztQ`e8h21)JyL#bj>t<~Ref=%`^D^_lANWHZUftf^J~h@eA%{UyX3^Zm^?3CZECO*ZNiDD-E#M;|EX1j~PFI)_fkXR;RX|pFer^ zic2+>!H(TV=`mB+rs7? z8o}1C-;8%FIW3Dm2VSjuyY?KwA+_o@Kn%z+kc#&mJi@D8zj3oxBS(*;5kg(N_r8Af zE@=#^U4Q$oeVp%GQ%*c-?Ldw=Zr9`9>$F>MGVAf5*YEez25vJQu;{#_n3?l5yU7Y` z-YSo2&&0}Xx_Rri51%}xZh=VLtIub5?;~$#Zr!=pzGG*aEmHaU1>#k&D-eeayiU|Kc?KcdH0v$qw3agj0fxK z%`Fs*hf2Xq^Raf@_MNHcTNR~eGxcA$3V`E*JbLh zc5b&qe~ng@5^XxRYV<^leF5>Tit>B)?Q!?PZMbjVy$;fns#HY01H5okkDsA-P@<9J zM(*0TliOg03b2m84x6fYwd&ToboEkVO5%4z2KW55XQxj(EnKpY2D^Rl)<3gnE?c=2 z!J4&f`b4_~{uVD^T(4o>wjJ9ZK6bcH{W>}M*uXYbE?YdqGVA>W<~5=r{R!<@KB^NS5y9XFfwKH~oKwcwuX z?)RLv%P5ce`$<&oWzTslEGKGZDUqgq>-J9{ap@DnHQU-cz`=L(?DN?djT$%m`kMiT zg+)SNRfDro-I1Tz5)lX723S^INxW|HT(y>*;axmN#nD?DXmL$OlG_ z91DLtJFc(5-#-NLC#Pnnrf2_mg&iuFA z{FWF`Dx4_br$6KK7MPf>dO4am@A|){(X?V$C}-Ms z?Ye2tE_RD3Ha(3ZXYD3U{39ai8$DzGg6#(m!hzpEXU!TtX%cQn%fR5|<;%ZMojUT5 zKX{EA8QIvTqTTb}(R)ckyBZ{IebblZL8Sp62QKI!wBu9=0BqpJm8m5*LTN~1&t2jWEj z2@cDW%MuEOu?2DyQbT`ho6c#<;h%&WX5a6@|HfUyVJJsn#ajBC@RWL8a>y34t`p{mb}I> zQ3SjsD1zkJ)ok9JdFiPc{=NY{yZ73@bq83-*V_*PPM;LthqSQK+m8y zueODmWm0?+d^WJLDMi66G${19QNL;2(*UR`!AmYx;HcE()BxWAV3rlTY9`K$@cup| zL*Kn~58M~dk=I7|nJ#FI9yQ?W0U$X<6&x7SwpClz;a2ZTiWs(x1W}FLb+_(67d|~5 zTxWw*+kHO2Tn#@Q!kma?e!CmTo2%1)z2UeG$CM<|1K@S-K5?-{L3Vr^ZqV0*^nJkL z=Sl9mey#fbzZ?JpBOy?%Hfla+=G>gL9B(&o(3riIy}U?1didxe-~Jd89DzTUhYOhy zY~8R8 zNXJ!G-kMU__D&R&-PRtiz5Y6H;eFl`oq9{3kGvABpUXVVVj6C<=dHKl&A&J3h@P?y zs$1voxJcqEuDH4KJ%IwqQo&W1uifg}<5PVjGx*=W_mIb{{Y=m6S#4(k0J;=OL_t(A zB|Tf?(bL!j{F{I4t_J=IiHPCVsc+{*I>HiCGU_#KnpQld3D4Zki#+_f3zqcj|E;G_ z00R6q{hzM~4$dnSJG*-F>NfcC=MjminKTmkAaG^x|7%O06@v;J`7Z3!Stom}GibHZ zp107X*FK-GciKKrVoY+GA5I1}SYg|6wad>Zd{}fRYSF6Q^;>tT+t%I*H$^*8#{V(p zk4b+OipZaqUUPndXyT;5=FBTKLcr3>Zup4N0(MEexP%lCmAeNS_j>8_4G&Mh$f!6Y zV{>3Gj)mth!$#I?(8Sc-+Sfm1$dALO&zw!7V4oyBMPhmPo_*d;(^qs%B5n#e-)8Rp zMw|J(?RLBsT5S&7cinGsBaP7zFrS}IskPFn^-AO4kK2*jJ*#%j>)pD2g}QAVtZ>=6 zg(Um^ed4$YQ^pq*k)%iQ3;yt#HUD1{v{)Cep2s22^t9>c3$kKRvvsuT(w!@=kfmS0 zb3LaZJ3Kn9S*xbz)+BwNJa-ZY9zJn6Ejtx^;iiF?u3XalvtE1;mypPiQDaBeX;3>m zKdVSywC}%tN$E+cnJE+hnmFyBX$Tn<4ru1hm^B@q*t~J~1~y&XojrX$X3m}gx5i@* zC@Wln@J&dPA4mSO0*3iw&hcJrpLN)5TWg6yyKPooH$J@+#XL%7zCPy6TVYUpt;Kgo z9Ex9Arfu^!rursw5h?XF?rGBIRUcn+I|mLOiH%RXdFx)g4&>=wyMFtppGO1+het)n z8yK18u>-I^|KjV7o3=~k4ANn%*6l%5xILs&B)_EO4EUoGHFn%Y`aSN+Q|G=JKu{HR ztzSotMJkPnP3qCB@8zpE;W=r_w00f31O$co_yv9U!%$p6c5X=*(A!X4ELYz4+IWdK z?-FmW7H{VBS__RP+(~TYsGVa;2i`|U9^+IJovpMVQfRuzsjTi^-_)eJ76N@DrCWC+Cr3=by!kfB1EzcUX8-N@}++ zzPQK^Tl#IvpY>a{GO@Q0jENmLb?SE`2=gRlWdq=ru3rzgs!m+GOj4JQPL7ylIR)$r zkkDg&gF4Nc!-11(85!~F)kjpBB2k`HmXe=er+Kpn&z}dy#%@1!uvy2B2xIQ##H(8m z7n78mt7mD62-#?eUcSW(l9PEHN(;|Q!pKErxIOku3KXOf5f_b=hh22xY9f0AUIDpz zY%#!s7wuoGOfrcyGXq%-z>Czu+>>DrU}x zGM^nl_I;1{&z)vR+`2Bj;a%Ke@>!ogH?Q3c3l8h{Nq20}>4dP(G;f<0d?N`kcDo znNZD*iVKA!T+R&Nd9U{l)9>zN@>bb5-{Fo+xfsWMzT1K~>mG0NolkdLja+@eFE)-2 zcPIs3s5r1CmgYUu=n97y-wRL$2N~?erfvWey=$srKMuDtwRU&*Xw$rHaUvqh&Bz6y zkgi5nAUSfBlyP%%ha)CDmipt^Zk@Wpv47WrzCHU=PpDy?MqoA72;aDp5>mv%qR0YS zhxxmEpSEmr$eB0)DR1hnJ$}sXH0JYt*1S#5yd~zXcG-4cc9C?w%g6)6)n2=JjU3Nj zn_SH3Jky^t)OST!9`wdOG#* zf9QCDfrEa4ySM{~C^kNM-1tc&M*hZav${i=mDi?iCtmIP^&2)t$w&R^NDk^iITsWX zfirgQ(qq|*HHCP}q{YIkOV^$>3}OIpp{N6XQJ=T1h^Tm!wa5*B-f!7*lXabK)~!zZ z@U|F(KF*~vy|z2G-xtPP?$C0j>GwMfit{|CU9&n@ubzVgboJoz{l8}XDPmJv|H0qD zEmo9dztIU%zfBl3Y}7B@cCqe1x;JU+q+0cPLw@<;<~{DQKY9MR`={M#R8XO`5C+G{ zaU(BXzbIiOM^7F7^ovgsC*9k&Q`_W>coOG#9eA4_T}IN$jFI^EakcG&FL z`&f9brMk$!E=DrF*W7Bq!>ZOQm#;4hZlM5elUz zPM$^jq-hwJ+q=&f1p@JfOV{A=@(DmSAR#FYzYb2WI7)dxKMozf|KJfMX?P;3Q%_ra z6b-d#a>e0x4lYqKgk5NJI4U8x?mReo`g}@SR`Zr^%`I&aTYO?_cw{WERy~}QjpGfA zUNG)1DmoDkl!YDU9qn|`inrF1x6q6?-)M&yb1jMKz1xnr$%D7d;gh2QU6)-?!n;twaWs^(g z;195fv`7l70JsS91i%!bK!i=!;1G%m1w}=L5^14Sff@wvx&*vJ)0nx(EIO=s%3G+* zTWZyCv**@I{ht=}~DPf`^d@bv%$fZDZb*P>a=E*-nz{%98@M8S)? z2Yxef(fmcn4j=2@wFfqHv-37@+&to!5%ufVN0{9^_uyjo?cO(O!Xz5r!pss8()wFa zKrq6jr)J!~K^oX`NW=P#V2Fw~mAy+T!OJ_3`TLwnr*+S2FE`??v}(E2{g0=)1O9X9 zwDfNG&4wKh1@+$VwC0*F{@(OY-l~4H$5)C!l|aIjksO3JS-}CKqTofEzSskdbd9mu zzE%5jvD zBCbjOrf}@pzIW8HQPdOSz)Q&;Z$YuKiBh>DQO3-_Z(Dour3Qyxcsm{IFV)$|XFlC; z$D47Rx7M}Qf6=w)+@Ep5Jc5j9A#Zf6rmZiZo!{F%3EmqyGH)E`<=V5GvUoQ zt+&Md(=|H3ZQ+`@Ab{7EYouKeWz5(8zui&XCAxI^>cvY}QV0Wb;Ws%ov+w8qRJ%YkpbE7qAiU{sYjG0`xQR`vKc_Z>6v`?VqWu*00LQB^~1Q7XmhcZ>U>E3cUVc zCM_M(>Tlyn<`uef>q^hQJt#1Njn-{m%d3Z-JiM~I5A4DrJNEAQaTpn0hcMtU>@u?< zEn#qY`gta##)F@LTyzuu%T_OK)xKq$j&0!c)qtS{RUYF%hd4JyHEivUS)&K2D`hdgMpKR8twaTc@DudpepG??Wl0I8C zYH{xP*%03lRQ8S@ItHKY?1FXcH{*8jqP1$%p;-%Zn@C_FX$?&+Y<~8|*GOgvX=rSY zT>vHo15_dW)$6wr44&Zr;`f#LW71z|&tC>kb?8JsDndd<03>z%wrt)0lWx6eD=#)F zX@R=%Mqn2pj~xG|MgIV}yvXRpwHDr~bHJoK z0dgyOwT#G(BMBqEZa#lj+mRGy!s>{|nPiE+3fuK>4=z1_NW9r4TXKYpKp zFiRFLoveUvHe zi|)N2(b4om8IxahaNDjH4G9TBxH}IQcX^Qv4nI$Bj+6MP*bc4R3G>Jc3AdzBEEW`H zrDc*wQTpY0{zSWBo%+=6XyZViRcHVi@laGCN{&ksx8@mdp%(9tE3?TW7^v;l^8N*K?hbb~w2X zX;B=bkhE#u_TrgKGzO{@$4-CwW&iZzaqer@Z=N%Mabb~c+=R*d4jom!gC)yXuiLaO zo2>_r9yej{!6R@0*Xk8Na0m~_#m$QauUd{OqdnjspPWXz7gfOPCl&jb=*F37T72u^a=$W|dvB;*IyqfL|tH0j8-8!o$8RU`DKU>R& zJRRM~a1@FOZF~B{rct$NwSNzHl$^xELpMWr(vYRfYV7Ck|nx( zy9yFyG}2C!nwhMrrO~a|Cx{JKa_ZcPpGW+JL(HvBu@{L^qA0@U{W0bD zgGct`G7?kcaBS`RJdI-TD!$h;q6xWbt3uc-%45N+0EMnU>EW$AU$^!$3*J(L(b{=m z9(QZA)vVP4pSmk_f7+*Y#j+%QB8#&yG{r@k=o>d~&^W-KB=QFj9!{JzrHCCmOqG_N zNfTW$X62^A;eT!v_I; z{Wz$?S)^=FBs?Rd$k<#cFo@)hNy%hb`Wu(>QAS3`!;NanWlvG-)lYfL4SB0=d4E0{ zdn2pa76;x6Yu?tV23x|~FVVl_Lk9YbBuQzxNu7IjU9n-c@~^`)w{||$Na;`GDb?=T}#&88FeNY|&K6Dqt6tTs|fFa)=Idi;#ovGE1 z?^wGrHy6yjr3%jvy?j&hmL;LX;1N+fPOl>W3A}JX^ zOs)-=^l87(qmp6;ID!n`&q~fpbM_?Ql~b52WV`9zeLUDIA<Ypcc5Q#T54W?K|Jc4$*HL+>G;jc%+AfpFBFJ`g+)b05~)O1Y*L9t;_K%d6Bm;w z$d|IMj>cxD#%5-SHeE~x_Ro5h)?v2?Z@Ep^qp??_nZ105x6+)q&6cPWe0J4 zjauFCGtYQ;`t+)@vh4KqA*T%b`Ntm-r?jxJZ|~ll)~$2f?TC-aufO~X!k7N{-zg`b zykqOurnJ|S(MPaNMM+8T9z7<$ zMlVYKS}^~{YXc7xCB?=M88ldY69cKOtf1Kw6Fh(T@aLX-vb?wm?p-^Z*P9*08%q-da;$mX(%z z-q~l*{@?>dnEk=~qi!1+Xa^8pJ$sZEyd!z9BGGS`O z|31%I<04o6*Ld8QrNZO_;idSOmo~p7fM^{S-C3udHSfE5YW8H1)$OvnO*+dl$MpK~ zr(dM9y7e2k3O#yn6eqfH9o+Y%0sk&q=JJwNYd6+4YM*_6+#7FB#io+7s?&#^GwH4A z=zH0<@-M&9MWz7Uc?BgpqxIGCldibxI>d}iOvTmn8E2h~bh2^_p(og0z=)A|{siH5 zAfKXDi+vo$IoNOh5LGq+?%>{;8f!OC^J+XPoq2=}D7z&0JHd43XUf2lQXP zir%eGh>ySE{PSH-yTwcuKy6Lc<6|EkI%M$QfBjKZn7?$%q7(Y|`QODCYSe+-RSY{K zwq0}emE~n6I;~ozl;3>Q4fozdXF~@KJbB>)s(OE(_tUXGgyf_Iug7!qO*ek?^_NcZ zNxhux%w9cv&?E@y-MeRQ4*lxwRaajA+_O)6TRwJoAW&6VgM*m7^pT}WYpaL7w?UYU zfo;=t19>)!^m(Va_sp^r-p#-3pC+73+UAycVlUyZKYxK^{l-k z_|%h;0=!>*^$8MOu`2i&3d)Km%NTUSu}2~rz<$Gk2ItVrAx!BDXVE^NS*+^+X0-6q zR$;>SFLY#l4(a!Pe7`xxC%+r{!S=Gy7Is4KUJ1JbZ<@UM#v87@{4%S>WHuSjJ9qeR zzy6$^l~G@(%*>$ANNw4?an;J@XkBTk$tY1}MR{XG!#TsxnlXK9L%m9?Y1+DFlYvf$ z)7t_C`MJTYmX#JGgA~;rEHx+J0|U4V}M$Worl-vsfmKTPw`UzVfS@`6_aMB9{$1Um{g{BVPzn1-5Qd^#0kp1s+dP$I9T7*EW!{ioktmous z((4xy2SRwk<|v+@z4`%VgFo1FC#0uk7Zx;V)CQBesHj9HQy8GQ^hTq>WHOoI(CG|X ztzM(iiPSR6mCF30BB@;N78OZEWYqS^UDV{b3Urd4Rx;}MiZk9z7&as3fzPuBKJc^f z&~GP>Uv=Lf1=|}59ktOVqE&dw=#lrY{4cN`lX%_Zo_iK{VLokR{T;X7(o3N8<FzF@k^`4JDz?ob}Y)v88iBRc!B4iep1&&SDPMO_>~fzN)(lTf@XOCpJiu$ zlyS~`v5$YVW!NJhTs}LY_seVV{=IZvJ*{|S^S7M(_X#|E*h3I*yYA}k>*&R|u8E2g z1eWAyLs!C4S&9x0Ed@VLZKLv``$r-0)PX0x@XX^r7oBXd8nt7`Jb>CcW5}TQ-=XpX zxZ#@1k?hxVW@n`(9^XrlR+k|VzfU~+;7NUY_U<8k_4#b0mUfA=XT3Y>_FKfxlr~9Z zs=PQ4#}boi6RhQSOx6ZlfW0~kjjg7dUa-QaCS-+-ocmSmiPJV5clTGX{Uf>Zi_~GW zQ-^<4`1pUOs74YhDUM|~Y?|@x*k_#<*N*McH{CSy?BN%jf8ixdm(i!g>KkySV*UG{ zB?ATyL7P3{!~w}EnMK7Fr=Kw#dtGq@4orMZZ-O8MTDszeoq0 z95&ix95_^0Rzi3oA2|78wTBiY_j%=a;q^slf1T0$)pe&$-*xJghFIIfp7CRR5pQZ&SJP$Vue|a+G7k(LI`H-JfysEWVF$Q<`-X=e zzL&+h=%RD}`WwR3Z7?+I^bPmjf9HS!Cw%zfI|&IJ#E1svFlm?KBt zAf`t9-@YgGjESXJjFpu|Q>RYsajYxo}aes%HHUnUKBeaTtxZ0qy-nmgxZM%4x0;Kgu!?_(GKPS@3` z8&vfwx{`R_Pv612Gh)j{7oG#};6eT0p8ghEW=c}rgAd$;Ms?~bC;$HIT&LKCG>vtS zjv0+c-nUQBX;UXz=xZVxu z>$Q#JUVQeHf&DJO^rCv0 zFZX?yf5|slJ>J|p_=CJRVo0K!Dl-*buIDj}#@m?`fBo&ZB8R6AA9m)2=f;Zf@yaPH z>TyD!3$DEE@fV*P^YjzbKA4Hl^20AbyZpxMtp30l^Y+Idj1X@%vhKL3refx&ANLv9 zA4AR+H(r-2&NE$c)Ae7@{lOy6X{8n93Vn~m5R2VSUgv-_hT@<*5@MXhZ}GYu#J7IO zw!6nXh(O^O0XdoX=X^WyDRpYK)r9bVLkGY2+3dIsdY25lh@(EyJFQz|qHeu+lz>r3 z5I+3;(^{phsGL4dVRx9slaA!&Wrzb1#Q_pt6cB^>g)QJm$r%#T)6;Wu%{FT2_=E(D z#YzR2*}|BVlr-h7DbGFk+^kt222~dnSbbwtN*aAci&-`zGJ0ENERu!caGi@WTtdhX zJDVoXO}PEVxg($be)hllt1?`r7ERGtUW^NJFR>Jhy_f|3cDDeWKx4n%Aog?!WLrb%g?U*xns1PZ+%j4l3`R!7VIg!35b1Q;^kN9> za2Z%@Wepa@K|3bmuqL@1LE%+fMb{W15)P@flPWujDkWe3p7hKc3m$!Q;jD$}8*@mC zlqeiTZ6{VMaa;VjnD<_xHN(5JRC9`^L5_s|yg%xWMRgh)`8o;q>@TKB9ztfuS%~ z8Oi7`mOnIS`Pk2wKKY2RkoxPWl)KYL_L_nyoX_nn(oE zSWZwGp}Qai0$J%T5XUf-?6g88$b)UepZ~#rQM^sgoJ3St=pPB`O78Gk0etksh zA$1<2c6&`;^m(urMgcTzR%+1aa+-^a@^JBOF~hX2O@X>b=|DKZeKZt9sG*SH-le%; za?&wy2v+2v5rTvPy=n!-12ZE#Q|V23Y@j`eNY=lA%-ml3B}=c@=K_5`CzLuB>t4{+ z39^{s@jVV)ol=L(ZV?+ZvOurWX*6`Rj0i+i580~?V&A|;$o@C1SA5GJR7OcgZAyF@s8K%CtO#Muom_GHElrA1sP9u|mb!I(%2zo<6mMTLcW z8CiUknR&4bq9NsAgfJok*g7+69{AGkYP+X3^ z1MKP}>Kbv?TCj1UyH^>4AO2!>0Xu_UT=CMm0YnOJfea1KbsZk0_xs@pYaPg~SX!|h zoggB&1Z~=M3wxyndraPGVWBO69@^<9b{BEc?*fTx8$BcKrqR#Ri7(=7roWl=JUfI8 zUe__xf$Ze#D%nX%O;;zS)2Lx!ErvyE%f!23(P;wjwqX}Mpvy_GSVD;iRM>WVNUAEl zUKezw5rTmDFnWRLfmu%rE1-`T+ij?k;qAbF9HD@rLP@)YM*8wX)&|3k2|ox!<7BY= z{C2O$;c+=VPS6#g!;LVvgI)}{oqn{;J)* zl-hhAmDzyaK~2jX=qWI0;1>x; zQt2bd3~})pkHb*@uSXe3r+=w_Nq`^+zFXRJ0hqqbAUdP{=;|i@UPBWDkRChRonpTi%JB2QyFyx7>-Ts)K98Na z%*1XIX(3K{AuxUPb%`B8TBW3GeA_fdd#&=QNAMY`Q20>|K9|SqaCz(^;egC?xjY`H z$LsX?oNh{&+vj%sJZ_)Q90biyx}P5tBkFE>6j zKW>?f6c7?)CpS&`ImJk?#vp^y(P9^NzPA=$RW&s-g#wbxU^1=WwDHlgW8)GMAiNTi zlCTNF%j+j$!Yer?XIE6BS#P(QoOUC6f1X2BKI(pIui5g{Oz4j46lm+F21QMsiFiN>?outog z^SW)GX1ZNgr`zgqnyoIg#bdR4Z8mzvNiR3O^sy7saH9016xZTF1&TtD`oyOS=^R)y zgJLl}$K8`Ch+PHpgw=61QqUh2t1$+J6yM5R@8=! z=nW~`SM1D-&ED|unzV=n#&r6dm>Q9s8j+G3k=D%gl!)}?9T|x`GUIn-#q9*M4-n&b z?0?2aWW{XH*tIP^YD;S5rj#8UlOi@G?uiKz8{;B2Mn`OnjM%udnLBoFiHzPB9UBoF zw<8X}u@P}mTcdYuirl_&=hlroHgDXqY1594&4hdN#>lN3qPB0?6|p{M=eoGab@AX% zkrC_Tx37=ix*>kck;Ax%P4N+%VxqQz(K|Nph}f`g%i7JGR&Ch0a@~d%Yc{S}vuSxK z*KJz9Y2)&(8gM6SLA2bJ7#@GZKn25=xp`nvqbR5nq`R zUzHJGb7V6;t{O~>txAolOpY#3iYn^_OOv9DlcEcgqVq-Og)%FtZ0p*%;w)+O*0l8a z;`I2UjQE1AxV+rB?EKiw{Mht@*fcOdE+Z!{J1Z_XBR)SZzA!nVG%2B+F*&|GCB8f@ zzC1myJR`0=v%4`pwiHa;RRX3)7q`izodsx|v0Jlawq!(ZOiGAI%}dHH$;dCs%q_{x zZe~_-W@ZtX1!fdwrBh~R6k}6Hepz~Hd0J&fT1{nY?GeC=G)Y;Sv?NVloUSNJR~Doz z^D~tCdXk+Y-UGpfcrK;dOdEHiy$U#o3QUac}`FB9MY|{Jh*P9P?{nzA&GZ->ZTfm^Re_=+S6P@Y z&qSRPKC29$2s`2lEwZ5iWZ&R(Osn*q0>u60=9jVN% zM?WAhHRu&yy~1NqxJ`1mRpzxzy^dzWZISs*a-UJ|)+^i^g-@;YGinrmt-`NU`1NwX zLGCwpHyUI|LVjV$)qfLV8wuPQFE4 z_!1KzZ+AK&xzswXOrfZ(uEuYzO!~-Uk6G<@qs45o*&3SEs=9`T22ENjeODnvZdMV5 zm$A;t<}4d(=mG2)|IpuQC@~PaEmUclp}_RSq9-;;E^&Z_p727SXJJ=LX#6(h>R^jdO0LQ0~PC!+tsD<@47Aj4gA$p5RE<^KUrN(AQFajJMFqlYa zAWK0cG!R?k6eL|}bl}M5zfl}LEj2UL9*On_t&g$RqbFWNfaV5|PT|x@-3>LKhFVfr zLz<*G_lQPGv?`*hBWjUNBB@(N!*xocZ)=Q1xK>H`Jz|K$Qah-84Kj0WX#*%JYgANe zl-1h$8e>DX6}`E-%B8M!Gd5MZ8>>7GRo({r>ujiYFg8{>nyQ@YN|&b6t?dMLBEteZ zV3*?St2~BkA84v2W+|mbwg*~8h6T3A>hwl$BT?oX-59IdWTVKiz@vuFdOF~N=&$Tb zVpZ&cwh&qozZKn$L{VTspP;UAIFPKEIEGc9iulnbDg%TY!>tFKkb!zC!P#X1y%MM= z4lxjPb%JgrQWqZB0S|P1Cp1Je9m=+8lhekDHgBl*qRp$z9Ciiq)DuqwK|>8?pr%+W z4K`H7tRvn!YSklyRC}SRh*giqV8xYT6VWL=*-7*x5cG-4t_m-mjp$tTGXQFTGQB|PEQSd;SngvE=-J0#pNAU24?c| z^WUk}FZRoz%k>{_Bk3&G`qgUZ%=32~XAIf$W&u8wwD z={qLuXr)GC(-Kny(aK3#mffW%nQ=`u1zuSxkyj8!C6R{^i8RWHu8x@1^dU&Qo)~ax zr6v{)v5GUkI0Sy1c&}MuF+8F2=9aeWi zZ+9WfHfwB+9kQM@=2>XZQ|b$}w*$e@_S_jBp79&H$of_xjB27Ow4;NvRQahlG#cEY zURFo!=)nvJy`5)RI(y{7i61Orn`EYdq>~XtsoPRXJP@M|#NXJ0z9!;rhb%&PvfYKj zHqcC3*hb<3n~1xKIMu|dAv(D|H%VMvj{&qx!b`k0Nr8cK%AaXhe4cy#U(VN3$R`zK zMgsj%&+|(QFZy8hDIdk3@p0-^(>E)`yEkD-N=q*)Ei+lI=u=bDQmuA-sPK|2l?eIs zpTCv$bq!5T8lBc`HtTizriP}B6#4>HhQjQE#OM@*(nW_l6M6B4AL}&a>Korkh&P#) zq^^KGeLwvsuGdKQ7NeKV--Pybp~Q$;Mjg6hZ{WGB4jH#RcUVvQ2_VSZZ>KGM@$R?0 z&>u)AEM+v@Fz+6DxWxz6#D%)_KzNCA3gQ8x9^p(XnTcObui9~aLuCh>I%2A;GujJr z^u#VFhALu_5P6QxkMj^zQ~IDP)O65wi-dzdLytqZuEF+*$T&CJtn@Y+Je{y(%hRb{ z2IHf3!2+-egp`u#Ye`0=G$_1e6(l!BQ=V^!9s;H1eut50>q)(g)JdrZViKn$+pLz+ zH%NF)q##X)#%8Q1hE_B+Q7wgZa9sh7rB+b=WNf64+ENcxRCcnuFw=;mK#k$JIC@4x zeWR2LEVzrZOo&FGlqUgY22ltH6c~OHE-CXtz_k!b2ZEyTVnjh|N~{_Mk(b$^t8`Mo zt&UV=G(dIX%yl*rO|{#HCWnp#%?3crVPx{1DfpnfTQGRZ!5Syp5*x$XBouSL6Y(AB zsnFP3Gqf28*}Ahf7~EYXlOvE{t;vMfkwlc#D+({Fy)b}kh(T`2OUk1i zS677>;^)X+kYCUqCKQ zX#J>Ca#~t(X{o_v^7wq&xjA;HGgNrN;qYK(%Lj}wqX$%xKWDEVbM1>%mLg>wg&iVzAa*R~2;I~sS$@z=nImj# zYaZzMjM`@5g>f2}+>l=`(opOf*7xcKU#u%j62HfbQ3RD891EHJYE4uD$Q&loTyUFc zmFddJH`D*smJ&ZsUjns_>%}(P$T?D=Dv6In)L}b>(c9Nm#!&VqX z#ThS1NnJIBmnT{pL3pofS2c3ssn>;yh62?L2k}Z%AtK(SB00IkO^~lM5(C_pZhs^(8P$7r=_0z zVcI31=03cXOiCbAGsvUc%>6&jKJAmttEX*5`-DUbLrPjIgqPlEguu!#Dhv}|a;1_j zu}6rqz7AfwLZQ=Y)eQ}qDXD=ZnuV7M!V5#4fxPnkkBwR~>b?((b7}3mYstemzpj@C zhCr{rT#?Y+Plc|q={OEP#Xn1h-HzSVD z)CNQeGJqkuc{-$BVi&g8VG}r_~9?aM6#k*WAjz5 zX_Hee#T>{0q!qKjQ$}Rz_FlpnIHDizMnk0-TQdRDr3MX@aL`?XCwyoRcnBHnV#LBPQ=)}>7^uHcz4-)P7AGeLT_SuK;nG9j~_ixe225JxD@2ZQ(JUD97>c#25{jlY{ zGw%H2qa~1lY$6T6VajPAi3Cx0MwA^zLuVl?_kBHkY1GC-TO(QW>$X0^ki6L1!bBOg zS4pzs>-XkAcE`AZJ;P%|vu} zh7~G=L0QRe+Tgm;C^zLNi4O?U4^VYlcM`cR&Xt6`l}~P8RCmqW8*OdPctLn&=Fr1Kcvy}MhHZubFk=IHPo239S;bl>{=z%Tdqc{J>*l_o)(=#J=L`IsD9aEm3qp6__ zhCC2k!C8GKJFp8z$1uuCf8nf(T{zEL2rR%-MvO)N`5!DhML74YV=e{rx6~Fz$S&%8 zJsoK4$%0uc#$Nxj4ZWe3+&t{Qx1aosE*E2cE=vR=1FaKhX)xLL$a-xSAE$TN*48}G z@j2^C&YLQqajcrBf~Q(r|sdgGT@9)9n|2i`ud*A;@$|F=(9HZ2aBYv8- zbZF1ZhxNVYx^qTXXP6p_eUMy&FbEC^FC_BXm|0&>`zL#=9D(3Hmp%X16LY92w3EtY z!x1LDW={DnHC6>RgXTK)wnzkkYZ{Do$-PgI#xJm!-{NZk)ZGjy~7wJ zQt(>H`sG;=YF~e{;@0b)gK~oQf~HxtF!q9Bqi(z51?W7hc<66FU5S*T#?~y!kd*oI z(zK9Yh=1ip4}bFBKXBtBZ0nkWllxr3a)R^`r?+rkR#Fp$5mZsVBrrR*zla3uYH8kJ z4IIt_(>Y0ft#~I9or(Bus*8r)uYq_pI=MH_X_r{Cv{p}0-oqMP;Is=Ms z4wh$wBAP2};T@303=8al2PZy=#@sQv@H#+b=Wl4o5+oO1ogr+HRH5xGD|oy&G)C zOJ^{6d_KF=>GcQRCfOx0;bnvHl2RFAETfO8(8($&9!Nj*+HhkWtRZG4F{_A0MQlpq zQqo0#J_YeZMubrT;dORdWF6IKLCv8g4y0x#OTOILQy37lC{vQCiCvt9)311k{Gz_s zG2!*`xbL63`E^a6>#avV9DDsZKaP$Q5;+N;oIwWr(C$K(Z73d?s9AWq>UImSc^@x_ z5hg$V*-65=pS<~7@}`P!-udV36RxAy_mX3TQ=!HX(;_8vru}j4@cZiu-As7F0d>+W zy#BV?0A|5xZuV&%J2)7UCd8jd&`_MAj0R@GZkqR$eCCQAGH#G~d zVW*7ve$Hx$49JUwosvO)uiCMpWY@Mz2(Oe~iY6I(@rjSdJvDpY*Bgcpy$x}g@Y=j8 z4;m|!NI_pmDX$d84DZRy0WHJ<4Cc+cc#A^`C#R=&Vz3MY4%eQXKm4 zU!$PL5E;6vs?f<+>)(9UQ^*n=INbanxBYMUy)`B7fbdGC!Yd=89%)+CGy;;^k3@p7 z2@Z{49L}btfq=Z+i#F!flN~G51);ArPlukxA}5KP3$8owE~}C({CdS5SB`Nt(7wzE zgR}0BwTfW7?Ib%RcS3of<9Ey^VuA27wG>_HpMd2mhY83ASc2;;fT}108Fa5al+juKde(1}{p_6y^dS&ayA0|A$SoL>3 zp=>0-YDmwi5$DXw8Z~<-dPVVWW(z=gm6Vm~jYdeWKv35~g;!LnP2r}(OG4EEMsAeW z4P{U$YCt!A{Ed!+5C8`H3=|E-r85G99a-r4QwQNSyl^{2+-}uHsiiYs&)+uw_YeLH zEa_DcU4biqYjsd~{r$3BrJa7%E{;5QeY%Ek=>=gkX~8*ae}#G}~%dQsD&$;@jm^ zczreXFW6a??KoCA#a>Mh(UkA?D8zT7gxqkXtRp_LvRIY1sKG-{bU^5R(9F<;jP&NI zzLI3^R5Vo)2TE5(E;!@PVS{cS+VA=^2i|mY@5>j>k5N>R=;Y*qTVEb{ z>;*lAK~rD&7|qX7N9-yxZ}vZ*P5B9p3hvYTTvVI@`-;|P>jzkW7djZU$IvQ6`w83H zng=I7Ys_G9-_~p*CQ*2K&;XkIch3DlRuK>f!Ac1dmpY>Ga<(bF#0Yz4b5fmUw~G%O zHVZFvF|oBNycmNvKl0eJnBl@p3*lwXXN&8*B)k|_##NxB^APf9lKO>3lB+*UzhK6e zo986oI6L*`*@?G&vh{|~Hurg9!CgP5zOyJ7@q-US29c7M2H|Benf6|G1!lZbEf8L) zU^!__r$e0yvcO5le(0XcY+}*Ur@fR_^i7y8gcmat8cLApxC6oq7yBWq3xrTDc3(N@ z#|U<@#qx@LD==yS|!y^u|xGJp2yog-wPDLch3m1*nML!qA<|Ga;C?6@G6M z!cUZDn`{a=PKEf3o)RU#?V5)Qib zo^^bC9H_m%uUO)&pYk*Td#g5KSk3dA*c7hVcY6_oq`}` zRVB?5HX#u>5VB-J?1OhqMB>Pash%JkeT4H;qGU+W0^wCdQ{IonL6sG>EQ)eJismuW zmuTP=YpNltGEd6(vTaL}`Ury;ez8nlX0OR;93%`MAPgHQoISY5IfBsp<2Sx-TX-=? z$L#6=a6sd;o|=tqVa+LwO~hD8g_omkM4}<}divUW zw~6@m#GxP_h4|Jx?83xWC{5%TVZi#iG4#|56<%71E%%#arvEto7kI4|P8#SWnHy8C z7<8fdB%^26xTzB#dNFBL%!Pd~{C>(WFa*6piTtn=m62U7bw`T%Zy~(II<`p3*(Y4H z?B^Y*jXjc; zg;CR4N3J>Rw$CTeMc3ApZ$C~rC2xB*txX&Pb>t-wUP0{z!r`kISKqVl4~}3D*d|%D zP=-YaV_0M`EJ7H=A|uZztSG$PQevROt4>o%pCzuZBB%7Z@{_m!EXgoxpgd}62!2)N zWbpA2qA^epmKECt%7p}SR;myml&GiIZL6eFKuR3U^N+Kj+8nU!?W!@!c-BX?KfY+`j3%=iSqHqyX)5_>^8zH=E zX|(-C6dz@mLwJ?>kvBIal$U5E^v&a%8q!qZan+M&@0l=h?AvG$Urqn{hI8&LjH#7o zX(XBI`JXSj@7l+mO4_y21_?3|h7&ogf?AXHjLZ=l-X0Hhe8#Yb)F#!$0O7@6ZGe`> zxxdJ&tj+prB6G` zaBAX;_~_D9?$izU|V7COkfqN>w|#c<>F&f7u2Fma?UI@G<9o^!hinFViA^-^E|8 z#n56Xfs7YzD9S9Ry%<{vuh1UwP%)Sj5M2+1mw4sjkrHD$$=T7Ut)$NqH&l|#&wlu) zPd7BehF+qsp&|IKuRy6!$%;|?jb!qRKfEyJV^|pOoH*^U{v%I5_Nss8#wbgPwVu*a zM@&lk5>a5q!qhvic^SLg8%a|&L9z?K+xhxaUshx}fBkCPMW^4Fmtc?<60L;pA}gW? z>LXkny}r68&!>};>RgXOPOiWBNu*y_PG7r^2#?%3?WIRQNsdq=KUbVH=DkS^aV(^O zn8+#|`;jO83y8(E_a! zqR6o{7P&4Ta@)3lQ(%Y2BDcPb*lUPWY^yD*qcz#siOUIej$w7zCJ%J{AX~Sk)>IQc z`fW3@bK$jr**sVVY9W-3L|Z^d4f^a9t6WwWArUyK4V#6Rv6v2~yI&^}%CNnTXzmtX z;sP&Gc-it3i)i0{poLeP*iXVMHz_*KpfY*bt0FA)P236_x$gBy;m!p;#wQ4q@_N0I zJ$QWd6)!Iy{rD_dRt=Sbauv~g=+LO~6SYsIKcKxFq_1#td9;kKyJwP1obPegc9M-rE8P&`?H0@CzOzT$&&6_Nd9z_kBL=jb-uzqAVt*sbu4S zl_v=|CT-O@8i-A`o4GO0njA87{Nk@>Zr0V%H(E886InjprI&DJ`cCs--=|)A_H$)v zL{UUv;;Jg4Tb+&Mg29h$U0Pd`Ohby3{MdKwU9;zX5{(qBO8Sss#0uH74}5v!MXw@D za3GFBN)V0x?q8~_NK+*(DKdjX;VeeRwAJL(DXXqO_c=j0<0RpdAKqDo>!pH7?XX@q zLB-N{6hn~1&K@%MmMP!QSb^3^Rk**|{@szmcHUl74^mBZqVTdoyN9(v&b?-Pb-~ya z5MI`x@M3il5`mMNu~~Q-N{9)<3#V!a#t9n#2w+&z7}+M+L?eE8PW)t!QD)Ci6xYyn zXW^BT935k*)BDi#Vw|(mZ(wgLBKLeH={qG;m{BB5uMnn|ocLDq#S_+yd}!u|KR3AZ zOJ$pP=(1~^we+(aRDOlhNA$%8TcyJz^<&7Sf?bpXL@6#sv(aYcmO5Ou4r_%?lB9rf zn{uo~LF6e$wvdaCxGLhP@;7AbAlDkQ)w}Bk46B%KL^1zVc)5wTl72nISw}yH0O3U& z7EEU*iac-N1zk<_m2t8h4~9ljcnwL~P~)yARC2*S;yY$pG`b@y&PyyX9n1@zYQeZ- zMOD^iYN#Sa`tD}ywI&V?l>-KAusw!GJ3#SSX7GzLn#8i8S;~pElH^5bG^O-IW~ySM zsiJShfUZ&$QZHj&36)J$hm{1})miik3BS@jQeR35Z>aEsYAH=2g$Z70HAIu-(m2?+ zBApneG%2X4f_P6=CJi@8NO>Bm&7nIY5H#b`!bD>>RnS8aJKcm_Asx6`4v`cbNF2KD z0FlWrW&vctr=|9xu+pa0P)H42DG5 zGdmeA$Zi)OW<*bj-+E#wr5BuZNl)uI?Xlynt=TQ&hmIq9y4Xn+UgBkU_ZMCoKaxTJ zi}Bh*Um|h&)aU^-Q-vot3R8-Yd8>H98>yGPw&}%bD+~})unrm!7;2Yh>p)IXbEur8 z!A+VxbTW)>LLj<42sJq14K*tg3?%|F1_Za#$bOMxNLb`;XxuWl!y?Fe}G8e#iee30GW~1EFZfUS4(Gi+?WJ zEK!NC2&za>IBJNqhPbMmDL%F5s->rszPN#?UFV;0>M26+Q-tGA5sp7aIN_pxXMZti zwp%L7vY<^vn^cg&t)R|Bza<`^o9Mhm>u(XE8gb_u4yUU+c`iH6M7 zKg*0=e5eGDI%2DJ))#0^5>I`ZRas(gs&Y0~Iv5qjCWJ5|Jd_C0)OhO3YzCR%(MS@v z7JT*I{8M_L4-V;b;n3qRI_-puPd)Aeozz!fVMk;nB`q*W^7V{xgR&wcBepUkrmED6 zWU&_*%*mmmy4rAJk=odRR9SMg!58(^n%pq%BVn&V3{sYiY;Tih80ev(s5&N%JK%tBa5boYaQs zlkwX`OqDKct&g_npoxxJLj@a{NC>aOq%tZ*y86kW{Q?ND;^Hz0uYGup7ZqNKQ8BtY zO--q!wnQ!~R@D|a)=2Ezv*e2k6w68)Q)?V0GEce6Q`6{{H#uYt)`*zgjP#nqgv#=` zs>;|J#`0YiWzi)?JM*$OrzWqB)|bgOMb)}eiJ@F>t!}hdtK}IL=^GPDcIA5%c73T@ zq*h<5(U&&rOY8Neb^213NG0~wmni}v)GckMObkaHY{D*KY&guRE34O*sq&-K>Wgbr zw#C%ul!`2>&B?3H&92SOs?E))%}uY(O$(*AwzMdDSJKvXlDu@Cq^u-04h}_eP8gNN zd8(q~y27gZ0#!r4x-n1Fn5Pw~X~=JC$Zu@OZ>Z0&ug|YT1jdHKI*fl*!Dc*vWdmpC2DJ#)>f`JmNeC-mR6+}Ri_tMXBJoI6xZgLN(;*+1!bM5-)Jw-%+HQZ zuCI{50U{BEH&n^A5>?{1sJimnimZY#R%RE1Rk_8CHF+hBY+vL-R#XYfOR5ennKh6r zqA(l%vCACJf$K}aRgDR$gNZ4)`wD_2iD2*R3eqqe5E8`X_VQDl11SqJ~_7c z!mF#Vn`eOVDk>^58qE75ymHer5~5-)I&;7;!egVqP?N0_R$E_}>De zIHiC?oX8RNyN~EyL~kd0D?y?GnnkZu+~gp7n@Gfg8-Z?d8Z3-nk-o#2UZMw)d1@U; zot_#uU|;-03vx9tx(QAJiFCnC_}^%&7gz2$ajA$nh#bb&FM2yt0E4yJqV@t_G(Pry z2a#4>U5apsExy|W4arM<9+7U5PU3SApPl$@#Aof0HsW^>KMd_5elMY4!C(!v+p%-d zs_CzFpKcd$bP0ZS6a8nwN!OK{Iy!+tU2h~t7ttPXUv>KA=BcA?EIU&lTLuL7!=88%`hH$`Cy7%no7;URa3X`*2F`H5$rm z0eeMYdxXb}}vn*^AqZEblb zommQPHfjV0k7#OEU_r;hLPMD}-~y~(%GPLIx;BAm-HTmBQ>d*t4hkAdB>@*l=b+@m zs~wc5i|_Fgv6sTDywUvZyWjlpzL%~U^V;Okeyyl8c2Y+%;bqX9Jf5z1Jk5yN5gD^% zSHMHa(@&2pDXE1cHLc*?nV-RN`Bk@yTgXi#?l)P9(@8Eo=SmjR9y|@E`)|1IWTE%A zrK#Ccn%Xk<~@U$(wniYV(Uf&|Op)rHP%R~IS zy}dz(eYM?5!O7YeUS4gpriQ43(SpTdDymJL)FxO=hxpqbf>Nr@WO3fIiw*pA{%`0N8FK5>gKvEF z`15Z1=(|5^RpPRqJz;+duU#R+Ys9U0JKaRBwRybcjyoT8xX2AR(-*1Z0C(T_1QiBe za_^mESmgHb#qpkq&9o%<(KX2Kn6z^jMHk z?|wgi^ab6jw=ei=?xkm(7l?5bBg|GD2LW7obrp1&;Ajh#Sw%rzL50`dciqiAoq^I$ zR>T+dzxsjxx4zip>L-u6^r4fldg#Qnu8K;^wL12!r4EGfdi;s!*RIX6>k!dfC|8(lifY<8YSK;Lf-~ZDY?@xO1)quz0 z4J@w-E|Rl|ibnKWm0Bo_n=ZO)zpc*RPY4rU$y=jOKYrkQv`1}OJa6H!lLn(K z&`B^F>)c;|`~|qjjJ%h{2TtjEVpddQz|$t2UbJOwGk zu(`3RsG}V#HX69+1!G+hs2Nzyl1s7iJAt<~Y7Kf0N zm3_^X*NEYJ2D_8=`J(M--1X84BVQG+eonaTu@kR;^t3DPS-51gxN#5IPr@rIRCp=m zO;g^Q`Qe9OOn!?hC$p7&{q6j(nhE*k@sh=Vt)4XQEf&8uUVY@5<1y$7!WF|WNZuYb z?$M{dcxTo_Bk$}f2sd7QX?}b%aZsI9m6dzX4L1+#cQRt0d1C*&ufGX_U%fZ$oPmQ8 zGUC!J5J60@4Sf2}`xBmjiMg$f+Ls@H<|LulEtg-L5giy=)m4g7*N&ihIP1cXCci^P z24Lv;Hqt?bys#ovQC)S;#S6av$yTQg_z8LJ&Ic|UayA@kJ7TXn_kT1@^%tIcR_yxi)Fs-mw;*izcLnMfg{m*5H_Bgs;`#AUzA*IolVJfG zg9@(`GCLGrxv?p)j(sj}W5feD-w_D%l2r>A1q^7Xr`W`&$IY5Foq7pzHXrBZzGR!YaSzyk!mD|4 zeJ7G}>`}dV$ZJnN|H<3$GvD=>T!G=xsI1pYWw{B71A6vi;WuA;+223TH7o0iQ_~QE z*zB<5SMPmLnUy2SFP!`7=XYLv9aVX4Va^A$UU`OU3%}hl?3BUN$G@SKsR~oG&K@|l zBC7!5m!5gYynf~$)zKJD!I%{$;o-4S!d*Zo| zr@s5&yaj!QV_397J^QBZjO(CX)IR-w z`|^9{4Pxrc6YjtHc4BMMRx7g#5IJjChjVQE8};QO16xRbkeLgH45K!5_%<$DG4R;q z0~?NFw6GP&K><5AIXVwIOmMUXI?yxWJqD92xp{fF~(`7gppK*Fgdb-y@AEE@D8nwEnW9AJ!ht^7u2v={xPjlRtZhUIPs5b$n`MOb5d2+6ynGx2?V8 z$4|bP`}tQi$VXCk>_VD-1mUu?&mY>i|D2g0(r`p$>G;VHv*%oS&V`jZRPHk@{&gX; zguSl0;1U`W2)kK)z)%-_odj!I;^|tITXg%?H@rV-DlyrSpACzaqZx>sk813;701B> zJ2yEx4?0Y6w1x8QeAWqjoSrTVFQDE|e%+FL#@%lSXOHf6-V;|n@cy=3Lr6yrjni(o zy7#nmOe^u*H>UV53iufP1tg+I|nGj<)lk9OO&SFQYOq1&Jx zHlW|1Khep9|9+i!(HTRDpBA$qC2_=+mkl|g&n0J{*{_Gd0$byg58iuoJYD0pW6MU2 zaDz_lJD~6JC-*siP~Q`O`TD!4^_wp|ohmJ_f!_3y6qR0cA%?(%HTrc9$W>5y5t|dG zC`!u=crjucttpXo$j7F#tlU%joJd2(T85^~O-OO;O`Ddjy!wKRX*eNSafw%)djWF; z3EOru=_xHPJ8R%z76_blOi#pwBXr+xf!e~|Z*2iCJNvvpew^1rMZuU>daF@cMi;-o z^5ipLe@N9B?0niuC!6XT&mMGY(vGOzHfLyV6|<&H$G))Daf0x_VZ#FkM*~~Cp|8-R zv06IymGMJQ>`z;iRlE$qp?&1JF^`S9;TDZlA;#SeSxE(I?XK%@zWb&TfuMr|RYmi_ zhGL4UYvo`6;#|m%PYRUO;aR_E*~vYrjz7w=+g2P03+&wF=sf5!!O<4Vvh(&*czHBV zHViSob7`=SE_xARe@QRJty~Zm5x03#d-#x=GR2ch0lvT{r z9`XDWPrlwPyl%PfhRubm5sph7Ia>^A-QgjE^QB zI`su_RX)8Jrl=@7x%V-~diGpgy=7Ef+tM}~AOV8Ay9Rd;5Zv7%G#1?5-Ge)U;O?$z ztZ{b<4#Bl?huiy{^X|RxH^*3idW^MtO4U;}OTWoI?7NOVU1Zk9Mc?u=J@6gEC|Wsg z^eJoARF?8 z!D*csWVy5)Cm%!VCJ~J|7k1-6TPSNSdb!25yqg$~hhS&iS6-e?qX4!lH#`v)#k7LX z=bc&7NssU_z0(@ur&SJAVd&sK8_Pc^ooZ71I`*yCPIJ;p;>D>WkcyPJ*=#n8W{-2` zPTx3fKXYb3eZOK(e<*HkoK`Rgo{@S!QE@s+jUF28Vf!b5;xV6kwq|&g2uKZccj3NL zXg=RedWtEb!g?v-Bpa`77rl7jnvqL!fNc65(YhxTVkd%8Fo|sQI0e!y(OW7iH~(&*mY5AYwZl$1MC!s-#BsYeD#Ij%L{{$^Apk}p} zZDd+MDgf9$w$CYr_1jF97QZXS_&%pNLc*oaOI2Q;ZkbpZm1WA;vmPbi{v2fWe1DEM zD!IN|LDEE)CH-QF($Q9|F^Bfcaa(S(TR~WVhf`;c>3!0m<FB@$+ z<9=PdESP(`Eyh1I%cv9=f55c4I?wq8i`F6Jf)3PzG#cS4kxw}-THQ$4k@^EdokQjAc4HC8G~PiShoo-eTh8#i$EVLRR{HS3`IKe@5Xp z?&ilI4-0zks}7WIN>P!5H-$+jx{qFIt*7W{*QIo=`)^=MH=_%=`uZ4TWEURMbzkSp zRE>%Ry_5UBQI@V-o6WGN9;gf`=K}{EG$+{&OwHFPmha~+zvW|Mgrcx%bboosbO8cg zQ>8e9nzwl^;?u5*w)J6%X?T}K6P8e6^lXleqHc2eFsZ@5LJ=3UOr^?!q25EvJSN4V&s(wb{mV#p}qBU{ylDRzC5$l zu%s02`@EZ02EKfH;n{GRP3EB$^BA?`aOE}aiw+gxI$lON0J_4edqM3nX0f$L>4^Vv7G%~0sN^(+vT@x z_~^2u78i4&Jywcv5EnQ|ii#p&I;BPG@3Y0~wU16O9__D9ChvhBCt!6|95pFKSoDsh z_0MhJkTlL2_mA8k69k{{uc2Vb;A>MpvJ3^$l6t|{U#8i2F-?vhQ^OypGKwVRjS2bf z6Kgb;#tNQM`#(Dl^X>oiA2?J4_#=ZDbg%$v<3oyvS6PRw1TZrL-C==AqIux;TCg+^sf8fQ+!gp z@i#iv%ZHI?o_z@*|I=jmUI5riPwNzJYxkmPfVya)ICO2iBB`&hkmbd)_wsn-q3w=P zAhZP`o}F%TliFw<8hFU zpOmpNiVX{2(=E#LsbR|4>!ok+LihRlxXW$Z6|E-8LqDFtTd5^#=CH7j)raf=`f+joYY~+X?BztXGiOCLDUUIQ-dl_yqmc>xRUh3kNstGzskF zIfJP>i&=jVjRA9-l;<=?q8b%=FhFN;>+RaXDx~87Gce-HhNfzadl^I}o)~c2i3y z&;uU2kS3&Mcnx%mxO`b#33EuN^kA_kHCl8%%0yekT02<3Act$2?~zJkbYRFSNLuRZ z?Mn!GZtTDY*a@eo8`wlI*cPS4Ix-$gX&sYxrPh9~4Q>dBgI-r%L&yZj-*;%`5DU{4A0<~qx2r4~U- zKaF-xX5oTEHebq;SorQLXW?#P{9j_L2N8nnL@Z~y5w?4ueZAoaNhz=SBze#9wrW0f zv3f35k#E!-%gfa}!CvzvZhWU>S}7qEb7SflJz>x2eDgukRY#+}&b`~z{%MCA*HWI_ zFUyPIf|RwR63T7c@AzfwQhldIo3*=6>SV)cdnhnxro@>ls*BEUuxSSD8Fi zeiSp$Ur)1+q4T8~JAoZ2)tVh(i@HvMkDs*XxZWvQyBJRMVYGM3f?j+SPc zx@L;HW~wUA9=5G^2S8ItPm7@R zn;Y|+8&&I3E1BrvtiO+RAAx3IL_9s40P_}=T7y#JTrw%(Gs*-r4Uv!RIcbS12?o)e z7vAsDs6`Kttan+{W({N4NXjZJC2|`pWmQyWJt>6XF|keeQunbfQ;;kD9N|6W>Ms#y z8XA&jL>0UoB7k|~hWar`{qG5>zx$G7M6b4DBfm49`*egJ#F^khi$4~iTMRlQo8eQ% zZ^UMxi%{(y_1ui$?lS4c;bb+lT@bp6QUJs1t*Iz-Dqef!=2Z`yHX5hH6ASUv9RFVW z1Y0k18bNiD@N*{@eCY;>U;gNM_Dk5EZ}>@|;r#WW<$Q7W&eUEmZT#`ko_B}+w$S7k z#Foxbv4p#|>vXT0)S#B+yymveiK!E_w~AQpjHXJ_!p$F%pe%}b1aCj>KmaDkZAc|-44Q@(AJd7GjQbQtdW zzUnIFMqjE9q0}iZnCcE{4sTYG)H=#UKh+HVZ;QHLVd3f?4(@UdTjIk8+umgMtE^#) z&5&$e4QscEZ^Yx^obw!Kj3_Ls1uqZkN^n6H4`q@iHP#@+{a+&rBrg{0`KqpL)KY2Gg(9^+@4HY`BF`nlCJvvO>O#-2RdrU!l4 zw3UN_8nyG!&pO%OrKDh^f=;DIk_;!Xi{Q_LDZY4_^a@6)uixmiodSQ7LWNxXc+tT= zp)3O@PB(q5d|N|gZ-B%6hA%*I7!0OwvbH^7K490q_N&#C?)|g+76PVEft4S}TR!SG z>=ihQBthL1{ZrU0KK0dmXWB%G9_VC|Br1~i$~@^Y-!`n)uqSxEuH-JC?L1jHDb@Aa zy6=Uh>+RuLsY`eBppU-zg$($UijSIyk9soF>I%JS`Q?Ctg9^oIA;zS{uiauIje*41 zXQW21B|R6qL!HiRn#5tR7W>Tz>DB;env;{hdHjlhb2S00o~mm!IYKAhJ~Ox zy#p`%Mbkg`Vym?$)m1I$WahU~!?6n6)f zR6p-;8t=k(Z^5}@`{E*o)%8RwC9vZ&G)6|Zh?F58jB5-HYfp^6>EZvN4hEPFDN!8y&l1RTVS&Mq43|kVKEQs~2PzgwZfHt_PDD1uLZsHA`zs9OvTaoOTfhZV| zjs74%KBQ~NDq2fT`v1}oEjFO7Q6?|fn;aM*iIIMQq47R+Smua}btSv*95i}HB}3OH zBkw`Zp->HHkfCcwp0@rpGIL5zj~%(J^W5El=^BDHbi`Q6d@-8LRbdwI85{y{@>?py z2KU-UBqNt=+2)H<4E&-mWI&P(Leh>>5 z&Uwqw1F;%`W1|^UB#|8GU5u#FJtwE=dDHD$&ugI3UWhw@0vq7O4CL=M+uGd%RoFJ; z0NmHzXs_I1^N2$!cQoETx^4VehJMobfN~cWtQ(V8?7Ys_Z_~|6U8>r7^d_+fqi4lU zcs!_NSzwgv-;NGlYsPElGiuF%$P^Z!r=~@u*~q47xgn8pqENvUX!>0-7CX8&wu$Bb zPiJ@SM{6}0@R;8$(t^lx11X5sK1G?RtvI^0Opj);V09=2WYBTL~q znK=^P?Rm|c3JW9IE5#e95MgF8TFcD#X6w*93RX2%UWwl2kKab(ocDAy`j!q3yA4f4 z6L;TO%?Lq=*GX4OUAf_3NTowgNL-#bU84>e4pms-%jn7_LI%$Wy(^~>!$ec2#aDOc zFvG=dqfrz-M_V|t2nwOlP2C2gp^B)2VNqhxNZGj32L&H4LvU&GM{vdse5Pxo(6_c5 zUhn3muIs+mL~3HY88ld|#iVUqkIdH0a8E&@mV)b(j)F4KwKl#JWxLpP#TEO@o4j-L zk}NGh*heew6ha1=bkI%B1mO#8|79Jgg(T$A5Vk>d%`|e*=svlqm(?QbT}8Wy(F=)fxJ@dd_`DVU%L!vd4w)*sjCv2W*h z5)Qdc^?O4n6Jg(j8bzZ?<)uTREN2``@zt4r4})@EyCbAg$)W$;-8P|*jl){*!N${! zQ=x}b!}4H@jmTn;dpbm59EX{aHNv7r$WstBCJp1pE04J-5(EXE-~jSjgTT5Mce3}5 z2!ij`3_rsw%!*J^vujdhW?iT?d2?iab?I~+r#^F<+k&dD|k3mt7KS`pHq<;xNH^y zhCYa1EESMqQ%c(sRU!&lM|hgf#uxl}oWCM&WuneI4Uo8LuXzs(4oNA!mvBKSQh7gala$t9u+Y)iFZy{7I5U$@mN9~%p3?07 z`iBrw!r(cJ@%W@^7UQ>GI}``fU%DDtBf2aV~fK` z_SM}9zb^TjB*3E}dqTa0i@fmWO_DmloiP05-E0kn-2ZXeB{5x;)zZFwN0ojY^0w~~ zHrW>VaiP-@vJNj5w&Ckc)BNSj)MwTXY}xlgL)fDL^N69!!|+f@bbHiBHkkiqha)e~ z5?*$T%p8@Z)8f@w`de}aH>+s2|H%hswAjFIZf?~UF%4ULp*T#zsZ$v8fwT@^Uw#~R9vQ(DlL|I@7JqFp=bbErTv@UKV+jnCK zE-0qZc3T}ffjS=B)&LI+kl!j*R<)hm35oS0dU^v659(H{-V4@ACu(&8S zIrRt`Hm-#)fjR%CYCy6{!uo=~iL)n6GJheShH{!u+vt#07uQfC!`^R&Vf{KTR>8|mNYcvjM4o~Bp%kfj%tUmz6Rf1Qt7U?@Go2VZ z>ZTN3SBLpfhi*Z$LmKq1NHU=YxJfVlsl3CG>-uDfi81=cW($O9LK2ZozRZfTsjZA^ z-@*Rj@o>`9Kg-2NxKHZV3tljf%8;$qyzArH`VkX6Rys5IN9zs3EHxhHi za6c}$wKy$BF*7P2P?k~yWXYl~LZPOEp@Yg1bwmZk>8A3v8{hm4-akZC9plu5Q?n7} zXVv~tVFhNn46W%_nL?oE>pxHvx=4aY0sQH9AqddN0@Sh$NR*qGZe?uM9*B?DNz%u@ zvn8iyYHDp%H)n0_@NOHb(DB$6_25HlT=wz07Q>RnRI!T8nkQ}NxDX!y6#E{x;tv{x zVWRyL2=lc`!;eo0S-L)oL1$4pB;~y*_D4@xdD>he6s!W|m* z*Yvv7pVZRSiL(e8kkuA!MY1WVC zM^i%6j%YCYEg7BQLWLv82hqVea{%SNWSMAinP|u#^Wlfy4U|LhqL>di&U+TS#c&FQ z*5q9%KF~KtMvGVL_?3Utb9j-|{|Q_wr7cfDv4;aEu?=sdixw|l>`ZT>t=9O*in3lE&>P;wYLxv{~~m#uimX#OPQ5~hz@8>w{d~%J6clM3ID<7 z@tysH18<$+)`tcpUOO^)IL^MBjn@S#jkIs6`Exz^HIXcxd8Nv+?GI-kgc-%*DF*GL zN+N4jqY7y-#HOSzf*mp7c^kD)LKC8q$tD)Lc+R`~@bnpT_8a-^HsPHde zm^johFK-FYWBu)*1$FqzDhM>SH5+}6BEZJPJbFO9Iy<=Ux2GrPODa>(+4xr{=Nl}! zH)jR(m=cmcKk4MX>wedKK|D+!i#7@*27@Cf|4+>j@Na{t8Bb(DLme0Un~-F*M~ecB zdb1@)FE-C5j8iNc8^U}r{WShH8o>K5MF^hd!WE;9nFi?arRrlxqR8|Cj=`|ZF)13$ z`8Z=7FN&xRaqlz^o6v_4T=H|Jhj##JA`3*`cS!jM8~(44X@S0qf$r1K{RaoMG2U18 z&Me`$po2n_ClT{0m5_Mnu%Ew7e5snCj@iT}_27DxzZ=Do!1|W;=U_p~C`R8DpY*M& zDG>#+C8C6H=OPY&kV*%)TP*c65{Y5Cg#_hg_Vo)+>5aa9g|b{~1{=@EeeHoaW)dM5 zMQS1k`fMjMvZ0_X2ZD7UCh5g3n1E!pXi)u(@*6KjUOTA>EYm#;`A|y2*JCOaK(Y-3 zZ@14)0hzMYRt&U(xl(1n6^`~ve6y=bGPn#i1-PLd!+d|+ z8e5>NbEY&HzYN&K^qRgahqws*r}g%S0^rkM)_BbGeJLDu4|V>)Rh>SW~T2B>^TdE+%M1tT;&r78)?L`Y+Wm@K0Kwy#n+h4NiL0S}h< zAtn-t9(gU47MF2-Ztz;fM|%x>ocjlEmQ4%`Fhr#=B*U6v?9N;JaSM{%Io55*z2b03 zH)6H#VC)S#hA1ym`!=Fmxrxw4W?sYu*S(gcJ%QY?$nS|4YRvtYn3Q)6%eK1Pv^3mb z4{^VITf~tww2k@dJ&5d{Co*Fjkoo22mDijZnsVVZ7r$5I`^3Yu3CpVMZ0UC(z1w6O z0lscIK196iLX6O8DaXZW)E0FXNyf3__XkLvmtmOiM_^(cAfir7-@~;Ooc~ncjBc|f zMe^@6K4}MwN<|gJiNwp%`%!ZlB%KTwUW?Ipt^VF-=y+cGx|Q!#BAINaJDqO4K@^+Z z_)W#bat*N9312g}^OXe~u-$=CMM5_}j9fGRd{a418v8=$y>#`Kbpa9sJBuK2J|$7E`_zogjVB? zBTz2_RiG%sxG9&Yla1ASr6W7CeZZEb3=!N&Aq^W3@AZD;jnN3RzU$2*$%G=tp$D># zt$H87*g)YE@K--=nU3tGlo)h8=3Ao&eq`|?h>$|U1q@;P^()vk>HGpkNgM;gAir@? z6v}OWcl$Gtp|tNh;Ym{H%i=*q#U30amV00~b2D}$JAhZ+gU@1mbDasOZ2GPtGaXr$ zZ6*0{kz6zTnDctI*p-|0g^G=`p!Nh^t9@WpDRdFDAg7V@_tI%|101S3D2irC*0uzv z_)m3Q2Ff_nbZNh`8c9&!;QAY{hWhr{!r1Wnn$s@4v`@PtDZcXz`L}LInBPQHzFwTA zMH!BtAc<(3MJ2G+)KjHXg%y_-M%2&SL~SpR0*fqbU*zbaX^yC`!-&zy$$;s;@zS)z zUY>5uE@9zfKL6I#elY_L@nFc=4b~7|j^LU$a&*`vrhNQi%W}kq+(fZzTf*X7S~O!H zYVL1yW`$(t2tKlXxJ6FWe3I}eqS`N}-WTzh7J^SHDUcGYQg~)N0`V*$|Mj`v?WQwA*&GK_hxQRLz^ zgxS|17jMyALx&f`qi~lAc^?9lv`ai|^2{ZEYZ`+9i0WoLBqQek<_FbCK!wNF)WwZ+tOs1XBP7KA=1d$3eB0fm^Xg)(T zjTf`O!ICLZufbIzxWq$H0&N$Una$P9VF|C0ON4}-Wo`uIsvq#3NyX#kcI`YO45Q=r z#6u!9v11>4K^cS>WPP5s!21I=mz*B{E?AI^@%L&5 zDi0)YlKJx$#T*%?MkDZ3P>k$zCn(Zp#$~3JC$F%Kio)du=e^)EwpF-QS^RCU3pW)3 z(g!JJ4-u7~O>9q%QkFtg&+z8!6Uxb+D0pRXn~Y}!8bUK`ju{~xW)O||2ba<@t8o}w zp!8qK8Gy5(v#f_&YzA5Rk`&Hxwx#s`QDD3W-S z#Pa9%@z1_h$a^#rc`5$Fy`ebQg_$2Vwroee=#%8}yizwPzs~n<`H|~J{d=3w0SO3d zOG90?6=L#_Ltw!j&x!@?{xSUHCoA+ae(QGeYcquI$=@b9l}!39f5wn#{6rPwbn(g)TJT-AaUHUV}*` zwn*0=`u5afBta3ik0z4A_?J<{lW8Q}ms~U_Q4X;NN)Q801O`RDb|@CFncS0+R7p=& zYE;gKStePIiz3qP(wg-}a3wk!4fk>%`R8}2({z?)P|?4y89@VUpo(4E;0y-tS~+KQ zWdITMpeOG&9BMjQh}UN24xup-)LoXZ#q91fVLbhIAwJ+ zCp4~iu=V+UOln4BTaYMujWPwh!U(p-$3}z_42T7ANLNZ@8FMJEBejybVr+&;vWc)Az zBTf(0^<$5imtciHidFm806yBL4UQ%8Zbk!;&;!r9_Wkzk;g&xBsp!)ZoV*-w>%0g$ z6YAjr)J_OyTD%5bP=$=i){zMKWwFxf+~;b0_&u2To6(C`G(%ikB91+s2sOz+8+X2{ zc<`0IqHScvbsiM84F}WEk_vQG?nmdFYz$+W4G_Ielq0Uc_Wh=Uu_x z9$6>z6Rj`rCvWwNvi*An1+yqv6BM=zrU6(=49CnhU2F|jl;GfI#T~>!DVBFUgl}K_ z3Y*ipG)smRdL`yY``}>na*}m_F&ARQ)16SHE?X83*Y&F0m#%)phSi6M)DoQGE3FrY zXODz8^~_IvXJO{sYk`hp(w@eG;%4 zS7=M$iR!xz`yu{gTK3G|uSGp^-)8r|RO%)NYVzDfG)1%5i}u7Um!S967i??)d>3Eo z+=Bikop=CgprqMK=sjW~xzIwq{52YwJu1|~5228Vlg@cFt-r6BI}q#3e>8M**k&ik z&ho6@yuuz$ILsbGueBfs3R7%fOgN@ZbR}WB31*3x{3FY(`bP{U^9dLUByZE1wSD-Z zBMLn7NqFIhG?7uow-smB>M zcm8Qwcabw*#!);SYvow)&(zycygjv+4@pZ!Hm7I0tR)nD&2#>X5ktw zSn}MQMbFl6JapV9Ne+gGR8TQavC72m*N1QlSsgJG{V1rJ{al8kPryPIm72xLGBGanc|!YHUD;SJmR#?1J^Y>vfj4u;0zBBgj!O6o4is z7-C}S7GeUa067$fwrT^eoV7wA2O(yDjsR zU24r%^jWHP3HzOEd@ifyo%Venlbk}!*p14+dT@7cWU6$1`!%fuDl~$4AL&?r^K9+P z$P7!%O*jaAMu-%pPFP$V!NSlelFzKEEn;|)aiwaT_@QpEXfs92Q_694bfrFm5n8Fr zoG?ViPNz*+s7|BmBK@tY$vaoPuu_wzCWSsISsI(Y>??E1aFJ@8dVX%DX>vn>wB>fs zb-xW+J<)@fY%-qik=3yXuR2DNYLLT^bZJ)Nj~IjG@xTzLyF5!pU3AC3=l2l>@5_?Q zzob;g)+wrN;8W1t%6^w?{$bPO%eO)mZNsH&RNc2kr4v)x4s0*Z*HmTNwNXf#AnIZu z(F~eSzX1`Dn8%w1QRwP9s_zaEeM$)8@C0nu_wY2|!1n|lTY~Pd0ZFQ19huMfAoj@C zcbrI1%)nC#zSh3tjobLewV~$g~ ziyuh%whyQvvms$;hvooqhbK*Vtoh=;FuK46_<32&oOs%g{klz>pR8skzVU{1Wwbx$ zILmPsiWRT}WgzZ-TkZVfwC{5m;W2<%OF!`#d76S7gV=2ZL7x3kKL_qi- zLlK&SbG$zXRE4UR-Tl)-R@T-J*y^<837f{Le{%DSiwE}qr~&lfk0;zH*)SuVJxMK$o}p zylQ6fJ4z|@T-8X_RYw>2(4dX@Q^sUjKg@l@;L(WcAXLm#at4Ol*3JeHBFt-_0nb_d zSt_HX=qn?)5Uc;bL=IE?QGP>wB79A-J2J5@ ztbbZlLYKP!^&T!JOlPlmlbkPc2#=I`PpFo8ZoKo>yp99J(?Fhf;p(an^VdEox^22* zWMKok&yQz%)z$gPF;ndXoS&a4g0uXY%&D zr^C*;VR*-MT!y0NOeaVRJ9RM6CQaRpP4jB6fXo>!CPe{YHNnd;q~}$ zB5Z5g96Pz{OEiTB#lWL_^vgM|%tT}tXhwNG>XIVtlvFEZ*tEr8EhAx6CB;<5G#@^b zTR4@z>A!7wZ%oKn%eruHNLIRPFvULH^@fr@H(!_|zfABvcNtL!LTcmu>plzeTOzTu zLH{v=rv=J}w;vs3R$B}ko!d0bw2r9{Bb{IQ_S@pJUm3haGAPy+=aeJ$lG6Oay~)BY z6D=*tk#Cjyy!$PaX;L+b0w^=@HgXX#mxze-0K)>gf?{<0#`z7UYT!&>I6?&cF4hBr zzZmq=88ICuzqA2ltz~xc{nQaEj<@LQA>Z^u*0n6BknrUgVVCYku~C`Wck8{*j}5SQ z{TTDQ6_T2kjOAfyktsp&^x@6vO=3~uTyjWb0N5C$XsOD)(f*v&mZNTQ=v^JtXGlXs zTle;(7JPw9`UEM~h+H@!Rg&;bvhDY{p|zncP@pZfvGLYl%!lfKACVrm|9d0H!}^dH zOVpeW|7n7fz!*TI_i|~Esr;U3HxXZJ^CsfqK&K`Dfy%G4ZZui<|WGoJ0(LY|B>jiQXvoHwnM(`C%o0!Lvvf z16B;$d+^DiR%%rWy2f`(lbPp8*TOlm6pEdS!}CVr^DM5t82^n}OIaNA{!j9K;NfLb zDMg=8{KBcBL{qZ#F;S+CX6Na>Do+HB)cUQsDuJ{US@p-mM%B7d#_>;Cq-iZh%kid^8#d$j zeEg)wJrrlVmVAdP$*C&Zy47syKLHlG9pb81^pN0uIA5GKk)MuhM zgYcomO%Ah8CgQT_Lk(kv_7?T4!NN1Q@D?gV9pq*xSs3^HvbsOBp_x#6EZoI0_~Vq4 z1i$Q!bMFcF%}Y$d-KO1U23a;=1=9qRZ9Y#am4AjI^XAo&7oqtHUN3}e#duCc_KS#{)usKTbjY3k_$SVMgdA;gJ@BG06t4}SP_M-1jk=MPa zE$+p4V~upp?{{#QaIJR7x9w(`%;5!dp3g0y=A*-|oUdQm4Sq%-x9!j7L#fF$>}P%>5chI_lJgLi%f8mP zT_V*|HL1Z_p`^)4># ze+3KFQ$Tja#JbhVJ3KIQNUmHrZvn2qj#|_AdL%tPgqljwjBHF#y*-G5iH$3zO$-x` z`(&B(EK4i3kJ%No<$LK#-yTJ^i|=xD=ob59HL%&fTY$II7jVS&^7+!N~Op9?nSUXI{B61!CVqj(a^bil=IXgd!oRC zDub|OO-F&C&?V;cgGF!2m9f9neb9Uq&e`Ll;^It#?#zAn28)0G{gcv0?3HyUPD4U9~bXW2H684E> zsy!wDOfL6bRL_jHhBP<=1tU`Xy#uruNOmc-E4xtC?0-aYUg9NUaCIO={O7SsJ$uze zKq9-|SwMx~XKOrLWfY;kHq5K!EwTL7_(`znp+PDuFwKLwFPm<({7#web$ozjVXx|& zl#*6a+}k!bZ>9L#(tuRXs0UvtmXrtPOr@ir@g=&R&@kS^nyb?DQ+$ZJrOY4K*%~~q zV_3&_Yxm)?KJS+XN`zAvPkiun{$xP~=4NgC^JLf6QSnhJp8Xlav5@Cw7hhywg6!%f z^UGetn7^z{@b`3pKKpLwd0;22vu-slMjev_ zRg+-ODOL~}qh7tmwh{K$e>9nFhu3hpvqxs4u^H{8nN;KXuV{^SP9^ap`s#MyJp=2U zO}Yx*xjsJJZ?trMy=9(vA`cMqa@od&LM3-zeC?#L6LcQjEfT!CV2FDG&x}R1=>Q0? zbw7}|_1)|Qm9_ZXcNgSz@Cy44AGqfPnb}grBBF%U6r zTkC6Cc;Z6&|iX zH23p;n_Cr+5Bo8q*EX$j6U4+dMpP(FRnEOD(Z2Nx>fjB3M(Y{j)# zlsW01TYLLJRPcYtALTsOG(X;Oqc=$H?<&fzl!QbLO;yV&J>Zj=$1s(vlT3%`3|gV8 zYEAMS9hGdTICGlpuIk56D+*=ux(sQWK@QRq(uM4i>J!=wh(-C5#Yp5pgb)+lwnjdRya3yZSH)?e^V z^kd{Tn3A+ctQoL1xGu|e@l9iZ?YaQHaRjoI(LT=LKJvbwa*hWC2@VCy>}8_NDkIeN zp@oW^h)vO|`Kq$XvXNiu5{z0V(AluJ0k#+)VQ0_jJ^lD;CY1(v9uCt#3lk^pmzcOQ z3DcKVD$>*>(GjE|E0ohH>zAP975~t6&9bYBAg&Wg6dgf6YJY@$rq>DNp?n3?rkXCd z@q40H2{d|0r5QD8<+d`8Qqq6cyxf68i?_6j!>(3862%ZB?{RwCAu05yzvn+=T3olO{w- zXa0cxcS3)p2iFm6+|cRs4vs}vAW76j{~c1;C;?!E&=yL;{!m=F|BOMEP#8uaf3n(F z4@Zap3?dEQZcv3-ZU4y|fBY^+V)a`+ZxocKom1O$@ttFF}nE0^lI{9QK9}*J7 z``;0ZaRNzE@Hng*AQQ!P`7s{b&fhe@JWU%sqgF4Az;Le?^M5<}5t4Y<2JG9rwvt~6 z=)$()*3wAnvpPKhn0Q&4If~Hzv*#b%nStV=>I@pG8Zsm0MG7?DOVC~=2X~J$nu@WK z6_~vdi;H2kvPudSpQ>1o#{b!LI)H2n2#AE_WMDtqS zEYtZEiCt>`hMrw_+*E9qXKN!vJvoQ1|Ix0ax{-ZI8o+*n;giboIAQZygbd2tm!|R4 z>O|RXH4L?eQj!rCX6-gJ>J=>5zh@gue-ZbaPB zI@eU!s_kYCfoCE8_xw~n4v}!8*7P+hEavo}MVRt92(7*~LAuZN*2LW(5vT_ulUv{b zU?0o9|M?%65X71Z|BAYHO_H4CY^|@;>jJ7-LTUK`bZ}$n^;~j&B1Qq={K^AbL>~g+ z|2ylo4-hIZWY_RaDU>lsxYq-n)l*Xq>JaS(bTJhc6(^_S5MFzo3w^A)PEKwN2>(Bj zWR+C*c=$yRWYjF_-F5bi99`Tt5eXQ!Dz9X=SFX_YQ~g9#W;v|xfqzYMzTP#2SRRGQ z@;@fB3&i07q?lq;+L54xT?yPuT4YREGfv$~2I(gBxvU7vsZ;#6Z696+95@kKvLImr z3o2tM-Tz1aQtl%6RRe6OLSvt^!Uv@)-CBmX;~9<#<}>O?oTA2U+;t?j=BGbvHh^cm zfXx4Fj>r4Zw9(TwxvdYjySFoO9H1VT)Jz0A-n^o2LkHeg@b>0^25)&SX$bDSdF=99 zU`W;PkQEIc9|wVFU|+*Q$Tu{vqN0xfN2)#Ou?|~YkFV2X8ze*_z=q<- zeIjG3KC0?t1QmIk1m6;M+<(nG6cS4C_?(3SkQHUlYCFE<3tb%l{xe7FNP@1}ee>@% z`~_5Ao1Jj!U4ldKhc6&WBhlqU(w(e3znM}}XaCWQ{T~rRwH+`aN@0Ib#8EwO6jYHK z&tZn0ec2)5!PT}gHwX2n1@OF(LFZ3hBa=FG?Gmc>3mopxA;82Xfd$~N39mw0AuKE= z!~c7+?NC9GIAC1i#I+yzHF=~sDEB>1t1JB_zRC`J*XhUWE3cd0QCidsOE4;*h`2Ks ziQ|nNcu(E75pyme*aLwOwxb&%)Th3wbuuPuWF(LOAtEn|iHnX0-A8sux1TSAe0bN~q*QHI2e_=AIoMBWI4+v&LjK{O0tAsQY>&9bVARh; z&&1tw(xExB)eCalCe4*^r-SEP9@jnLWWWq{*9PJAn?F1obyY_HK0=6l_8#7HxQx=i zE#=`3B|(q-4LqNwQB-ud?uz?sOS?vS-FxvbmoyK8cFQVbgM5+-Hy*l9;ZQtfLC~ z-=HJ)5oFIL^a?J3P^V7_mZkL1pW+$E~=plFVwxaBHKyk zp$r}V;kzDv{IpjMNW%0|h{ctBb3Er^L#A;zwR5skWz3(KwO;r3--~uPW7KKxvtak| zu~X5l)AIa)6GmM^o1Bb$guLwQ8A$*4{MSB+Z0$OXhv4qtObB{O=fup zjN&we*(h&cO+j#s>7z9yp0n9Js~R;i~a&;hd;fmsy5tWQ8<=RGNFgN!R3MI(7tudkxA z#!Za_ht7xcURg5cjWcPAke*!SqcHUkReb?q)n6ToY!3E+^Em`M(?Ycb$;CES$$;1l z@^NTpHdw_q*u^zRcMlUL2G2WP(eDM=mV8pb*oYD|DvTL9rGrNs)8eW@C0BZAfKby1 zUCNNOUa3&6a5dZ0fWHy_EQlGNg(fPuygG}sYk$cewyWVf+5L^+_I7p4{%y*9b+D!? zuIl+Ah7#q_W66&I7nCJNz1&!;XF_lXj$EfEOwYo3X+tn9lE0o6lS zsrSc4xdqRaM!$|1J6;D0rP92>hZE5~`gMF?n7T)$BvE-4LZ_0DkmU*9df& zvSgdyxIv<45oqf9r;@dZ-K1G3Wz_} zl$X!sSBCu5x5ngQS!*{s&A1^m%vtN@uS zR%~4ZnJY%W)gHN+MK)GT>S_TBSeHISZKb4U8tBe`t6k~178$JVP1P8-nfS6)JTBlS zIl#qPkNwOP4=Dr#`}u#%CJJW*g)SZplGJ&ww6|e=i$t?E}zAtcg(CIP)=yu6r(8Q8>KGSe?v35Hk*9VCiaX z9^Qn==MyHJyU*aEM+JfJvK9Uhr;`m~1|Bw(nCpJ~y$czvbkv0Z&pxEl#`5sxC4lOz z21od_3iBlMITB-3>x1Jr3X4zO63@x;2~@W!1PG*Q$mN=-M8%9VrGE~wi*6H2$3{v6 z3w6(VykuN3uw~>;Tp59|Io!ZX*|6>;IFzb{QucSqdFCdNqdDCL%DSWx|^#cb(YtL>o-EAc>!vjQu*Tp-V#i;&Alky+y%hBpTA<>AaBI0Hep3A*Fc`mvP-=ZS7uld2rEDc~*`4|iT zpOz}6GkV>5>Fpb;`bc11*?oHv-JoB+Xgaur)vpe`$8R*_wRNKb>T3cOy80hVS4XA; zzj;GieMvyF{hXp0GLf3OLl;jbyE-AA3l$G|z;RtTJp%q)8+UOez1*Sy;KEsuCZswW z{2prWQ3Mx#6;MXSz>>_Q1G$;dyuW|m^XGg%_kGW~&b58N=bY<;bcWJSMS`b7v!`8Bs&o>n?z?YKw<0`S`qm&< z#9#X}aMksfAwxeWg)`Rv1ZRlN21SMBCa}JqSbPqjY{-n+o;c2Is-)%pVD5jB<~MRA zZ8HrAVAdBAoR}Z;kdpmFo7KmmHMa2TJxsw7kArZ^{E_1L!3`;n{rR3o4WsFHwr3J- zZNAAubtNhZRr(1GJonTX`IIT?r6{1MWwB92^d;_uJ!5BohP+L6r~uSSf_44&Q@dG+ zme7`6sR6qqu5HPwz95ZD@W0H{&=CmKEyZp}mT{G8MFsg=F@)-QCPl=ww%pgU`&JFf zV$VNyW4Jrg#vXfqnug$iM&MChc+@)nDmi{Y(iHht%rt0oJF*1DkT{`>bvi0axD)!w z{lfw#PKssMExk>o0SROHn2i>^ksaZ&*2kajyAsnOTJN_*Y~tvvVB&Hk=D*w@Yu}qq zgGPsZ^(-w($@nUQmv(7X)atKxnUi`4A4~JJZI8Uo!|y(~4Iti0eD}g-ex0Py1Go04 zL>RIa+#MMCP9SyLT0~qBzWl+}(FS4JC^MjIjUxT`vBt98O$v+qu&GXc z1(CMMG)5|B{Y|jXxt1txFZyi~$^Y?Lh3f@dp(AyLT7{2UcL<`$1@wwJ8pMA z<5ayTt#UiZQ=&HDRtZ9Ud)!!DCE#W{I0g}`M;<#wq0`hz-wH!W(b#z8m+;I&*m!u~ zU()@$8sT{?E4NXsFMd9>c2i*6y9@_xBko}%U82LJ2lo)VGD6kM!qobf5DtqfOjY}u z_V$Q;P*&q)Wmeyzp=BQj^*lX`jO7Z6>1UEz0*BO1)skomY>07*KSQfw#AS;QD~pB^ zrX5RqPETA82KA0{^NpWZLc`an@{A0$bw5h85+^4&p95TWvx9qupW3^w4%k-Qa|&^b z`XMch0&n|jXgEgn`+iaN9gNne*q&jWOMtj?WY@$q6WA%4>Z9`!D(zq3R5YhLzC$;r zAw%@9yY2R)*ts6S__9AR#mD7EkOcRvll$dHlQ}31_R@|_Q2lShPbmwn8~QkS9~mgv zuhKpJh`-?BK4Nmf%XFdlGECah8VN_G6z|NGV;dg%g(HrX z16-%_ylf6JUf2`2sjl83a9wzqnM(8Ns&xN%blXxhw$IFLO6(7^?=$YTnXRyZ(Id2^ zkXxTrOslK+W&Nh_&sT}0rH!X5qE1HE<9`{3=V-5x+H0lL_KBH4TUeFJMk-nsyvf_7 z%bOZgceh}SM^i%OxgN*ZhD{ngGSGf#^!Dkagjh`2^w<8Fn9|b9A@>gf=RHg}85H`9 z8&}XT1yiHmX&Gs$l%&Wnz^icEL-3$R+GU1H)qk(& zQuVtkb*Db@aRc8{rG)C}o@Cz9HqbS-3HoI6!c%T)F>cqfG3T`DR~SZw#q-lL|K2K7 zRjUA5{n1V{RuN!X0#w!SUnD+9Y^CZOJ;+Jqmd5tOV1&*gm}CEqkBAX-1~*#M+NUG4 zbZ!ZeqZ2nJ6@RE+PJHqp+pztaO{Llvx^;IRC$W9}R1j0Q=TH;$b~R*BgF7<@_&Z2j zO)Z-bLncTMpXR)RVymmO%QK?9=abQ;VfVseSRRB zx|LXMeM9kGR^j~oIePjq^-y+4J9v~7{hHMU{OHuU-71EWOI zf>^REjHWp{A>|Z(d|a8c@2M+tpd!^P>Bk-wym3($gY&ZpNhx>Zt!~M^JZiJse)`knD6ywYW;u}qn+o3T zeEESwUW+B9btNop`ovh744e5?UCX`VC&^yiu+hnm-e!P9eaFX`mr$-fB%FjX^djI}B z0JimW<#`Q-EeOk5O6SE%F=7Ttv>Af*6tsf6O`N?9ug}w6QV_zFy!?PK9J0}OPyPcm zvuZhw+$WJ8s*5zlD2$Qakd)0jBe%nQE)d-LrfO`UK&t5LE>4^cYgZCUnC^#41v9m6ZGmXu|5rzx5a{7 zmOkE{H3_*9eOlu@S=`)NIHmP5HgK}e6F!}f)O?uWhO_`~2n-7x$jZT8o;CzZ{al*e zZ4Tye7_B@RKKL>F%X)9ETE54y0lDB8#uQd!aNcVJxkF2cVPYe7FH`$>InF{OpuS?% z!`a71{pih_`BGs`cF)7nrIeS4i7lLUBs45Yvqs;xy%lmC!S)Kz2FW>KP>32XT&Kip z5^s}pcZtxwnZY0Qf_Lr!H$xmt$B3mFBP{bLUtbEj>rKFvHfU0T&Qp7{_|-!ndPMJo zd#(y{GRZ6->lOR5Hv`&BWsDe;13lI?d1>!-SaA@aTQ(DUN+_p5U4S|6iXqP<_X^Sw z5!~?HPE{h2PVOVdWSA=IoG}%j1~FY&zg)5a9!*;z8UrfQ3;n!XD)XCNsi6dgY_9Mc zK#NEx5MN&$t!qZMEVu@Hw}?~S8^5^qTJ7Dp`G0X(fwOgxo};rGH9ca@L#4^q#jc$1 zv2pA@E&e#+4&jgLpM@mKkY;9cq##zd5Qcy%6z_Qu2i!u?v$fS!Q>#EY{cu-(ZfiHw z#3kp+#$O)9gm4$66}%)a?rhT3(%jq^(c7$e2_Pch@Gt;1d&i*<4D(0m#r%-j}`sJuP~xofUpEcCnQ`Fn;E5FS%qB$b}!m8&=~}i0p?|$-ZQLpmm0KH z_-P2Qkza9h?RH{8L!K6sxr(QzlG4Cyeh`@wg#rep=Zg@RV+0;Gy`WsED5zzyql@dB zb2+SYgYxt9TjAbkQ94-xpm}&wHsFAUXp8|7(92W&J|99t#eCfP1$v1)h?DE~Wh!^Y znHTO%qCdx{kyq4=jdhv;_S-o(8k5*&Sx0pry@?1vn-x6DH6Yf?_B>cWmx7m_C&&7{WJ-DoB%VB1Y-OI}E}|HTJnl@5~!EBW+9 zX_f->yT2z4D1Ayw%4F&~IhH`rzcz#r0l>KG+O3VD|gz zJEhEo7|Xir#99W4iT%?2Du*Rm${|^HVLh0`_xg+zTDy<-Ik4%&W((*mfiOBbnzre= zIpvk+cSw+`&;xc{fN)dDfO}#*H{dI79G!q!9p&?}R zK3-LgaDn(*Qo!AOm}ZLDLBwmP`t9wX#R~^Q7SDc52gsHH5x=woE>_L$PY3SVx=aZJ zE=hJX<#FhtLHLvifE*00oa< zjoSNdwd!&B{w(27bLXQS2uGtC_r(F50&xKmWqy8bV{JxvE_Ynz_UTUS)!`!0B^Zy9 zdqmx?8}KP>7w7sOjY}Cz5z^sCrREU)tlVM(f}|c&Tn#p$gkm}{sm7b1{>C{r$QUUh z0kYWnC*ZX-HM=*u_fW%EvGs@F0<-*1JrGSC0e}lT;sPVqx@Sl^ygdmJy$GMRyb3#M z8%eYts4@9EcvCtM=*k^9w+UHP6;UIe7HS@kC-a!l9oPpB*vQl3_F#7oTLTZIqa* zAC(xLo~-jI>M0|>e?@3{&mr1Q7yCd{9oh~hbclpTYIOGqPgV1yyk)}7JqnDAP;g!aY6Rm%ED`4oml>U#;DlUIl zo>^5|Q&3a-Ug!pdM@|qXCkT-fl#vq@=aI>iQ#?k=54wx}3ld1%`YzKL>i*eyC2jLp z`SDTkPda}SME55hnz^a^C*B0kar*yDLV(@`0*5Ak#|}WW|E~v70FpfJ+NtO+3w%d49sUR6^;FJFZi;xRL&aL$k9|O?uuGyZ*!E z+fZ@m%ckCXkQLg(3(6cnb6cYG=TWL@Fr`Gn!?V}TQ*}%s#I85{m?wC^3B^i#Nv6ug zE!>w_;{7>WGB2~l+eex9{cf~d97%PbF>#u5Bn7w=w{a0ZE41|e!r#fd{#5%S7;BC5-ygEicb92ByL>0*Z`yca+81@A<$K{4M8jx$KYs|#%FF7^5*8G6pM^&0IBvOBYK}_)EREM`g0Q3 z5I~it&&O{~vWsrT)T!$xZ&3(yZ@z;}RZ$mGFODgrogC67OLeXzla;Ckoe+^2FB54Hw-{ne=2H)+(#`4WSxb_H&iz-;Eo%RFw_gi#E*H~rG3`6lVLPLlq3 zN!spM1t$LL`8OZSz#g-mv3rP|(Ya?1+V7{=wp!GSWG7ttZ5qg`*jNNhWphfSMWoPGyH*&Y&BZN0i#mJy+7WEPj6sg-C zr48-lUdvwY)2_A;2m5pt(57rlsQ}-8PC5|E+S|9VCui5g712xouIE0>j$3F$)Lvb> z+L2*;dgY~b9D&AjPYKt~=x^J2ckYL^k0j0$+twr-Jb6Mqks(L^?CJ@*S(4nfFn8II zlP9W7V<6%ahx|=Yh|ayvm@hM~%-%Pp*FDpXm&)vXH2Xlm9>Q}^9H|RSdsjwyY9Bsr z=E5fWMvD*`whfotdl^Ial&@L}e$l}mNM2k%&=7_c{BTOnnx&7@PEF?3heA zk5BmaE#5BCFn?hv=SXP>E@ylKH{~I#@nK`2=Gmdubj~ehsn^Y7Vz+!nl1^R0r<+0y z&e^S2%FKRV^m@T|W1PjN?{E6*-Kxk>jQ!Jx3Ucfc&le93(wWTQ`#~(!j;P`>)6~Bx zb&iN!ao^1=9%!uKv=5C0cxsdkisB<~mA^7o+Nwt#bIb{%qgIE8rY`_PO<7Z^NYNzV Fe*kxPbkG0* literal 0 HcmV?d00001 diff --git a/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/pins-from-ghrd.png b/DirectProgramming/C++SYCL_FPGA/Tutorials/Tools/experimental/platform_designer/assets/pins-from-ghrd.png new file mode 100644 index 0000000000000000000000000000000000000000..706d926306abb634d093eafcf34f58e50f252aec GIT binary patch literal 217470 zcmZs?1yo$!vbKwRa0|iRY1|=ba0u@1?iM7t2W#BjB{;#|CAbBb5L|);`xl?=eeOB8 z$6&P3YfYxD1Q)z zsGcM|1m3_{h{%gTKzxlwel&sw-Xl6nX}Lf^V7k8kL9UyVctSwD6iJJVsCw!jcfjjn zYpmX$^*#BYH4B_w36-T=V-^f*c1K3)ebo^ESV@aCg8_?t6B!waBeBvu(MwHQ4e|LtNv>FO<&r$md56e0>dIUv%5 z{(X%-suP5S^7rT1qwf+D6Di`QplC_3m(0Apyw;eHAxgV*|GBaqQ3>z<{{EJZgcKdW zwY7Eai_fZ3fXF{L_QImXjL5v~3H&j1GTi(I2mhbez}#e{q@TysfUA~#UoUw?3?Tba zvo2jzkX#YrG52Oq?`r*I>DDUXXLI&z_eh{r4Sl8`)u1Te*Ce9;3%TEwi~ zRQgkLn&?-%{ZMHyvoBU=NgsQwIYVjnq7NBgI;t*z@gZ)zf`Nm{a{8Hi83*b66^?$li(`oA5Wxl# zPwO(vUJ{fT9TVQUyK`=ed(X5Cw_ULri%40HM@ly$f3oqmLkf4NGg?!R&NA#o6Egue zBa(TC{?*wge_ngliteDt?Nj0F#O+PwLG1(;GX*#uJVQh0AR)iNTA5|tZ6{s)pvmY{ ztDIp)hSaru*B;QVL@CirNc%qa;p}+vndOgqo29jqo+29V>YGKDXU7Kh8oTr&+d#yD zU$w=KOzGyJ@46NsgBqRUw<2%sBdv7MsxVv0er(J+eI{4kT&vMk-r8NKpb3} zx)~l58j$E+>U!`tIBj3T^24{dfP)BRtZj9V5uN&x~%^=&~ z+{@gQ`=K+-{d+@0aItxkyZ!7SS=0o}?vXvoUk1a6P7e~Y)W*R_M=OZMljHd+TguD` zwg2LOl)zslPXi0dvWV{>W>}j>lyXO^=-rWtT!l!=n?xFBVz-S;ZGv>!xwc9_nV~XA;hBQg)C2BMqRQ1s^QBMS*#?~Z}`rX7F>~RZ}fxt^WcDMr*JHG&J z#;V#3;ooLTp;iG_4fm5~f2iyd2h`Vd|FYC}G^KzGk=gQY(0SSCU`={$Xg!R$r@fIo zNS)r|%b7ry&`uoPkOj{e3lMf%4Cy?1sPn?Lwlp4nvs4&0?w@>@WsfiUe-A#;!*>-6;)~JQL9P|PIRV=cXD zS_~r_CG3AW*3E<)U<2i!-s69pE{Y&;|JVAgLzi~n zxlTTve38ys3x*Q0n0VOB*I|~EMGI0T=w26^oeN3`tD&x(a)7|sl^I*}5i{@PS|fMi zFi^19uTUtyDweSRN`4aB(B~ndUTuYU#U6~Dm%3rwgN-~lUZ$z7yl3bCwxv4#b~slP zx5(>1z30<61jE{+G432UkN()5C7Qbo6O#@2I+)VxBQmP^N$kFASu+^r1@uvT$y>kT9KtMmDK+xK zt*f)vtT9O5AAP&1sQYItx1zMfbv^&=;%TMtI0$}ZvvpGa#l#m=7`X=+J>XV*s72O% zw#2?N(YIq$TVVEb#-M!35_gHLOgzJ*o^@e}y0Km|vrjHSOt)*rrM#l}0g_#*G=F&C zm|`o2Yt*HiJW^fIJ0iGS)!8YhYEi0)xW9ZAsFA zpCH(s9#^VXoMi*5%5PR%PkSaNU#~cpdr5zEwcIKV%pGXFQ%g3!b0A;;SFrIiu*Afd zQ~m)y+F-)kUGaz1YB}iL-64GBQ=<%Ry zlMVjTh1vL%A?cO>v#rd!tKOz7TgnJLb-jyLiZYr{O;vEuKwhf)IJN909F!RAyskW5 z52K9MkzI#FgNmUoQr09g3U=3V4NcAp+{-&8lpA6Bq^-oLHrMvb3*(}GjY2J+bcRcj+x5C8V?aVzX ziSOY4!30C{vhg^4mKLpZ;r}!~0Fe;Tr?k6G>2v^fTIUbmZ=yofMhi-**Jd6W)5aoq zrLQ(@AQ;a3d1c0WhRg&jIi^#n6iUoXj*U+{CLVg;b-|(EKgjDE}-yGirWm8uWckBeYGPNge4Rin7jh8Srf=7qPIPSlw0x<6x zzELPK$drJcSm($eu2I;A7D$2GF%Hg8ya9Q0&PPyUWxmttiDj$fWtQ1#-%*N)*V(m^ zqQ}cu>5oB;GG;egHu=otg)dJ##25np=Be8MUi5_WRj1 z)VBxDr)&~|EW=OBo&#BOk4(xw36pd7*+*B){zpcS$H}t2-gopse1WXud+RWpaQTB_ zplN2{K|(eFayaS+Ji1Uzr-b)4sysOrOT#Igg zv-l0dUJ|~~jrw!O#a3TcEQI|afAEne1ouuyu#PzJ71W@k&{s+VcR>Yo=lXay(1RfQ zgYq0;{cGl4G;F^$I3_FfiFRK4YIwCmw{k-oCU3 zyk$p9Wbrx`Mfe}Q7Jw9umY#wfEe!p?J|y@rH=g0WLfZeJzpgkbO5JLidx)OX9rxYU z(EmbZM%++uQ3V(+a&1lbnBV?Gr``WRO0r=UDokmJy&$Nz%AGmbT&SSe!TlYOIsf}T z09xPtw{ZYk3shkLwK~zfb}BwWm2=e|2dHd>JGCAY$XIL+gi?+7;&QI8t|%dwXrYhj z!H(>&x4mrs%-@2%X|6>3BDJ2?P0AH=saP=2BAmHK>-5^{eYe#S&y*JSs4$ApeHnnh ze927;0&89oe~~GV9nk@Al<0j}0E;j%H!?#r3lU-bEFWJn54xmkN5JAE?F;C9uLLUS z(u0D5fq{!F`BS{yJ5o{_ny`xLo(8w=tx4Jc*^UhS6|uQc4LUv|B4R46n+QrNGJbeK z11VgggpZE^c^-pjP$DqZO6$CLF;vvl`kg+lH${NmEH5wXHhw9r!b0=V&j%)K6kb7R z$ZIlRi7(-n{mLt(o3x%36b=~>pxFQ3FwPJG1rt%P=UrwyFu|9T0q=(tON)#5w`D~j zdeO(#j-*!jE*uZ2UiX{NW`kSi0V7fog_kfpiFXwCo`b~u_YkIhwbj>SI7+fE?6N#tt}sI`7gdO?a`U=L>(Z~9AdFDg#-*Tj zp#AcFY5%;3pGSpjYN}GVNa=^*5jXA)h}wFCwD(l__v8_cJfz)E>lD)ZGiX1hZE;iM zMqP{m0pw}?d==sRW|ul0+JDSI`(bDG?+)(?=Fvsfd~r2o^N~~i05t#;&H;MAJ@xGN zg;D|Y_;{Qa3biGj+7sIik^-cZr`_s%caPsK#|L_$v^5w-7Rzhr{=cKu5oUi*{BURi z_cWn(d=`wix7$paDNC9iKK*`+ap@dA$n(7p4e@EacwRdVEllV(3k5_F7W9LO`&9dBFYn|b<`b)Ein#5DzsEj{Ik^a)HK~T?7tvLEgE}4(GAv~=j0C@QOv3z9W6r|oh(`$X z3(^e-szB>O{zok>H3c3@&dPtf@vJuLbHkpd_$kqAPR)X${goe;05iz(U;*9bAYG~X zwROD%wjq15X;(|IW_27e7ikf}BL}+_d3I zF<59OHxZ7#C*%VkDMUGW9RYhTX(~Zg$;$-nAQbdzzWCKmnhj~>B#s^jVl?jS;*bD~ zWAVZ@=7ZmOL6C-ogAdlY@-r9m&+A6_NrAqe%aHygNq4+4#hbzGDuq)`O@dVx=FaCf zGe=nuy3%{Xr#mB&5oZ7N>C@x4;BGPV#y{*>&jP{3c{#b*(n#DteC+>S$D#B5f*{YT z8-8yiS}=DpV2cmUtx}uCsmCF*pHqWB#IMmFuuL3bf>o$Rocnttf*05;aEa)8=YC%s zehj!EyL|0cR(Bkn;~ywKv0S{lRubav@Yt^Do&~i_r}#oPb`k$~FOFb8bAh`6r{ut! z$8jk#E~m?LVxeg4b!n98f859!`y&=MQNK;&#`o9p(*f>gxhrtISF|K&YySez57Vam{?HG|WTDUe%SbD&)^KBaTQ z<&rN^M#OAg7OD4XpGf`0nk)9vY|lxXGH`_rx+h@pW1r~U2wv#Coan=T!+F&-gSkgC znDdy{*p3xX`nZf99`=P?;x%M7eYrX|km#L35i-IsXO3*|iokW#k#MmG9pD>D9|7pEg zu8M2J+k8I1px8EYt(keal$Hb)n9A92-Kjq{*R1TkAIAJOi*(@BoG!N;i7%;DdP|z$ z!e6l)W^-9z);3(kdCV3Y`x93^&d|Q#KaV3{U95h7w%5{r9z-~ab~x(q%S!nx?gY#( zIAuSrmP2jU;~5bY3_oOa>yjPo?tvqui#_jb@T<(#nfMd91a~|9{p+J6FMG}bo2o)a zp?aFmo;mvrPQ+uu!aoteW6xF656aBU3=W2Q3?`j5fPz8~HLTYD@UJf*3fL}km1*Ea z$MPcL<_~*IoBS5_rm^?#bQFo5Jz;G{UFOKcAxRO5rMe$Y;S5| zA(ji@jt$eEv4L;-_kh8upS{wWL}hDP+FWXX?2Y@au$6IPAoka`Ea9;z);dhZ;o&qq0){5ZC1EnBnKV8$pNxC`CqenS!H!lUoMgKkExbJ>qsltYSbPak`P z%^Ck4CAF^&lTnE^oVov2;JJ30*T1abckTXr!Zw_XfJy{EV!4I*rLTXY7XU73gJ)qX(4VEfKGMBaB3@b+4155oa4*Hsp=kam zumJZP%fZBdaWE-P$N`YCh-x>C6h`4Sxc<9kf$NeNU_&F-*q5j4fGpXjU&!BdHi9OC z@FeldJ{zIH*7k2F0fWJgxJ5*c53w^)5#lpTOLv*D?|ymU(jp*)(J+qJV=>-cHZz01 zQ0r!SRb!ilsz_Iug=oP3QUR8+J>iw}Z2yBmG>AtAfM~0MZGNF;Qo_V<_xap#mlPt9 z@WdAIUA(V~CYBgoPm~^7JrhJL81%KbA`|GoM8yBP$;-gQVxV|!OG|1-ZUeOa%SY|= z8<@ewp%|f;Gp_^%9VqBp3Mp1}E0N7z*jL#83%D;mUQsgT>vIv1k^1&Jn!si+Sh3Rw zgBVDICT#q!^|qy-w}`v&bW?Q;nE$`m8a@?~nlRJTZ&TBrd|vej<2GR9LqbA6KR-K= zgY?B?6F#~BIdKn2==OZP`+mmDl`1hxG7=ThKZEqs6^d^z)Si=EP9I&$+Gk`>hE8 z3*eMlAi8D9|7SsU^?#=X!o(s)F9yE$3;|Zs(2#Tv76ZR~aS;z{ixZe18pZ6ALlIGd z*o@2F6o$-hPt})(-j44FFKo|0Syc^PNt>|u@=SyISQG%S4LhNKnty*(wnTnGOA4axOgaQ=1P zSnUeAP&<7k!2aXT_>V+r)%{h4QNAH946Zkj8Obw>l-hMuHEhRSdoF0$v=iE$KtM^h z;53?|ntk^q>~MWwgJquu&-J3uf z`TsP8{c9v~J1(h@&k04xt2Wi8i*$AwYQcWvoLFPxU|X zeKo2W1zao5mQcY=?H~TKfB)$27QJ4x+2Qim$MlKvw0i_G!V6YuZk@2IirpP@X)|-t z`0OhLsPvgz^$hFAKPQ;X(N$0?7kISWI{h3ITc2z>;nc4}Hg}9@9kBkdv1omqj#Lmk zFfQKOB&KjUP@;llBJiJQNg0p;+ZpUZ%I>)tK8V$F0kO! z#$mwFLtp!pAfd*Xe7S;^-Z;GiV^uithn@>Xw~G7mVDpBg2-g2oKu^J4j#pkRnv{;zVR68kOJ8SCmG=;C3{Zr8_BEq zJ}P#q8fz;s!@4s_HL%)I9~`lVxWvUEJu}9zf!&N}H zY1i}Wzv44%>Map_ViBh2fp2RcMASDRZ^4KRk*RQhRtRIQWG zgCpuO3WE5u_r0W1ZrbG;+oFZIpBARrcz7Ea z$>HJ0E*Q&q;&g*FM;{|a5M$*cSePfv%P^8Z_xEE(MBIsw7~A>4al}fOmC}}%ZYFQ) zT|C}j$(c)>wTnozF!UWJ*o0ql=fBb#Ck=3X_Q#J7<TOhUjS9Q8QE3<$Q25j7;koslUOYL9y*wG9kL+hBfelQ_Z#g_wRH z2cO5=4EC6xXUd*OySzj5N*vD$7+Jq>$Rb5Cy!5fxXOM4H&qC`{K9?QiTESkBLD`_I zkBlyfNyR-X4Y!ymrc!m4@VfoS9h|@o9i(3}dWnV9s*V{`*5Ui)4_}OUgN>D{RZX`+ zlbrp5eSXpsBOODx!Biz68U!kV@HEEpk&m8+2z{gFV~)(51jap9lGJUg8Z>y=5j8da z>ubyFYYXe^ULC$}+F1ep2^_b7en*DFNyHBfEA`%J=B%06bsX$UUnR0N z=hg~})TI{V7h|uts<>Z}H^ZDEWvZLuu`G=2^(Lt6o#^PrD1!NXdJzk}P-M1#AMxlp zzxntq`4^HM`gJ@(>XI`_1ddw_%hgp5mCW|8X{9D|x2Ao|Y%3$e|D}5+hdMclM|n6r zDuf*%V$Hch%CwMDNzu$(G^3tgg?~h)ga-vvi z<;|BVCYaC+%JGS1@7Vgr_^T1YHU&|`exB8I{;^yFJ|>=D z9311sYWoINctv_TPjK+9<~yzCW&Q-vMH?jSk65DYX^9&a7JnNt6}^~NH8pal=>r$S z(w)V0$KAaU8grqN?5 zPr{gyL3X6Gi_@rdusL~_ehtk%I#~E>HPAo|0wR$XDF6*GrvKSKJM1u$6?n9%y}F~0 z6{yC{#|dXl6;kTMMcubDaWfVv&$YOSA$xT zH~eqAYD)SS^|xDHHU_C42nBBKbcqo~FmqhZ$0~`AVUd=zacF)7P9A?X7h0TbXiN;@ zt*Tu&@oaEir$V}lhpkI*7G zCoa=95@bUVR;H?l^qfzP{o;?(4VxvX99$1NulxNxeoM;SlRaEl~a z+b8*MBT=V`e~AYM7M_=|R?us-r)QA6RN50%RKGjpU3a$>YB}jxUEl|KYt4c z-|_LR@{98w^9}!@xCv&*K+DNh)i!kYKuC==PRvK{C8Eaie|>~(8lhR>0-*U7(#whXb}KQo@_LA zjnFYA$`ll)t~L43H$54l^|7(bR#dc7d1`Dn&{tJ=-fY7fC^Imqz$W@w9j54YM9xwz zqVG9~1JQ`t#14mYDvM8F-{(D4LDCyh*ujTagdhDR{x^xv*gMMAFfx?VPxuBYf21F{ z|7;TuTa}cQf|G*NB|=9}r)+&P5Cxd48Z4}XvhwWZOwr8R%*-N_R!GRA!}>1Z+XFb6 zDyo@F%e{lhnHV0PYVb8uX1)WSr|XvZb2KkId*XjY#Xb}T4Gj%5GxO#Y#=Cc8u`P1A z;YcW>!fF3sJ<@eg?07JlH8-dFFBSIy2V134{r&x8DJ+Z6ywgxCA~t}mr+rnF+!-?% z;vdH5=XPaNMsoyr1OWW_TZ}&+BwdR96-w8Y4EqNLMBdHK&FMb6y<+JB>(j)rEL-&yWXnTv1Mp46MW{)=$*N#hrwJVwNlh0t0%KeaO|8@RC_Q-l z$N@8?wW{-V2o_VRHh7|L zuLV3raDtO6&xq}20b5DB=8Vjx)%(<|e;xmz30^$z%{7uTGiTRh1CLQt*fkhgd3kwV zS0BAMmBq?&8nk0fO3vP1e~zst<0(Fv@=CjLhTGCReLSc!NJbQd^%|)=7SDs1z$B{1 zR!_}wzB@Onwt`(Zo=P@i(us86(U@cNR%JTYu))FLNX1G|B=Ao39DU zMi(ateRaHq{F83Qo7aczGB)4*yMBDV2_X{p3j~@VyJ2p1F3PX|!lG4V2Gm)IqD2}w zmMYsk+?+U6an@|FXd6isH)iVQ!BdeICM~eB*SGqXZKfFbZTUffzZ|&5UfrJlaPjaM zI!h-_9Eq!Tx}pW&CN;Bw_^RY{T&TO+f26Rz9$tCs-}Z}nwUN#y$P`iTVX|4z(M}qV z0FUwUUFu)I$}1`?Ceqb3)HF1-QV5f5jjWy4o_TCLGXS@2t2%}LR;Gv z@xi8{s=&z}6&?L$lGHbkvq{d0I>VkoBZ?2?$CW8~R`SZ4!;69=?%oR1ba?L9mSZ3q zk<`RkG!opn)TNUllGbNUS2wq>_)GO`9LNjTVI+Q=)S9>~`j0!Y!jqZ2i5cc1OCB4( zL-Mtxa=v%x-N7&wcB^*@dOA@Kt4(5Ip0NcrHBHs0*N3yM){GsWZckSl8Mu4uOh*z# ze*FrNmiCbVSEds*H0_)lt?&HYVfR0rv6?M*n%4xpR(nUsvV%RA6s+U+fgczLq}u@u=7Ws)jImJ$;lJ`9k0_UWQtEQja;0r^fm8tMzx$ZucWq`-;rg%TfBf~^zQ z#Qo2LSjWoXuV!P(@3`%CzqwkyJU^xOlU*LwbS0ZiQg|siPj2=E1qBAm$;mY|HtfA| z2H&q-Emmq_VPdjbZsu0@R~{E0OD9r)m1iS0F!<{8wLMSNBOp015Aq!sh=CQI*vfk; zt|tfz8hT=U+RCX4d z8PdgPmd-nJZf=k3pWk(loV{#qD;L_maNfKbn#%5Q-b30|_cAk6c48H9Dl1zs@VCp$ zuTz&8aNX{AzKK~WuD8%BEA6(rx4StNa5 zvcF0&OR{K)M^`CldPFY5Z7ZPqeq_lW3q9ZMOk{B9@Hpk?=1NgBG}PCNJwHuwZ-A}{ z(rW#D{ma*w$sM{SC4X+we~DG#VAFOLX1kO&-5q$x?RWaSUTT-qVls1g*OW^JRU`o& zZ;y(v+50z?$dV#?yyx*xvc2l+^R<*QU|egNiZtS3Y(}W@KeumARs}z~-d)RA)AZ&ShJw9dW{2XFDvTm!*)$DJWR=fI8{8-sRt=(@fHz zy)O94oq-{7VS!C&_viP54_|nA_}lE)EH!m>FQ0>hL+Sxt_G*6u>(j$KMQ)rX_nYY1`Yg|EnjwOnAK$g=U?1sR!ygrupQkd1{Ls$;OctPDd@QWCB|*1&N1=hq!( zy-1oWFHg^wySs0m-Zk1CpB+vP);l_$pcb{OuCA|5D@Fl&dq+$R7?LEl7f%4$9g`B@ zmm9(ZC(^)gp7&KsNl8hnCQ9o%d`gD7uFDBtWEFuKi*SE?D&7mFb^3AA{6|uODkK!* zR|iMPFhco7d$_-$vLwhW`wd)(1aSUYS zrL$N~;Edzm#hubP@#Gjp+GTK+d?=p6k-}P|X z7H0N_A;lohOJMK{%qHwH=Xm*$vk}XT?i|v8iY{9FT7$ydWos|CBMQ%Xk z#~|ZfwswX@%7|N+)AB4G8@z016;nt8+TLYhBBsXk{?hEDrR9%AnrRRQ2F6e0EQvewh78aJN2zPC_dB_*bVf>1_LPUJNxEL51cue<(g#oX3 zIS6=Neo@FG zu*oBdG!|xNJ>8Pj|7O0k^LiZvtyNG7BUR%YmX_KrYnOiYI5J3PD;cr;>1EbP$- z@gXD>@Jkz+7+7;~!v3#Ho9vrRPgaEMd1M&)D*oo_YkM^%^tx| zH*q?J>NUC@cB@=GHToTVp3|F`?U!MTB;+dn9~JcVVoZJaE|U<%KqEX4zmLMC5Ta!z z5}vKg13`K~+9#VV6nzPLxV&)6K7p1CAKy_oxt@g5Wvl%4k*UWt1!T5y|uey zVW@PY0%!pum@0(Y8dcgMhQVoXtNQ7?#h1>v#LNzjVjV{Q{5}Z^CY}VG_Tewfc%8!2 zjV?t6#4t1xYABPw&^P_i;oa|(T3rqXhlbGJaaFdr6GBluZ4cl(8{qPXmq#^oQ}*=4 zylZy*)gUGYUDkexXJD$=o~o^HfBC~Bm2&dUw{K=>B;VlS*j`k|)a7Iq6`2`=y5nSu zb_Qc8px~Y_!_Yj^)9m(ij%I*bBqC?>ghxK?tYksY+SQo^H1@L@s`_&JtIIv)k00gm zk$b0CvKwvEKuxJ`r-VrfPyqn~pXN$G^1NZvtoq@BA4^QoecfCPF;F zs!Cqqb2yd}KKA1IR^L5{98}vglI-g4P7T%P7<2mcfYUDxGf0Bu)QgP&o&$x&a5{aZ zJHRm^^7Qmn-0)}3%$5!X)Q(3857}sNLorN;#4o+e(H#M=@{9~mx?L`M`|UnTpgIFm zt_mxJ$wMy;I-?e|lq!IaLWo{1l z^y9}dQYKlf&eTdB8mFjaPG zceQHQCo`wRFK-?mK0S)0eg9>qLaliG+92*Q9pe}2sp!#>i}Ne~gRLz}Tn!G6@Qz2B(~S*lOG`eZ!2A9PeLp|x zy1<`v(YeD?6?7__YqhR)B>US(QIXa9`Ufg17`Qpvzw^I3RX1^QXi8hr*?w&n#vW_p zv`Jmy;1uj=PcRMnUUvB1%Paf&x%86)BXdp7L2q<4x_NQgVID%%$P^wt3~4k585&7K zEz#g0rQGgenzAN#O2QYnfQFwNUBa05OMZSLJb1Wxcok)3hd+OIt7vH@#mB#au7jV! zpbrlg^t&vKdy`R9a0O+ghBrIQZp3KZcUh>sB=p=9y1&2XZp!VT>0`VZGMp{^)ZZ%f zr0Oz??a-AQ*7dBdt-s#vU|9-YC`-s+z-Y-HWFRT6Bb}vR=Z${_ zvl;;ayRq5GnNXfvk@01d84qWQkfUp~3;|Z%X*=u0@R(6t~l2VpY=SCT30H zH=I+O#}Hee4u{%tzMwK@G^o2is_6{8nAb4yd*U>?KK`zkOgsvwp-caT1`dg}TAh;n zN}zl^?hXT5f-V)m!}~WS)0G~hK|VBRZ$ItA)861@7Jo#%iW0;QLxX7YTevnYP?;$V zHI0~RH*LF1$#oAje(J6uY_mP0V0L``f-PQBEHMUJ17xq1oVWsNbaIw4u8Uf~9%GwZ z)Z8xsMQ4o1jdNte0v6EWDCk*ga?%MR?zf{@3=OA5-=PQHo^Qa+(4j!={E5QjUYbbI z)RjZ=!893re(DF+)hTPMHiVjCd7txsdiKFDtvlHiF^>CnCr8JE=Y2Q7RdEZ%+e<_F z8i7x6CSe`Em8wY4ZWlN-a8~d+5fT#3r%l`iMUT?Js`xWaoEssd^kB;^A*p?or+$%B z1a~ES(14U&C#7{dBVu3Ah7Xcxh-Jc{+*kocYah4=D6g?(rq!<|@Th^oKql$-c=_rz zTzrgj5%jaQw?B35Mf}q<+%5G%$6`2_taYSS{NrxP9vTswsz*R|UMJZ_?$4vcsZ^nY z+o_Iv%=(tvIiGpIa&4D}WEQP2(ht5sPP$3=D3-*eht!G`#q{tX42`JHbE`dR3>UNP zv$1`Hvdzu42=rVXR#5ndWa8rD6%8Gmg?w0-dk{nYJi@yr15UB+*b!il?=Mv8=)%X6 ztS&7P^1Ist7@^rXh21|ibZ~Isak7$>@qAqWmfd{Q_B-1#AVgdIp7<6Qc7`&z9TxN& zEKgP%*RFSl0A4z}9KcI@^TyA}=$-j^ZMuNh(P&ap*JGeKiCIP-nZSZd$+u75n6U)+gt1_eKDZrGcO_pU905PE?i z$o%ud%P~aB=MyYYE-&CIT9LYkWXn*smP` zt2b@#OnQxxtGGG7p-dEXjB@mJ_hlL^lfRcOu!`k)x3`TA4ZGD$4%dK0c&9G|J$=jJ zQ0&R^@dBWt*QVnt2Agm*a&lDF7b-j)UN1^lDJFDtn_pZ!DF5nnzn5ZdZCzAU^g8}9 zR3dE~n+gZxUNsY)sPPftIO=olmTrzOm)}ew^4qtuKXIZx1{h+mNCLYpzb#F>mXXzs z45*7#1_VIwV6DN-SN0S~SohN%BJNewk>H*w82U6a*))C-k&LhFeXRlB^4g0Co5=%l zOU=*wUVr~!>O5O4kB)zOYP=3TK0Yo^J_XbWoUJy;dZt2VdEbaHjqWj!NjR2^mkU}> z=eC63jqe^qKEZ>~v>g@Y8L=YT$D_xG3kZJN0N_F?C$Dxgn#9ms3+UVJ+YNsUzPK>} zLx5jn*!rl3r(1WeXzw4M0C@ya0Z(u5ntIK8voTyx`?VHURXss=UV0(ELW3?Lo(7~o z+XVvlSnJ_EZijV3E>}y}mKUudmEqwn$h&lr?(l(5O$=JdOcV%AJhsTW&^PbG;a!bB z#9MPl3+zBT_TK$DssY#@Bh-Z7$(T%$CmZogIM@BnDp6J{Jf)JYtE)iAV^gJ;81=_- zevdD53W#5#S!+alC?V&GB=m6^8I7Ad4FEde5xeg3li?i4FK>l6yxCeX&m`%ZB2lY zi75hpvu$e!kHc~p{aDET)8p3h@$o6i&toz!-TF{Zh_}SnOSMLK_HmKJ&#}aUp=SwY zWpo!12oP*b*oCNNKLOnMb#S(QSk+~Xa{<97Fc*$NF`|J?$m(H3F0V5Kz$m;o)%_&^I+= zvwHGM1iDJH5u~H}v+>sktrw*KjTu%FN*=w8WWFDagYD_*(cy~wSg3H>M`$&% z@J-Xzspm1x2cKPF?vH#cU8|qpkY~vPp?Pgt5IkxES$X*9k0@O8*jx^$nKD+_f%l0a z1yTbB=W8AFqpJ@HXOu>KSJW5T;o%4wjW)YoKi)${_6eqorl0Qa!n_73TvQcV;!Aac zVqCSQo?<9#*dY0y&!ydEP;eOLAcagGk$$u<=KyMV+#aXFvq#P$u<tGW{5OznOZc=QjgNwdhX<6d2&_7phUDOQB_;)Eg;S0R%UynyFC8W{D5wue%)}2-WLO-4d@cs?x}I(_Vqzwi z>n+m0+ftCrsi_Wc)}lke^@Za6=&<2%B0tkA$;V7f-p9i+%g^scM(Nw?yK-2o)oKXG z!H=eVzciv!l1r$NEnsD16IDOgyx|vh3UI9fyH{N;Cjgw4unM*Ye)m+pq#*Rcra^J? zD4m?-py?Gw;V0Ll1*T!laI7X)$vE`+02a{X zX?r(m6(TI$hBiBpzJ??3Zr`pP!$Pj>_2Qizz8w!sbI7;KJ`q(y6U?t9EJ*)kLI0KEK0!xmsRc-qS;c84awLw>O??C>jCJ^w|}5Cluti2%RVS$l-m6X+OJ#P{3m*4{o|sLDuj zFnp@%GTt%7@_oKPDAgQ5<${G?g`Xesmv{hjZbU+aQ8M(*x-HWKLyKz1?DlJ*&c;(g zZ_fu9r}^5PkusI~ zRqTGj`}sQ2z?Kn^$5}w0#7AGB*ehv5_I-6I1rGOjqd1Nn(`O~@Oq9(K%SPxD@^Dcl zn7TzyeP&Q9Qtz_dhikI4E({`a&>dufdoVUuK!7t_G5F2LzkUi;A_xHU&_^Yu)a*o+ zPdk$OA{cc{;46%eT`xVFty=dRzPEaF_oadD|?t=HQ89cr=1AL&}B|06!e+iStFag`kDWDc#=32`}<_}our6<@nds_ z*ITC&MPlIwe|V(mgRX_vZ#)jmb@CZzSNlxr>gvj69BgcV(8msEEza+UNT%xRB7Yt2 zJ=`qU=-VMchj=O_vlwihp2Aa5>8OtgRWM!N{64=$i;hY(J&@erx36#BF!4}wn5XZU zuZYbQs-NwFWKE?)LU^C*;YdpzL31@zw7b1st10c}D<}}b>(!hi^uzzUwDZ{-pEf)| zn2}d&caP%omc{oRB3m|=*l59u#$xvZG;>v0DAHBy*brOWQb{OB?BV3(?7T2P{{%pp z5vf~{IwhQdPP2U&q<}#Go$hFDrl+p&BO{kXc3zcTy%)03$1hndn(6Ln3`?3@Sx$e`A<&l+I(rww5*Js zduOlwpgUc_9wPj)S1et80pb&@s~KzG7kfw-58z|>h}#WaC9dGV>9};N8qyvi!|})#A3Ju4thca*)T!-?R9m zXJL?oiyWQLXyH0ywfPL){d?Q()Im^texRPQ=np7gi95NJyFCk;OBFFsMb&VdNJ_%_ zkNu|#mQ|DwP}fK<7pQz?FLxK#h%aPz7s=zxwc z#Ldn5LQ5FU;;i;F%u>G#84oOR4f}Ir+4M-|(1kPV@6~bJy4ENP=*1aK-z{(q?9bxMkV@kEyqgs``1qcm*V+JEaj+ z>d?|9t%Racm0_nDbz@7LZFPa|R?rmE@? z{^`B$Z6X`kpf~Ygx;>y^TJE2mGl1>P_9*{jfBzIEvk7U=J=wn-Y#^(oo7yg*ogA1GkmUtTk@4+vYiB9ywR1Wv#7EG2NgF zoRZ;X3Ey?~N|h{JTJy*~elkXSv;@dSU%H?-y1ub7m;JCRJDqbMMHl@y95^}5cq#vTU(U|()4{YOD$&Hr~|6-R=0JQ>pVP7&Q}M440e@+iER6$FM>#fFsee>|*CRkiM9SUH`x#^CAfOz z3SV|yw;3^zE1eS53~{TMVFpUokoNf2M z>QJC#(MshL_D8F&siB@XdwRGnX?Yq`n5kF?>$r#Rl7~H7{7C&U)j6P>#Z% z1OoB_pDBQaK9a;S0=^tHZsv*O?M+jbPvB#^p&&MwhUd3GWiY(lgWEj*?2m+8_Mz-O z5Adu0@33x?;_%+WTYscVNb@b9Wk;_e20{KV7~8>POWL<7>~~Q*w<_3e?v;09cUcni zlaP>s3hkSMdi|d{nIB7Jq&a-)s)$}`2Hkr4e*i6wDiDt-a}0#Y{MFv;Y4XOqlaSWw`H zOd!OQpP$d7Kj;CK(p`v(`BP1e*WpBSja*BzQ@FmNp~2UuifbmuQ*EQb%b1EBL2()xnKfH&JUhT9l)!2URmpJDs!&m+k{_N3q z5*7f_>Zr@e{^F13-v+@Aq3A;U-e|Kse!e}TBN1UudG05tu8ukj2cyJi<%+L)d$u`4 z35nRDT=bVyQpztWK?NSAc305KiY7kQ7bA!F8Eq@@HsG&4TN7bUelbBrV z;ja=OsAA}@m(Nue7?2pAo|Q#F!=$dPsJPizTUdE*#c6~6FGfg{^&X6J2><&)g*`Fw z@a(}a1wSeMuIYmz)ZyRm?r&ggdF8ZrYvS1!ASq44;{d7Ob)2s;qg5!iv&SoCVx^!g zSFg9yTk-Hb9Scn8n?*++EIchJ#Hew4ho#@p9pmV&Zp&7HM#8FynLsu``$b%QbbMTh zxu*%LSdIbHk)VWmp`(R(4X6&ne#nCO%KN2Lt+9_51~$6s79J2C7#$TAl`6Z(J6o#K za*U{=jeo$e{AAiupX5lI7M7cPea@eXf#My54L_-^G<=l84WydLloS+BPdIYOXNuDp ziNPNTR6_z(m}X_=!(YOVrfbo{+6>Kr-rB&W3|uF(q$y_a@&1JAD-=>bx-B6AbA3rb z0I-5L8jzYwq8};upLIF>7Fd^jHodDt4u5tzmMQg?+tp=e{#2^cUGX=V&$IjXGz}=6 zTF&AP(DW9#9xgvty9SRu)5SKqPVMi^sR9t?3!B7GlJTV4ZP^9PBh0(UWUPFY(wIuJ7+{L_)n`Pn@9d{xVlk=>F(L$@AVB zWvV2N)nr}17+vlYwZ%e&7##JS;jq9=tS>DF+z6?AZ)K%*`hG+|2Ydf9pX<-rQFLsA zdh|N6Cz1Vii0Pbmm3+4FlF^Pr2g<;obJQ!?S#yW`N)?0 zrTzghcLPLH1Cqe?BL7OXIYXtYRAWlOHPk=sd{{Jx9j5dMSs702RmQe0tGc$^R z82-P9>~mLFv|QH0VwYZZ_1{soiVg5a{9YVJ3>X4;IeiRdszh0g6b0wK(0XBM%V!M2 z+x+${F6H$(IaWo5-{Tv%hj7R&ER_IoVpC4VbETr9DOp@5uf{TX*iL4jCV zpg%qyBVT^8p8hF`_4yDJ(=DWA$t}!=RP^X_-`D=AEzi)QYGD)|t_GDIgQ*2rVJVXy z5PYB>M=Dp$*H#)QsCmd}x5?;du=%trYMF)Lb=G=&;bD_Hgt*b3D(hWa-Sf^U z@>gj`_|bKq&9t^8B;*bYXjy-MdAPhj?-~R{Ky4ujxak0|_^A(^7&MUTy&@CiICOVL z9l5wnzI=_GWa*m?BVLd@I9&%Dkn7`Q#X(2YKOP|bR_YHcH(RllLTQd9G8JkpyK(k$ z>Xv=*VTz;Hw~nL!Oi|i>C+hth4iE~*^SO*2h}J=I^b?KYS#s^b$pbD5hQ5(@K5tz8 zXk=2dlxXC~UW93UcHOZK$3g`u*TwFXpVOGS5=j`-+nm#yZH8e=@9S%iyKoWtjPiA;X zcd5r;z6K0C}LutqhV*MG%fT;80#je{kIoJHOF?O<%44U2O`x#ARpIceO$VvZiT?cg0 zqbRQi&D8dYfdm5x92&z2t-He`d57_=Kds%-f>G5Rf)?BzT!#Uq-)i9C@Bm1)C};Ss01{LgL=+E|Gh+bRu(~1$xkX1~Hwk68SVERjQ(GL(*b_nCHe?XdtQ|6Jg>CZFHVIO`Y~@ITLdAn%7$hGa4g_I0Pon3m0td79>wqt z!kaS*@mAbfL52GXsrF_dRHYm>@{%8+!#zAYRBD~B4jk{V z%+|mhF_IzduPGp9Xl#5YERF%h;u9h&9bt@c`H#dL=5P6ZV&S(`P z5GaWMQgO6wf4_}IQSkwOp^fo?vB8jTYKv<}SVw0FMYWcc-8XjRHK+!zhH2VTnKbsA z*%R4)jtt@0sr zXVTR;6s;c>@ts%xc87DmeZbV&1Z+bDe{-YrzB2U}Is2z3Fu6n`+2owyP*PGB&&p*v z;ItC~7F>rgav+?P{|OAaEMo^hP~V-<{MKjGSM}5G^#B)D2c-X{MLo+iWo6LP_DHRUAeE>lx{MX>4iv zx>a2r3d(W1gfo@b#LO&IB6*C<{%CMGl2Nhj)ixRa!;Hp8xdr})ggteD>nj){`0gU5 zO3+jr*p^o)wceBV4mxx5rjo(jJT^9Vu&T)@{P|)+26#DMmMd*g@0*=lm%Q6n#43HE z$)R;7KN7d!RED(uvbOhL&;y(}@Sm^^Eb+mK$&of zF_pGp@k-Wic0RL*oG#Q^>S#WDQbbOBJi&a#E*;QR|FybCK145|ANYV7NIm}5#-~gW z2L3nglDB8kzaga+>m&>!{k;%vUbh4X*~2JY?C*}&K5efN|yeoO`l4{0)@*GOA*nB+yPeOUTsi$Ke= zSUy@=kyTQ%T@?Z-WhVNo-V;eTx53`lRiMx2XJ^|!xj20O%=)a{d~jfD3PswQE-36L zpn0-B(r^#Y<0Mw;xAKjjlPLuJ`lG<-WAgfek%u!TSDlSxc&_e|cr)e5*S@fhi*5Xh#P$ldk7C+Ja9Ef{Q=7k3RTP(Je0GA7}cr} z$R~r5Wq7KSU+b84y}|2qUIEUh;$23q0bXrel@I`1!%Y zCG0J>iDyiVns4J*t*|g}IFv7;m)^PWXHd4ldOxubV+V^STzy5>G9SOf;Dy^IE>1xtE;R2j8&AE2cLZ< z9wQj&%e6Y;0&wkSHv!WIzy?b8coqZHD_F-jaGnbSU9GRZ(~FA_X(BHUCQShT>#PX& zB2{7Gk(;})G{{4nXZ7DV5!GCi)ae*?B+=S;z?O@P(!fXz~(b4wBEJBIl!A-+R2D zg%v@^N5@X!bOb;GO8qbL()68O>)Q!~v!;hXUQuU%t3v)=nCde(w%vy8hYfM-ODENv z^pJ?bi;XGC3EE-!2s*ksI{foxpSAX7kS|M)5lMBewatuDlxqeVcZMWxrEO+??i%>*BxI^SedV1vv2|$QBC5Vp1NJy3x zjl_iaeJxJa-q#o4xlwmJZ?Wq*Ub5_J|8ERK)J}<@;^A`NjJmQ7pVNve>DoeRz-pz9 z+=W6(qj^qt$8!n7&Q?2DV>b10OJrKRhPCMvU71;W{Gd1BFmeRr|HsOjw0HAH^l_&7 zzFntB^TnIou1cD(Rcq|XiyJtXIs-mBkHOK~n9wBl_4Bq>7;}oqBTTiW%5L4SzZ7>e zbHm+SuK?dzco06***i4iei3q7S09|77$EkOdS0{f#n(JMI8^0Ptudb;c18|GNl{I4 zbG$*iW=Xe!9C8j%PfiM|K^WbP8Wo@JmzSiaRbde~dDn~IgwWcPhH@+YGcx1qkDIrS zW}FKDH2n2yCMnMLlmsw4{j^3S00gw$=tm4_`}O}bCT;BTu==3F3u`%e#9t@KD3MdI zh6Krce$`Q9v0NY7we*C*hk`uiQ0Gh^U#ofA(WO#r+OwT=IhdCodKrpV${&IdTJSN? z%QPS?AX*=%?ZoDMPP-CzP8c|xa7q1=eSH%B`B6XGv9L%CbajQ4snzJzn`XL1sD^^v z9e5dOKFDHrA#uMG@p0eSwWQL$XeeL`PlBRx~pFkMl(WO95;C^yNhHiVQPm&BSSc-GpW zw6^>WFXBWOSEhJ2c13EiT%^&L0d*(|iSgc1*3!zSoj)~KO3H3ZnlrHP{j>i3 z8I7;2>X)1$N#%QY;7|={>iNx;SCp(=3|wp}OxkPcfe-{_fUI}8cnPcV@Tl_gW==2< z(@-ZQmGU}_E+xXk#dPjYNUPKw;`13}2j$mq0>`Q5cyW7;;U_6yvcpL}r&(fUCHIx@ zujU%lMJ*$vcNYf>_4ohsD=`xec6M3j4-e%ubz^`0%{EB2vs~wGv9vT8ZZMs%NdXTQ z$cdR67%*NGDOdOR^}XX{(VK2j>yb`wqmk6L387*-ty{NTT0h)&-Wsg$3XVGohSFK> z5c#=3h4f;G78Dd*Uf$q-UxEdXAvb{br>c>(l*Tf9yy0c@L0G?M9s^$%PtAS{lhc#= z%z-Jf2DyIF`N>*XUviW9|LsM3Ip2Yn2L)mC$nn&X#d}uGm9~FPJXvZ+x3}OJ`O|^M4ko0}vbEVZH%*PCl!R z-nF7%M#?kkf-sK{4}gc=8cskR^7vD0lhM+`7n{+VsBHHQo#)*w2C(%^@!e@~Nd2m% z;%+kXE!Vp(iw8D85spkJxF4VL7IIcjRa`U9+U!Q*V?fK;DR*?2EJN&Bf#LZz1$pEU z9_1&?b~I#vSWWwpN*4A5#w=0GZJ3YJmw34Fu;D)dZ!CeScNx!;z`&Tzm#Zggc_h)o z{rJ&@EjPOMxHzdfV*lcNSZ;|aFDXTK&|fJe&Fc1OBIpiIiWvB%Pn9EHUf$$@bwzs% zQiW363~If)dcxk5Ua&ud$~@WxKE2!Bom#doPB1o(<#(wQmqBxmR$;zc^!jsh0v1Pq zV*f>dwe^)1h}B@c&zd%X7EP;Q;88WFPB18?ot&($hs$;K^`#S-VVx(ImtTUQV2nhS zmISOly4q4ba8+u@Xm`E8 zRI4>7&acyKhsHz0vuC_6U+>05+gWOMwi2~EUTOv}IW0{*`l~ZGHpXeag)F_Zz?$vt zxM}$Weq^BKR#ZZ&N_om|Z$sK5#t945#c~lq!pW*7vf62(-!pC>A|OA_!G55Jh3lW@ zwlZHV1qH%Fj&EL44oh5vWt>4h%H)u+WS)BcPzJ|~`ad;4e|$x`eU8Y0`6xnhMpo_V z=~-1VKGf6GrU^w5pBIbb{I?~Ho|4j=l})!dq9CfE3kgr7?ibW9G-e|JuUrq}%hB;O z#ZWiXmi)R;Y_6K*ZHzYi9kV9(_NRex4a3P~ck%0K*US((GWtF3I}>2IXFZmcm4TH@ zkP4Y#A$Mbe6H{MH>&R|Pz)Yg!Y*YD~IJw+(@cTNiGnr}B)(imjm{WgeXZseedlqI( zfJgvkYNP$J-SJaqQ--99GefDCXei-t+94E_OJT&LJS}uXO%I=L-K_54^9t<46KlM^ z$6dn)lC*_`@`-RZ$}BdzUx&VDGKoH%FCbWRQ%g$6h7xnfR*~Z2frAx~4?#G~DysI&MnDDiJ}K5AmYN9w9Q(PdN>Zv0vBgGPrpD3#tvy z*Ez2D>F=zJO+tOOg#BYdxDS$VG&?$H3Gct*1^pBloRvi-?$t40>wIw#`0~i51gN?f z<>+fm1Qr(3N~`F3NSZi5aO=`=0}*&F%mf#9n+4Xa76*IBcKs9vQ$XBUmD zlU6IXMzep$6Xje_4IGi6DYI5^BheSHx489|tcDwK)cY6u6O`s(-K%aDGtmS~c$nRY zya-!yC;eDxo5h`Aj!gi?>|0ypM|2_Ovxm5DpbkK zy+I1Pyh3JwoNi6rV=lfuR_zuiToY9MWfR$HrG6w}Krb#%)V!kK%aA^=tWt`ebP6*;cQU3= zw&w&%N`dVpM1naUhk!&KEEd_>`n{S&CgWSsD!LL-JwL{)j-g! zciHTRt5;nf{RN^jCogaXDP(1jv2{a1p6rr}@hhbkkkmnm$VN)Ra9(7QoK8PP@844K(^o%OD92HWxw6P855`c8fDKzxQK~r))B=zV z!q7LX_iL&_)9Uog-_G^pX_&>fTWRyWwKnJ{D%c2hk9fe@wE_w6=;bu*Sn;72vj!EN zdCbKmG;Eh6N?9>z=ca2+adi8MqJGT5y zobKgvEz|O;vHZN!=Nc1*WZ6;XvdT)8Rvod^6mHR>&VCY}86)$S-xi0JBY&czO86bW z$;v|X2l1_pU?=-_(la?ih%r1&s5BiR9HJcI?1pwB*;L!B zKo=Upj~YYpOgMpsBH!tGzkKf>qbrI_*l)9YortU8=$Obu2nScDP60{$D!UX=O9p9K ziWI(p>3269yfd`6v*6y6ccQq2GsVWCHD~DGR6%>O+~(H)%b^LSwu=w;?_HjcR9067 zG?3|a?M-quG{C^2NDhq9q{b{Pq&Z)2b&^5UdpFB1EeQz;si~>ai9%&IlZrUNIqa8D zcR;yLw~$&00q@qgv3K(7;Q1XSU~zu@M@!2TY!i`|xSVTGYDRDNhe4caltW-fhSyy( zE{i3VCL~7y@&0^-e_FL9l0!&So|#bCy{{@i+WBfHmEp99Du|A^NMo7ryt>-PHvZZ= zTHC0`_$Zmc`0-BneFq}Ta3LB=-Dmsuvo0=>N)2gqIHh~X=?Ee=cXza#p^T|K_m@lS z&STr&rqt49Z#neu$3^0)^lG0F9i~je(9X}PMl?U)NEG$Ru#slh>#YXuPZN>1$lB4V zz=TiENO&@|$2YmBDH-cFpv&v&ZI(&Q3~!2lnTZdC8WxQAX$eODQk4Kf87@Y;C{c43 z?6BJd;JsKFY_$P@?Uvqc8~sWEc3Yku)Eu(sssY3(|wKM2_5LJ0^eD&^X+J|@aega;je zgpx;RNujbkUrui@==i3RBMlip`ZVBC)Q!o)x4o2ec7yCWg^VH+=#z|hwbVl1lHrl` zHszS0dxbez3~XxYf57!G*Pwv-uy3M(U3YzXpT*%(pr3;~0jcLIaKRTztFPWB4x}iv z>D3+AGHl+HXdfT9K0kp}khLX->wGmW(*h9SfzjA+G93USabBK%e}eG>Jt6oJ1OSOX zDgu$y*EBIOh)fK)>NeI`_V*b?Mg(bXLqjZFJh*pIlZCp2b>ASeg!@FNj`bVNr`TKA zQk96BS=~8D3l&&!tf9HN;o?+&@_8S=l8Ksrent3j#7*a-P=nMha17>Gt&-l9KrQ6R zPaM28JdB8y{bzqPHDhNc?wx)R^5mGrcKK57<8E)}Wb0;SluB_hs5LsHz*W6Yw6(>t z)$?4uY%BpIq%h>QfJm3Hy?yE2+_F}uHLx8E71BDtt34u+*d#_oi0PZnRn_KfeINwV zFh;kH<*tP7r4Ih~2u)&=3KKmGga|QBTDm0OcM9DPZcJR+JfTs1kVo6{k&E2{gWCZF zulU(sNdLM9rxh$%l}_4EtlN7({;ovh>tcVvhqXTvw^;PTcuP~RY~bC}@_04>A~M6- z4Jpwm*gz4KxeO6<*msN5|K+>%THV>--`jda*<65_Iv|t!uWxgQwj~b%j>mF0p@@8` z%AOIKu4#g^w&n4zTqR_d7NS3tSZU5X@!_~VH*=ly^|HH*`~Glo9%^P;%q>N`_{di= zJc#VVBJX{Ef%bZ3b(wENL%pNoyeYPmX0jcR^X{A;Yn{$@m=CLqL=&P^@H*z2mTq4K zyV>mLJE4KvoeZQ)!vi2Yi9aq^X^65Ph-BKE5iX~D`dkv=S)9bTVyN`4G(bL0f~b@#SP#c%n~ zab}!`PH7v7QP2EE<9*gkpOw-7S0gS2+pRHlxj+4U-}-zHg*1Dkudfffetg{&GfMf* zx{<4&9{cLPy(eIv4Uo&++TDpSR+Hkn3=O@5gZrKDqq*1iqQMxj%a2BA{6c7&Efm@hQD`tJIje&>)$thQ)BBc2Ohi>mlYG6gRtVwTM7{1`$o|FeYdeiQGC z{Mau=U;ji=CXM0j!iVNPgS7jO?73VfyL3{G-f;3I5}uGgnQ5(WSA)?+JOn^Ai_TX? z1qEx|i$GK_S!_(>VBgx>MgtUGNzE0~6$K8@O)`i36F_vCD=W1$EKF6+4QD`&cWKKV zV%iMhsjqLJo*w`8(N`YL{=tMRX=$LJ=;lT+tUTXtrwt4YIHFn0Om|ZncnhuL{rkh4MyJbyhP#NhqLWT|J8cG@SN>BaYK=l_@kFJ63)-zg z{v5n>TwNbM@dwam9uWfSl79UBDUHw1AiT!yb|5}eUS6IT9}}~&cO)+xV{kPz?IKiZ zR(>?3VNOBYwd-l)NpHO~TAn;8MXh1GI2P!b1*KPc6*V_!06)Zj8g3l#y7cbdPrLHF z@(+%6LKtu#Dy)6q5Ox1!Gw8??3r{7NLi*J$80C7pRvMd0L$Pv@E-38{Px`Q%dvY?f zG0q%~i>6@8!fx)wmu0;j5`-9;mX;9I-|~FN7#@fvb8%plnGyL-$q`{-$lvu!NmqMK zORUFse=1U1qsig4k0uS>x!nu)6Vn?~|A@Z$^0J#A^1Y2S^=9YwMnUOdX=&;I+4>4Z z;L1rdGz9@^NceRb*?o_>R}B*f2dM4>59+duL#0J)%jD!_)}O+{!vBnYL{34TSBEj` zbT355`2y+ysHCz#m|5N2)H5~x!m|?0*pk<%G3_qkZixSFth2LIY2)DNu*K!pY7J_K z;XPddK)*zt!_L8#sCUm#9Jg*YKWbL+sNv&@Z#iK}5D zX!P@@h-khTW$rPswjb`P>WVLBo$To3n9PFqLE!$D=N&sB%#)=B?ndOIbD8*}dae}1 zu}Y5+!jeB7=(b}mu%+>fv8+t#&HFm|azSWzzcINiFqn^}g2DWd;%yujG|Vhd6c4kJ zvGLyO?(PvoD!VHk|F`b~0v?ZJI^=j1FwoKwTE^{FyAv4f3eLurWt6B-f*JGx@osg0 z_Jo0l^o5Y$PssS*cJ+iMpIq9m><=e1VQHOj53TTmabL@GRW<(41ZU;8LqHSWU|PHL za2mh+sJVsu&yP_$S-mHq$cu9M^5i6u%a?#C2w4)8QiYY3ky#*wfbY34j|ZRL8C9e> zk8K6@!NY@#%PARTVPWw`E(^LZy(&}8$>}b_1~>9ks{8p6$L+12`kz;o?rbRE0WLL{ zGtXK^2NKUla({g{Vzu^jmCi_{aB!w41~tDgyWP`Eqwhcm4Yaol(p_or8&N|KUmROp zpY}{WpOQjBX&t=(_BC^sIaA#6wm8M?!&{P%WOS-jSKS{Ep533()?U-cUmP8hUoAE` z84So}x!tV7o1)tU{Ue2L8(~C9(gxP?Nd#2NN7_PW<_bLewWTdVl7Q<|BtlkQaWyqU z{->fwcQP<;e|jp4vq%q4ng<|pf>Hh3`@D(@E?!&3^mN;sn;*Zjw(x*9G45ZF`Qm$X<$ezmGAJMgSAm>=I^NtdoUQ5hXi(&EVhy8LwSgZ$s5fP3#N+cD zqIu{a{`p$;JF<{?$8tsfPr~ga?Fx4i^};F&h~oErVz;mH!@u#Q$ssWpunPxNj4}@1 zXI4vIZ4MXv(W&=m8KnALas2M>kG07W#A6Cf*Wc$4h^}{>UqqoX5E9#@|E9KR^HESA zE`p2F({Eh%$B!mK6i-czHG>2&HhapmLSId`}9=zv+|ZxuMsz>70b{9Jq7XcB)suBCVBWg zh5}$LC5QnW_vNOOf?p@FA?LmVtRhOiT>HQ!`ERr~fbEb^tLH6*yH1nqZ{;1=WUiq}T5mnzFHf zen0=GyMVpq4Z(xAr@93NVlV#uFz*XWg}b}frBU21z4XZcoIA17{#nz+Fkm9O1(G4cZ7P`1uE(FI!RFp6Vwe$Ok`O9Ho3yGO9F9fE@fiU7t?l z;RKWlXyYo&ZLrzxFy-i)k^M_unneaEC^W}+u=QSmG6?6F0yBCjzm%VU2T8jdId=f- z+S-~IG5k6J(>k`BHI4!F6h}G~3vP$OLC!ukkYbGGb3aWEz}lGvtV70EQ6+8C1aavti+TrNLx-8$Meu&G#9Jgp-&gNg>6E98?F}|6y2S1UHLe zAxfP5lpDv3;n<=_K#s7!jeA~*kYM;;^@Gb|D0Xrw^FLYpf1Q~WkY6kJdxfPD{gltt z(3DKHCZj9u8DU{yG@m=)u9Ok()zV~UWwq&zAm0cK{@2vhF6>8!+%G7lLX>(EUkCae z1av@o5dNQ+FaSFKnJ6bK@`}3MRg96eg4lF#@6N+)WhhMU?=${d;gN~NX*F3`h$JgWp`vpD7(`~P` zwF&qPCG)|iRw*w3DEvvxWrOg6u0@AZ)KEY(gn;dBjW|_BZLOHC!8FS?$#P{vu3p9; zXf4h2O}r0d+sFJZXr7)ypu=VmhRU$T(=kl@G1)iPbmneYLlPQmwJ(UV;&#iq5`$89 zQPmob=lzs5o~2frI|g3FD;d!uQ{idz6M;U(FS;Ewg$P!pPueSCj_dPHXCBKI38k}7>JN9Oa5+VGK* z3}Kltg34MkG=%uy{Ex3aBV*D={1Xg~W2dJ9znpH6o=9e)85b9KUb+M`ss>I)K*H~l z-c~&*+<%~o0&|z9i2#cSED~;A#rg=aPZpMz5i9a7xopA zC~75FonLS}A_zo-bRTBRb^OnY$x{(RUP=R0YQtKSf?rKSnZcpJ5EWkn7!=gCWvzi6 zDe#cVAl)H9wpI3*nz{e0EK}~e1)66aHwZi5ZtB{#y^pa)!m~TE#ye)Dy5D9M=@Exo zokZ_XTLp$ngxhJ$uO?-!+kfmtd(ho+^Hu@fWaD0KeMm2rW*;G-S^sBi(}zzpmc>;7 zIWNm8L4EV=DeQlbhX0DPwQMGZSmYxGgWF@ySFmhZAqH0>1tqI4jwD+vwODQb+nqQJ zC$auz^BG@%Gxj(6VAmqd4|Ka#p)YwBJC3dAZfmbxs72n97oFC2FOxjgfbnkBLpCos z{(T^8;j1-r24+4^u3Ph=#g1fc4DKNLpH|Y6UnDKJp^(muT7|*