From c72fdf376369c739ba3fc50c9506774f2bbd218c Mon Sep 17 00:00:00 2001 From: Kamil Cudnik Date: Tue, 24 Aug 2021 20:15:44 +0200 Subject: [PATCH 01/11] [meta] Add style rule for pointer params (#1295) --- inc/saitypes.h | 1 + meta/style.pm | 5 +++++ 2 files changed, 6 insertions(+) diff --git a/inc/saitypes.h b/inc/saitypes.h index 5310a000fb..53d5f846d1 100644 --- a/inc/saitypes.h +++ b/inc/saitypes.h @@ -1038,6 +1038,7 @@ typedef struct _sai_system_port_config_t /** Number of Virtual Output Queues associated with the system port */ uint32_t num_voq; + } sai_system_port_config_t; /** diff --git a/meta/style.pm b/meta/style.pm index fa33e2926d..90a631160d 100644 --- a/meta/style.pm +++ b/meta/style.pm @@ -1024,6 +1024,11 @@ sub CheckHeadersStyle LogWarning "missing empty line before: $header $n: $line"; } + if ($line =~ /_(In|Out|Inout)_.+(\* | \* )/) + { + LogWarning "move * to the right of parameter: $header $n: $line"; + } + if ($line =~ /\*.*SAI_.+(==|!=)/ and not $line =~ /\@(condition|validonly)/) { if (not $line =~ /(condition|validonly|valid when|only when)\s+SAI_/i) From ff7b4230a9055142168fc9a378a77420417cde87 Mon Sep 17 00:00:00 2001 From: vivekmoorthy <74933086+vivekmoorthy@users.noreply.github.com> Date: Wed, 25 Aug 2021 22:19:09 -0700 Subject: [PATCH 02/11] Fix description for ACL bind points (#1296) --- inc/saiport.h | 16 ++++++++-------- inc/saiswitch.h | 6 +++--- 2 files changed, 11 insertions(+), 11 deletions(-) diff --git a/inc/saiport.h b/inc/saiport.h index cfcf4f2d20..e3e0420c3c 100644 --- a/inc/saiport.h +++ b/inc/saiport.h @@ -1036,8 +1036,8 @@ typedef enum _sai_port_attr_t * @brief Port bind point for ingress ACL object * * Bind (or unbind) an ingress ACL table or ACL group on a port. - * Enable/Update ingress ACL table or ACL group filtering by assigning the - * list of valid object id. Disable ingress filtering by assigning + * Enable/Update ingress ACL table or ACL group filtering by assigning + * a valid object id. Disable ingress filtering by assigning * SAI_NULL_OBJECT_ID in the attribute value. * * @type sai_object_id_t @@ -1052,8 +1052,8 @@ typedef enum _sai_port_attr_t * @brief Port bind point for egress ACL object * * Bind (or unbind) an egress ACL tables or ACL group on a port. - * Enable/Update egress ACL table or ACL group filtering by assigning the - * list of valid object id. Disable egress filtering by assigning + * Enable/Update egress ACL table or ACL group filtering by assigning + * a valid object id. Disable egress filtering by assigning * SAI_NULL_OBJECT_ID in the attribute value. * * @type sai_object_id_t @@ -1068,8 +1068,8 @@ typedef enum _sai_port_attr_t * @brief Port bind point for ingress MACsec ACL object * * Bind (or unbind) an ingress MACsec ACL table on a port. - * Enable/Update ingress MACsec ACL table filtering by assigning the - * list of valid object id. Disable ingress filtering by assigning + * Enable/Update ingress MACsec ACL table filtering by assigning + * a valid object id. Disable ingress filtering by assigning * SAI_NULL_OBJECT_ID in the attribute value. * * @type sai_object_id_t @@ -1084,8 +1084,8 @@ typedef enum _sai_port_attr_t * @brief Port bind point for egress MACsec ACL object * * Bind (or unbind) an egress MACsec ACL tables on a port. - * Enable/Update egress MACsec ACL table filtering by assigning the - * list of valid object id. Disable egress filtering by assigning + * Enable/Update egress MACsec ACL table filtering by assigning + * a valid object id. Disable egress filtering by assigning * SAI_NULL_OBJECT_ID in the attribute value. * * @type sai_object_id_t diff --git a/inc/saiswitch.h b/inc/saiswitch.h index 6402357c7d..321ec2340e 100644 --- a/inc/saiswitch.h +++ b/inc/saiswitch.h @@ -905,7 +905,7 @@ typedef enum _sai_switch_attr_t * @brief Switch/Global bind point for ingress ACL object * * Bind (or unbind) an ingress ACL table or ACL group globally. Enable/Update - * ingress ACL table or ACL group filtering by assigning the list of valid + * ingress ACL table or ACL group filtering by assigning a valid * object id. Disable ingress filtering by assigning SAI_NULL_OBJECT_ID * in the attribute value. * @@ -921,7 +921,7 @@ typedef enum _sai_switch_attr_t * @brief Switch/Global bind point for egress ACL object * * Bind (or unbind) an egress ACL tables or ACL group globally. Enable/Update - * egress ACL table or ACL group filtering by assigning the list of valid + * egress ACL table or ACL group filtering by assigning a valid * object id. Disable egress filtering by assigning SAI_NULL_OBJECT_ID * in the attribute value. * @@ -2521,7 +2521,7 @@ typedef enum _sai_switch_attr_t * @brief Switch/Global bind point for Pre-ingress ACL object * * Bind (or unbind) an Pre-ingress ACL table or ACL group globally. Enable/Update - * Pre-ingress ACL table or ACL group filtering by assigning the list of valid + * Pre-ingress ACL table or ACL group filtering by assigning a valid * object id. Disable pre-ingress filtering by assigning SAI_NULL_OBJECT_ID * in the attribute value. * From af037adeb86befce5a923e6b5617069de8cb4203 Mon Sep 17 00:00:00 2001 From: erohsik <71034063+erohsik@users.noreply.github.com> Date: Wed, 25 Aug 2021 22:43:47 -0700 Subject: [PATCH 03/11] Add support for programming My MAC table separately from RIF (#1243) * Add support for programming My MAC table separately from RIF Signed-off-by: Kishore Gummadidala * Add support for programming My MAC table separately from RIF Signed-off-by: Kishore Gummadidala * Add support for programming My MAC table separately from RIF Signed-off-by: Kishore Gummadidala * Add support for programming My MAC table separately from RIF Signed-off-by: Kishore Gummadidala * Fix indentation Signed-off-by: Kishore Gummadidala * Fix indentation Add notes on usage. Signed-off-by: Kishore Gummadidala * Address review comments Fix indentation Add notes on usage. Signed-off-by: Kishore Gummadidala * Address review comments Fix indentation Add notes on usage. Signed-off-by: Kishore Gummadidala Co-authored-by: Kishore Gummadidala --- doc/My-Mac.md | 22 +++++ inc/sai.h | 4 +- inc/saimymac.h | 172 ++++++++++++++++++++++++++++++++++++++++ inc/saiswitch.h | 41 ++++++++++ inc/saitypes.h | 3 +- meta/saiserializetest.c | 4 +- 6 files changed, 242 insertions(+), 4 deletions(-) create mode 100644 doc/My-Mac.md create mode 100644 inc/saimymac.h diff --git a/doc/My-Mac.md b/doc/My-Mac.md new file mode 100644 index 0000000000..5a7334789b --- /dev/null +++ b/doc/My-Mac.md @@ -0,0 +1,22 @@ +# Overview +Router Interface's Source MAC address is used in the ingress pipeline to match against a received packet's Destination MAC address (along with port and VLAN as applicable) to decide if the packet should be L3 processed or L2 processed. + +# Proposal +This proposal provides for a dedicated way to program the MAC address used in the ingress pipeline. + +A My-MAC entry is defined with port, VLAN and MAC address as match criteria. + +# Usage + +## Add My MAC entry +``` + sai_attribute_t attr; + + attr.id = SAI_MY_STATION_ATTR_MAC_ADDRESS; + memcpy (attr.value.mac, my_mac, sizoef(sai_mac_t)); + + status = sai_my_mac_api->create_my_mac(&my_mac_oid, switch_id, + 1, &attr); +``` + + diff --git a/inc/sai.h b/inc/sai.h index dcce39c120..1a127540b6 100644 --- a/inc/sai.h +++ b/inc/sai.h @@ -72,6 +72,7 @@ #include "sainat.h" #include "saiisolationgroup.h" #include "saidebugcounter.h" +#include "saimymac.h" /** * @defgroup SAI SAI - Entry point specific API definitions. @@ -133,7 +134,8 @@ typedef enum _sai_api_t SAI_API_DEBUG_COUNTER = 42, /**< sai_debug_counter_api_t */ SAI_API_MACSEC = 43, /**< sai_macsec_api_t */ SAI_API_SYSTEM_PORT = 44, /**< sai_system_port_api_t */ - SAI_API_MAX = 45, /**< total number of APIs */ + SAI_API_MY_MAC = 45, /**< sai_my_mac_api_t */ + SAI_API_MAX = 46, /**< total number of APIs */ } sai_api_t; /** diff --git a/inc/saimymac.h b/inc/saimymac.h new file mode 100644 index 0000000000..1b193f05fb --- /dev/null +++ b/inc/saimymac.h @@ -0,0 +1,172 @@ +/** + * Copyright (c) 2014 Microsoft Open Technologies, Inc. + * + * Licensed under the Apache License, Version 2.0 (the "License"); you may + * not use this file except in compliance with the License. You may obtain + * a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 + * + * THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR + * CONDITIONS OF ANY KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT + * LIMITATION ANY IMPLIED WARRANTIES OR CONDITIONS OF TITLE, FITNESS + * FOR A PARTICULAR PURPOSE, MERCHANTABILITY OR NON-INFRINGEMENT. + * + * See the Apache Version 2.0 License for specific language governing + * permissions and limitations under the License. + * + * Microsoft would like to thank the following companies for their review and + * assistance with these files: Intel Corporation, Mellanox Technologies Ltd, + * Dell Products, L.P., Facebook, Inc., Marvell International Ltd. + * + * @file saimymac.h + * + * @brief This module defines SAI My MAC + */ + +#if !defined (__SAIMYMAC_H_) +#define __SAIMYMAC_H_ + +#include + +/** + * @brief My MAC entry attribute IDs + */ +typedef enum _sai_my_mac_attr_t +{ + /** + * @brief Start of attributes + */ + SAI_MY_MAC_ATTR_START, + + /** + * @brief Priority + * + * Value must be in the range defined in + * \[#SAI_SWITCH_ATTR_MY_MAC_TABLE_MINIMUM_PRIORITY, + * #SAI_SWITCH_ATTR_MY_MAC_TABLE_MAXIMUM_PRIORITY\] + * (default = #SAI_SWITCH_ATTR_MY_MAC_TABLE_MINIMUM_PRIORITY) + * + * @type sai_uint32_t + * @flags CREATE_AND_SET + * @default 0 + */ + SAI_MY_MAC_ATTR_PRIORITY = SAI_MY_MAC_ATTR_START, + + /** + * @brief Associated Port, LAG object id, + * if not specified any port will match + * + * @type sai_object_id_t + * @flags CREATE_ONLY + * @objects SAI_OBJECT_TYPE_PORT, SAI_OBJECT_TYPE_LAG + * @allownull true + * @default SAI_NULL_OBJECT_ID + */ + SAI_MY_MAC_ATTR_PORT_ID, + + /** + * @brief Associated Vlan Id, + * if not specified any vlan id will match + * + * @type sai_uint16_t + * @flags CREATE_ONLY + * @isvlan true + * @default 0 + */ + SAI_MY_MAC_ATTR_VLAN_ID, + + /** + * @brief MAC Address + * + * @type sai_mac_t + * @flags CREATE_ONLY + * @default vendor + */ + SAI_MY_MAC_ATTR_MAC_ADDRESS, + + /** + * @brief MAC Address Mask + * + * @type sai_mac_t + * @flags CREATE_ONLY + * @default vendor + */ + SAI_MY_MAC_ATTR_MAC_ADDRESS_MASK, + + /** + * @brief End of attributes + */ + SAI_MY_MAC_ATTR_END, + + /** Custom range base value */ + SAI_MY_MAC_ATTR_CUSTOM_RANGE_START = 0x10000000, + + /** End of custom range base */ + SAI_MY_MAC_ATTR_CUSTOM_RANGE_END + +} sai_my_mac_attr_t; + +/** + * @brief Create My MAC entry. + * + * @param[out] my_mac_id My MAC id + * @param[in] switch_id Switch id + * @param[in] attr_count Number of attributes + * @param[in] attr_list Array of attributes + * + * @return #SAI_STATUS_SUCCESS on success, failure status code on error + */ +typedef sai_status_t (*sai_create_my_mac_fn)( + _Out_ sai_object_id_t *my_mac_id, + _In_ sai_object_id_t switch_id, + _In_ uint32_t attr_count, + _In_ const sai_attribute_t *attr_list); + +/** + * @brief Remove My MAC entry + * + * @param[in] my_mac_id My MAC Id + * + * @return #SAI_STATUS_SUCCESS on success, failure status code on error + */ +typedef sai_status_t (*sai_remove_my_mac_fn)( + _In_ sai_object_id_t my_mac_id); + +/** + * @brief Set My MAC entry attribute + * + * @param[in] my_mac_id My MAC id + * @param[in] attr Attribute + * + * @return #SAI_STATUS_SUCCESS on success, failure status code on error + */ +typedef sai_status_t (*sai_set_my_mac_attribute_fn)( + _In_ sai_object_id_t my_mac_id, + _In_ const sai_attribute_t *attr); + +/** + * @brief Get My MAC entry attribute + * + * @param[in] my_mac_id My MAC id + * @param[in] attr_count Number of attributes + * @param[inout] attr_list Array of attributes + * + * @return #SAI_STATUS_SUCCESS on success, failure status code on error + */ +typedef sai_status_t (*sai_get_my_mac_attribute_fn)( + _In_ sai_object_id_t my_mac_id, + _In_ uint32_t attr_count, + _Inout_ sai_attribute_t *attr_list); + +/** + * @brief My MAC methods table retrieved with sai_api_query() + */ +typedef struct _sai_my_mac_api_t +{ + sai_create_my_mac_fn create_my_mac; + sai_remove_my_mac_fn remove_my_mac; + sai_set_my_mac_attribute_fn set_my_mac_attribute; + sai_get_my_mac_attribute_fn get_my_mac_attribute; + +} sai_my_mac_api_t; + +#endif /** __SAIMYMAC_H_ */ diff --git a/inc/saiswitch.h b/inc/saiswitch.h index 321ec2340e..362b838b7b 100644 --- a/inc/saiswitch.h +++ b/inc/saiswitch.h @@ -2575,6 +2575,47 @@ typedef enum _sai_switch_attr_t */ SAI_SWITCH_ATTR_SLAVE_MDIO_ADDR_LIST, + /** + * @brief Minimum priority for My MAC + * + * @type sai_uint32_t + * @flags READ_ONLY + */ + SAI_SWITCH_ATTR_MY_MAC_TABLE_MINIMUM_PRIORITY, + + /** + * @brief Maximum priority for My MAC + * + * @type sai_uint32_t + * @flags READ_ONLY + */ + SAI_SWITCH_ATTR_MY_MAC_TABLE_MAXIMUM_PRIORITY, + + /** + * @brief My MAC entries installed on the switch + * + * @type sai_object_list_t + * @flags READ_ONLY + * @objects SAI_OBJECT_TYPE_MY_MAC + */ + SAI_SWITCH_ATTR_MY_MAC_LIST, + + /** + * @brief Number of My MAC entries installed on the switch + * + * @type sai_uint32_t + * @flags READ_ONLY + */ + SAI_SWITCH_ATTR_INSTALLED_MY_MAC_ENTRIES, + + /** + * @brief Number of available My MAC entries + * + * @type sai_uint32_t + * @flags READ_ONLY + */ + SAI_SWITCH_ATTR_AVAILABLE_MY_MAC_ENTRIES, + /** * @brief End of attributes */ diff --git a/inc/saitypes.h b/inc/saitypes.h index 53d5f846d1..782a790b8a 100644 --- a/inc/saitypes.h +++ b/inc/saitypes.h @@ -278,7 +278,8 @@ typedef enum _sai_object_type_t SAI_OBJECT_TYPE_SYSTEM_PORT = 93, SAI_OBJECT_TYPE_FINE_GRAINED_HASH_FIELD = 94, SAI_OBJECT_TYPE_SWITCH_TUNNEL = 95, - SAI_OBJECT_TYPE_MY_SID_ENTRY = 96, + SAI_OBJECT_TYPE_MY_SID_ENTRY = 96, + SAI_OBJECT_TYPE_MY_MAC = 97, SAI_OBJECT_TYPE_MAX, /* Must remain in last position */ } sai_object_type_t; diff --git a/meta/saiserializetest.c b/meta/saiserializetest.c index 6e7232bc8c..740fd2dbbc 100644 --- a/meta/saiserializetest.c +++ b/meta/saiserializetest.c @@ -464,9 +464,9 @@ void test_serialize_enum() ASSERT_STR_EQ(buf, "-1", res); - res = sai_serialize_enum(buf, &sai_metadata_enum_sai_object_type_t, 100); + res = sai_serialize_enum(buf, &sai_metadata_enum_sai_object_type_t, 128); - ASSERT_STR_EQ(buf, "100", res); + ASSERT_STR_EQ(buf, "128", res); /* test all enums */ From dfaa98ce23418907ef78935993ef1d172ca10142 Mon Sep 17 00:00:00 2001 From: shri-khare <44003610+shri-khare@users.noreply.github.com> Date: Thu, 26 Aug 2021 08:52:44 -0700 Subject: [PATCH 04/11] Assign values to enum fields (#1259) Problem ======== Consider a scenario where an application (adapter host) using SAI spec X (say) warmboots to an application using new SAI spec Y (say). If an enum is extended between X and Y with fields inserted "in between" rather than "at the end" of the enum, the old fields can get "renumbered". However, the SAI implementation (adapter) continues to return old enums for previously returned objects thereby breaking the warmboot. Possible approaches =================== We discussed this during June 24, 2021 weekly SAI meeting and observed: - This can e avoided by mandating that any new inserts to an enum will be "at the end" (this could mean at the end of _END block). This will keep the enum field values the same. - However, that will come at the cost of readability: newly added fields may be related to existing fields and thus for readability, those fields belong togeter. Adding those to the end scatters the related fields across the enum. Solution ========= Thus, we agreed to go with the below approach: - Every enum field will have an explicit integer value assigned to it. - Insertions can be "in between" (maintains readability) but the next available unused integer value would be used for the new insertions. - Thus, once an integer is assigned to an enum field, it does not change with spec revision thereby not breaking warmboot. - Between SAI spec 1.6.5 to 1.8.1, saihash.h and saiacl.h had new enms inserted "in between". Those will be "fixed" by assigning integer values to enum fields. This fix will be applied to top of the sai.git tree. This fix will then be ported to 1.8.1 and 1.8.2 will be released. This patch =========== Towards that end, this diff fixes saiacl.h. Specifically, below patch was first introduced in v1.7.0 and inserted enum fields 'in betweeen': https://github.com/opencomputeproject/SAI/pull/1125/commits/1aeb1c8c43dc06c1f2e4b6a79067686716872f6d Thus, - In 1.6.5: SAI_ACL_ENTRY_ATTR_FIELD_DSCP is 4126, SAI_ACL_ENTRY_ATTR_ACTION_SET_DSCP is 8214 etc. - In 1.8.1: SAI_ACL_ENTRY_ATTR_FIELD_DSCP is 4134, SAI_ACL_ENTRY_ATTR_ACTION_SET_DSCP is 8216 etc. If the application created ACL entry with SAI_ACL_ENTRY_ATTR_FIELD_DSCP (4126) prior to warmboot, even after warmboot, a get query on that ACL entry returns 4126 which no longer means SAI_ACL_ENTRY_ATTR_FIELD_DSCP. This patch fixes it by assigning explicit integer values, and thus SAI_ACL_ENTRY_ATTR_FIELD_DSCP continues to be 4126 in newer SAI specs as well. Signed-off-by: Shrikrishna Khare * Assign explicit integer values to Hash enum fields Problem ======== Consider a scenario where an application (adapter host) using SAI spec X (say) warmboots to an application using new SAI spec Y (say). If an enum is extended between X and Y with fields inserted "in between" rather than "at the end" of the enum, the old fields can get "renumbered". However, the SAI implementation (adapter) continues to return old enums for previously returned objects thereby breaking the warmboot. Possible approaches =================== We discussed this during June 24, 2021 weekly SAI meeting and observed: - This can e avoided by mandating that any new inserts to an enum will be "at the end" (this could mean at the end of _END block). This will keep the enum field values the same. - However, that will come at the cost of readability: newly added fields may be related to existing fields and thus for readability, those fields belong togeter. Adding those to the end scatters the related fields across the enum. Solution ========= Thus, we agreed to go with the below approach: - Every enum field will have an explicit integer value assigned to it. - Insertions can be "in between" (maintains readability) but the next available unused integer value would be used for the new insertions. - Thus, once an integer is assigned to an enum field, it does not change with spec revision thereby not breaking warmboot. - Between SAI spec 1.6.5 to 1.8.1, saihash.h and saiacl.h had new enms inserted "in between". Those will be "fixed" by assigning integer values to enum fields. This fix will be applied to top of the sai.git tree. This fix will then be ported to 1.8.1 and 1.8.2 will be released. This patch =========== Specifically, below patch was first introduced in v1.7.0 and inserted enum fields 'in betweeen': https://github.com/opencomputeproject/SAI/commit/21bdb488cb7410ffcf3e18e329af5df8466e5180 - In 1.6.5: SAI_NATIVE_HASH_FIELD_L4_SRC_PORT is 7, SAI_NATIVE_HASH_FIELD_L4_DST_PORT is 8. - In 1.8.1: SAI_NATIVE_HASH_FIELD_L4_SRC_PORT is 15, SAI_NATIVE_HASH_FIELD_L4_DST_PORT is 16. If the application configured SAI_NATIVE_HASH_FIELD_L4_SRC_PORT (7) prior to warmboot, even after warmboot, a query returns 7 which no longer means SAI_NATIVE_HASH_FIELD_L4_SRC_PORT in 1.8.1. This patch fixes it by assigning explicit integer values, and thus SAI_NATIVE_HASH_FIELD_L4_SRC_PORT continues to be 7 in newer SAI specs as well. Signed-off-by: Shrikrishna Khare --- inc/saiacl.h | 576 +++++++++++++++++++++++++------------------------- inc/saihash.h | 68 +++--- 2 files changed, 322 insertions(+), 322 deletions(-) diff --git a/inc/saiacl.h b/inc/saiacl.h index c27bf284ac..b998f61706 100644 --- a/inc/saiacl.h +++ b/inc/saiacl.h @@ -119,160 +119,160 @@ typedef enum _sai_acl_dtel_flow_op_t typedef enum _sai_acl_action_type_t { /** Set Redirect */ - SAI_ACL_ACTION_TYPE_REDIRECT, + SAI_ACL_ACTION_TYPE_REDIRECT = 0x00000000, /** Set tunnel endpoint IP */ - SAI_ACL_ACTION_TYPE_ENDPOINT_IP, + SAI_ACL_ACTION_TYPE_ENDPOINT_IP = 0x00000001, /** Redirect Packet to a list of destination which can be a port list */ - SAI_ACL_ACTION_TYPE_REDIRECT_LIST, + SAI_ACL_ACTION_TYPE_REDIRECT_LIST = 0x00000002, /** Packet Action */ - SAI_ACL_ACTION_TYPE_PACKET_ACTION, + SAI_ACL_ACTION_TYPE_PACKET_ACTION = 0x00000003, /** Flood Packet on Vlan domain */ - SAI_ACL_ACTION_TYPE_FLOOD, + SAI_ACL_ACTION_TYPE_FLOOD = 0x00000004, /** Attach/detach counter id to the entry */ - SAI_ACL_ACTION_TYPE_COUNTER, + SAI_ACL_ACTION_TYPE_COUNTER = 0x00000005, /** Ingress Mirror */ - SAI_ACL_ACTION_TYPE_MIRROR_INGRESS, + SAI_ACL_ACTION_TYPE_MIRROR_INGRESS = 0x00000006, /** Egress Mirror */ - SAI_ACL_ACTION_TYPE_MIRROR_EGRESS, + SAI_ACL_ACTION_TYPE_MIRROR_EGRESS = 0x00000007, /** Associate with policer */ - SAI_ACL_ACTION_TYPE_SET_POLICER, + SAI_ACL_ACTION_TYPE_SET_POLICER = 0x00000008, /** Decrement TTL */ - SAI_ACL_ACTION_TYPE_DECREMENT_TTL, + SAI_ACL_ACTION_TYPE_DECREMENT_TTL = 0x00000009, /** Set Class-of-Service */ - SAI_ACL_ACTION_TYPE_SET_TC, + SAI_ACL_ACTION_TYPE_SET_TC = 0x0000000a, /** Set Packet Color */ - SAI_ACL_ACTION_TYPE_SET_PACKET_COLOR, + SAI_ACL_ACTION_TYPE_SET_PACKET_COLOR = 0x0000000b, /** Set Packet Inner Vlan Id */ - SAI_ACL_ACTION_TYPE_SET_INNER_VLAN_ID, + SAI_ACL_ACTION_TYPE_SET_INNER_VLAN_ID = 0x0000000c, /** Set Packet Inner Vlan Priority */ - SAI_ACL_ACTION_TYPE_SET_INNER_VLAN_PRI, + SAI_ACL_ACTION_TYPE_SET_INNER_VLAN_PRI = 0x0000000d, /** Set Packet Outer Vlan Id */ - SAI_ACL_ACTION_TYPE_SET_OUTER_VLAN_ID, + SAI_ACL_ACTION_TYPE_SET_OUTER_VLAN_ID = 0x0000000e, /** Set Packet Outer Vlan Priority */ - SAI_ACL_ACTION_TYPE_SET_OUTER_VLAN_PRI, + SAI_ACL_ACTION_TYPE_SET_OUTER_VLAN_PRI = 0x0000000f, /** Add Packet Vlan Id */ - SAI_ACL_ACTION_TYPE_ADD_VLAN_ID, + SAI_ACL_ACTION_TYPE_ADD_VLAN_ID = 0x00000032, /** Add Packet Vlan Priority */ - SAI_ACL_ACTION_TYPE_ADD_VLAN_PRI, + SAI_ACL_ACTION_TYPE_ADD_VLAN_PRI = 0x00000033, /** Set Packet Src MAC Address */ - SAI_ACL_ACTION_TYPE_SET_SRC_MAC, + SAI_ACL_ACTION_TYPE_SET_SRC_MAC = 0x00000010, /** Set Packet Dst MAC Address */ - SAI_ACL_ACTION_TYPE_SET_DST_MAC, + SAI_ACL_ACTION_TYPE_SET_DST_MAC = 0x00000011, /** Set Packet Src IPv4 Address */ - SAI_ACL_ACTION_TYPE_SET_SRC_IP, + SAI_ACL_ACTION_TYPE_SET_SRC_IP = 0x00000012, /** Set Packet Src IPv4 Address */ - SAI_ACL_ACTION_TYPE_SET_DST_IP, + SAI_ACL_ACTION_TYPE_SET_DST_IP = 0x00000013, /** Set Packet Src IPv6 Address */ - SAI_ACL_ACTION_TYPE_SET_SRC_IPV6, + SAI_ACL_ACTION_TYPE_SET_SRC_IPV6 = 0x00000014, /** Set Packet Src IPv6 Address */ - SAI_ACL_ACTION_TYPE_SET_DST_IPV6, + SAI_ACL_ACTION_TYPE_SET_DST_IPV6 = 0x00000015, /** Set Packet DSCP */ - SAI_ACL_ACTION_TYPE_SET_DSCP, + SAI_ACL_ACTION_TYPE_SET_DSCP = 0x00000016, /** Set Packet ECN */ - SAI_ACL_ACTION_TYPE_SET_ECN, + SAI_ACL_ACTION_TYPE_SET_ECN = 0x00000017, /** Set Packet L4 Src Port */ - SAI_ACL_ACTION_TYPE_SET_L4_SRC_PORT, + SAI_ACL_ACTION_TYPE_SET_L4_SRC_PORT = 0x00000018, /** Set Packet L4 Src Port */ - SAI_ACL_ACTION_TYPE_SET_L4_DST_PORT, + SAI_ACL_ACTION_TYPE_SET_L4_DST_PORT = 0x00000019, /** Set ingress packet sampling */ - SAI_ACL_ACTION_TYPE_INGRESS_SAMPLEPACKET_ENABLE, + SAI_ACL_ACTION_TYPE_INGRESS_SAMPLEPACKET_ENABLE = 0x0000001a, /** Set egress packet sampling */ - SAI_ACL_ACTION_TYPE_EGRESS_SAMPLEPACKET_ENABLE, + SAI_ACL_ACTION_TYPE_EGRESS_SAMPLEPACKET_ENABLE = 0x0000001b, /** Set metadata to carry forward to next ACL stage */ - SAI_ACL_ACTION_TYPE_SET_ACL_META_DATA, + SAI_ACL_ACTION_TYPE_SET_ACL_META_DATA = 0x0000001c, /** Egress block port list. To be deprecated */ - SAI_ACL_ACTION_TYPE_EGRESS_BLOCK_PORT_LIST, + SAI_ACL_ACTION_TYPE_EGRESS_BLOCK_PORT_LIST = 0x0000001d, /** Set user defined trap id */ - SAI_ACL_ACTION_TYPE_SET_USER_TRAP_ID, + SAI_ACL_ACTION_TYPE_SET_USER_TRAP_ID = 0x0000001e, /** Set Do Not Learn unknown source MAC */ - SAI_ACL_ACTION_TYPE_SET_DO_NOT_LEARN, + SAI_ACL_ACTION_TYPE_SET_DO_NOT_LEARN = 0x0000001f, /** Set DTEL flow operation (experimental) */ - SAI_ACL_ACTION_TYPE_ACL_DTEL_FLOW_OP, + SAI_ACL_ACTION_TYPE_ACL_DTEL_FLOW_OP = 0x00000020, /** Set DTEL INT session (experimental) */ - SAI_ACL_ACTION_TYPE_DTEL_INT_SESSION, + SAI_ACL_ACTION_TYPE_DTEL_INT_SESSION = 0x00000021, /** Enable DTEL drop report (experimental) */ - SAI_ACL_ACTION_TYPE_DTEL_DROP_REPORT_ENABLE, + SAI_ACL_ACTION_TYPE_DTEL_DROP_REPORT_ENABLE = 0x00000022, /** Enable DTEL tail drop reporting (experimental) */ - SAI_ACL_ACTION_TYPE_DTEL_TAIL_DROP_REPORT_ENABLE, + SAI_ACL_ACTION_TYPE_DTEL_TAIL_DROP_REPORT_ENABLE = 0x00000023, /** Set DTEL flow sampling (experimental) */ - SAI_ACL_ACTION_TYPE_DTEL_FLOW_SAMPLE_PERCENT, + SAI_ACL_ACTION_TYPE_DTEL_FLOW_SAMPLE_PERCENT = 0x00000024, /** Enable DTEL report for all packets without filtering (experimental) */ - SAI_ACL_ACTION_TYPE_DTEL_REPORT_ALL_PACKETS, + SAI_ACL_ACTION_TYPE_DTEL_REPORT_ALL_PACKETS = 0x00000025, /** Set NAT exception rule */ - SAI_ACL_ACTION_TYPE_NO_NAT, + SAI_ACL_ACTION_TYPE_NO_NAT = 0x00000026, /** Enable insertion of INT metadata */ - SAI_ACL_ACTION_TYPE_INT_INSERT, + SAI_ACL_ACTION_TYPE_INT_INSERT = 0x00000027, /** Enable deletion of INT metadata */ - SAI_ACL_ACTION_TYPE_INT_DELETE, + SAI_ACL_ACTION_TYPE_INT_DELETE = 0x00000028, /** Enable reports of INT metadata */ - SAI_ACL_ACTION_TYPE_INT_REPORT_FLOW, + SAI_ACL_ACTION_TYPE_INT_REPORT_FLOW = 0x00000029, /** Enable INT drop reports */ - SAI_ACL_ACTION_TYPE_INT_REPORT_DROPS, + SAI_ACL_ACTION_TYPE_INT_REPORT_DROPS = 0x0000002a, /** Enable INT tail drop reports */ - SAI_ACL_ACTION_TYPE_INT_REPORT_TAIL_DROPS, + SAI_ACL_ACTION_TYPE_INT_REPORT_TAIL_DROPS = 0x0000002b, /** Bind a TAM INT object */ - SAI_ACL_ACTION_TYPE_TAM_INT_OBJECT, + SAI_ACL_ACTION_TYPE_TAM_INT_OBJECT = 0x0000002c, /** Set isolation group to prevent traffic to members of isolation group */ - SAI_ACL_ACTION_TYPE_SET_ISOLATION_GROUP, + SAI_ACL_ACTION_TYPE_SET_ISOLATION_GROUP = 0x0000002d, /** Bind a MACsec flow object */ - SAI_ACL_ACTION_TYPE_MACSEC_FLOW, + SAI_ACL_ACTION_TYPE_MACSEC_FLOW = 0x0000002e, /** Set custom LAG hash object ID */ - SAI_ACL_ACTION_TYPE_SET_LAG_HASH_ID, + SAI_ACL_ACTION_TYPE_SET_LAG_HASH_ID = 0x0000002f, /** Set custom ECMP hash object ID */ - SAI_ACL_ACTION_TYPE_SET_ECMP_HASH_ID, + SAI_ACL_ACTION_TYPE_SET_ECMP_HASH_ID = 0x00000030, /** Associate with virtual router */ - SAI_ACL_ACTION_TYPE_SET_VRF + SAI_ACL_ACTION_TYPE_SET_VRF = 0x00000031 } sai_acl_action_type_t; @@ -550,7 +550,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_SRC_IPV6_WORD3, + SAI_ACL_TABLE_ATTR_FIELD_SRC_IPV6_WORD3 = SAI_ACL_TABLE_ATTR_FIELD_START + 0x153, /** * @brief Src IPv6 Address 95:64 32 bits @@ -559,7 +559,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_SRC_IPV6_WORD2, + SAI_ACL_TABLE_ATTR_FIELD_SRC_IPV6_WORD2 = SAI_ACL_TABLE_ATTR_FIELD_START + 0x154, /** * @brief Src IPv6 Address 63:32 32 bits @@ -568,7 +568,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_SRC_IPV6_WORD1, + SAI_ACL_TABLE_ATTR_FIELD_SRC_IPV6_WORD1 = SAI_ACL_TABLE_ATTR_FIELD_START + 0x155, /** * @brief Src IPv6 Address 31:0 32 bits @@ -577,7 +577,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_SRC_IPV6_WORD0, + SAI_ACL_TABLE_ATTR_FIELD_SRC_IPV6_WORD0 = SAI_ACL_TABLE_ATTR_FIELD_START + 0x156, /** * @brief Dst IPv6 Address @@ -586,7 +586,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_DST_IPV6, + SAI_ACL_TABLE_ATTR_FIELD_DST_IPV6 = SAI_ACL_TABLE_ATTR_FIELD_START + 0x1, /** * @brief Dst IPv6 Address 127:96 32 bits @@ -595,7 +595,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_DST_IPV6_WORD3, + SAI_ACL_TABLE_ATTR_FIELD_DST_IPV6_WORD3 = SAI_ACL_TABLE_ATTR_FIELD_START + 0x157, /** * @brief Dst IPv6 Address 95:64 32 bits @@ -604,7 +604,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_DST_IPV6_WORD2, + SAI_ACL_TABLE_ATTR_FIELD_DST_IPV6_WORD2 = SAI_ACL_TABLE_ATTR_FIELD_START + 0x158, /** * @brief Dst IPv6 Address 63:32 32 bits @@ -613,7 +613,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_DST_IPV6_WORD1, + SAI_ACL_TABLE_ATTR_FIELD_DST_IPV6_WORD1 = SAI_ACL_TABLE_ATTR_FIELD_START + 0x159, /** * @brief Dst IPv6 Address 31:0 32 bits @@ -622,7 +622,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_DST_IPV6_WORD0, + SAI_ACL_TABLE_ATTR_FIELD_DST_IPV6_WORD0 = SAI_ACL_TABLE_ATTR_FIELD_START + 0x15a, /** * @brief Inner Src IPv6 Address @@ -631,7 +631,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_INNER_SRC_IPV6, + SAI_ACL_TABLE_ATTR_FIELD_INNER_SRC_IPV6 = SAI_ACL_TABLE_ATTR_FIELD_START + 0x2, /** * @brief Inner Dst IPv6 Address @@ -640,7 +640,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_INNER_DST_IPV6, + SAI_ACL_TABLE_ATTR_FIELD_INNER_DST_IPV6 = SAI_ACL_TABLE_ATTR_FIELD_START + 0x3, /** * @brief Src MAC Address @@ -649,7 +649,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_SRC_MAC, + SAI_ACL_TABLE_ATTR_FIELD_SRC_MAC = SAI_ACL_TABLE_ATTR_FIELD_START + 0x4, /** * @brief Dst MAC Address @@ -658,7 +658,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_DST_MAC, + SAI_ACL_TABLE_ATTR_FIELD_DST_MAC = SAI_ACL_TABLE_ATTR_FIELD_START + 0x5, /** * @brief Src IPv4 Address @@ -667,7 +667,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_SRC_IP, + SAI_ACL_TABLE_ATTR_FIELD_SRC_IP = SAI_ACL_TABLE_ATTR_FIELD_START + 0x6, /** * @brief Dst IPv4 Address @@ -676,7 +676,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_DST_IP, + SAI_ACL_TABLE_ATTR_FIELD_DST_IP = SAI_ACL_TABLE_ATTR_FIELD_START + 0x7, /** * @brief Inner Src IPv4 Address @@ -685,7 +685,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_INNER_SRC_IP, + SAI_ACL_TABLE_ATTR_FIELD_INNER_SRC_IP = SAI_ACL_TABLE_ATTR_FIELD_START + 0x8, /** * @brief Inner Dst IPv4 Address @@ -694,7 +694,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_INNER_DST_IP, + SAI_ACL_TABLE_ATTR_FIELD_INNER_DST_IP = SAI_ACL_TABLE_ATTR_FIELD_START + 0x9, /** * @brief In-Ports @@ -703,7 +703,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_IN_PORTS, + SAI_ACL_TABLE_ATTR_FIELD_IN_PORTS = SAI_ACL_TABLE_ATTR_FIELD_START + 0xa, /** * @brief Out-Ports @@ -712,7 +712,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_OUT_PORTS, + SAI_ACL_TABLE_ATTR_FIELD_OUT_PORTS = SAI_ACL_TABLE_ATTR_FIELD_START + 0xb, /** * @brief In-Port @@ -721,7 +721,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_IN_PORT, + SAI_ACL_TABLE_ATTR_FIELD_IN_PORT = SAI_ACL_TABLE_ATTR_FIELD_START + 0xc, /** * @brief Out-Port @@ -730,7 +730,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_OUT_PORT, + SAI_ACL_TABLE_ATTR_FIELD_OUT_PORT = SAI_ACL_TABLE_ATTR_FIELD_START + 0xd, /** * @brief Source Port @@ -739,7 +739,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_SRC_PORT, + SAI_ACL_TABLE_ATTR_FIELD_SRC_PORT = SAI_ACL_TABLE_ATTR_FIELD_START + 0xe, /** * @brief Outer Vlan Id @@ -748,7 +748,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_OUTER_VLAN_ID, + SAI_ACL_TABLE_ATTR_FIELD_OUTER_VLAN_ID = SAI_ACL_TABLE_ATTR_FIELD_START + 0xf, /** * @brief Outer Vlan Priority @@ -757,7 +757,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_OUTER_VLAN_PRI, + SAI_ACL_TABLE_ATTR_FIELD_OUTER_VLAN_PRI = SAI_ACL_TABLE_ATTR_FIELD_START + 0x10, /** * @brief Outer Vlan CFI @@ -766,7 +766,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_OUTER_VLAN_CFI, + SAI_ACL_TABLE_ATTR_FIELD_OUTER_VLAN_CFI = SAI_ACL_TABLE_ATTR_FIELD_START + 0x11, /** * @brief Inner Vlan Id @@ -775,7 +775,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_INNER_VLAN_ID, + SAI_ACL_TABLE_ATTR_FIELD_INNER_VLAN_ID = SAI_ACL_TABLE_ATTR_FIELD_START + 0x12, /** * @brief Inner Vlan Priority @@ -784,7 +784,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_INNER_VLAN_PRI, + SAI_ACL_TABLE_ATTR_FIELD_INNER_VLAN_PRI = SAI_ACL_TABLE_ATTR_FIELD_START + 0x13, /** * @brief Inner Vlan CFI @@ -793,7 +793,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_INNER_VLAN_CFI, + SAI_ACL_TABLE_ATTR_FIELD_INNER_VLAN_CFI = SAI_ACL_TABLE_ATTR_FIELD_START + 0x14, /** * @brief L4 Src Port @@ -802,7 +802,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_L4_SRC_PORT, + SAI_ACL_TABLE_ATTR_FIELD_L4_SRC_PORT = SAI_ACL_TABLE_ATTR_FIELD_START + 0x15, /** * @brief L4 Dst Port @@ -811,7 +811,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_L4_DST_PORT, + SAI_ACL_TABLE_ATTR_FIELD_L4_DST_PORT = SAI_ACL_TABLE_ATTR_FIELD_START + 0x16, /** * @brief Inner L4 Src Port @@ -820,7 +820,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_INNER_L4_SRC_PORT, + SAI_ACL_TABLE_ATTR_FIELD_INNER_L4_SRC_PORT = SAI_ACL_TABLE_ATTR_FIELD_START + 0x17, /** * @brief Inner L4 Dst Port @@ -829,7 +829,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_INNER_L4_DST_PORT, + SAI_ACL_TABLE_ATTR_FIELD_INNER_L4_DST_PORT = SAI_ACL_TABLE_ATTR_FIELD_START + 0x18, /** * @brief EtherType @@ -838,7 +838,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_ETHER_TYPE, + SAI_ACL_TABLE_ATTR_FIELD_ETHER_TYPE = SAI_ACL_TABLE_ATTR_FIELD_START + 0x19, /** * @brief Inner EtherType @@ -847,7 +847,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_INNER_ETHER_TYPE, + SAI_ACL_TABLE_ATTR_FIELD_INNER_ETHER_TYPE = SAI_ACL_TABLE_ATTR_FIELD_START + 0x1a, /** * @brief IP Protocol @@ -856,7 +856,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_IP_PROTOCOL, + SAI_ACL_TABLE_ATTR_FIELD_IP_PROTOCOL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x1b, /** * @brief Inner IP Protocol @@ -865,7 +865,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_INNER_IP_PROTOCOL, + SAI_ACL_TABLE_ATTR_FIELD_INNER_IP_PROTOCOL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x1c, /** * @brief IP Identification @@ -874,7 +874,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_IP_IDENTIFICATION, + SAI_ACL_TABLE_ATTR_FIELD_IP_IDENTIFICATION = SAI_ACL_TABLE_ATTR_FIELD_START + 0x1d, /** * @brief IP DSCP @@ -883,7 +883,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_DSCP, + SAI_ACL_TABLE_ATTR_FIELD_DSCP = SAI_ACL_TABLE_ATTR_FIELD_START + 0x1e, /** * @brief IP ECN @@ -892,7 +892,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_ECN, + SAI_ACL_TABLE_ATTR_FIELD_ECN = SAI_ACL_TABLE_ATTR_FIELD_START + 0x1f, /** * @brief IP TTL @@ -901,7 +901,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_TTL, + SAI_ACL_TABLE_ATTR_FIELD_TTL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x20, /** * @brief IP TOS @@ -910,7 +910,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_TOS, + SAI_ACL_TABLE_ATTR_FIELD_TOS = SAI_ACL_TABLE_ATTR_FIELD_START + 0x21, /** * @brief IP Flags @@ -919,7 +919,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_IP_FLAGS, + SAI_ACL_TABLE_ATTR_FIELD_IP_FLAGS = SAI_ACL_TABLE_ATTR_FIELD_START + 0x22, /** * @brief TCP Flags @@ -928,7 +928,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_TCP_FLAGS, + SAI_ACL_TABLE_ATTR_FIELD_TCP_FLAGS = SAI_ACL_TABLE_ATTR_FIELD_START + 0x23, /** * @brief IP Type @@ -937,7 +937,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_ACL_IP_TYPE, + SAI_ACL_TABLE_ATTR_FIELD_ACL_IP_TYPE = SAI_ACL_TABLE_ATTR_FIELD_START + 0x24, /** * @brief IP Frag @@ -946,7 +946,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_ACL_IP_FRAG, + SAI_ACL_TABLE_ATTR_FIELD_ACL_IP_FRAG = SAI_ACL_TABLE_ATTR_FIELD_START + 0x25, /** * @brief IPv6 Flow Label @@ -955,7 +955,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_IPV6_FLOW_LABEL, + SAI_ACL_TABLE_ATTR_FIELD_IPV6_FLOW_LABEL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x26, /** * @brief Class-of-Service (Traffic Class) @@ -964,7 +964,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_TC, + SAI_ACL_TABLE_ATTR_FIELD_TC = SAI_ACL_TABLE_ATTR_FIELD_START + 0x27, /** * @brief ICMP Type @@ -973,7 +973,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_ICMP_TYPE, + SAI_ACL_TABLE_ATTR_FIELD_ICMP_TYPE = SAI_ACL_TABLE_ATTR_FIELD_START + 0x28, /** * @brief ICMP Code @@ -982,7 +982,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_ICMP_CODE, + SAI_ACL_TABLE_ATTR_FIELD_ICMP_CODE = SAI_ACL_TABLE_ATTR_FIELD_START + 0x29, /** * @brief ICMP Type for IPv6 @@ -991,7 +991,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_ICMPV6_TYPE, + SAI_ACL_TABLE_ATTR_FIELD_ICMPV6_TYPE = SAI_ACL_TABLE_ATTR_FIELD_START + 0x2a, /** * @brief ICMP Code for IPv6 @@ -1000,7 +1000,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_ICMPV6_CODE, + SAI_ACL_TABLE_ATTR_FIELD_ICMPV6_CODE = SAI_ACL_TABLE_ATTR_FIELD_START + 0x2b, /** * @brief Vlan Tags @@ -1009,7 +1009,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_PACKET_VLAN, + SAI_ACL_TABLE_ATTR_FIELD_PACKET_VLAN = SAI_ACL_TABLE_ATTR_FIELD_START + 0x2c, /** * @brief Tunnel VNI @@ -1018,7 +1018,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_TUNNEL_VNI, + SAI_ACL_TABLE_ATTR_FIELD_TUNNEL_VNI = SAI_ACL_TABLE_ATTR_FIELD_START + 0x2d, /** * @brief Match on packet that has vlan tag @@ -1027,7 +1027,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_HAS_VLAN_TAG, + SAI_ACL_TABLE_ATTR_FIELD_HAS_VLAN_TAG = SAI_ACL_TABLE_ATTR_FIELD_START + 0x2e, /** * @brief SCI value in MACsec packet SecTAG @@ -1036,7 +1036,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MACSEC_SCI, + SAI_ACL_TABLE_ATTR_FIELD_MACSEC_SCI = SAI_ACL_TABLE_ATTR_FIELD_START + 0x2f, /** * @brief Label value for MPLS label on the top @@ -1045,7 +1045,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL0_LABEL, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL0_LABEL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x30, /** * @brief TTL value for MPLS label on the top @@ -1054,7 +1054,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL0_TTL, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL0_TTL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x31, /** * @brief EXP value for MPLS label on the top @@ -1063,7 +1063,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL0_EXP, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL0_EXP = SAI_ACL_TABLE_ATTR_FIELD_START + 0x32, /** * @brief BOS bit value for MPLS label on the top @@ -1072,7 +1072,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL0_BOS, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL0_BOS = SAI_ACL_TABLE_ATTR_FIELD_START + 0x33, /** * @brief Label value for second MPLS label from the top @@ -1081,7 +1081,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL1_LABEL, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL1_LABEL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x34, /** * @brief TTL value for second MPLS label from the top @@ -1090,7 +1090,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL1_TTL, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL1_TTL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x35, /** * @brief EXP value for second MPLS label from the top @@ -1099,7 +1099,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL1_EXP, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL1_EXP = SAI_ACL_TABLE_ATTR_FIELD_START + 0x36, /** * @brief BOS bit value for second MPLS label from the top @@ -1108,7 +1108,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL1_BOS, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL1_BOS = SAI_ACL_TABLE_ATTR_FIELD_START + 0x37, /** * @brief Label value for third MPLS label from the top @@ -1117,7 +1117,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL2_LABEL, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL2_LABEL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x38, /** * @brief TTL value for third MPLS label from the top @@ -1126,7 +1126,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL2_TTL, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL2_TTL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x39, /** * @brief EXP value for third MPLS label from the top @@ -1135,7 +1135,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL2_EXP, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL2_EXP = SAI_ACL_TABLE_ATTR_FIELD_START + 0x3a, /** * @brief BOS bit value for third MPLS label from the top @@ -1144,7 +1144,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL2_BOS, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL2_BOS = SAI_ACL_TABLE_ATTR_FIELD_START + 0x3b, /** * @brief Label value for fourth MPLS label from the top @@ -1153,7 +1153,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL3_LABEL, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL3_LABEL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x3c, /** * @brief TTL value for fourth MPLS label from the top @@ -1162,7 +1162,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL3_TTL, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL3_TTL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x3d, /** * @brief EXP value for fourth MPLS label from the top @@ -1171,7 +1171,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL3_EXP, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL3_EXP = SAI_ACL_TABLE_ATTR_FIELD_START + 0x3e, /** * @brief BOS bit value for fourth MPLS label from the top @@ -1180,7 +1180,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL3_BOS, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL3_BOS = SAI_ACL_TABLE_ATTR_FIELD_START + 0x3f, /** * @brief Label value for fifth MPLS label from the top @@ -1189,7 +1189,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL4_LABEL, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL4_LABEL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x40, /** * @brief TTL value for fifth MPLS label from the top @@ -1198,7 +1198,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL4_TTL, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL4_TTL = SAI_ACL_TABLE_ATTR_FIELD_START + 0x41, /** * @brief EXP value for fifth MPLS label from the top @@ -1207,7 +1207,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL4_EXP, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL4_EXP = SAI_ACL_TABLE_ATTR_FIELD_START + 0x42, /** * @brief BOS bit value for fifth MPLS label from the top @@ -1216,7 +1216,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL4_BOS, + SAI_ACL_TABLE_ATTR_FIELD_MPLS_LABEL4_BOS = SAI_ACL_TABLE_ATTR_FIELD_START + 0x43, /* User Based metadata [bool] */ @@ -1227,7 +1227,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_FDB_DST_USER_META, + SAI_ACL_TABLE_ATTR_FIELD_FDB_DST_USER_META = SAI_ACL_TABLE_ATTR_FIELD_START + 0x44, /** * @brief ROUTE DST User Meta data @@ -1236,7 +1236,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_ROUTE_DST_USER_META, + SAI_ACL_TABLE_ATTR_FIELD_ROUTE_DST_USER_META = SAI_ACL_TABLE_ATTR_FIELD_START + 0x45, /** * @brief Neighbor DST User metadata @@ -1245,7 +1245,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_NEIGHBOR_DST_USER_META, + SAI_ACL_TABLE_ATTR_FIELD_NEIGHBOR_DST_USER_META = SAI_ACL_TABLE_ATTR_FIELD_START + 0x46, /** * @brief Port User metadata @@ -1254,7 +1254,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_PORT_USER_META, + SAI_ACL_TABLE_ATTR_FIELD_PORT_USER_META = SAI_ACL_TABLE_ATTR_FIELD_START + 0x47, /** * @brief Vlan User metadata @@ -1263,7 +1263,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_VLAN_USER_META, + SAI_ACL_TABLE_ATTR_FIELD_VLAN_USER_META = SAI_ACL_TABLE_ATTR_FIELD_START + 0x48, /** * @brief Metadata carried from previous ACL Stage @@ -1272,7 +1272,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_ACL_USER_META, + SAI_ACL_TABLE_ATTR_FIELD_ACL_USER_META = SAI_ACL_TABLE_ATTR_FIELD_START + 0x49, /* NPU Based metadata [bool] */ @@ -1283,7 +1283,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_FDB_NPU_META_DST_HIT, + SAI_ACL_TABLE_ATTR_FIELD_FDB_NPU_META_DST_HIT = SAI_ACL_TABLE_ATTR_FIELD_START + 0x4a, /** * @brief DST IP address match in neighbor table @@ -1292,7 +1292,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_NEIGHBOR_NPU_META_DST_HIT, + SAI_ACL_TABLE_ATTR_FIELD_NEIGHBOR_NPU_META_DST_HIT = SAI_ACL_TABLE_ATTR_FIELD_START + 0x4b, /** * @brief DST IP address match in Route table @@ -1301,7 +1301,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_ROUTE_NPU_META_DST_HIT, + SAI_ACL_TABLE_ATTR_FIELD_ROUTE_NPU_META_DST_HIT = SAI_ACL_TABLE_ATTR_FIELD_START + 0x4c, /** * @brief Base Transport Header opcode field @@ -1310,7 +1310,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_BTH_OPCODE, + SAI_ACL_TABLE_ATTR_FIELD_BTH_OPCODE = SAI_ACL_TABLE_ATTR_FIELD_START + 0x4d, /** * @brief Ack_extented Transport Header syndrome field @@ -1319,7 +1319,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_AETH_SYNDROME, + SAI_ACL_TABLE_ATTR_FIELD_AETH_SYNDROME = SAI_ACL_TABLE_ATTR_FIELD_START + 0x4e, /** * @brief User Defined Field Groups @@ -1332,7 +1332,7 @@ typedef enum _sai_acl_table_attr_t * @default SAI_NULL_OBJECT_ID * @range SAI_ACL_USER_DEFINED_FIELD_ATTR_ID_RANGE */ - SAI_ACL_TABLE_ATTR_USER_DEFINED_FIELD_GROUP_MIN, + SAI_ACL_TABLE_ATTR_USER_DEFINED_FIELD_GROUP_MIN = SAI_ACL_TABLE_ATTR_FIELD_START + 0x4f, /** * @brief User Defined Field Groups end @@ -1353,7 +1353,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default empty */ - SAI_ACL_TABLE_ATTR_FIELD_ACL_RANGE_TYPE, + SAI_ACL_TABLE_ATTR_FIELD_ACL_RANGE_TYPE = SAI_ACL_TABLE_ATTR_FIELD_START + 0x14f, /** * @brief IPv6 Next Header @@ -1362,7 +1362,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_IPV6_NEXT_HEADER, + SAI_ACL_TABLE_ATTR_FIELD_IPV6_NEXT_HEADER = SAI_ACL_TABLE_ATTR_FIELD_START + 0x150, /** * @brief GRE key @@ -1374,7 +1374,7 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_GRE_KEY, + SAI_ACL_TABLE_ATTR_FIELD_GRE_KEY = SAI_ACL_TABLE_ATTR_FIELD_START + 0x151, /** * @brief TAM INT type @@ -1383,12 +1383,12 @@ typedef enum _sai_acl_table_attr_t * @flags CREATE_ONLY * @default false */ - SAI_ACL_TABLE_ATTR_FIELD_TAM_INT_TYPE, + SAI_ACL_TABLE_ATTR_FIELD_TAM_INT_TYPE = SAI_ACL_TABLE_ATTR_FIELD_START + 0x152, /** * @brief End of ACL Table Match Field */ - SAI_ACL_TABLE_ATTR_FIELD_END = SAI_ACL_TABLE_ATTR_FIELD_TAM_INT_TYPE, + SAI_ACL_TABLE_ATTR_FIELD_END = SAI_ACL_TABLE_ATTR_FIELD_DST_IPV6_WORD0, /** * @brief ACL table entries associated with this table. @@ -1506,7 +1506,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_SRC_IPV6_WORD3, + SAI_ACL_ENTRY_ATTR_FIELD_SRC_IPV6_WORD3 = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x153, /** * @brief Src IPv6 Address 95:64 32 bits @@ -1515,7 +1515,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_SRC_IPV6_WORD2, + SAI_ACL_ENTRY_ATTR_FIELD_SRC_IPV6_WORD2 = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x154, /** * @brief Src IPv6 Address 63:32 32 bits @@ -1524,7 +1524,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_SRC_IPV6_WORD1, + SAI_ACL_ENTRY_ATTR_FIELD_SRC_IPV6_WORD1 = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x155, /** * @brief Src IPv6 Address 31:0 32 bits @@ -1533,7 +1533,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_SRC_IPV6_WORD0, + SAI_ACL_ENTRY_ATTR_FIELD_SRC_IPV6_WORD0 = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x156, /** * @brief Dst IPv6 Address @@ -1542,7 +1542,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_DST_IPV6, + SAI_ACL_ENTRY_ATTR_FIELD_DST_IPV6 = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x1, /** * @brief Dst IPv6 Address 127:96 32 bits @@ -1551,7 +1551,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_DST_IPV6_WORD3, + SAI_ACL_ENTRY_ATTR_FIELD_DST_IPV6_WORD3 = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x157, /** * @brief Dst IPv6 Address 95:64 32 bits @@ -1560,7 +1560,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_DST_IPV6_WORD2, + SAI_ACL_ENTRY_ATTR_FIELD_DST_IPV6_WORD2 = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x158, /** * @brief Dst IPv6 Address 63:32 32 bits @@ -1569,7 +1569,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_DST_IPV6_WORD1, + SAI_ACL_ENTRY_ATTR_FIELD_DST_IPV6_WORD1 = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x159, /** * @brief Dst IPv6 Address 31:0 32 bits @@ -1578,7 +1578,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_DST_IPV6_WORD0, + SAI_ACL_ENTRY_ATTR_FIELD_DST_IPV6_WORD0 = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x15a, /** * @brief Inner Src IPv6 Address @@ -1587,7 +1587,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_INNER_SRC_IPV6, + SAI_ACL_ENTRY_ATTR_FIELD_INNER_SRC_IPV6 = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x2, /** * @brief Inner Dst IPv6 Address @@ -1596,7 +1596,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_INNER_DST_IPV6, + SAI_ACL_ENTRY_ATTR_FIELD_INNER_DST_IPV6 = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x3, /** * @brief Src MAC Address @@ -1605,7 +1605,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_SRC_MAC, + SAI_ACL_ENTRY_ATTR_FIELD_SRC_MAC = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x4, /** * @brief Dst MAC Address @@ -1614,7 +1614,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_DST_MAC, + SAI_ACL_ENTRY_ATTR_FIELD_DST_MAC = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x5, /** * @brief Src IPv4 Address @@ -1623,7 +1623,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_SRC_IP, + SAI_ACL_ENTRY_ATTR_FIELD_SRC_IP = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x6, /** * @brief Dst IPv4 Address @@ -1632,7 +1632,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_DST_IP, + SAI_ACL_ENTRY_ATTR_FIELD_DST_IP = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x7, /** * @brief Inner Src IPv4 Address @@ -1641,7 +1641,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_INNER_SRC_IP, + SAI_ACL_ENTRY_ATTR_FIELD_INNER_SRC_IP = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x8, /** * @brief Inner Dst IPv4 Address @@ -1650,7 +1650,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_INNER_DST_IP, + SAI_ACL_ENTRY_ATTR_FIELD_INNER_DST_IP = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x9, /** * @brief In-Ports (mask is not needed) @@ -1660,7 +1660,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_PORT * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_IN_PORTS, + SAI_ACL_ENTRY_ATTR_FIELD_IN_PORTS = SAI_ACL_ENTRY_ATTR_FIELD_START + 0xa, /** * @brief Out-Ports (mask is not needed) @@ -1670,7 +1670,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_PORT * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_OUT_PORTS, + SAI_ACL_ENTRY_ATTR_FIELD_OUT_PORTS = SAI_ACL_ENTRY_ATTR_FIELD_START + 0xb, /** * @brief In-Port (mask is not needed) @@ -1680,7 +1680,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_PORT, SAI_OBJECT_TYPE_LAG * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_IN_PORT, + SAI_ACL_ENTRY_ATTR_FIELD_IN_PORT = SAI_ACL_ENTRY_ATTR_FIELD_START + 0xc, /** * @brief Out-Port (mask is not needed) @@ -1690,7 +1690,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_PORT, SAI_OBJECT_TYPE_LAG * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_OUT_PORT, + SAI_ACL_ENTRY_ATTR_FIELD_OUT_PORT = SAI_ACL_ENTRY_ATTR_FIELD_START + 0xd, /** * @brief Source port which could be a physical or LAG port @@ -1701,7 +1701,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_PORT * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_SRC_PORT, + SAI_ACL_ENTRY_ATTR_FIELD_SRC_PORT = SAI_ACL_ENTRY_ATTR_FIELD_START + 0xe, /** * @brief Outer Vlan Id (12 bits) @@ -1711,7 +1711,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan true * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_OUTER_VLAN_ID, + SAI_ACL_ENTRY_ATTR_FIELD_OUTER_VLAN_ID = SAI_ACL_ENTRY_ATTR_FIELD_START + 0xf, /** * @brief Outer Vlan Priority (3 bits) @@ -1720,7 +1720,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_OUTER_VLAN_PRI, + SAI_ACL_ENTRY_ATTR_FIELD_OUTER_VLAN_PRI = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x10, /** * @brief Outer Vlan CFI (1 bit) @@ -1729,7 +1729,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_OUTER_VLAN_CFI, + SAI_ACL_ENTRY_ATTR_FIELD_OUTER_VLAN_CFI = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x11, /** * @brief Inner Vlan Id (12 bits) @@ -1739,7 +1739,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan true * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_INNER_VLAN_ID, + SAI_ACL_ENTRY_ATTR_FIELD_INNER_VLAN_ID = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x12, /** * @brief Inner Vlan Priority (3 bits) @@ -1748,7 +1748,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_INNER_VLAN_PRI, + SAI_ACL_ENTRY_ATTR_FIELD_INNER_VLAN_PRI = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x13, /** * @brief Inner Vlan CFI (1 bit) @@ -1757,7 +1757,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_INNER_VLAN_CFI, + SAI_ACL_ENTRY_ATTR_FIELD_INNER_VLAN_CFI = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x14, /** * @brief L4 Src Port @@ -1767,7 +1767,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan false * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_L4_SRC_PORT, + SAI_ACL_ENTRY_ATTR_FIELD_L4_SRC_PORT = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x15, /** * @brief L4 Dst Port @@ -1777,7 +1777,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan false * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_L4_DST_PORT, + SAI_ACL_ENTRY_ATTR_FIELD_L4_DST_PORT = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x16, /** * @brief Inner L4 Src Port @@ -1787,7 +1787,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan false * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_INNER_L4_SRC_PORT, + SAI_ACL_ENTRY_ATTR_FIELD_INNER_L4_SRC_PORT = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x17, /** * @brief Inner L4 Dst Port @@ -1797,7 +1797,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan false * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_INNER_L4_DST_PORT, + SAI_ACL_ENTRY_ATTR_FIELD_INNER_L4_DST_PORT = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x18, /** * @brief EtherType @@ -1807,7 +1807,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan false * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ETHER_TYPE, + SAI_ACL_ENTRY_ATTR_FIELD_ETHER_TYPE = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x19, /** * @brief Inner EtherType @@ -1817,7 +1817,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan false * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_INNER_ETHER_TYPE, + SAI_ACL_ENTRY_ATTR_FIELD_INNER_ETHER_TYPE = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x1a, /** * @brief IP Protocol @@ -1826,7 +1826,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_IP_PROTOCOL, + SAI_ACL_ENTRY_ATTR_FIELD_IP_PROTOCOL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x1b, /** * @brief Inner IP Protocol @@ -1835,7 +1835,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_INNER_IP_PROTOCOL, + SAI_ACL_ENTRY_ATTR_FIELD_INNER_IP_PROTOCOL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x1c, /** * @brief IP Identification @@ -1845,7 +1845,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan false * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_IP_IDENTIFICATION, + SAI_ACL_ENTRY_ATTR_FIELD_IP_IDENTIFICATION = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x1d, /** * @brief IP DSCP (6 bits) @@ -1854,7 +1854,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_DSCP, + SAI_ACL_ENTRY_ATTR_FIELD_DSCP = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x1e, /** * @brief IP ECN (2 bits) @@ -1863,7 +1863,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ECN, + SAI_ACL_ENTRY_ATTR_FIELD_ECN = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x1f, /** * @brief IP TTL @@ -1872,7 +1872,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_TTL, + SAI_ACL_ENTRY_ATTR_FIELD_TTL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x20, /** * @brief IP TOS @@ -1881,7 +1881,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_TOS, + SAI_ACL_ENTRY_ATTR_FIELD_TOS = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x21, /** * @brief IP Flags (3 bits) @@ -1890,7 +1890,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_IP_FLAGS, + SAI_ACL_ENTRY_ATTR_FIELD_IP_FLAGS = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x22, /** * @brief TCP Flags (6 bits) @@ -1899,7 +1899,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_TCP_FLAGS, + SAI_ACL_ENTRY_ATTR_FIELD_TCP_FLAGS = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x23, /** * @brief IP Type (field mask is not needed) @@ -1908,7 +1908,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ACL_IP_TYPE, + SAI_ACL_ENTRY_ATTR_FIELD_ACL_IP_TYPE = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x24, /** * @brief IP Frag (field mask is not needed) @@ -1917,7 +1917,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ACL_IP_FRAG, + SAI_ACL_ENTRY_ATTR_FIELD_ACL_IP_FRAG = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x25, /** * @brief IPv6 Flow Label (20 bits) @@ -1926,7 +1926,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_IPV6_FLOW_LABEL, + SAI_ACL_ENTRY_ATTR_FIELD_IPV6_FLOW_LABEL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x26, /** * @brief Class-of-Service (Traffic Class) @@ -1935,7 +1935,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_TC, + SAI_ACL_ENTRY_ATTR_FIELD_TC = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x27, /** * @brief ICMP Type @@ -1944,7 +1944,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ICMP_TYPE, + SAI_ACL_ENTRY_ATTR_FIELD_ICMP_TYPE = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x28, /** * @brief ICMP Code @@ -1953,7 +1953,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ICMP_CODE, + SAI_ACL_ENTRY_ATTR_FIELD_ICMP_CODE = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x29, /** * @brief ICMP Type for IPv6 @@ -1962,7 +1962,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ICMPV6_TYPE, + SAI_ACL_ENTRY_ATTR_FIELD_ICMPV6_TYPE = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x2a, /** * @brief ICMP Code for IPv6 @@ -1971,7 +1971,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ICMPV6_CODE, + SAI_ACL_ENTRY_ATTR_FIELD_ICMPV6_CODE = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x2b, /** * @brief Number of VLAN Tags @@ -1980,7 +1980,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_PACKET_VLAN, + SAI_ACL_ENTRY_ATTR_FIELD_PACKET_VLAN = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x2c, /** * @brief Tunnel VNI @@ -1989,7 +1989,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_TUNNEL_VNI, + SAI_ACL_ENTRY_ATTR_FIELD_TUNNEL_VNI = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x2d, /** * @brief Match on packet that has vlan tag @@ -1998,7 +1998,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_HAS_VLAN_TAG, + SAI_ACL_ENTRY_ATTR_FIELD_HAS_VLAN_TAG = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x2e, /** * @brief SCI value in MACsec packet SecTAG @@ -2007,7 +2007,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MACSEC_SCI, + SAI_ACL_ENTRY_ATTR_FIELD_MACSEC_SCI = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x2f, /** * @brief Label value for MPLS label on the top @@ -2016,7 +2016,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL0_LABEL, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL0_LABEL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x30, /** * @brief TTL value for MPLS label on the top @@ -2025,7 +2025,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL0_TTL, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL0_TTL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x31, /** * @brief EXP value for MPLS label on the top (3 bits) @@ -2034,7 +2034,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL0_EXP, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL0_EXP = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x32, /** * @brief BOS bit value for MPLS label on the top @@ -2043,7 +2043,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL0_BOS, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL0_BOS = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x33, /** * @brief Label value for second MPLS label from the top @@ -2052,7 +2052,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL1_LABEL, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL1_LABEL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x34, /** * @brief TTL value for second MPLS label from the top @@ -2061,7 +2061,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL1_TTL, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL1_TTL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x35, /** * @brief EXP value for second MPLS label from the top (3 bits) @@ -2070,7 +2070,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL1_EXP, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL1_EXP = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x36, /** * @brief BOS bit value for second MPLS label from the top @@ -2079,7 +2079,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL1_BOS, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL1_BOS = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x37, /** * @brief Label value for third MPLS label from the top @@ -2088,7 +2088,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL2_LABEL, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL2_LABEL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x38, /** * @brief TTL value for third MPLS label from the top @@ -2097,7 +2097,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL2_TTL, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL2_TTL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x39, /** * @brief EXP value for third MPLS label on the top (3 bits) @@ -2106,7 +2106,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL2_EXP, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL2_EXP = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x3a, /** * @brief BOS bit value for third MPLS label from the top @@ -2115,7 +2115,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL2_BOS, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL2_BOS = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x3b, /** * @brief Label value for fourth MPLS label from the top @@ -2124,7 +2124,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL3_LABEL, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL3_LABEL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x3c, /** * @brief TTL value for fourth MPLS label from the top @@ -2133,7 +2133,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL3_TTL, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL3_TTL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x3d, /** * @brief EXP value for fourth MPLS label on the top (3 bits) @@ -2142,7 +2142,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL3_EXP, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL3_EXP = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x3e, /** * @brief BOS bit value for fourth MPLS label from the top @@ -2151,7 +2151,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL3_BOS, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL3_BOS = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x3f, /** * @brief Label value for fifth MPLS label from the top @@ -2160,7 +2160,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL4_LABEL, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL4_LABEL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x40, /** * @brief TTL value for fifth MPLS label from the top @@ -2169,7 +2169,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL4_TTL, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL4_TTL = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x41, /** * @brief EXP value for fifth MPLS label on the top (3 bits) @@ -2178,7 +2178,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL4_EXP, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL4_EXP = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x42, /** * @brief BOS bit value for fifth MPLS label from the top @@ -2187,7 +2187,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL4_BOS, + SAI_ACL_ENTRY_ATTR_FIELD_MPLS_LABEL4_BOS = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x43, /* User Based metadata */ @@ -2201,7 +2201,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_FDB_DST_USER_META, + SAI_ACL_ENTRY_ATTR_FIELD_FDB_DST_USER_META = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x44, /** * @brief DST IP address match user meta data in Route Table @@ -2213,7 +2213,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ROUTE_DST_USER_META, + SAI_ACL_ENTRY_ATTR_FIELD_ROUTE_DST_USER_META = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x45, /** * @brief DST IP address match user meta data in Neighbor Table @@ -2225,7 +2225,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_NEIGHBOR_DST_USER_META, + SAI_ACL_ENTRY_ATTR_FIELD_NEIGHBOR_DST_USER_META = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x46, /** * @brief Port User metadata @@ -2237,7 +2237,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_PORT_USER_META, + SAI_ACL_ENTRY_ATTR_FIELD_PORT_USER_META = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x47, /** * @brief Vlan User metadata @@ -2249,7 +2249,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_VLAN_USER_META, + SAI_ACL_ENTRY_ATTR_FIELD_VLAN_USER_META = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x48, /** * @brief Metadata carried from previous ACL stage. @@ -2263,7 +2263,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ACL_USER_META, + SAI_ACL_ENTRY_ATTR_FIELD_ACL_USER_META = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x49, /* NPU Based metadata [bool] */ @@ -2274,7 +2274,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_FDB_NPU_META_DST_HIT, + SAI_ACL_ENTRY_ATTR_FIELD_FDB_NPU_META_DST_HIT = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x4a, /** * @brief DST IP address match in neighbor Table @@ -2283,7 +2283,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_NEIGHBOR_NPU_META_DST_HIT, + SAI_ACL_ENTRY_ATTR_FIELD_NEIGHBOR_NPU_META_DST_HIT = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x4b, /** * @brief DST IP address match in Route Table @@ -2292,7 +2292,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ROUTE_NPU_META_DST_HIT, + SAI_ACL_ENTRY_ATTR_FIELD_ROUTE_NPU_META_DST_HIT = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x4c, /** * @brief Base Transport Header opcode field @@ -2301,7 +2301,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_BTH_OPCODE, + SAI_ACL_ENTRY_ATTR_FIELD_BTH_OPCODE = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x4d, /** * @brief Ack_extented Transport Header syndrome field @@ -2310,7 +2310,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_AETH_SYNDROME, + SAI_ACL_ENTRY_ATTR_FIELD_AETH_SYNDROME = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x4e, /** * @brief User Defined Field object for the UDF Groups in ACL Table @@ -2320,7 +2320,7 @@ typedef enum _sai_acl_entry_attr_t * @default disabled * @range SAI_ACL_USER_DEFINED_FIELD_ATTR_ID_RANGE */ - SAI_ACL_ENTRY_ATTR_USER_DEFINED_FIELD_GROUP_MIN, + SAI_ACL_ENTRY_ATTR_USER_DEFINED_FIELD_GROUP_MIN = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x4f, /** * @brief User Defined Field data max @@ -2341,7 +2341,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_ACL_RANGE * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_ACL_RANGE_TYPE, + SAI_ACL_ENTRY_ATTR_FIELD_ACL_RANGE_TYPE = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x14f, /** * @brief IPv6 Next Header (8 bits) @@ -2352,7 +2352,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_IPV6_NEXT_HEADER, + SAI_ACL_ENTRY_ATTR_FIELD_IPV6_NEXT_HEADER = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x150, /** * @brief GRE Key (32 bits) @@ -2361,7 +2361,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_GRE_KEY, + SAI_ACL_ENTRY_ATTR_FIELD_GRE_KEY = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x151, /** * @brief TAM INT type @@ -2370,12 +2370,12 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_FIELD_TAM_INT_TYPE, + SAI_ACL_ENTRY_ATTR_FIELD_TAM_INT_TYPE = SAI_ACL_ENTRY_ATTR_FIELD_START + 0x152, /** * @brief End of Rule Match Fields */ - SAI_ACL_ENTRY_ATTR_FIELD_END = SAI_ACL_ENTRY_ATTR_FIELD_TAM_INT_TYPE, + SAI_ACL_ENTRY_ATTR_FIELD_END = SAI_ACL_ENTRY_ATTR_FIELD_DST_IPV6_WORD0, /* * Actions [sai_acl_action_data_t] @@ -2415,7 +2415,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_ENDPOINT_IP, + SAI_ACL_ENTRY_ATTR_ACTION_ENDPOINT_IP = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x1, /** * @brief Redirect Packet to a list of destination which can be @@ -2429,7 +2429,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_PORT, SAI_OBJECT_TYPE_SYSTEM_PORT, SAI_OBJECT_TYPE_LAG, SAI_OBJECT_TYPE_NEXT_HOP, SAI_OBJECT_TYPE_NEXT_HOP_GROUP * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_REDIRECT_LIST, + SAI_ACL_ENTRY_ATTR_ACTION_REDIRECT_LIST = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x2, /** * @brief Packet Action @@ -2438,7 +2438,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_PACKET_ACTION, + SAI_ACL_ENTRY_ATTR_ACTION_PACKET_ACTION = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x3, /** * @brief Flood Packet on Vlan domain (parameter is not needed) @@ -2447,7 +2447,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_FLOOD, + SAI_ACL_ENTRY_ATTR_ACTION_FLOOD = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x4, /** * @brief Attach/detach counter id to the entry @@ -2457,7 +2457,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_ACL_COUNTER * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_COUNTER, + SAI_ACL_ENTRY_ATTR_ACTION_COUNTER = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x5, /** * @brief Ingress Mirror (mirror session id list) @@ -2467,7 +2467,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_MIRROR_SESSION * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_MIRROR_INGRESS, + SAI_ACL_ENTRY_ATTR_ACTION_MIRROR_INGRESS = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x6, /** * @brief Egress Mirror (mirror session id list) @@ -2477,7 +2477,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_MIRROR_SESSION * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_MIRROR_EGRESS, + SAI_ACL_ENTRY_ATTR_ACTION_MIRROR_EGRESS = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x7, /** * @brief Associate with policer @@ -2487,7 +2487,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_POLICER * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_POLICER, + SAI_ACL_ENTRY_ATTR_ACTION_SET_POLICER = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x8, /** * @brief Decrement TTL (enable/disable) @@ -2496,7 +2496,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_DECREMENT_TTL, + SAI_ACL_ENTRY_ATTR_ACTION_DECREMENT_TTL = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x9, /** * @brief Set Class-of-Service (Traffic Class) @@ -2505,7 +2505,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_TC, + SAI_ACL_ENTRY_ATTR_ACTION_SET_TC = SAI_ACL_ENTRY_ATTR_ACTION_START + 0xa, /** * @brief Set packet color @@ -2514,7 +2514,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_PACKET_COLOR, + SAI_ACL_ENTRY_ATTR_ACTION_SET_PACKET_COLOR = SAI_ACL_ENTRY_ATTR_ACTION_START + 0xb, /** * @brief Set Packet Inner Vlan Id (12 bits) @@ -2523,7 +2523,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_INNER_VLAN_ID, + SAI_ACL_ENTRY_ATTR_ACTION_SET_INNER_VLAN_ID = SAI_ACL_ENTRY_ATTR_ACTION_START + 0xc, /** * @brief Set Packet Inner Vlan Priority (3 bits) @@ -2532,7 +2532,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_INNER_VLAN_PRI, + SAI_ACL_ENTRY_ATTR_ACTION_SET_INNER_VLAN_PRI = SAI_ACL_ENTRY_ATTR_ACTION_START + 0xd, /** * @brief Set Packet Outer Vlan Id (12 bits) @@ -2542,7 +2542,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan true * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_OUTER_VLAN_ID, + SAI_ACL_ENTRY_ATTR_ACTION_SET_OUTER_VLAN_ID = SAI_ACL_ENTRY_ATTR_ACTION_START + 0xe, /** * @brief Set Packet Outer Vlan Priority (3 bits) @@ -2551,7 +2551,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_OUTER_VLAN_PRI, + SAI_ACL_ENTRY_ATTR_ACTION_SET_OUTER_VLAN_PRI = SAI_ACL_ENTRY_ATTR_ACTION_START + 0xf, /** * @brief Add Packet Vlan Id (12 bits) @@ -2561,7 +2561,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan true * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_ADD_VLAN_ID, + SAI_ACL_ENTRY_ATTR_ACTION_ADD_VLAN_ID = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x32, /** * @brief Add Packet Vlan Priority (3 bits) @@ -2570,7 +2570,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_ADD_VLAN_PRI, + SAI_ACL_ENTRY_ATTR_ACTION_ADD_VLAN_PRI = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x33, /** * @brief Set Packet Src MAC Address @@ -2579,7 +2579,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_SRC_MAC, + SAI_ACL_ENTRY_ATTR_ACTION_SET_SRC_MAC = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x10, /** * @brief Set Packet Dst MAC Address @@ -2588,7 +2588,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_DST_MAC, + SAI_ACL_ENTRY_ATTR_ACTION_SET_DST_MAC = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x11, /** * @brief Set Packet Src IPv4 Address @@ -2597,7 +2597,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_SRC_IP, + SAI_ACL_ENTRY_ATTR_ACTION_SET_SRC_IP = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x12, /** * @brief Set Packet Src IPv4 Address @@ -2606,7 +2606,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_DST_IP, + SAI_ACL_ENTRY_ATTR_ACTION_SET_DST_IP = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x13, /** * @brief Set Packet Src IPv6 Address @@ -2615,7 +2615,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_SRC_IPV6, + SAI_ACL_ENTRY_ATTR_ACTION_SET_SRC_IPV6 = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x14, /** * @brief Set Packet Src IPv6 Address @@ -2624,7 +2624,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_DST_IPV6, + SAI_ACL_ENTRY_ATTR_ACTION_SET_DST_IPV6 = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x15, /** * @brief Set Packet DSCP (6 bits) @@ -2633,7 +2633,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_DSCP, + SAI_ACL_ENTRY_ATTR_ACTION_SET_DSCP = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x16, /** * @brief Set Packet ECN (2 bits) @@ -2642,7 +2642,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_ECN, + SAI_ACL_ENTRY_ATTR_ACTION_SET_ECN = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x17, /** * @brief Set Packet L4 Src Port @@ -2652,7 +2652,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan false * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_L4_SRC_PORT, + SAI_ACL_ENTRY_ATTR_ACTION_SET_L4_SRC_PORT = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x18, /** * @brief Set Packet L4 Src Port @@ -2662,7 +2662,7 @@ typedef enum _sai_acl_entry_attr_t * @isvlan false * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_L4_DST_PORT, + SAI_ACL_ENTRY_ATTR_ACTION_SET_L4_DST_PORT = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x19, /** * @brief Set ingress packet sampling (sample packet session id) @@ -2672,7 +2672,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_SAMPLEPACKET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_INGRESS_SAMPLEPACKET_ENABLE, + SAI_ACL_ENTRY_ATTR_ACTION_INGRESS_SAMPLEPACKET_ENABLE = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x1a, /** * @brief Set egress packet sampling (sample packet session id) @@ -2682,7 +2682,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_SAMPLEPACKET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_EGRESS_SAMPLEPACKET_ENABLE, + SAI_ACL_ENTRY_ATTR_ACTION_EGRESS_SAMPLEPACKET_ENABLE = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x1b, /** * @brief Set metadata to carry forward to next ACL Stage @@ -2693,7 +2693,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_ACL_META_DATA, + SAI_ACL_ENTRY_ATTR_ACTION_SET_ACL_META_DATA = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x1c, /** * @brief Egress block port list @@ -2709,7 +2709,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_PORT * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_EGRESS_BLOCK_PORT_LIST, + SAI_ACL_ENTRY_ATTR_ACTION_EGRESS_BLOCK_PORT_LIST = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x1d, /** * @brief Set User Defined Trap ID @@ -2722,7 +2722,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_HOSTIF_USER_DEFINED_TRAP * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_USER_TRAP_ID, + SAI_ACL_ENTRY_ATTR_ACTION_SET_USER_TRAP_ID = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x1e, /** * @brief Do Not Learn unknown source MAC on match(enable/disable) (parameter is not needed) @@ -2731,7 +2731,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_DO_NOT_LEARN, + SAI_ACL_ENTRY_ATTR_ACTION_SET_DO_NOT_LEARN = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x1f, /** * @brief DTEL flow operation @@ -2742,7 +2742,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_ACL_DTEL_FLOW_OP, + SAI_ACL_ENTRY_ATTR_ACTION_ACL_DTEL_FLOW_OP = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x20, /** * @brief DTEL INT session ID @@ -2754,7 +2754,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_DTEL_INT_SESSION * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_DTEL_INT_SESSION, + SAI_ACL_ENTRY_ATTR_ACTION_DTEL_INT_SESSION = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x21, /** * @brief Enable DTEL drop report @@ -2765,7 +2765,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_DTEL_DROP_REPORT_ENABLE, + SAI_ACL_ENTRY_ATTR_ACTION_DTEL_DROP_REPORT_ENABLE = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x22, /** * @brief Enable DTEL tail drop reporting @@ -2776,7 +2776,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_DTEL_TAIL_DROP_REPORT_ENABLE, + SAI_ACL_ENTRY_ATTR_ACTION_DTEL_TAIL_DROP_REPORT_ENABLE = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x23, /** * @brief DTEL flow sample percentage @@ -2787,7 +2787,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_DTEL_FLOW_SAMPLE_PERCENT, + SAI_ACL_ENTRY_ATTR_ACTION_DTEL_FLOW_SAMPLE_PERCENT = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x24, /** * @brief Enable DTEL report for all packets without filtering @@ -2798,7 +2798,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_DTEL_REPORT_ALL_PACKETS, + SAI_ACL_ENTRY_ATTR_ACTION_DTEL_REPORT_ALL_PACKETS = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x25, /** * @brief Set NAT exception rule @@ -2807,7 +2807,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_NO_NAT, + SAI_ACL_ENTRY_ATTR_ACTION_NO_NAT = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x26, /** * @brief Enable INT metadata insertion @@ -2816,7 +2816,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_INT_INSERT, + SAI_ACL_ENTRY_ATTR_ACTION_INT_INSERT = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x27, /** * @brief Enable INT metadata deletion @@ -2825,7 +2825,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_INT_DELETE, + SAI_ACL_ENTRY_ATTR_ACTION_INT_DELETE = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x28, /** * @brief Enable INT metadata reports @@ -2834,7 +2834,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_INT_REPORT_FLOW, + SAI_ACL_ENTRY_ATTR_ACTION_INT_REPORT_FLOW = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x29, /** * @brief Enable INT drop reports @@ -2843,7 +2843,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_INT_REPORT_DROPS, + SAI_ACL_ENTRY_ATTR_ACTION_INT_REPORT_DROPS = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x2a, /** * @brief Enable INT tail drop reports @@ -2852,7 +2852,7 @@ typedef enum _sai_acl_entry_attr_t * @flags CREATE_AND_SET * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_INT_REPORT_TAIL_DROPS, + SAI_ACL_ENTRY_ATTR_ACTION_INT_REPORT_TAIL_DROPS = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x2b, /** * @brief ACL bind point for TAM INT object @@ -2870,7 +2870,7 @@ typedef enum _sai_acl_entry_attr_t * @allownull true * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_TAM_INT_OBJECT, + SAI_ACL_ENTRY_ATTR_ACTION_TAM_INT_OBJECT = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x2c, /** * @brief Set isolation group (isolation group object id) @@ -2880,7 +2880,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_ISOLATION_GROUP * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_ISOLATION_GROUP, + SAI_ACL_ENTRY_ATTR_ACTION_SET_ISOLATION_GROUP = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x2d, /** * @brief MACsec flow @@ -2890,7 +2890,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_MACSEC_FLOW * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_MACSEC_FLOW, + SAI_ACL_ENTRY_ATTR_ACTION_MACSEC_FLOW = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x2e, /** * @brief LAG Hash ID @@ -2900,7 +2900,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_HASH * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_LAG_HASH_ID, + SAI_ACL_ENTRY_ATTR_ACTION_SET_LAG_HASH_ID = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x2f, /** * @brief ECMP Hash ID @@ -2910,7 +2910,7 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_HASH * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_ECMP_HASH_ID, + SAI_ACL_ENTRY_ATTR_ACTION_SET_ECMP_HASH_ID = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x30, /** * @brief Set virtual router @@ -2920,12 +2920,12 @@ typedef enum _sai_acl_entry_attr_t * @objects SAI_OBJECT_TYPE_VIRTUAL_ROUTER * @default disabled */ - SAI_ACL_ENTRY_ATTR_ACTION_SET_VRF, + SAI_ACL_ENTRY_ATTR_ACTION_SET_VRF = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x31, /** * @brief End of Rule Actions */ - SAI_ACL_ENTRY_ATTR_ACTION_END = SAI_ACL_ENTRY_ATTR_ACTION_SET_VRF, + SAI_ACL_ENTRY_ATTR_ACTION_END = SAI_ACL_ENTRY_ATTR_ACTION_ADD_VLAN_PRI, /** * @brief End of ACL Entry attributes diff --git a/inc/saihash.h b/inc/saihash.h index a6310a27cc..a6c6b04472 100644 --- a/inc/saihash.h +++ b/inc/saihash.h @@ -45,7 +45,7 @@ typedef enum _sai_native_hash_field_t * in case for encapsulated packets. * Used for both IPv4 and IPv6 */ - SAI_NATIVE_HASH_FIELD_SRC_IP, + SAI_NATIVE_HASH_FIELD_SRC_IP = 0x00000000, /** * @brief Native hash field destination IP. @@ -54,13 +54,13 @@ typedef enum _sai_native_hash_field_t * in case for encapsulated packets. * Used for both IPv4 and IPv6 */ - SAI_NATIVE_HASH_FIELD_DST_IP, + SAI_NATIVE_HASH_FIELD_DST_IP = 0x00000001, /** Native hash field inner source IP */ - SAI_NATIVE_HASH_FIELD_INNER_SRC_IP, + SAI_NATIVE_HASH_FIELD_INNER_SRC_IP = 0x00000002, /** Native hash field inner destination IP */ - SAI_NATIVE_HASH_FIELD_INNER_DST_IP, + SAI_NATIVE_HASH_FIELD_INNER_DST_IP = 0x00000003, /** * @brief Native hash field source IPv4. @@ -68,7 +68,7 @@ typedef enum _sai_native_hash_field_t * Also, refers to the outer source IPv4 * in case for encapsulated packets */ - SAI_NATIVE_HASH_FIELD_SRC_IPV4, + SAI_NATIVE_HASH_FIELD_SRC_IPV4 = 0x00000019, /** * @brief Native hash field destination IPv4 @@ -76,7 +76,7 @@ typedef enum _sai_native_hash_field_t * Also, refers to the outer source IPv4 * in case for encapsulated packets */ - SAI_NATIVE_HASH_FIELD_DST_IPV4, + SAI_NATIVE_HASH_FIELD_DST_IPV4 = 0x0000001a, /** * @brief Native hash field source IPv6. @@ -84,7 +84,7 @@ typedef enum _sai_native_hash_field_t * Also, refers to the outer source IPv6 * in case for encapsulated packets */ - SAI_NATIVE_HASH_FIELD_SRC_IPV6, + SAI_NATIVE_HASH_FIELD_SRC_IPV6 = 0x0000001b, /** * @brief Native hash field destination IPv6 @@ -92,85 +92,85 @@ typedef enum _sai_native_hash_field_t * Also, refers to the outer source IPv6 * in case for encapsulated packets */ - SAI_NATIVE_HASH_FIELD_DST_IPV6, + SAI_NATIVE_HASH_FIELD_DST_IPV6 = 0x0000001c, /** Native hash field inner source IPv4 */ - SAI_NATIVE_HASH_FIELD_INNER_SRC_IPV4, + SAI_NATIVE_HASH_FIELD_INNER_SRC_IPV4 = 0x0000001d, /** Native hash field inner destination IPv4 */ - SAI_NATIVE_HASH_FIELD_INNER_DST_IPV4, + SAI_NATIVE_HASH_FIELD_INNER_DST_IPV4 = 0x0000001e, /** Native hash field inner source IPv6 */ - SAI_NATIVE_HASH_FIELD_INNER_SRC_IPV6, + SAI_NATIVE_HASH_FIELD_INNER_SRC_IPV6 = 0x0000001f, /** Native hash field inner destination IPv6 */ - SAI_NATIVE_HASH_FIELD_INNER_DST_IPV6, + SAI_NATIVE_HASH_FIELD_INNER_DST_IPV6 = 0x00000020, /** Native hash field vlan id */ - SAI_NATIVE_HASH_FIELD_VLAN_ID, + SAI_NATIVE_HASH_FIELD_VLAN_ID = 0x00000004, /** Native hash field IP protocol */ - SAI_NATIVE_HASH_FIELD_IP_PROTOCOL, + SAI_NATIVE_HASH_FIELD_IP_PROTOCOL = 0x00000005, /** Native hash field Ethernet type */ - SAI_NATIVE_HASH_FIELD_ETHERTYPE, + SAI_NATIVE_HASH_FIELD_ETHERTYPE = 0x00000006, /** Native hash field L4 source port */ - SAI_NATIVE_HASH_FIELD_L4_SRC_PORT, + SAI_NATIVE_HASH_FIELD_L4_SRC_PORT = 0x00000007, /** Native hash field L4 destination port */ - SAI_NATIVE_HASH_FIELD_L4_DST_PORT, + SAI_NATIVE_HASH_FIELD_L4_DST_PORT = 0x00000008, /** Native hash field source MAC */ - SAI_NATIVE_HASH_FIELD_SRC_MAC, + SAI_NATIVE_HASH_FIELD_SRC_MAC = 0x00000009, /** Native hash field destination MAC */ - SAI_NATIVE_HASH_FIELD_DST_MAC, + SAI_NATIVE_HASH_FIELD_DST_MAC = 0x0000000a, /** Native hash field source port */ - SAI_NATIVE_HASH_FIELD_IN_PORT, + SAI_NATIVE_HASH_FIELD_IN_PORT = 0x0000000b, /** Native hash field inner IP protocol */ - SAI_NATIVE_HASH_FIELD_INNER_IP_PROTOCOL, + SAI_NATIVE_HASH_FIELD_INNER_IP_PROTOCOL = 0x0000000c, /** Native hash field inner Ethernet type */ - SAI_NATIVE_HASH_FIELD_INNER_ETHERTYPE, + SAI_NATIVE_HASH_FIELD_INNER_ETHERTYPE = 0x0000000d, /** Native hash field inner L4 source port */ - SAI_NATIVE_HASH_FIELD_INNER_L4_SRC_PORT, + SAI_NATIVE_HASH_FIELD_INNER_L4_SRC_PORT = 0x0000000e, /** Native hash field inner L4 destination port */ - SAI_NATIVE_HASH_FIELD_INNER_L4_DST_PORT, + SAI_NATIVE_HASH_FIELD_INNER_L4_DST_PORT = 0x0000000f, /** Native hash field inner source MAC */ - SAI_NATIVE_HASH_FIELD_INNER_SRC_MAC, + SAI_NATIVE_HASH_FIELD_INNER_SRC_MAC = 0x00000010, /** Native hash field inner destination MAC */ - SAI_NATIVE_HASH_FIELD_INNER_DST_MAC, + SAI_NATIVE_HASH_FIELD_INNER_DST_MAC = 0x00000011, /** Native hash field entire MPLS label stack */ - SAI_NATIVE_HASH_FIELD_MPLS_LABEL_ALL, + SAI_NATIVE_HASH_FIELD_MPLS_LABEL_ALL = 0x00000012, /** Native hash field the top MPLS label */ - SAI_NATIVE_HASH_FIELD_MPLS_LABEL_0, + SAI_NATIVE_HASH_FIELD_MPLS_LABEL_0 = 0x00000013, /** Native hash field second MPLS label from the top */ - SAI_NATIVE_HASH_FIELD_MPLS_LABEL_1, + SAI_NATIVE_HASH_FIELD_MPLS_LABEL_1 = 0x00000014, /** Native hash field third MPLS label from the top */ - SAI_NATIVE_HASH_FIELD_MPLS_LABEL_2, + SAI_NATIVE_HASH_FIELD_MPLS_LABEL_2 = 0x00000015, /** Native hash field fourth MPLS label from the top */ - SAI_NATIVE_HASH_FIELD_MPLS_LABEL_3, + SAI_NATIVE_HASH_FIELD_MPLS_LABEL_3 = 0x00000016, /** Native hash field fifth MPLS label from the top */ - SAI_NATIVE_HASH_FIELD_MPLS_LABEL_4, + SAI_NATIVE_HASH_FIELD_MPLS_LABEL_4 = 0x00000017, /** Native hash field IPv6 flow label */ - SAI_NATIVE_HASH_FIELD_IPV6_FLOW_LABEL, + SAI_NATIVE_HASH_FIELD_IPV6_FLOW_LABEL = 0x00000018, /** No field - for compatibility, must be last */ - SAI_NATIVE_HASH_FIELD_NONE, + SAI_NATIVE_HASH_FIELD_NONE = 0x00000021, } sai_native_hash_field_t; From 31320180d4b1ff9087474f219092326df06b1e00 Mon Sep 17 00:00:00 2001 From: Kamil Cudnik Date: Thu, 26 Aug 2021 17:58:58 +0200 Subject: [PATCH 05/11] [meta] Add check for enum const values (#1256) This check will make sure that enum values are constant from this point on all future commits. --- meta/Makefile | 1 + meta/checkenumlock.sh | 38 ++++++++++++++++++++++++++++++++++++++ meta/checkheaders.pl | 27 ++++++++++++++++++++++++++- meta/saisanitycheck.c | 12 ++++++------ 4 files changed, 71 insertions(+), 7 deletions(-) create mode 100755 meta/checkenumlock.sh diff --git a/meta/Makefile b/meta/Makefile index 4204d2c397..1cb59c8cc7 100644 --- a/meta/Makefile +++ b/meta/Makefile @@ -80,6 +80,7 @@ SYMBOLS = $(OBJ:=.symbols) all: toolsversions saisanitycheck saimetadatatest saiserializetest saidepgraph.svg $(SYMBOLS) ./checkheaders.pl ../inc ../inc + ./checkenumlock.sh ./saimetadatatest >/dev/null ./saiserializetest >/dev/null ./saisanitycheck diff --git a/meta/checkenumlock.sh b/meta/checkenumlock.sh new file mode 100755 index 0000000000..f143b36094 --- /dev/null +++ b/meta/checkenumlock.sh @@ -0,0 +1,38 @@ +#!/bin/bash +# +# Copyright (c) 2014 Microsoft Open Technologies, Inc. +# +# Licensed under the Apache License, Version 2.0 (the "License"); you may +# not use this file except in compliance with the License. You may obtain +# a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +# +# THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR +# CONDITIONS OF ANY KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT +# LIMITATION ANY IMPLIED WARRANTIES OR CONDITIONS OF TITLE, FITNESS +# FOR A PARTICULAR PURPOSE, MERCHANTABILITY OR NON-INFRINGEMENT. +# +# See the Apache Version 2.0 License for specific language governing +# permissions and limitations under the License. +# +# Microsoft would like to thank the following companies for their review and +# assistance with these files: Intel Corporation, Mellanox Technologies Ltd, +# Dell Products, L.P., Facebook, Inc., Marvell International Ltd. +# +# @file checkenumlock.sh +# +# @brief This module defines SAI enum values integration check for 2 header directories +# + +set -e + +rm -rf temp + +mkdir temp + +git --work-tree=temp/ checkout origin/master inc + +echo "Checking for possible enum values shift (current branch vs origin/master) ..." + +./checkheaders.pl -s ../inc/ temp/inc/ + +rm -rf temp diff --git a/meta/checkheaders.pl b/meta/checkheaders.pl index e7ce93f3e1..16138d279b 100755 --- a/meta/checkheaders.pl +++ b/meta/checkheaders.pl @@ -31,6 +31,12 @@ use File::Temp qw/ tempfile /; use Data::Dumper; +use Getopt::Std; + +my %options = (); +getopts("s", \%options); + +my $optionSkipSingleDefined = 1 if defined $options{s}; use utils; @@ -176,9 +182,28 @@ sub CheckHash for my $key (sort keys %A) { + if (defined $optionSkipSingleDefined) + { + # ignore attributes end, since those will shift + next if $key =~ /^SAI_\w+_ATTR_END$/; + + next if $key eq "SAI_ACL_TABLE_ATTR_FIELD_END"; + next if $key eq "SAI_ACL_ENTRY_ATTR_FIELD_END"; + next if $key eq "SAI_ACL_ENTRY_ATTR_ACTION_END"; + + # NOTE: some other attributes/enum with END range could be added + } + if (not defined $B{$key}) { - LogError "enum $key only defined in $A{$key}{path}:$A{$key}{nr}"; + if (not defined $optionSkipSingleDefined) + { + LogError "enum $key only defined in $A{$key}{path}:$A{$key}{nr}" + } + else + { + LogInfo "enum $key only defined in $A{$key}{path}:$A{$key}{nr}" + } next; } diff --git a/meta/saisanitycheck.c b/meta/saisanitycheck.c index 458ba2c9f5..eeb0aa68ed 100644 --- a/meta/saisanitycheck.c +++ b/meta/saisanitycheck.c @@ -4988,7 +4988,7 @@ void check_get_attr_metadata() void check_acl_user_defined_field() { - SAI_META_LOG_ENTER(); + META_LOG_ENTER(); META_ASSERT_TRUE(SAI_ACL_USER_DEFINED_FIELD_ATTR_ID_RANGE > 0, "should be positive"); @@ -5001,14 +5001,14 @@ void check_acl_user_defined_field() void check_label_size() { - SAI_META_LOG_ENTER(); + META_LOG_ENTER(); META_ASSERT_TRUE(sizeof(sai_label_id_t) == sizeof(uint32_t), "label is expected to be 32 bit"); } void check_switch_notify_list() { - SAI_META_LOG_ENTER(); + META_LOG_ENTER(); size_t i; @@ -5024,7 +5024,7 @@ void check_switch_notify_list() void check_switch_pointers_list() { - SAI_META_LOG_ENTER(); + META_LOG_ENTER(); size_t i; @@ -5040,7 +5040,7 @@ void check_switch_pointers_list() void check_defines() { - SAI_META_LOG_ENTER(); + META_LOG_ENTER(); /* * Check if defines are equal to their static values. @@ -5052,7 +5052,7 @@ void check_defines() void check_object_type_attributes() { - SAI_META_LOG_ENTER(); + META_LOG_ENTER(); size_t i = 0; From 8e1fb379cc8eab2654632265c8f7d3ac9cb00f7a Mon Sep 17 00:00:00 2001 From: Kamil Cudnik Date: Thu, 26 Aug 2021 18:27:28 +0200 Subject: [PATCH 06/11] [meta] Add enum ancestry check on SAI includes (#1297) Will make sure that from BEGIN_COMMIT we will be always backward compatible for SAI enums --- .gitignore | 1 + meta/Makefile | 3 +- meta/ancestry.pl | 243 ++++++++++++++++++++++++++++++++++++++++++ meta/checkancestry.sh | 115 ++++++++++++++++++++ meta/parse.pl | 166 ++--------------------------- meta/utils.pm | 176 +++++++++++++++++++++++++++++- 6 files changed, 544 insertions(+), 160 deletions(-) create mode 100755 meta/ancestry.pl create mode 100755 meta/checkancestry.sh diff --git a/.gitignore b/.gitignore index 20dedcaee8..75769ddb2c 100644 --- a/.gitignore +++ b/.gitignore @@ -1,6 +1,7 @@ # metadata build artifacts meta/xml meta/html +meta/temp meta/*.o meta/*.lo diff --git a/meta/Makefile b/meta/Makefile index 1cb59c8cc7..4ddae4ef0b 100644 --- a/meta/Makefile +++ b/meta/Makefile @@ -81,6 +81,7 @@ SYMBOLS = $(OBJ:=.symbols) all: toolsversions saisanitycheck saimetadatatest saiserializetest saidepgraph.svg $(SYMBOLS) ./checkheaders.pl ../inc ../inc ./checkenumlock.sh + ./checkancestry.sh ./saimetadatatest >/dev/null ./saiserializetest >/dev/null ./saisanitycheck @@ -140,4 +141,4 @@ clean: rm -f *.o *~ .*~ *.tmp .*.swp .*.swo *.bak sai*.gv sai*.svg *.o.symbols rm -f saimetadata.h saimetadata.c saimetadatatest.c rm -f saisanitycheck saimetadatatest saiserializetest saidepgraphgen - rm -rf xml html dist + rm -rf xml html dist temp diff --git a/meta/ancestry.pl b/meta/ancestry.pl new file mode 100755 index 0000000000..95babbc1e0 --- /dev/null +++ b/meta/ancestry.pl @@ -0,0 +1,243 @@ +#!/usr/bin/perl +# +# Copyright (c) 2021 Microsoft Open Technologies, Inc. +# +# Licensed under the Apache License, Version 2.0 (the "License"); you may +# not use this file except in compliance with the License. You may obtain +# a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +# +# THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR +# CONDITIONS OF ANY KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT +# LIMITATION ANY IMPLIED WARRANTIES OR CONDITIONS OF TITLE, FITNESS +# FOR A PARTICULAR PURPOSE, MERCHANTABILITY OR NON-INFRINGEMENT. +# +# See the Apache Version 2.0 License for specific language governing +# permissions and limitations under the License. +# +# Microsoft would like to thank the following companies for their review and +# assistance with these files: Intel Corporation, Mellanox Technologies Ltd, +# Dell Products, L.P., Facebook, Inc., Marvell International Ltd. +# +# @file ancestry.pl +# +# @brief This module defines enum ancestry check for SAI headers +# + +BEGIN { push @INC,'.'; } + +use strict; +use warnings; +use diagnostics; +use sort 'stable'; # for enum initializers sort + +use Getopt::Std; +use Data::Dumper; +use utils; + +my %options = (); + +getopts("dsASl", \%options); + +our $optionPrintDebug = 1 if defined $options{d}; +our $optionDisableAspell = 1 if defined $options{A}; +our $optionUseXmlSimple = 1 if defined $options{s}; +our $optionDisableStyleCheck = 1 if defined $options{S}; +our $optionShowLogCaller = 1 if defined $options{l}; + +$SIG{__DIE__} = sub +{ + LogError "FATAL ERROR === MUST FIX === : @_"; + exit 1; +}; + +our $INCLUDE_DIR = "temp"; +our %SAI_ENUMS = (); +our %SAI_DEFINES = (); +our %HISTORY = (); +our %IGNORED = (); + +sub ProcessSingleHeader +{ + my $header = shift; + + my $data = ReadHeaderFile $header; + + my @lines = split/\n/,$data; + + my $currentEnum = "undefined"; + my $currentEnumPrefix = "undefined"; + + my $ignore = ""; + + for my $line (@lines) + { + if ($line =~ /#define\s+(SAI_\w+)\s+(\(?".*"|$NUMBER_REGEX\)?)$/) + { + LogDebug "Defined $1 = $2"; + + $SAI_DEFINES{$1} = $2; + next; + } + + if ($line =~ /^\s*typedef\s+enum\s+_((sai_\w+_)t)/) + { + $currentEnum = $1; + $currentEnumPrefix = uc($2); + + my @values = (); + my @inits = (); + + $SAI_ENUMS{$currentEnum}->{values} = \@values; + $SAI_ENUMS{$currentEnum}->{inits} = \@inits; + + LogDebug "enum found $currentEnum"; + next; + } + + $ignore = "ignore" if $line =~ /\@ignore/; + + if ($line =~ /^\s*(${currentEnumPrefix}\w+)(.*)$/) + { + my $enumName = $1; + my $init = (defined $2) ? $2 : ""; + + $init =~ s!\s*/\*.*\*/!!; # remove potential comment + $init =~ s/^\s*=\s*/= /; # remove assigner + $init =~ s/\s*,\s*$//; # remove comma + + push @{ $SAI_ENUMS{$currentEnum}->{values} }, $enumName; + push @{ $SAI_ENUMS{$currentEnum}->{inits} }, $init; + + $IGNORED{$enumName} = $init if $ignore ne ""; + + $ignore = ""; + } + } +} + +sub ProcessHeaders +{ + my $commit = shift; + + my @headers = GetHeaderFiles("temp/commit-$commit/inc"); + + for my $header (@headers) + { + LogDebug "Processing $header"; + + ProcessSingleHeader "temp/commit-$commit/inc/$header"; + } +} + +sub ProcessAllEnumInitializers +{ + for my $enumTypeName (sort keys %SAI_ENUMS) + { + LogDebug $enumTypeName; + + my $arr_ref = $SAI_ENUMS{$enumTypeName}->{values}; + my $ini_ref = $SAI_ENUMS{$enumTypeName}->{inits}; + + ProcessEnumInitializers($arr_ref, $ini_ref, $enumTypeName, \%SAI_DEFINES); + } +} + +sub BuildCommitHistory +{ + my $commit = shift; + + for my $enumTypeName (sort keys %SAI_ENUMS) + { + LogDebug $enumTypeName; + + my $arr_ref = $SAI_ENUMS{$enumTypeName}->{values}; + my $ini_ref = $SAI_ENUMS{$enumTypeName}->{inits}; + + my $count = scalar @$arr_ref; + + for (my $idx = 0; $idx < $count; $idx++) + { + my $enumName = $arr_ref->[$idx]; + my $enumValue = $ini_ref->[$idx]; + + # CheckAllEnumsEndings make sure _START match _END + + next if $enumName =~ /_START$/; + next if $enumName =~ /_END$/; + next if $enumName =~ /_RANGE_BASE$/; + + next if $enumName eq "SAI_API_MAX"; + next if $enumName eq "SAI_OBJECT_TYPE_MAX"; + + LogError "wrong initializer on $enumName $enumValue" if not $enumValue =~ /^0x[0-9a-f]{8}$/; + + if (defined $HISTORY{$enumTypeName}{$enumName} and $HISTORY{$enumTypeName}{$enumName}{value} eq $enumValue) + { + # ok, value is the same + } + elsif (not defined $HISTORY{$enumTypeName} or not defined $HISTORY{$enumTypeName}{$enumName}) + { + $HISTORY{$enumTypeName}{$enumName}{name} = $enumName; + $HISTORY{$enumTypeName}{$enumName}{value} = $enumValue; + $HISTORY{$enumTypeName}{$enumName}{commit} = $commit; + + if (not defined $HISTORY{$enumTypeName}{$enumValue}) + { + $HISTORY{$enumTypeName}{$enumValue} = $enumName; + } + elsif ($HISTORY{$enumTypeName}{$enumValue} eq $enumName) + { + # ok this is the same enum in history + } + elsif (defined $IGNORED{$enumName}) + { + # ok, values are the sam, but enum is ignored (left for backward compatibility) + # but we don't check if ignored value changed, it potentially switch to different ignore + } + else # 2 enums have same integer value + { + #print "elsif (defined $enumName $IGNORED{$enumName} and $IGNORED{$enumName} eq $HISTORY{$enumTypeName}{$enumName}{name})"; + + LogWarning "Both enums have the same value $enumName and $HISTORY{$enumTypeName}{$enumValue} = $enumValue"; + } + } + else + { + LogError "check ! $enumName value is $enumValue, but on was $HISTORY{$enumTypeName}{$enumName}{value} on commit $HISTORY{$enumTypeName}{$enumName}{commit}"; + + $HISTORY{$enumTypeName}{$enumName}{value} = $enumValue; + $HISTORY{$enumTypeName}{$enumName}{commit} = $commit; + } + } + } +} + +sub CleanData +{ + %SAI_ENUMS = (); + %SAI_DEFINES = (); + %IGNORED = (); +} + +# +# MAIN +# + +for my $commit (@ARGV) +{ + # reset + + LogInfo "processing commit $commit"; + + CleanData(); + + ProcessHeaders $commit; + + ProcessAllEnumInitializers(); + + # print Dumper \%SAI_ENUMS; + + BuildCommitHistory $commit; +} + +ExitOnErrorsOrWarnings(); diff --git a/meta/checkancestry.sh b/meta/checkancestry.sh new file mode 100755 index 0000000000..d4b8443a62 --- /dev/null +++ b/meta/checkancestry.sh @@ -0,0 +1,115 @@ +#!/bin/bash +# +# Copyright (c) 2021 Microsoft Open Technologies, Inc. +# +# Licensed under the Apache License, Version 2.0 (the "License"); you may +# not use this file except in compliance with the License. You may obtain +# a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +# +# THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR +# CONDITIONS OF ANY KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT +# LIMITATION ANY IMPLIED WARRANTIES OR CONDITIONS OF TITLE, FITNESS +# FOR A PARTICULAR PURPOSE, MERCHANTABILITY OR NON-INFRINGEMENT. +# +# See the Apache Version 2.0 License for specific language governing +# permissions and limitations under the License. +# +# Microsoft would like to thank the following companies for their review and +# assistance with these files: Intel Corporation, Mellanox Technologies Ltd, +# Dell Products, L.P., Facebook, Inc., Marvell International Ltd. +# +# @file checkancestry.sh +# +# @brief This module defines ancestry script +# + + +# To list git ancestry all comitts (even if there is a tree not single line) +# this can be usefull to build histroy of enums from root (enum lock) to the +# current origin/master and current commit - and it will be possible to fix +# mistakes. + +# examples below are to show how to get correct git history tree +# git log --graph --oneline --ancestry-path c388490^..0b90765 | cat +# git rev-list --ancestry-path c388490^..0b90765 + +# If we will have our base commit, we will assume that each previous commit +# followed metadata check, and then we can use naive approach for parsing enum +# values instead of doing gcc compile whch can take long time. With this +# approach we should be able to build entire history from base commit throug +# all commits up to the current PR. This will sure that there will be no +# abnormalities if some enums will be removed and then added again with +# different value. This will also help to track the issue if two PRs will pass +# validation but after they will be merged they could potentially cause enum +# value issue and this approach will catch that. +# +# Working throug 25 commits takes about 0.4 seconds + parsing so it seems like +# not a hudge time to make sure all commits are safe and even if we get at some +# point that this will be "too slow", having all history, we can sometimes +# produce "known" history with enum values and keep that file as a reference +# and load it at begin, and start checking commits from one of the future +# commits, basicially reducing processing time to zero. + +# Just for sanity we can also keep headers check to 1 commit back and alse +# maybe we can add one gcc check current to history, + +set -e + +# 1. get all necessary data to temp directory for future processing +# 2. pass all interesting commits to processor to build history + +function clean_temp_dir() +{ + rm -rf temp +} + +function create_temp_dir() +{ + mkdir temp +} + +function checkout_inc_directories() +{ + echo "git checkout work tree commits:" $LIST + + for commit in $LIST + do + #echo working on commit $commit + + mkdir temp/commit-$commit + mkdir temp/commit-$commit/inc + + git --work-tree=temp/commit-$commit checkout $commit inc 2>/dev/null + + done +} + +function create_commit_list() +{ + local begin=$1 + local end=$2 + + echo "git rev list from $begin to $end" + + LIST=$(git rev-list --ancestry-path ${begin}^..${end} | xargs -n 1 git rev-parse --short | tac) +} + +function check_enum_history() +{ + perl ancestry.pl $LIST +} + +# +# MAIN +# + +# BEGIN_COMMIT is the commit from we want enums to be backward compatible + +BEGIN_COMMIT=3132018 +END_COMMIT=HEAD + +clean_temp_dir +create_temp_dir +create_commit_list $BEGIN_COMMIT $END_COMMIT +checkout_inc_directories +check_enum_history diff --git a/meta/parse.pl b/meta/parse.pl index c9a435309b..ec41ccdc2f 100755 --- a/meta/parse.pl +++ b/meta/parse.pl @@ -521,162 +521,6 @@ sub ProcessDefineSection } } -sub ProcessEnumInitializers -{ - # - # This function attempts to figure out enum integers values during paring - # time in similar way as C compiler would do. Because SAI community agreed - # that enum grouping is more beneficial then ordering enums, then enum - # values could be not sorted any more. But if we figure out integers - # values, we could perform stable sort at this parser level, and generate - # enums metadata where enum values are sorted. - # - - my ($arr_ref, $ini_ref, $enumTypeName) = @_; - - return if $enumTypeName =~ /_extensions_t$/; # ignore initializers on extensions - - if (scalar(@$arr_ref) != scalar(@$ini_ref)) - { - LogError "attr array not matching initializers array on $enumTypeName"; - return; - } - - return if grep (/<{$2}; + + if (not defined $val) + { + LogError "Value $2 not defined using #define directive"; + } + elsif (not $val =~ /^0x[0-9a-f]+$/i) + { + LogError "$val not in hex format 0xYY"; + } + else + { + for my $i (0..$idx) + { + if ($$arr_ref[$i] eq $first) + { + $ini = sprintf("0x%08x", hex(@$ini_ref[$i]) + hex($val)); + + $previousEnumValue = hex($ini); + last; + } + } + + LogError "initializer $ini not found on $enumTypeName before $$arr_ref[$idx]" if not $ini =~ /^0x/; + } + } + elsif ($ini =~/^= (SAI_\w+) \+ (0x[0-9a-f]{1,8})$/) + { + my $first = $1; + my $val = $2; + + for my $i (0..$idx) + { + if ($$arr_ref[$i] eq $first) + { + $ini = sprintf("0x%08x", hex(@$ini_ref[$i]) + hex($val)); + + $previousEnumValue = hex($ini); + last; + } + } + + LogError "initializer $ini not found on $enumTypeName before $$arr_ref[$idx]" if not $ini =~ /^0x/; + } + elsif ($ini =~ /^= \(?(\d+) << (\d+)\)?$/) + { + $previousEnumValue = $1 << $2; + + $ini = sprintf("0x%08x", $previousEnumValue); + } + else + { + LogError "not supported initializer '$ini' on $$arr_ref[$idx], FIXME"; + } + + $idx++; + } + + # in final form all initializers must be hex numbers 8 digits long, since + # they will be used in stable sort + + if (scalar(grep (/^0x[0-9a-f]{8}$/, @$ini_ref)) != scalar(@$ini_ref)) + { + LogError "wrong initializers on $enumTypeName: @$ini_ref"; + return; + } + + my $before = "@$arr_ref"; + + my @joined = (); + + for my $idx (0..$#$arr_ref) + { + push @joined, "$$ini_ref[$idx]$$arr_ref[$idx]"; # format is: 0x00000000SAI_ + } + + my @sorted = sort { substr($a, 0, 10) cmp substr($b, 0, 10) } @joined; + + s/^0x[0-9a-f]{8}SAI/SAI/i for @sorted; + + my $after = "@sorted"; + + return if $after eq $before; + + LogDebug "Need sort initalizers for $enumTypeName"; + + @$arr_ref = (); + + push @$arr_ref, @sorted; +} + + BEGIN { our @ISA = qw(Exporter); @@ -380,7 +552,7 @@ BEGIN LogDebug LogInfo LogWarning LogError WriteFile GetHeaderFiles GetMetaHeaderFiles GetExperimentalHeaderFiles GetMetadataSourceFiles ReadHeaderFile GetNonObjectIdStructNames IsSpecialObject GetStructLists GetStructKeysInOrder - Trim ExitOnErrors + Trim ExitOnErrors ExitOnErrorsOrWarnings ProcessEnumInitializers WriteHeader WriteSource WriteTest WriteSwig WriteMetaDataFiles WriteSectionComment $errors $warnings $NUMBER_REGEX $HEADER_CONTENT $SOURCE_CONTENT $TEST_CONTENT From 725cd537bb1a6e1e935095f025bb26737f194bc7 Mon Sep 17 00:00:00 2001 From: Jason Bos Date: Fri, 27 Aug 2021 10:01:32 -0700 Subject: [PATCH 07/11] Class-Based Forwarding (#1193) This PR defines class-based forwarding. It contains two aspects: Assignment of a Forwarding Class to a packet, via QOS map or ACL New next-hop group type: SAI_NEXT_HOP_GROUP_TYPE_CLASS_BASED Where member selection is based on the forwarding-class of the packet. Signed-off-by: Jason Bos --- doc/ECMP/Class-Based-Forwarding.md | 211 ++++++++++++++++++++++++++ doc/behavioral model/pipeline_v9.vsdx | Bin 0 -> 555987 bytes inc/saiacl.h | 16 +- inc/sainexthopgroup.h | 126 ++++++++++++++- inc/saiport.h | 28 ++++ inc/saiqosmap.h | 6 + inc/saiswitch.h | 38 ++++- inc/saitypes.h | 5 + meta/checkheaders.pl | 2 + 9 files changed, 424 insertions(+), 8 deletions(-) create mode 100644 doc/ECMP/Class-Based-Forwarding.md create mode 100644 doc/behavioral model/pipeline_v9.vsdx diff --git a/doc/ECMP/Class-Based-Forwarding.md b/doc/ECMP/Class-Based-Forwarding.md new file mode 100644 index 0000000000..5fca941dd3 --- /dev/null +++ b/doc/ECMP/Class-Based-Forwarding.md @@ -0,0 +1,211 @@ +# Class-based Forwarding + +Title | Class-based Forwarding +------------|---------------- +Authors | Cisco +Status | In review +Type | Standards track +Created | 04/14/2021 +SAI-Version | 1.8 + +Class-based forwarding provides a method to steer traffic among multiple paths through the network by policy rather than, or in combination with, traditional ECMP/UCMP flow-hashing. + +A new type of next-hop group is introduced: + +``` +typedef enum _sai_next_hop_group_type_t +{ +... + /** Next hop group is class-based, with members selected by Forwarding class */ + SAI_NEXT_HOP_GROUP_TYPE_CLASS_BASED, +... +} sai_next_hop_group_type_t; +``` + +The behavior of SAI_NEXT_HOP_GROUP_TYPE_CLASS_BASED differs from the traditional SAI_NEXT_HOP_GROUP_TYPE_ECMP, in that each packet will have a Forwarding class that chooses next-hop group member index. + +This is accomplished by directly mapping each forwarding class to the group member index, via map configured to the next-hop group object. + +``` + /** + * @brief Member selection map + * + * @type sai_object_id_t + * @flags CREATE_AND_SET + * @objects SAI_OBJECT_TYPE_NEXT_HOP_GROUP_MAP + * @allownull true + * @default SAI_NULL_OBJECT_ID + * @validonly SAI_NEXT_HOP_GROUP_ATTR_TYPE == SAI_NEXT_HOP_GROUP_TYPE_CLASS_BASED + */ + SAI_NEXT_HOP_GROUP_ATTR_SELECTION_MAP, +``` + +If a packet arrives with a forwarding-class which is not present in the map, the chosen index shall be 0. + +``` +typedef enum _sai_next_hop_group_member_attr_t +{ +... + /** + * @brief Object index in the next-hop group. + * + * Index specifying the strict member's order. + * Allowed value range for is from 0 to SAI_NEXT_HOP_GROUP_ATTR_REAL_SIZE - 1. + * Should only be used if the type of owning group is SAI_NEXT_HOP_GROUP_TYPE_FINE_GRAIN_ECMP + * or SAI_NEXT_HOP_GROUP_TYPE_CLASS_BASED. + * + * @type sai_uint32_t + * @flags CREATE_ONLY + * @default 0 + */ + SAI_NEXT_HOP_GROUP_MEMBER_ATTR_INDEX, +... +} sai_next_hop_group_member_attr_t; +``` + +If the map selects an index for which a member does not exist, the packet shall be treated as having a next-hop of SAI_NULL_OBJECT_ID, dropping the packet. + +Members of type next-hop or next-hop groups of type ECMP shall be allowed. To allow this, next-hop group member type is extended to allow other next-hop groups: + +``` + /** + * @brief Next hop id + * + * @type sai_object_id_t + * @flags MANDATORY_ON_CREATE | CREATE_AND_SET + * @objects SAI_OBJECT_TYPE_NEXT_HOP, SAI_OBJECT_TYPE_NEXT_HOP_GROUP + */ + SAI_NEXT_HOP_GROUP_MEMBER_ATTR_NEXT_HOP_ID, +``` + +*Note: While this would also be a means to configure a hierarchical ECMP, hierarchical ECMP is outside the scope of this proposal.* + +The forwarding-class for a packet may be selected via qos-map or ACL. + +``` +typedef enum _sai_qos_map_type_t +{ +... + /** QOS Map to set DSCP to Forwarding class */ + SAI_QOS_MAP_TYPE_DSCP_TO_FORWARDING_CLASS = 0x0000000d, + + /** QOS Map to set EXP to Forwarding class */ + SAI_QOS_MAP_TYPE_MPLS_EXP_TO_FORWARDING_CLASS = 0x0000000e, +... +} sai_qos_map_type_t; +``` + +``` +typedef enum _sai_acl_entry_attr_t +... + /** + * @brief Set Forwarding Class + * + * @type sai_acl_action_data_t sai_uint8_t + * @flags CREATE_AND_SET + * @default disabled + */ + SAI_ACL_ENTRY_ATTR_ACTION_SET_FORWARDING_CLASS, +... +} sai_acl_entry_attr_t; +``` + +If the packet is not assigned a forwarding-class, then the forwarding-class of the packet shall be 0. For example, if no qos-map or ACL is configured. Normal QOS/ACL precedence rules apply; if supported by the implementation, the ACL would override the QOS MAP decision. + +*Resource monitoring considerations:* + +The attribute SAI_SWITCH_ATTR_MAX_NUMBER_OF_FORWARDING_CLASSES may be used to identify the maximum forwarding-class allowed. + +The SAI_OBJECT_TYPE_NEXT_HOP_GROUP_MAP object is a resource. The sai_object_type_get_availability() API may be used to query the maximum number of permitted maps. + +*Class-based forwarding group configuration example:* +``` +/****************************************************** + * Create a forwarding-class -> index map. + * In this example, map 8 forwarding-classes to 2 members. + * FC 0-5 -> index 0 + * FC 6-7 -> index 1 + ******************************************************/ + const int num_forwarding_classes = 8; + const int num_members = 2; + + sai_object_id_t nh_group_map; + + sai_map_t fc_map[num_forwarding_classes]; + for (int fc = 0; fc < num_forwarding_classes; ++fc) { + fc_map[fc].key = fc; + if (fc >= 6) { + fc_map[fc].value = 1; + } else { + fc_map[fc].value = 0; + } + } + + sai_map_list_t fc_map_list; + fc_map_list.key.count = num_forwarding_classes; + fc_map_list.key.list = fc_map; + + attr.id = SAI_NEXT_HOP_GROUP_MAP_ATTR_TYPE; + attr.value.u32 = SAI_NEXT_HOP_GROUP_MAP_TYPE_FORWARDING_CLASS_TO_INDEX; + attrs.push_back(attr); + + attr.id = SAI_NEXT_HOP_GROUP_MAP_ATTR_MAP_TO_VALUE_LIST; + attr.value.maplist = fc_map_list; + attrs.push_back(attr); + + sai_next_hop_group_api->create_next_hop_group_map( + &nh_group_map, + g_switch_id, + attrs.size(), + attrs.data()); + + /***************************************************** + * Create a class-based forwarding group + *****************************************************/ + attrs.clear(); + + sai_object_id_t nh_group; + + attr.id = SAI_NEXT_HOP_GROUP_ATTR_TYPE; + attr.value.u32 = SAI_NEXT_HOP_GROUP_TYPE_CLASS_BASED; + attrs.push_back(attr); + + attr.id = SAI_NEXT_HOP_GROUP_ATTR_CONFIGURED_SIZE; + attr.value.u32 = num_members; + attrs.push_back(attr); + + attr.id = SAI_NEXT_HOP_GROUP_ATTR_SELECTION_MAP; + attr.value.oid = nh_group_map; + attrs.push_back(attr); + + sai_next_hop_group_api->create_next_hop_group( + &nh_group, + g_switch_id, + attrs.size(), + attrs.data()); + + /***************************************************** + * Create members + *****************************************************/ + attrs.clear(); + + for (index = 0; index < num_members; ++index) { + attr.id = SAI_NEXT_HOP_GROUP_MEMBER_ATTR_NEXT_HOP_GROUP_ID; + attr.value.oid = nh_group; + attrs.push_back(attr); + + attr.id = SAI_NEXT_HOP_GROUP_MEMBER_ATTR_NEXT_HOP_ID; + attr.value.oid = destinations[index]; // Next-hop or ECMP group + attrs.push_back(attr); + + attr.id = SAI_NEXT_HOP_GROUP_MEMBER_ATTR_INDEX; + attr.value.u32 = index; + attrs.push_back(attr); + + sai_next_hop_group_api->create_next_hop_group_member( + &members[member_index], + g_switch_id, + attrs.size(), + attrs.data()); + } +``` diff --git a/doc/behavioral model/pipeline_v9.vsdx b/doc/behavioral model/pipeline_v9.vsdx new file mode 100644 index 0000000000000000000000000000000000000000..d10a59731a779acd2d72a4fea5600e5a41f03fac GIT binary patch literal 555987 zcmeFYW3wn-%qX~R+qP}nwr$(CZQHi@**e>{ZQFC6ccx})>i&d#`@`x^b&}3XRj`t@ zf;2D)3IG@Y1ONa4A;3&8Vl^}n0Du}Y001%o1dz6{y`77xor}JTr-P}pE}e(14M7nI z5JdsNKcxS2{qL-So{UMmO$G$fSHKT=p!QG^Wlt7b8uR(GNs{O%U$q4)JDDL&2RO-EF8@EAi zYoZK|QNdu^lYRqAc3qk}{QE;)GQ6&VsQm6w;A+E#PPuj_qcR`sCBV`g2vo5+>pxoE zcc_a7UlfKNk8B1}-6OU4T7r(`zCDS&WrOWl%10Az*?9OxUi#(|E%3(}%9Ql@4#w4b zR*yOBn;=``5#U4R>QEL?g-js1f}%_3h~?*q=I4l~=ZNU%h`S5Kbc@7&<>C>VU}9kY z(J)}>m^gGy8agI-9e)j+5(kfof~Q5n@>el^^vv%(a|cgd{{fOdHx0|MYmW!1N-JXl z@!VDDt_yOJ9^?Pp zdrZ=x6fh$~*lo})g469xTT}$gW;8@^)s zlj~jZn(;(jP|{;n8c;CdrBM0N>51(Nji?!J4IV{7lK7O<^42abzr&mk-to=|O_bDM zsh6pHP=ai{-WgO;nB%h2Bi3}|3j(X=W!yhSb@>F}%L&t=Wg6O~iyM3rjhv$gKHAwE zE0{i{&5sywQJ{N)j2bP?8Bp3r>Sc)7xm+fsw3)9;GX=&qP;DWXBGJFdvGUM(n%dJ? zFr+yr?>8AhS@8g#=18ViolHlDw-a&F6ptTF?i9}XK%cS)<OM7|~dt+DIe*yWwNPMNM z>5R>pXk8Pc%G_D-i>_zP|w95Uv6#3 ze5zx$Vj0^zUa+Ggs>eSXy0e&6TcGn*@e6~bdYi&eBKogYsF2llZ^m>mNQLh7pW~?X zm-c0*jE2JtCNpX@f3xLwam_SFX(Wq@Dkof8`e1N?*30FIfNk(gPp9cVvcscI9KTSt zGXA_UXtg&-l$p~|{N36iOE6oZ+}jiDwB^^AJB!&jKNdX@>C6od8{BKm{=29B)ezbS zay9$cY@mYgVZ!Z^p`6;6g3w(wz`IXf9J%o5J-eAQduws;;d=3O$CQRhi*qQ!6L=)dsG*D?3Yp=gg4U>7C`SbxL?H!w{YFxWnTp%%sohUP2yY?Kf%P=eABe~Ssi zF-tUu-~rdeF;ml&um{6~1`<#begODUN)iRdh;3Lw*JlY@wdz-h2$Q;NcMR?4ilh>^ zZ~=|QRKTyKZ(iW zFKdOXP{wslaM#6U$G7(jnAhr+OC@gNtio-({*jDA@TpKHb#KG@QA8Zs)yCsP5p_D^|lyKN@H%naNZdEUWi^;1mAL+?O89?7+=1Xn`Nv{$_`eU~3SzR*CUt`sn?>W$mID8yo@Volh=L<=&%Tx`mS_DCaBAnXa^nL2Pk$IN z0x7PgQAhXA9RI`PXu_rO=mRa2H9@|O&Nue`Q`f< ziY5KAK_Qp0!5Y7(j^Q*Ne{CqESd}Xh1D0T5kot`?ahW6WNFvo9XWJa?*)shnp;#< z1~Ue1@V)TD6ydGO6*fhwJ$C}Ti8{?GS@^e5LVaAO%P~`}MkQ0^Lbq28YnQ85;SE4-uiCLkRfd|t3}M1;IJ(g{ z$*Y@ULKZC^&V@N-%|>c6p7gYho{nJ`XNqQHFt9X{7|9qayS0F7U2K8b%pnyJY*|$_qn9j>>sa&3Mk@&y03Q<~NjxuMU&pZ_jOv_abBU zJN)-=1W$2B=85Zw7KC*jB*Qvu^HKVp~>>2A}f}P(XZeKzZOlWZZu(_RDvWKJnIhc_5)3$%}s}o zad0p%Jqu=SGGt5(2Q;&A*6bCPC$$UGeVecbEO5myGl(8|xM2C4RiY(a&|+8<+<&KM zG`<8{?sh+C{3f;*^P5``f9&|eLs;#lm+ASqGUTY z3XIb2b$cL;7lS+*A(9BL#kt1-%VEK|?cOjwxH__5PYZEyvFGr`&F5W+0gDV;<7_CN zV3t-1+x(0NZ`XYwy)8KQC2~E#E#6_lOsIM`j)3&(=rq6n&XEX7h>-;(#LEnj_U`LuvQcm}p_=Y1zNN~3VnRosr$3%W z6Jy5tMmEr`Yeklnj-BxUGW6jr3sdE-{Pg+hEPz-5c!O#p61*Y#tV96>q|UB|&GSs9 zG1~H!gF3LmRN7{_FL`j5mx!dM?Qu{zG2jlDUoivMO6K{h+kkrG*inzL6uJ(SmSv<} zXFHfslf5K+?C9pwq*)u8x$ukcqflRs(7cJ*^!N~HaSgGmc=Q2kD}_UULQH+A!ND6B znN^}WHDF?f;NftBFmf^cy1KwMljCZ4w+;oH6|3@t_^R3dI`q@Fv}W0f4lFip-Rgiac9w`!ddEbp6LI)cqaxdnC;;0K^;P-DsT#>z?O;yphr6gD^MS_~q8{l;7& z@d$dTy@_$uK^(m0FBiCI-qix#Ve=DTPy@;P zmD~Fy=w)*1Jb|>NzYtcDSOwX=`zux-^u-d65}*JwQYCB{_e2`~i&$m_Y|N$~bV9u% zM={#*d5T41-}hiJMjdL!yL?gJtTw2itPTVgY!ZddWWnHReSI8=pac}QlnW|}bl7K5{b#d+u)|@tY5O&Jya7vA?0EFo`0L9MLEVuu zEkSAcJGh1fuV%nc0y0bO7T+anktfPo3*f?E;X+a-Q6yC^Uvc}!C{fq-!I311w!n}} zW(C6+F+_P4n|35mlQ}h7a74YpePX#%DnR0nKbS&_Wu3DvN=3(0raRXF6%+#!wsClI z+`6NY+R6_-5JE$-Sqr`B)m06NusaWvpofsJX#Dio1WmVYO)3W=BUdQ(N@QQ`5X7Oi zB^0W&+aZWO@GZ_B5LQr;H9x!>lZuBnL&ZI|%c;-JT1(Itc|jqID**Q{P!!n+(}oOkqfw$pscYpef{)v|#SQ^n-vIZg zj>jQyK~0=n(F?bfDTl^vUpJ#bi%J*jD%3dI@hihDA?Z5`6Wn@EO(uo4Mr0)$`Kh}P zT5$5-mN<~pxT%-aM+Qaq&kan^AdQPJ~I4FnhHt~&~zL^7^< zhN=E_-5&$OPTRjiXCsW}`eVr)I%K2-N#gb}D~f3Oyl0Q?drx#=ARh3QiH?xKhlFrx z%#(iuSp88(Q=R}RJ-X(fE`!bmH4t}Qn{groFTQ(0(C+w+1edox78;BqIEpK5hW=4{k0(ee(%z=U%aEvvIWGbp2Qbe(XwYGZZ+ z7-e5@nUwLu&mwb4i`zr9{({v*AxzFKJI<}mu}E-l6z2oSx>33_^%n(m{F#6&cYKC@9Jh}m6p|Q?L3`x{9B9e` z;A0w0qfFB;-fedpI9xbMv6pVBzeEPNRM9;dJ(n|dBeB3qRnNl(OZ)1H#=FVld`Pj^ z<$8sXQA@%d#Lk>xbc<5ab;`Bi&@3~{>YWR6&c}@^1{5(rKR*?tf~RG#(>q*fhlGmG~NffO@lQLla z6*0;>wgvp4Qepr)i{!lS&m&o~JpM+3jf6%QXN8U_aq^22A#RkJ+kgU`>?`CzN~>huO5Dm)NCcA!7ZW!+0XSu6Qz~PPv%ccVb?d4qTl;3NG`)*qgV6t`p+d zk1-PiqpU*)*rhR3;Aq4g$tYr;Ll-qZ4*0F&yC0 zA6Qa1WDVV~m83_bYH7TXUL7#aJ<3rssGwC-x@GCW%t7>35Z_1kuypjVr`qdwq^}si z1rzeVAyoC5n(p2jbG$UCqJ4dhl~3pi+EJXjP(oc5MuFe@1xdEC6lFlVx(kXpJ+uuu z^$=s^wt%5ES`WvLN$}DKv}59D&Q&P)dx0Oex1#j$z1i;Uo8>*#>(;&(s=T6#CRtj} zSRc6@^{sD=o-298&J!~nZht1oP(24GN z!Ger;@eFTh^YLw_PN(oGCC{kxS*7)gJ5HmDN#>$&jQ8sJ$~wZ$6ydbp6Jz{F5huR^ zKGjyr8U|2%5a(3C_0F;QzPBUOqtU*+i%^qXY(0s(jZ%A^!(O2jq@WUkKWb_9xuEzUt9{obgD;5;o9WSHo8WCZvoW^lw(83ro+S{n`O*~HQ89jG z4u=z{2gNy%8U$Eb11H6KkfJ?mVS_g{LorEY3NEcIfQJJo#gtJ2c0eKFBY+ADDPR#% z#f^&baOMq%&I~qe7!Vcat_3if;cY|#hcsG2J*>Hm=&q7z;d89OuMTT|O-oU$K(`wr zK#GrOm7a*WX;IS+=p!4Pi8C3XztBs?EV&rGTFC%NirH9F%^}Z|T}T_pN~I`Fybbq# zYp_tJ)4dAB^qb}>*@TG^Svbdp(pV`ay_Id+?7+(q^1?+?Ib(!@34Wp0pL5OL1W2H0 zyoitp=5Juq&F7hC7d#WPjU;+Z8Ej{rD~Gl;t_AZ_t)@A2-4}rpGu3zwbkw?-K8r4< zUC^}(K#$Gb*Y>0U8Y{CeYh8Z)JlH#K^7@!ua?0?9t_8St+Ba-w4U|-82h8|1oI(<_ zl*fjq`Fxs^ZXoua^V!j4GOFifvQ5g<&9O92mh=`@4sRM;HCLD^@snavZ+A;{zMC0? zhR`LDP8lHQFj~p&2DB$lmwabgEp0Z6$!lTHlP6LPu^j<+n>wI}g|&*Bx^VuDu$s79 zNwA!hxBs5lI1)Qu$z0Ys*qQms7n~z!!i*^<#W?hBXIeycxgxuG9St*L>w8|o7Q|7{4=b9=GduSYeN)`CZUsrpcCA>cR=ejSjZ7g zO_vFser@YSISWW>@etR(TN;RJ)8_vVhqGahbv$h#Y66buJNKVWcN3OocYQ8>XcGzU$_?&(*`XG>&!0k!p{JNs z`LrEEVN>=Oe!O;>dZsQ1Vu{=b&V@we@~hBxhbZ130QvVNzQ$^LgOu0%Cl^C9dEi3eHR^72D$E`!thopT+*JC;jY_51Si8G>9X&70D0{`%$!VOh56*_9le{cTA=s7Xgx_%|$B5(|K$ za-Fiuk35GLPwB5;@`-Uf+4L;hwQCjFwJUNT{K<%LP=m9MI_ z8I3sTI6L#K=UX6=b< zp@&O1Xrq@CslVTl#c6WBznzXqu1xpKh*#n!=Qn<8^{Kmnv!qCM1nixO;?lF0@-G?M zPQKzt(88CHUE@Mv4Y)GFSz1IwBg>!O3L%6Z?SvB`YU|oaN9oLd25kacx?>JL>ZdyM zVW=Rcv4`?MB4iMU`Odxv(%EjsLf3Wj+VZfl3?38iqrF!EuMEu$2;vlzbI>oUR;+uv&~<#cE+x6%HwCkKmT#Y6r=c1s2Ae+ zdy9IJn%&FSSA7v%R$@7aE$h!*Oz9a3T-UATll~0_e$n)>rL|Ywvj-TdiBpSjmIX>P zM)E6!C%uE`2z%=>s-eV*86b!8C*Os&IpVqUY5xKhjTwT{+0qLjEa`XZYt9bzTqA*70z(aPXDrKOPn+ zMt_r2)kUOd<`~6KS`F~8iJ~E&&|@fEXpuf|C0y#id!xNE={zTan%B-l-r)9g4;)5iSQr)y)(?I$38B?IqT|NV??`#>3 zcA6TnhUcAdYK>Q?d3Hv0hjqOtgG3q6XrXtS_Asz^ngG(-a%y@^2er}Snj9!^*!|n` z$O&%-vT_b+p_9aQ5KgEZOM%FqUvU2F8?FO@uy(i8XsB74vd)PWFMr%E(n7;+`)7Li zvNGU+f>MV!9x*xbY_x7K>$d_=DoOzeKA(x&!LZtELE7{e5U?hHKH)IyBLQq68f z0kAhCs)f*)8DdKZQO_>6lD$Q1pe@?ri0fZ{Qm#_}zRV_A@E!J0zTm1*xpL0v4=c^! zZs{ME%e=0a)u-$+uYx?FH~ypf5~X40Z5X$%qy^WhFMZLn8k@V*vZ^nQ6G{jB``PdP z>mFTl(YoaFH)3ImpZE9mibCnD5XM)f zhK{ed3#dI*zK=+tz&4Zag=wVcMclKB5L!_ky=_CyQU8&m%QV5u zR4uHmr7ZyQ3y_Rr2h@6mrp)`!9D-k z!?)T^ya#XOnwN8?mPp;7G;JdI*5$OnFS^=SlV7ZMw{~6X!Ri;exp+Eh_Xy&bpu_Ti z)SeHX{g-1ix_6KLNb0+utF-I8SXJ9w##KZYqdNWmC;TJ(-CaD#WxYEsqu=WHc=RUs z`rU760GhBwn`Io8rqJ-|s_$Xe3pIN6AZ(q3mmk|g$vFe>yEa>J=+T$$AMA5O&NLB= zPX&cnMymBqf)@s2&bMzbs?(Fm14b60izRzs5$YV-_K1W#sKp-vO$+_s%7>YAz@{p3faEWqnm$y)fY*fG~$4~$(oEDGta$#~{ZDq+#P z)$Kg%Y0`^|7VsThhdEwbvFz-#ycf`Bis^5`{^eKDKTFPH6iO8F5KSN4#0*6n7dGzpZ7mbdXa@>7AiS>qqo>5H!d1F|w1zu$Dt#$sX{kyYyM6D-sOGoy2 z>|T8LeqkUic`Kl@`rQv1wWkz29{ze$H9Cd;inDza>%G(QniAvvlj=3bb%U=%P;9VQ z5ybSlyBaTzdffnde%qO}FZQbwo-Ci^{BSn(y5%)}=cUfzDd#Z6Qn>>wa*2%ov&~R) zLnCo;S3Ph(qfh3TtvK}Qtw37O2AtS7O+mSyJS2zcjy+7c1&K!M0S$9E+ptmzOg!O; zJ)`}z4_z(58?oZhzl9N2)bA^Ud;ZWK&$+7(hAe_50;KeVQ}^qP;Yu;E$a+54CCAG^ z*F2Na#i;Y61WJC!jy*kTExd17x80H_Y82uZu7FHflw(G|Nc)gFRi`n&R9Xy$u>G57ffS53p2NhIOUvT1vvYXOyR&1w=FM_UlfR6;G*8+xe_@N` zb(~COU9~}$#ut*J{lW5GPtZU5>(mA#`_)R?@Sq#G2QEBp0>^2=$;ivwel8a+ z2lbjOZ41dy26BM7wT{;J$eE#U&V zVdjT6x>IPfR{+J=r0Y3lf#*!ufKqx2C{@@!zO%9jZ*KdxB3G*~o!LdBL)C8G_PU{8 zJhYto`f;naA^G%3-X-4|Zg}Kv_CTJNYV`7Ei6FZa_wd!`na|at*dqb%--Ja`@!H`F ztmZs3Ss*}u3nS|JDkHk5TN!uC#Smw+eIGzxGo9iKm(i&+p=jt{q_pwQFHuiTyG3JK zTPU#9xI)!FaF$(9^K{m^*44?mU|V^tzz4lFISD8+g z2$xwa-ahC#RBy zxsoadvOGPE4F8dADM%GIt2XRxli08D!m>juAz{-2RSa|Q`uE@P(*HV-vEGyKvWO1= zV0H%pfcgKJ%Pi|}&+>Wp}_BTGIW?p6&s?q$JqxqUvrw-CQW_N^MylW&M3{-$k_>1PW_L3LV zSBG9$wZl6l&l_7>v+Dsqt_!@DeNgq?_x#|x6C;$mg>%z`o!aGys!knb6c$j-m>{%l zech@!_zzP?+e*5jwJWAhcLxWL)t* z$FQxq($K!=V`iOhh1;n$^QF?T^Q6HZ1FnHWG}S8W_hQ*zSq9ZidXQw z$YHC_`23TTuC$XMXP+;3X3d{xc$s26tE^H>EA?B~ z?yC7)wfkwT@4vBJ8-+W!n`Z6yS#4HXSb33BhBM-WG%=rwuG+WNR=XG2Rc0@+KA=*z zcN}xJ{uazUge)ufBhtXckFu01o@a6=>GPs3eEHz3LVBG^Vn5GDPxsw-USalPG6DzP z_Pc#`MNjs1=JOEn`(PWdB(>@~hIWJrqzBY%SXt5hZ>j!Qwm^7=`kV>{b{=qM;9{nx+G-y|dm%N8QVKs16R2aN^aQu?Gp&C+d$ppDZUwdbPnGkGQmkii6psR}daYr)df%RT)g)V#bgvpLk!c zrM-5I$eeM;Typ}Q4>Ak8?Vl1crTNa+5hob2D_)UK2|E8vq!a z_nXJgDZ5VMzwOQ2xL z3l)9e7#P4$;}wwK9l4Qkox!Q>F2}$nnD-lV2)7<~^!k~fxxhACcjhg>z6unXtqw1_ zhdFu@(Ny8173PNYR^UUX*p*BNn9*{ja+ohM($oSpBXR0G084=WtpKX;=N8}s4zn4% zO+V_+n0c1`Ew{uOtZo?K({CHJN$G> zDepC~>O9g3igzlUBW`f|{e(yw3F8x{vcrwilpkaVI+BEJNb)o#VsA<3_r%BC_#E|%Qm%IzxnK#ItNMJU`6abv>>>C{XH@eRlbAT{4z)NVhoXlh(b94r| z_woILd<^|v28>ZkD9i-}7wcG>*lvRS>FWzKmboM$UIeW(ran(xfUY!8^tOnlvw6vy zC|;)DJZn7_@%#y6b)o&<@D2H6u#|cN`V{tI*uRLVese~nG1Y-dk?e!4u6{Ghb{*;>QT^$Yh2JUhmW#i@j|&9{^=Lj(7QPfHEvl$knm}n%l*@mKaDlF0 zjS8VMlSs*&PoE?zfh*M{2bquB;me7yq1(Kmk1r{ zr_gEv+{i#v)#9%@iZ!xkj#a8@v2N*Y|CjdBd26-chntl?jh|(`p@_wy+$@0ECOR_( zQlLZq->bz@Z5o^SAxV~a4OnkXFq2Fxd9-NO<@@Xed4mWAfZ-`H}KR>k=S^XC;AWJI7ibnw16|xx? z_2{d$BTcB2?HK~X85xy=<80OtTSB4%=_jTgK1>W{)m4|>Aww;?Ex~=)*}R)f+`Nmp zE-`pdA#I!DZMT|rVfgUAlRLuP6}+@a)R&(|4#cxzo+NG3$uOeJ1dIbONuHe6D&W0U zTC57yj3%eB>-W|`Rev}@hehoh*>*7Rp<&0_dgmZqbS13Bn7k*$Y#81WGKR)yWa(uk z+1rw*H>^?OFj_|?Xod5EW)Q_pGYKTo9dTyglR8thvy3j{W!of`PcO)Uz%juSvy=;m z1r7MAWHyssQZ<+lQ{93@NWD@vCec3`;56+m=$oaf+r|@+$|fdDLe_EPWn)Yr+l6^G zy0}24mRw4Z$YLhmHk=kvZ_@~7V_h?amHwRKbn8q9GfmY6U~A$i&GkA+Fmr?|!BI^` z2F-JTot1oL27Y}**DNFmPzX@+y1yr7^$30_N2_^K^h_MKF~OfpaBFZ}s07s6x9}lc3|P}*QVUq~W;$2Xqcb%b4e+YvsR>pSBO9oQ?J;3pjo(w! zgy%i^atD@&HZXiDfE!A8(QFiZ3?@J>oeo$ZvVX5ZFQilT;8(Q3#>l6puBCw-p&aN@6_U^)5xdNPSS*Lv7faVtkTB z(P{zeh0CKW^5*{TNnKY9^0?Am6OB^-8<%F?x0l}qOe1Q26{p@9CO{N-(wV!>>ecHz zPY~>yx8ZD2yUATK42@>Jn`Jz~w3vUoQ-`JOV%EN@Y*n_1OLkRz6oZx09;NibD-buw z8;hjN_MyHe9bi;VCxelqSZE2c4q#>SkThk{ByTK~f`_;vVN82C4<%?JQHq_q5-zeT z=30TmusbICjM6k+?P`+HRsz!Ol>*KnsdZLT-4WH;7i_m82juVE#=-%|2gsiW8KOg4 zt>rC9lyuGlhE+atUB!s+$Ems)k!XwNngtRUO|?iUP+tBtg={rN1xq#l(z@!@k`S}O zIM>!C3hs*pi~5-*`*MjTc=4llI8iZNu-Y<;V)?e7U#XpgG-HIYqj*}W>Auqa`K$Nb z{kc1Cu%2RWI<-!>kD)@VOg5W4U3Ykbbzd!NpHy{sKvuOx8_~#Y>=Ct>QSBU`FKl~9 z5r(xPZ5~hj7JnG^iEPl%P-u9^mnlneXTR_DX3Ir#neFlJb(Yg&x$CB$dWqc~tQsx~9iJ zq4~kOn5JgLwB>(|#XSXuKZBN%ahL(&*d$~jVT>yB_>HT3;g?DM2In|%l^NkNvt*b- z$%UmuYp=mBh4~T3{v__K>v-Z$T&)(${7WKg?w*Q{BM$7A0;j4zc43T~usb%0bxSuk zMb$0e+-BWj-vq48#u^$%7uj5}BbuwN!{F#~yz%R^$-^?bEpVX|oYTqVfd#2~h9)P4 zCA&t;$=F!c_m_8bgWn?b$y4k0Vpku2lC}FrhRV1!^e4FpjAA^+ho?vsk!RmB%DAXJ!(5-=m`J5Y0$doC2sh9xq9u}4hC*5jG3aM3 zK4e`(C5Y`QpvKADe*-cffzP0EBFY6@D8qAL8g>4JK~r&otRS`vjT%ta@<3@8CNGSt zlU5x#di>Cwj>T{#6>E?=Zsz!`1uIP^ua6`>V5IQDRGCg^qY`6nL3#u+gK-tRMgx(^ zK_v3|k7E2izC27a%F{L|HU1MQj$2?MmBkkQY|}oVey|3Qtl64D0rv-@R(Pkw+hUA0 z71i8HXEYXXA`*Do1#_!)G}@IeXvPJTXluqiws5hPMuet?GQrsRY#Twzk=n1y$#Wcw zHI7Mkcr3@)haOkY`0RKfSsr_&k@0Co?mC-_1Z5t>>o8CXu!hG0axP`U;|gj|OXYR~ za7okqTumjb8e5ot|IcrDm|i!UotfewvU>Uy`omnDHM4)F(6O=8p@!S0T#n&gltmi;DBzv*epJ?Rc)t?E{jjC*A3evWhzX6r)GByn zuR>m_Wq(P=Cz(tjM#`F#gh#%#fGmjpj279M9e7D8K6F!5SK>xJ1+tQ-U<49o$r5i4 za6;)Vy@tsffqNeiJQ%%kXI z>Vli5U_5KKMc5sd_E1BMo*>N|HP1lr8g3$g(NA_}I zYap_dV#3kGMoaFj#|1kkBhcUz`4qeY~+{a9Jcv(^FjA8J?=2vN0} zpD@WijWwpjR;6>4%sxrdkKqeR*YT&se58YgC*2&3a*@t0zT?D&QQoFydO36Qq=Oh- z<7TE3n-uiUQL%O$TvLykzo|_wdkNG>FmGtbLhlkywq>>ky|IhqBTg$5nzG0jO)wRe zonT$4lHE@+J8NuNXbM2SsoumBQx{nuQF4_UbmE^TxjI(Gq-B-Qs&uHek-#O=wj-L9 z%ON~Ym{)~Owcz~j_svfS^mo3YGRPko55;a|YO#hTI3j+8-4u>FbK$c=4+uR)J<g%M%k;&&WcMW2~8@(p44V*sh-`CSohPt-4#=i(_OYr0Ey%923&W7o}$C-7uLfeXX2Z zi*GA_e!Ck@Nzr02 z8*Arss^GZb-OR$btWRBQaqG-qgSYax%QW-WY^G@10sP->gokga^Kq|OQtm2p?HXjK zTKgW@TQS9~IQHtRM(W2eOgM(_r}2g!vY+91ZZi;evA&s>`G{Vo*Vq$X>wcKogY291 z_CYR8Mw=q-#@jbndgK1U!6*uq9D

V zAvN1lzFUre zmR_|%H1AVuq@?j&{%~%d&m8)$$Kvr)uw8;S0<99ak)Hu~D;*?ibA0|US>b8z)+qd3 zLSB7jEJny)OEW0h;yTBMr}22ZPEpL7JSE)Js>@X@*6ileH}+ZPyRCr0qyd@H-KJi52q4Qp^-uuC>Y3dAt% znF!ewOYw0+yl^Xm*q@qWYg4%fC*V6Py}ek8?Hb;$ogKCV=52k2k%vOf{pi2R2S~pv z!{+ge`o&DjGy>SteN&hi(gQm42h;?4W8I+Ai~Rw9qyiGb6hnOTK%tO5(V8SLPfXW- zFD^ji98L(Kd*u{q{E|$Z@|J)C(WQ`YQ|aUetT&8xBy}zwVq&ynGAWOzrvlMPpWwj?3wjiTS9l|UGEFa>=SaAfF4dgpSo`;;KlsO-jU2{{ zV1%FwvZ&fI-Sp-_} zw-4yWCZn}R%vLDTyj8)~4WdGPlqRw4pU&<9HQR-TFRHV*FkZYiFRRZ8=-w7C+5Z!gy-O;D?{bGc+^a(4SydV=m}n{bmpUs6bwFX7qMmu$T(8^y;) ztw!RRnri~xQs=z=!$#z%3&K))D3L6NT-6vS-^1RU!sc} z{^j8qzo(#fFZ-@$f;2+eC4GKI)~bxPQ`93uN$(L+YP@0N2+}Ok(!sgDz^&715mX|5 zjKEXL&$Z@1ZeT+R<@Rn;kb9=BtFf&wGwvS=tW(^3)i3h8WttfJi=8HS=5X#&Zrl(<;HIO8g)0gDzds>;A~PnDJ_w-|7EK%iEaKMf2hd*$WTMP0#v9>wwmB ztAoi|@6&`pLO|t80}r-^gr6647w`dc%Y}mf{$g2cbkPsyPbt=xv*%Ed?H;Uzm9urY zP~KO$=dzp)igsA(gG$54p0+5){FY@cW1Nv;}29A3#B`hz;7ft!mNPt?XC1BG%bk66dPqc3FvDmdsnh zNAm?K<_Y|~t~v}t#CxzGcs@PKdi-lme8FZcHMWWbcH!p2ed=nvBAodYaQlfbe%Xy- z0u&h`3s)HOdRuUP7AP;iT@_B2RwSA;#Nma5e0v%ic!CQ>x>@6t>jaF)bj|qW6O#*O z-5u#Gc{sKGy}g{fp*?YSb0)HXBXOy0&q&NY{wxlz8^4T6igK>e>>V_6Upl(_-4(As z3wmJ{6{TZ%#RLVRK<6%YeUeN#edB4gYy3Ibp$+bI!JTlA)($y{0l%e&pO9o;9wpA* z^F%dFM7fypSedf=Vt*}vJ$h5&YJhZ}kW<+)+UVv*ty6-0zef?6<{DR{RSE?!U{lZ0 zR%%@!oFQ&NZtU~a6XVREZ-0f$6anLlHucU(_bij20Rfh~mp3o0J47)A`hEIQ8T;kE zz$7N|WvfalQH5#jpR1xVMb0q+PZ&UB)sqv&&p&W@dYvnVFfHnb|I5nVFfHnVFfHX?(sj zebqgEZcFoHG{1IAnNmuzGgn5u&x&}5g*(sQeP2&xZ53lhMOnBLp;Yxhs9QlD>qdd9 z&{`L)h4W0#7-a%p0CX1A)!Gb?V8v_b?uw__ez4Xh~@G&I@(*W1`6R8CJDCs56mDDwo7t;)yBg#S&vSPD1g&TgE zhK}RiNtX2BsmLKPhJnFI2Mi<#!n4^@>6K6isvJQ0yP+Oq3h|S%aHMgLlDS>E8|$N{ z$dzmkIgSmQL7S%M#0+slK1MJ?%2$>!2`ss+htl{38gYwU+C ze6KY+&D$`!HDq3Rzg~2{p5MAY_pZJ^yS{GmL-M^f+*nmF9Z^FfWS+J+g;D}C1p-{~ zHUxb_7AL9FCc`i-?*2{aB=4{jy(&*U0`R29%u{$8|Kw6n+)7Q@a)3w%yhShFaWq2k ztp<>_GSamLbF3Gi2Ndh;WVu|c`*-ebucef~XTttIzDYklUTQD_(>EhssOG#&uw`HGgtoYPo+aZ-8gh@%TL;+jKg zTjZWfOqtV?(pPJkl?Y1(?G|EmO z1YR}>dy+6ahkMC1RZNpSn+0`O*q|uHSb|-Xa}^f%X58V&3_qNMuEk+ywYcBqogT6a z-?Cwf?oOpyYH%5FoN6hYRZ5k!=7KC|8ZTXI^64KS|MYq-SeBjP;I150h7c|+%lM{? zfjzl~-`W8HT~hW3+)pEyU>DYpJ=SdbHo(;$X*I1LxW_)K#6*|xiF*8F+z9Qqeixdh zd|OzUb>Z2U!!qb;;y-tH&_mujpF91yq)BF*kaH4c%#3EA=MqX`d5_+3!6ldRwDdpj zU7J5)S>BhOqXHb9;ZUi`~_TSA-*a~+T|PZpu$SSpH1m>qoOG6@Pb>>L@wbg;h4CIU#rXKHF z6rmo#AMH4)F|C zuQ#9nIGG{6;AsZ_+arJt0R%+wUrqp9Jrg4b+J6r_`RDjwUVwk5o|G@tSO?G{4Bm9e zZ~fT78;=VlR+4HIQ!BgwL1haBW@)G)clX#qqf}CwZe5SC74xMZT{LAjj>pp9!wH*; z9;>$W>};DE9CPN@5JL6s9A|VlVdk<5RQPzc_iV}&zn4D`VK=Vd%?;)$cmb3w-#atV zKh-(i?;rLVBH;=a8PBZ)OqA46lAyX+|7u$rrzi_H*YE3OGmiK)7Ue+lylRYM^Og+p z{a^|ouup8Cob`vy1YmW_yk+n}&VON57O-nNWU&pIbDlKgE(I4bg^(PDIg|(Q+G%Q# zR48Qx-`WkkX`HlD7v->pw2Qiwo}&hXEj7g*vSc{NF2ZGeU-+z0gw|F63jLpK6=!1F zwrk&Km=b`1Q2!sn{I4*cXKLB34WkBMLErOUOH)J5_3ez?V)SeGOV?R+ORq1B*L6@} zgw=*6iBk)&*!g&E5mMY}=o?XnwjvXN6Hh|88H@3_Pq8yr`Mf^TG2(r=A`0z{3^R-j zU-#KDLH4#K%rN}H8;QG_JIVgKe_d_6LkE`#iAb37iXYd(#it#7`V45I!}qttq0Tka zkIoC(Gv$CC{Jb&nWr?AvIlc)%56mmSENhnIOnW0-%@nx_NTC`h?;J~a#g0mW_fGwb zNEB`0b4bEAHsD34lV8!HKHgE|!;m)g*&BoZF=OVQJUFnHfY=~1=yBEa<;dX96%jC! z$;&{4b~Gl8#J#_DM6w9>$(<=IvmjqRJp7FFEW>JG6Py(~Qa5$PM$P-_zc-&Rr7B>% zv{Olr|M6hh4TvZIW``O03AoK*;bhnZt5dra=gsWoc`{+# z`xp_6>fsF#w~w*54V%qJBlD*-x$|i8{gn=zr`Td))IVnWz9#C!({~Yz zE*s4GcD++uvA=fUi5A&msIVe~o^AK#4QtGQyMC@P5qD}-S!l}P(K+eAmGYT>ZQXd9 z%S56S=IFZVwg;MgTzequBgev8fqAEczZ-_1z2&i!$@{W3?pAT0xT!rc$tyCJf0o*6 zV3YSW)339ISsB~TWTA4`;MgKua6Z``RnsxM0t4b6Fs9?QFCpS4S5uJfl%&MER@Uxz z-&de>8Qd0lH+!);DCMqxdP=2T}Un<^-nt!alA`rs$JxMe~pgN)RP{cVb{ucqcj7dTnwa9Iyu{%EHXP zHdd(PbeGS@LyIvBa=hF-ThMx1NvGDZZa8CePc;$g#y&K>=V92~p>E4?J9UqlA^$wg z%?!MC)n5_zn1KuT?%+LxJwywj2AND59;}8a*Cv z?wOcV&LUVrCb@$5MH_tD*{m#xI(Na=rsOAZs>Q~*tJ1S?h@vAk%P$_2>>6EVcNtG9 z&DrXC$2jmsS+!HpRLC*+DoUH{pb5iqyd6Y^x>;b6Xl5{lwHW+3OJBFv#!Lq%f+WpBS$iUTuSAQPDrX04EC)LYC26a1sK z++xB3YD8z!0e5kpE#-rQYSCoGtm&G} zrvg2$(ix!|E8|t@FJkFA|Y{FjIE2#Him&Z|PwFX?NsP90!ug93yhAy%; zUus+*3DcSVJJF~w2NNKSQU9JxX;__;yZYlmJ15-nBSp1EfFQD$TYhs zH}uQY%Gm%aZKz9mj`X0zlGK?v6`^SnfIc~&_0DkH5l(fzVS@cQ3Aa_iT4R8TR26O_ z*e}$q6gL4zP!%(j;Hv|K5HW!gk|#=lMt%SH9DDIUFMYSDhU~!6rkg3)(BaToKsh!Q z`14@sS3X8@W~>F?_rw#qi)kVZO5`$o6XS0mUj$ie7IN1k0Y>SLN7D>l6s-<` zYt&n0Uo|+th*}XB%EgIYv?jf+oinFiL2Ik9Ybfr?=H^b0s&IRwehWM+ z0o<`W#U*i=#NvI~o#sHqH9tdDKMr)a5>2qa9kv4y!AxpEe!B#4{{GKm5Jr{GETlsIQQT3ZZ1FF$3g@H{x}y`*3mgxmrPRQ zo~+M`m|s5KayAyguOMg-0e zu=W=siaAvFS>iN=I92%1S(-~q#AWOLeyBn(>cNDrG#s~Y7F;dGiL^*E2^$0##>S5c`{LtbL z!$neQsaISCBAo6sZ_Vr;Z5!tEbq)dGREwPabqrZ%?;qDYt8k?KIRw1SBGk;WQ6k2h z0WWVEhwC>WuKxWtC!X?9%Qq<)S$wr;B zJZZA2Z`Ee0ZPM8lnbUoFI1C%3YF(Ei8LsyrqZ0Q_BA1%A(t#Qm>o;NL~n|2+Ec zpSmhPzQ??e4ngQD=rds1gPN1NAaS@Qk*|{E1BkH7N>1`OF^Sn{r{rmTb92i+v2)G`4y96^f_j5rkj+St3{0juHe7aHH9IEOk{SoIC? zgRRV#0f$Ms*gZGck9&CcLLPGsi|eTI$($)h>e2CqJ-4X2=U>WQoWmn)C0+l~p)QhC z87TIB#^Sr2BL1)7{SSxwzk~Qc`PBc3VSVz%cMM^CuL3#*r@id=R^b5H4ZD? zOYS9Py zF(c)Zc82)JvMwtVqMKqC$wowNlg!QcRox*?h*h ztMLFK_M7enh5gxD7iFP%Cm}>}L+XZcptmet^P31wpYI3tfA1CeIrU6a2lhPz^}C|| z?|KE8|LGM_-EznkL-6h@eZz0oT+nw${UNufJ&qPmTB5O$5ns+@Rl|lz*=_49-d^^$ zRb>J`9!Y54cxkSaj1K1Bd3o~r3gPg&Ll2Yhk(kdD-CA2%TpLJ)PWx`UlBBX?bs?0@ zlkxFBcQP!_n0RlOm_sf}qKa0awK7)w?A4LgiU*ac22cOp?0p5| zs-?o*fyd!ZT-R`wU{YomNz~mjAbxCE;-6pyr>EzF>aPwYr||&#J-_F$Fbls3<-!Y# zljd~P&R;%p{nyL6<0(76#GTH`?CB`ATI~|O*o;c;{Y=a>lLo}K5Tl{lF~koSi@c%8 zwvOm`UX{@9cWx$Z<29y=w9Q6vW&_*YC20=xy2V+J34R3?Y~#Eqc-3i9euAjB+a&x6 z!;@gPra2C?(kSV?iqeY&7V?hE(LBWC%h4n}b^q-){`yqhC8rh|pmBJxRAk>5v~Clh zI5!l2rBH1pi^eGA@5XZ6(8~naqou?C($o&KAXPa7G+g|Oct>Uf2UVli5kFM#Tv=S%`tVcgKK?@5S?bdrmA@m2h zqcM|upHfz+nVhtz4MQ_aXBkWvwNZw86&yK1$p#v|jHo3C%wM&CyCk(bjYfO??@;+Q zdUitfbC4z2IHz?sR#$j?`u6yU>MXa1O9D3>8qaUz-Z6R>5FgBk>tACMc=y0yHBkmz z1y$DX_hXKKo3)?EszioNG22b?ht3QYXjon32e^~}#yRE7%}^KcNb6@zR~CxJLq-MA zNENi_P@~{I=hcaf{0!2Oi$B7h523IZS0Kg>*@t5y_{-BWmJoU-#wuM+c{8Hq-K3I#BhHqb88+2Vu~w^4q^ zY!SOcV9+}(SXH42T{dDj3+WQV5*x##-KzZ76+7*ZA{p%$BOQ-Tcx8naWb z7Sg*_t~gZ^ELXe}_!CRvMaDtfoPXPBN1y!{qlo9g7+yGnj3#MtJ)cTiC;H{5@b6ZO zVviXlapU-HR%wi{$8AEB9CCp|I;KhS#iGyQQd4tD7?Ul*OI&qZf1;NNwaHtBBMV#K zZBWY>6tJ_7&=f?>m>&*R#8&S|klF(t!XZ6aRkfW*5N}O}oMSb}3=bq`I_K?8qYOB( zdgFzwE@IMl@gLF4lal>`90Ew{M@a6->$F^dvV?26*m(V$X_>xs5U`E-accV8MUhVU z<)`ef@G?NjM@mt7cjHR$jKwLZW}wTWOGQNmAiW2}PrC7XGg1N56?8m!DsBK~0N)FL z+Lb6)UIr7Gj;T@zq#?eEWAC3@;V)F9&5yrQ-pMy!X~HLeWj#SgXAfWo>7peJq$cgj zD}wZlB1hujy(W1s#@2>0WVqjLLsj?BZ3Yb9hoCxRD*Dwre~N=UVN3h__Olqj%`|`P zD_q`z#J!J)&I4}$(9Sn__EMukf^Z!ZWsy7Hz&^)@sb`?ShJL%IQs3<}q;aF0ti-7J5a<$qkfVw*+duLWiU~ z-N-(k>-@)r1sACvCjVRM6A=D;rDyrK(rY>FvY>cfNqq&RPnPSUzX!csTMtJE_|d@< zLrbG+r`=%v$if&)0@ESwv9)eAc>bC~MjXl-4cn~FJ8b>kzBu$@%~ zOvs`p2D;*rj5W57pf4nsdh)!z6wT?y9)vpD#^(`Fp`<1oS~(>horr2p^`fdn{Ar99 z@MmlvZa}DVYF8B^oW&;sTB7c&zjs0)q0D}ZYfp_*NCdhBPH>7f!6F?4?(N$#hPD3D zZ+Z+mzz^qGr<7<6b77DSPH0|6!H-Dzy;YT^7Ei#&)$g)xfJXfqX9J(!3Je-@4G2pH_s%!V1 ze89qRkVhxUX>>%#mz1v0?sG&bZxpjP6zVZ)0BqgoltTosH9e8IE6zC3M$2~^4}PBMlVIq64dQwX^matt$)HqD zFtGj(W~9(ENEG(TCCqY2Lt>G6J{zOTPxjC|Ojfo~P1a+jUSq*o^Oh*rmHyL#nNH)X z_nw~+JX4(^IQ;8;aE5_>D8@59waUAl-*K#lmicCEiJ-HK)YR`M3ihW~JXhdRf@zCT zK3@S*OZwyc&EKu7XvqzTV(>Y~1P z8`Kr1!ZZb4N9$$u`{n!>2SyUH|EIy{ATB>Nb&p+P)Xfv;2*uF$@BWRE##O0(_{H7F zsxg@Wt1^r18obsyB$JC5bP}H+Q&=jM(<{z0UsL4ROK&QHSs2)TGH#fJT`fn54`?3< z)fM_xh=j7Bg+x5zz-;(tAezgKgsX55-~43z`%1ci?RGwjy%^zDtky}h^Vhu z<(l-rmFnKJrqCKUz`_;qi{ysu?XZnp5-QOu7rWlg1=ZriwIGT#WIg2o*&-4YRKIOc z*+*?%>M3!h!^qX@z4L>QAW_f|K5JD=^Lpvz=vJD=vqqCzf?bs|-b)nD6ic3MsO4Pa zO@Q?wD!bcW+wAfgUJ0vRQ+o&OU^Huz+&q%+66AdR)WvHsWNwtXCgnC?jc9UBa8Z`? z=k%AlO8CYhks>GMbc-FgmgUdH|&mm`;(90jfAy-oX*K_#VVUs@0`(n&%mb=E3u$ z`PyCn^V)zBwsA9z(Bnl%ZRq|M|F|6T^O21K^-AHeXhTeOL2Zl?;tn%xpfUAUUS1a5dT5QuQE+i^wm{t7|;RHM_p7nxjkXw2aOQ=Zb zny@m>b+&%Ri~5J%!k&g}P0=0&*5Cl%m|g;B@n#1Kw8hL$oi-agIC;1j+vN=kt*kH= zml(~;0lq00x4_>?E+dA7*kja&qD(Hr1TkKEKhDci9-~c)^wf((PSd+)S01o~&(W=q zTi(FbOMQOta4-u0-%C5&zodO!MZ+dt48^-@{)?{6E7h6AfpLjEzqTe* zq_ksY)o!jRJidmTl8#E3b!Fl6iJJ}so+T`SrSvus<52UEbL-{M_VtnlY9=@z)2Jpw zNxUbt|3_QS&FsLL=S&T~yUXM2!pZWKeY`u>qPj$K92c1?0(ACm32Su3Urm26soIHlIQ^w@M9bzTMxY;J5Fe zdC1J}BWwDA(&zpWo~oEpC7(!3xFP^Zoy&>I-tNyJez;*M#LnS66@2<#`{RYj4Fj=J zEuUy8Ge%a#r6?hQt#tI$9#ui}Q;gvi9snj|BUio*Hwuvi{3EBF*zjk-Z(~sk8{2(52$Xa9eFcS!gZOPDyWrVK;%RznO;C8?#y2I*JcH+mf-_}=~; z>8%b>uM6x=u83;H^Y7e{37C?mvWp(lb+mI;ohaqGTi#31(GesMs`e?1nF?JwtUVf_ z>id6hl&@olW&;Zf=)`|M{QZ#ZgHcLz{1p~037bYri0aA&rtDXaTKj_m`;K)wKIWV= zjd^i;pdkX4-?-G;)xF5RwM2en9RNl_P-$Kfegbd0I_q0K`r(9?4!wZtZqYma6U7_% zHFs!}!{i8*CdAFImdN_8b1YF95~9K=1x9rPI`!4l_an3zx940~pb%Gpw9%I2Qy*93 zLDB`wMWg}`_NC;;j6lwzl<59hrfOI zcixtV`Sc6M)4X&BnlMqbgj=eZbEV7w1Qp>oC_c@P)bt}yI&|5Lx1F@IlF|rNpGca< zK`t^XA~*LCc$y^0-@&^QaY4|Iz2P%0R$i_8TN+CSyzHgt$d5}{h1LA0v{xG%T0sVl zgp7lX)^ZOfDaC^g3^01{<7V3-9Zbu{cI1g&(Z}3yOLIX*I#@iZrfUaRR$~fMhum?2 zAeYsN)wL-`GoNhX)b^B%_L53Ut=_dF=a#&zmOe=Bd8Ho?x)&VEG-QKy3ZH@a8Z9q^ z-jBThQ8YLZ(EWd4 zK>-PE45`k@5BadyDtnrPtqOQYuDw0X$T6ZSXcTG{_Mwd*koB~7&p53)9YPcBoNbUy z&VyGSISay$Jed%1xt=>C8<**ABMc&uk_+>vfV>kXbLG5~W$L04r1RIyVWhs2(46or z54*%$RK`ag^s{cDH`dGL-^mwR89uqTR>>`D^cmtOSb0>h$gnpVbBWb%y*};30_H8~ z#@1#H!A6+QbG24|H5W(yP=|>t=`|<*=z~ELmPL9@R7VK6Kill;r6zBXvxyGN@NEz_ zY|kYD@3+jMS5=Ve1i%HsJ}IE^J1@lQG>8LE%JkzmHZ zCYTUbI|z&v3?;fY4rMmn`kiRJ44K+(?8u^fJqA%6p`@xR+kSO%K6Jr}kc9pHO6;-| zoo0}<%`h`~cO_##!0-%e=3G%u4}0%XUw?OcF{`$6x?o%sbWz_e=l;swuR^HsJ&^eD zGC@VK0-oK75f)3!@q5n?(UWhFxcuw0a|QI9Q{+w8zM(p8b`B}*65;VTPa>T4<3zWXLNFDuM82wxk0PM4M3}U zv-;O<8LW{wr891ZHUEt;vlkvkL3R0bfCAWTFW#+vc4_zN1-dKV>I~iZ zuVB}Gh;c_%Su;E89A-CdO%}xYi|F=vO_|kl?_*_Q?}~|4krsPHVbN z3QAGbU%ZtlR?GIZa@HZQqPcU1r8}tC$*tE;vDL@9tB?LvwMnknL*1YV^IdasJ~tv0 z*Wb8Vc>}IF4zxg-XlC@w99i}Whgm^q;)kA2dMr1)GY?6UWV@_ioB+$iO+Axj_xHe} zJtr;}ef-&8flK6MvdZ8J!xh`+fhT~l0WxONUsAM+ReN|lBT&3}p4p&77H2QsDBv}c zy_u3V)tC3w8;zDBPxJ#(F%Gfzu7Q1*A}6;}@3v3F2kJ2Qo++Zu@@^?oXtg!#0?tAX zDxkN^=xE)Y?q*l6&RB&(?!}!izcaNu^@hE7yer4Ps%#&>lfM73u1VjY~ z1cdc{#lJV@`5#N{|Nf-Vv?o|N+|U%0LUc}1#|B=_eFx` z<`MZZpY;p4@=vRtc^G~H)DrjDmT4YLA?Oq0L0Akl>cJRNZj8T@z&H{@N67)*BfVYI z&%AP7QxoAsi0V8;b}HRZn52k1wPTZNa-W{+EjcPM*YrrSnqOd=q7)fB0I5!leM^La zn(NaZW;AV^x#K6)d^#VjN~`-cL|GHbCFSqSeO9hcK6|E>3`* zXiM0v2iW?|SVQ_nuy)fKwU$kT#=NO$$^CY`*!yl_#9OH`Wm-NvZz+3G+8y^q)eLZm zl#)sb$%bitd80Nf*7)IpmCI_CqemT7gZdtxVp;CRZwzX|cm7cJkkm1RCGzEg%Cagw z2b0ua<5S35R-uJ0crov|+?N}j`m9=mv29elu)E}Ym<0Qztdn^~aX#TUM-0ziLASd` zK`+vJG+K)&Xfyb>foKrr4(|+6Mrc%RC9Hrov?vC4>jlL#z}$RAvYA?|m0CQ`LwXKw z!m9icC(DM3yyAyV4f1!%;%0XrO#1yDaJcHI>a!Dun%6KOEPzO5#!<8uhb*o4w3eNr zmxi6-VlE}ihy!k6pWmT!NWL^Ufsw4rN?cFu5gKLPFDmi<-tquX^03%LXQ$?&qbwX< z;MDw~-flP0yK+(t@99^vgkQdpI|k`Mn|(-%$|l-%V&D+hcjXFJ)VjBc6BI@G zzjhDXoh%yI`7sS8o^d5s;D`*bM&m;Kt6xAZpz}~{9ns3tVRrG`wI60TM`n`nIDreDr@0I zFlPYLC8AG2bbRC%^w=nd-;Y05Wy?kKSUnql37Bp}A=mt#vrS-)KAaFg{CX03G?~MiZJCJ*~ zA!$JQUx*q5YVlD&o1Q`Z6?VsnqgX`|Lnt=5e;&$-FM82B)Q?7o!|lSV>ybt7?h(3u z+MkoHzyE-;{B>19CvxI@PB;GQLTo}l)FpuT@`FB1fvM#%XP>7!F?yO2G;zLLP}g5D z_1pc7PBgP==z8cLFiO&|@J#;ja(d#rJEHSh1f=uzi4BEA^(7gK6zb5Mwc{l^17;qH zsO5wC^2ouq5g(J>k1-)|e~VZ%&#SF#aK9FQ{_A61rxRM-qjpJ-JcPS{5Aki;dgGUt z8xsrB5-Z@twcB1+An;+ zKXWrFdH3f?~v9oHAg7Rm4QZDFhS)mrE|gBqb!m6w#Q)ILO5r3d3me_KrU?t<)6K zhjm~1ljfus00WW>A_yNA38|o^i3O#^wv7?2TW|O3ubtBdD@84F$cRZsg17JLRz{=5 z)j#vja-y6fGlnpXQLE@$Z+q5&xkW2X zRXd7bloS&GNiNa5?-|^qN5f9w<#D^M@X4+iU1=>*i>I{U7Gy}&uIhV0y-6uMADOc$ zRuQFvbZd^+qy?_7o}LMcilFmO0?qtFFrMr@sAi8Sv)YPD{z2g%wCmpxoF}>YA-p{V zqg2AJSvdmVMON~~iaGZ-`YYtw6oi@K9R&t>>rIpTr_O^lM;com=h36P{cW%dxXxos z_F(_-n*A+y_!auU^mj)A;E$ew;=+|VRD~sv_{uQ}l}W0)IA+^va^#hGBXK!c;W#FR z5@?nw_sm9I_=!<^sxlL-?@@d(YojH*R%G~t=?{IKy4Zj*aa_qagJ;TJdv9U?<(!%; z)R(Nc6P-Gl%I%6?l`d1as5=VW>1X;9&LVFCvQ6y7IbZ2;HzfcL^YgeT&Kjj@ry8CzAbZ-h+b6+0 zcB#=HqYXfj$&kBtUKFtuZLv4hI+tvo`%`29xa^OUsvQo+U$kJ{PUsy+jkIf?{CWG+ zicDjBpL;n)7k^!1`&6k}$j`sj$62v8ElFPC(yFOTTJTObCw|jYSOgC)sRf-7u9flx zHPWl(YoRR1#%dxvIHa$EKJA8j^(^~|peX_r99wwE9AmR|PfBkBei?Yn7{d9hr$}Kh zq^L10!qE*m&iNo=*38%1N^FI%!rS^onA)>LBcWfy9iH3!2UuK!TaZE=3{vb+z^=C( z>K>3*LM&k)^jht31^gmQNb)aNf3H$8bJ<2L#NkA5CT1d5yB!WqT-rxY+u}-0OZt@u zIq~4L^lT~IlV&WrqdgatR$*ROS%sgXrI=qQLYN_08hf$O;_Y!qUReHu+& zyNs}z2V@P#u;mcoy{ja5E-~U`!+FKn+^OaHA%}qnQ{SIM$OW$CehZzz6LTKRPGzWB zGZ5bXu_SjMo9IbXCZ814Cc?K+=|!HSu@(cn_N(Wu)Serz+-fL%fFF;ec{dX!NDgf7_>|Rjd}()tlHYPtE%*@4tRPgOmTv7nkY0&TFC4 zLOgQT$ShnvW?3y=y{zXyF_OWH;dha#yq|#rjR~Hy_f}mxXa%ZXM%U#BLel`s{xCX} z163k)SE^WH;V>7pxJAp*PE#n;e>6eW7mQkQa2?jL4}MQd>3}oqiA5ut6+P#97FNR3 z5&)dt8q4i%l}aSA22z`7yScG5x_NY9Rsi=u_Vh&q^BULqGx`3dODXn;50%1$lMZ(M z0K|3_X>0t1E+l{W5-@0|k{iy*fMA6WpRp>T?s(ey5iS%ek5z!Lex z7Pe;*cxOe?28+2Vz@n}bz`xzb+JYa}$D;*9_GoME!;`HDO1Av8l6Av_uS7!_h8pjS z&UR6SINtE$_0cQ;Bm?$Y94DYazR;tbN;=HA#|kW$!WGuFB=dGaS;UtG=+>iGbOM?~1J?8HAv`;xgScu)M24UoJLy^;*g<7s1r2>R2t0e6>3C$65uHf8Z`^x>4eV+xAQvheA@ z4@0(sA9~R)Bvt&2ILC=52jBlKh-@x_JQ?E11i>Zf@C#Z$k1Et4IkicQh+FKG^Kv3H z0fP zjK}Gt^RdqMD5>l5K9{#p;mJ#7t{$JtoxAT1t`_QI4P~2l5PphT8Z_cZt;z5l@NmPA z#6*Z~5bL}MXpNDr>&MY`2hi+>37~KJKK&^kaEr5oxZo3;1`@+qF(DY?BFM=~SF_nLcBNUo3Eq}=iu^`i*}tdnMdNaL8(`(Y&O6WR>( z#euoy1fTzCf(y>5ewO;y{QLit<}?0F^Y>NP?7u5?FBzpz{t`u^HB`Q*2m@yjBv-AP zYLI0MUaJv(-w_EcVe~3-%C9U;El~pN^k+TRc3Cm*4eq096ZhBMi-;qJDS}9X+cv7L zLsjr+xHNvB8KdtV>}FLDFVbzgTB^^Oiw_8@P`H z$p!A3F9@mv4jqntCmH)EIe~|uai@ZL(kr;(S(WpEo|mt1g0gT$tx#y}(Mj!*;6nza z*$R+>m!j=jXOO_KNK_+$q6>un|rN}P5pqMeCmC``fsKDsWwfxMTF)%itW^tBA zJnV`2x95Qq2z`u=HN{Cf*-d-TO&S4}-?F+(MEVcMQnI5T`aY#ZjW4G23R4VYCG{NV zNU}-#&Z<8Rbg8CrL5fLOMb*^{G`iu+taS(t3kWp`wTy0#T0qf>eD7jr^FB*d4)EYYAcB2m_Oev4K8(lWnX54JsWT&)Vh97Wo z03u1%!H;wF#Zvm$ekqHo)UptoF*$}sSx1r)QS>IrzFzzso4`~;<@f#uv*l_zydM^K zEO|(rp5|7}rzG^PpwG)KWFD*5F4#o0!`s%?r@D!a0s}Sz4S)pk_wsv+9bQn$@@f;e zKMKFQc&LKFsa!I7K~pMxl})5S@_$ji<9DNc3DPZ<-bqsLNYex~Gnd~ZEO`U_4x1JI5HOQNXU+?|5YJmk-eTl8+ zXpRJJ=dC!%r{bf++FTa|VTOsEGh~6;5Q}vdum6fRpri3)An8xqk1i+HoI3_f^k>F4 zHdNNRQeyNwJ<^r1x6_P7zcja%A73?8kW5%Q5R%OYBI3us#|vhqL>(M5ZRR`BMHO-| z9%kuc39p zJm?OLi&82>z&xG6Sgym~+Ne?tshfj*z~}3zzpK)@>jY%pR0Duu!QLRN)~;Z+PAW#REg2t_ z)q`U6mLr*a9$Y%6>TOqKu}eSp9O@_L1irfsnnv_oV`Wb-QjDmvU)KfTk+k`%QD=6_ zSY{{3@sLaVrN+=8X%#oRQe5NQodV*_5~g@W4Cgde@> z-S8NvpGV2XP)}S^P#3U^9mB`@FmsXqpBrTP$*qMj!GVClzLyOC+f@Ume>7Ol%3<~Y z&bysBDl#IerLW|%;&mZ8vw)ppoik+c)H3*^rc2!I1pbwGCpaF1_6~;K!HMM(wm?1*Bu!(~pISH!?_!S&s`7r{IhdAY%wQuPwM{Gl zE*3UZ$uLoS&z%$hVApmnssH&Nn7_}G;8}YdySCOnl-ULp>4HN1J2MDfNWbKbXl0s| zKDzsoS{zL~S%UbF5~=3y5wt2=Btc%n_Vifyh9h3TncjskmIu#exShX3#=73k!r0Av zgq?Z^G?}%^ypUdPB!B6%1PVBR;Lr*~AQ{4*976Cz5#(p$LN-nAb`_2S_7{N)?|Iwg zj$e@C8Q+}y2gsWI1Bh0`YZ_5o_@=^V&;c$^aBuPNEU=j*a?RQC)!>3(3(9~%sWDI+ zn$}%ga%ZgGD;G3xbg4Qw!YJakSrjd8>S_H~YB=M&a-=1z{Igoi5e9ihK{<_mh<=@x zXyOTFL*XhFJ*Zwe6{>gFXyVM6d_~#-{&@Yf@RFsn+tUJrv)2w&wB6Y?f#nPQyTfnW z1~^Wvs5? zmX=4Ft`T`0rGfI|HtiGZoFP8WSR>d7E7+VrDN!RCOE;_P7sQkcgwKIp1gbZ*t?$E? zFT{HKG$hCu$Qt4H)QOr8(}@mXGc)or>qf3)K#z;iZX3Nfh2Nd~vL&8Z=l#CUcr9&Y zjDuL4v`j$F3+eIQ1o(hfZ}=HtD~6PizfCBP;4XU+q)(}c!j$CN2VxVJK&ZXGoqziW z`sDslMnY-;9hMI&7i&mQ7R`FE%^_YiF~0=`N9TTWgIiIEcaWz*OoE?pMeYUC^(4a+ zg*3{fcSK1=2**e%=;1lnq@YRM(mJ-!CD$nYuG5`p~sX_5s|Pdl!Y@WT&P_ncnBCZJ1E)?P>$zulHz@^4-cvMBd0bR2Q|(BOqFSkT}Gx*{X=I7XGuk*TUf$& zqviomuVcq}K;sKY7SC`62yI$sa}Y)}9L=DoY&80=>1j=#En^w;12CSoHeQ_$Zo-1V zMe};X=TL6`^~y7gtUqb`ept+06`VrHtjf?yr}}et-=aitN3K~ug0kjMncL8u7g~; zOZ4_fGzCQ+>H>6X*nDhn9FV2V5O+lqCF|u)o8xeW1)f1|w;C{Cg$}JqV6=Lvro(jf zPuyXy(4Nr9qy0S^{kSK(++Vk%a8F{aH_s7@$X@DDwnkX-oLq!PT%O|Pm6Gp9Y6^hG zp2}C+<~{RTxh^cxhc|{?+)Ci72n$^=0e=f7yYYr(ZS~jMnqKslMR+~M1h7u@N2KhR z<;4!3uo%zDGDuMazWK6RO_|#Ni@kSj5(Uh*G}HD;+c;_4wr$(CZJf+JY1_7K+qTWl zs_L2BF-+PQJAY76 zO6yFpM&zu}wVSBOd$|&Ilk{PT+Qci z?wWW{FLB5df~5qT^-79Ile=iI$B{lY2fB4NY_MZ4u|NcNqn~k1S?AxQVvR>S6lo+igO9`}L+sz48!!#_{^}&VW3(lJI zEFy9V3JBgQ2sTGqVoQ7VHL`~vRADp<2C?Hi`)vs`k8Ihi|6#cL-}4k7A1ErDKLaI= z;6DtMe+4QutQCLO(EnWI&}WK}0@od&)g-co*IMmUuq?2E@EF6r)>MyhC?|+-$b5TV zE4Klw0}2#Lhsf@TNNojxO5tGi`N# zz813zB9PIY{WNm$BViq=Av8}2Cj?cG9j<8hkc3r|+!12$mk-?C)J0WS#et8rhSAZg zS`{nk03oM-T$G(u2vzGVAhYRQE#|+N-9w(=?f6DmssodnD?BlHP{^wM(e*t`oRg5$wwLPV1W% ze>!F2^R2=^ymf82xMqe=o3hEDE4f^P`>lPa+u5vnzQ$av?O5*8{}~!~&9}`eLVXVc zdR$~LLcP9Wxo~Mw-)6i19_^hsX+Dk(H(4j*WpBF&Z4 zVwth@%)V;n^8QlqO0bHW$fe!;kN31F0c*Lpr}~Ie$}pXXZ!bZXcud4-J1cR;hGh3X z(X|E?aWed%v#kUb+TsnLfT|okt}%VIeDY! z+bFsFI+!%LA^H5^$qxAdBDCc=-5RmmO798+B6qzKNlXu>h+s=cQ2dN~>)h=j9@EsS zh$1(07#Sc$F@BmLBIFwl?AsL#NjkY!!n>dsFemiEG@%&M-=W5_K$1=32gKv*%jnzR zIm*K1Qi8?4Yq;$sn)TP$lzR}#9;WyL6ZjWG(PMx4Vo7-Ns!vkqB(ALAOl&oMx&7hktkiRujSxZEr~bEwH^R z&eM9C+EhVhmZJ=5pp2}M)grebc)g#M=lb2N@PN(a2x?P~NPWv;sbE#yrE9r0c)mGD zVct;T5|@m(*3otH*1sj-nO&)9hOw!|M*>@`;6WwcDbwFM*TPqZMSXKl z?^vLU0U+L59UR0P5+1WP037*DEm17^W~^!S1#p-||C^${M2ivZn0mhJJTjD3xsSVy z-}t#;tyft7>}oR1FE43RBo8?=;;yt)!cLb7SlB7T*zvbR2sZnYrx!7A$Nk4`h3aT{ zXT={=S|sKIH|?1Ofu&$m%ccp77-qZ=t^}~jETK2Ss3nQ`wKSriyF(Mynbu2F^Gk-n zHn93N2F#z!iD-HlT}RTqgqsloI2n<1t8K%Xxtb1TGQ#}@Q$QZ-&=quc@-Sk})ai!C zrZBx1Y&XkL_a9BKU)qC!8Tous_*3Kz8`k+G5|1CuIwTjZkHVludOSdqUpo|f?llrf z*bgHOLH1NR9|E>o0AUTxBU))s5E6Svwv3_XbSHm`pxuCu?8c*e$nGSJw1w4a=5U(s z`eb!jT{3W5<)td!zq?)pbrKF9g>hA!2$QSpSYH&2xWWr|mpfQuB@ zUc>-=^=*4~HxogF!j;!0hFRNc;Ol z;pZ7t1Q}W|nx-Z1vOZp_KHS>lH*)*4`hPq;Y=yaEn+^R9D_dLfrXbM43D+0an;f~P zp9P5xd7eLp;lkT5$A$@~&ZpUe@=gD#bs?@AuSu*8Wa(9?W93t=s8^QH2M|Su3vJWg zVn7P}u|_00*C(x${-6{wom`RO`8mBgoY>f5bFuIjxl8HS7<-_(`rpaO@I6VZDtLP& zQiK5<&D(H9QpXEikV>sYQpKz8=ZTPwv;41>b9PG6Yk%7hMgCmCr_hAN+`(xbbZu`veFi<>1ixI zRikRtqEZ8|)=o7dOInH-8H;%IirGG+0kMt4;4W4>KYbPVl!_$9*f7*JBBi60Me80L zp=b~yxmM=WD~zRSvq_FBO6(XKMmo--^OD4s4#gkIov#9SeUDb$MQJWiw+wbUdk)krFC^HqKuvaQM%#xPue7bGCSP^DV}ZeH3sE?Lct@0 zmk++zP;v3rH^YVQEnM_HR~Ed!?Q8qb)Be@m`l!D!o1DvS&M@J)IS6-KD#<=_mlhg- zI%RxaHw5X2Cvpjf31XS`p!b`2cDXnhqE^y(00tlZ+@gja{Fk{g(I0mG-B#QP@i)v; zRr(H}&Awuz={p$d3TVz(*&~_DQA$Qae3~?xnxt^Wa@&|c$8(lnAWsfCky{`Ki>QkdYE(NxzR_nn{YR?Y7=U@ z2`=sZ$j0({h6z4B)U(ZHe#w)2GnMG8f&NyMVJ|UhtpZI!+Va~DenLIr6EN{M$X3Re zzw_^v$!3|H&Uw?LQoQcOTbG5zP?maq8n>cV{5IS$&s2kSv^}S3*-Mpd-bD4$-tmXj z<2`fC=yr>!s6yVJquj%64AF&gSFKXlE~9TaJi}AEUNK z5QxGYyCbh2FXmjB+iA5Xk}vL$eIOl#_oHRmf?u4Xn1d;;PMv*ns{!XalT=UUTpWGj z=Pz$ep{2|+4K^o^VMrrJDZlOa3y?JZ`R)#G{RaBs0&){rylj#b4&N3K5>x@85nMg1 zU$*6&FxHg!{M7YYTPc1bRW|l-A{0X|!ZMx+0wd@dQmG2hu_! z;-iLS7|XVd5=qJJ#w}%uoua@^yos76R$!vZ9%=tdBHj3lpj*}iqmA?(Vzwd5zr?13 zKWyH|4%=QcDK7g{R}W^W($Ipnf`~#8oD5(_yQEwA$6~UQnWdE_$s_^W@UhT6Pj>K( z;<*uNjRys~tdag?zBkBcHHb>+oM^4sm)I`R1p`wx0=W>tbsX2bPcUsYKY8GyOJT$6 z4mMun*K1V6-5xQm(5gx4iNBrOa40AO8Sb6+kvMfzr-qTmK8VBYqovvsSnnz=RcSSF>fM<1$IsgdA3Igz5f?^Y7G(r2YlqqPzm z_rLWK5vZQ}CGtd@gCk^Cf1_V7Uq=7^7VFcaHAn5|eNFyP??6NCL)+AnsCDZ$bIjzr(Gy1g?y_g} z?_zg)KX9J{)`!jHkex@6O0wCV27%OPWem)Vh$e4!y}p&_14bB3SYZyZg%Lp(fy&Ef z4cohwl*Mxn^F$zLw?v6JVN%jODsyBap_RjfM3sjWVU@*-;hQ?budZ2P?g3oLP~6L7 zQzT6~{DO1-g|`uac-c5s6KVj#nwCf>QHdi$;9xHchY>^P*>jhkKZ_mAHuhb}SWMPz zWZnUxukdHt|ob}o!(g!PVdgFMe=<4c2 zd-?FNq}nP_XFN$o(Z1ez$ne=cqfbNWN!*3G**9%fl_ zk@Il?c(>j9Y!%yr7nuq8e!O>Q#KzN#)6I*8+krIe9@=RqCAe1W*5ipKch7(JJ)h2!_j^@nwG=w zUc|*68L2gP$iE``r@;||G9exwL!lHND$7kw2ndXN(i*!V2v{aJIyq~Qi$dRwtqLy;56xAV$6VU*b4#0?(mSS(T0pK0h z!H>`Dw)IYNA@ukJ*RwQf6*&W<7@sd?;X+jmewtPs&uxo??ypleea;&4{iV1 z*t${P*p`lHeqx*@-dQdxC+;hZjPvmY8?>I03@0RT=us?cTm%=6w1ka#S)Te3^TjUV z0>H;bCOPKJeHG|iQJq1ENe4_)&SgHRmCeH?O+t2hYQ9P9C3aqgGDJng*#XLtI;b1o zz@4dwKjG-XHD#NK_k-e>EKmismXQibupP~Xzhe%obG}0Ty5mK%0wKDcSP)+$R6Jsr zmOfJ}M8~E?BQNK$n@E77NTOU=OIO*YCv@7tQ-+(c+4)?fk`WUYAulIe6Zx<`(lFDB zATQBlm+=8y^eAzC@}lKQLQ>MtYRY07i?8u-nQBCxciEXnwlE4|^`>k{605F51*k=6 zQnQ(h16@Hr`)9*+4Zx*|lbEmcPRJ=8)4EwgG0;XU*aKj!c@r)@NyPh7Q`0a&Rr%_n zHnWeECP3LNxsH+pVf9=@9@-7Xv;ahapc06@qA6v^Vv@6aLy?WkdN59xtYUX`z)u9L zazoICjpsH8^4BjkcT~qnh_m1G)`$>Ylw^8Wp68pT8J?yp1El-bn!+7zH)oyuY^-vJ z*Q3vH?JK-?n)X!meH9RM^9wwkO;vo5ies!umQdlX(^tQ9OADPSE8Hc`owFC`Hs9*( z!#(S@`m~KzHGs-yxDVG`uszCY9mNLTbx9kN1h-UFUpMU)mwmjxm%BT#z|`U9aHSmL4*rIOkf>{BAHUvNS0)EL)<7^AA_h}T z>}cBr*~tgGy2?XXJR>UT)Ju<7Q3sIEo~|^Xu9>xfK+buB&kM(yf=QW51;h6*0!c?E zrP@$~+Q;P|n%tZHMYOi9H%16(>XPCIoAb=+_GQfimyE0ZUMt;R($-GrqKaP-hfKVg(!mM5Wj+NF0zNf}%d!8cikZ-xXQb*mqN_Sq_W}2om@91<{RtX>KA&vA^Q-3o( z%ar}geBO*1r~T*D54qAeNZu-!>0Wg5s+pR~e4SML)tYng2L?=JVS31X9d*0>R3Erm zV3W*}y%{E~J(&~RW|a9#WymG-nEK$ZlYZ>5Yl1q=iA8wLHZS5L{GOTkqy>-9#1S%H zEFbD8ILvO6C9>33x2XQ%_E0Aq6z?76Y!wa7`*Gsea%nk!o_>FVY zC&Sf?2%B!lYx=we`<#b|-D@1G3$2g&`>ub;JU`Z*)2s)bc3)CZW3D+4GMABFQEgZj z<{sfx0C*f+?;dJ_)ns2Xjm>xPeny8`c)m=%JrWfeKL;=s(m^xZ$@@I{Ht}x$240`% zOuFbT6DWDSy;dZL*j#jqz0jlN^;fF^Dk-}&P66U7h5U{ls3F2$)DQ+ETs%e}`&cgG zM?vORgR|lY*^zUTw=3OlYVoL~)co`hXrn5WAExrAw+TJ)aXT&D4Oa z_7~jcJ+=if$e(gH1QHKTl86HXuJr?Q`b7+dut;jE zC!?U7{3IfPr`9!8v9SGKlUzb|xzqKM()zJoNa)w!t>efv$xLr3YbD=USEPpiSiaRz8xA;r75=#vmP6%UqBqrUF4Ty9|yum9zRm{#;ik7^WV5 z12`Q+1sf~t)e;z}BVui9Cya>A5>QMM#f95-9a(|d!vzaKqsu0%059Q?3dTJRN)$|jWX>F7dmq#Cd}y0=C7bVi_^!i z(L&Yi9Ql@|Sj}Q?hosE3;#SQe@awM%yfTz3PCX2MDk)oj@7O2?5b!{x@R4VQDa$m9 ziAWvoFr@mk`e1qqcuO#p4B_pu9)zRcEUHl)@(|)dq}g#QVW=c89Ipx6wpwrK^-jh# zE*T{@la7{?HIPbTL2kBz(Ic^2FBde3GJM1d8lTL{p%U(si>@uG4xF%O0moroVR@9K zyl^g#DJjMKRt09tk&txfgB7ISS1-8@e3~fv_fq9zV>uVcE6Y}K5czKZ5yMKp@Y#@# zG0!OAPe25p3Cgvgp8t@kbQgU^)FOE?@M}|1tDz_zjDEz8(r?RO%cGZgK<(DqA{|WT z6c02H^62;!;wsvqqSA~Z1EAr{B4oI0*>r=eg=^9!fSV%NDmZ&}gX5*h&R;4&V>gc^ z#Qzojo3&o89l}+IERIH=i|a0?MAcSf(q!E6JS()#9716X72&)i*QXF70tQ-$zgAT# zkI+J8_wsIaa33=JQ@t{oY`VfilFRZ)^wUuF49}4WJ?1dkH|WTwA)E*~5^dG!*k*L7 zkZCD}5HoEsfDl24r}2HGbP&|xejmkVqoA25@iv%2uhM5(?2n0ah8DF)wMI83VVbIx zMgb__Z^?Eu2^yNTBs}~QsJSioB-ofF*ajCn=?-rvL-9CSvYeME2WyAR>b5iW`aq}K z5ov;zQ%JN(V{TlhsUdIk?iZjszv(=iapRh^HTyeuG>G)WCaPw2R_^-Kwq(P5=Y5nK zC+3YJm@OsXV#j1{*7M}~y%d8yuq&_E5Y%Wiq8^KdP7`}p>#`I*$wOfCmDNitSfqZz zePhw|taU5)L2C9q8e`D?ac?hqE%Mbtq`?Rjje#D7y+eBG{9(qlUv=%qX)4sN7zZbs zP(J<|U}+gjBOG{=c;Zd{)gb%To%j4O4r8+9zypZRnTxTBL19y>_RU2dc2(OCy$OB|aVlc%VnYq2qWkIGW8DqKtBod>H*}&}qtb`)R|a5& zD`3k|o`y#d+EyBdD!?H7D+lbzD3<>mV<@VkIv~umH8O`%p-M{-O*h`KTKAxM^ADS& zkhEx;8qH8WG*V8<=1Nij7;$bQHu;myZ;!JvSeH--W%aQn34+pwF#7XtPGq)iBMYYK zk9v%B!LGm?STDX=U#1$&QA1Zp1*2;+S2>zKqKHJ5Ptq^d#5Mh~= zO}19Fze=8dSbEc}73wB~xhhJ01Rrx|CIIS(mE4a-bRa0SU`@GLsvNEnfz(yo^@*o+ z5gq*Lt5pjsF=k2Z(NGIkDslTHNA!{R@WEb*Yu|h;NJ=}r)*--ho67D0I2^U%>EgAj#Bxt+_52e{|Gbi z%Zot0A+lSP)%YpYKbbvqFqKZX{FkDTSVmy$0w&D#QhcFFkCs7H zA}f);1_ofSkLo46kgJckSU1`z``?T~HhkCrHc~3mjo=GEy{fCzAk>}eY219(Q`?W0 z7EiU%I-MpS?CAeJ8b9S;Ic0gskf!nnuAj1T+(Os8ir{5vZ5)NF_$luQ4)toht3vQH$hi`ChTfN^7PalV0;TaivH3#Ilo3d8=cIGvLk)eCU z1u~4cEP14VgZ;9NJwX<(4ui6d#|3}w5Pm@#@eH-jWPcrnU%)je9;}P=))K!8y)0O8 z>n%C9e+}bYFFo^44*mrH^-1N|q(p!^ArfRHnHY=>Zz+49wm*gA$x*^i^zPoXUTl`( zu|HqzVHl(;tjiK50Zk3vFt+HaGZCq7(jYd^NFq<@I)_d2N)E(ToRK%>F!6PCY6{&| z(N9E(ICg>?a2r7T!f?;n_uLAhTuPN9;uX>t#RmB5E)ktMtmAO?aqW81{aD&e!RtWZ zqRmF4d4M!Js>J490v1o=K8YQWNQGaa>(8e6DtI=f$0AE7d)!VqBALfc6HC(;iAMF! zU!>|Q#`E#hj_|+#GCCE)F8Vxmh8(pexZf~C6s`vDKJ4?KVlx2(1MtTrd zRuBRNhx^;!n@3wTYFJJNRjuRmy;&N)2O=tnM9d~;0N4a-ud78W{vwcUXd3Q~EZKD~ zL`)hRet@7$8XH>`cu0npG@h1cQ5Um5P!M`nWn#9kGK@(M4fAx|J(R;re+1E35ZxlW;4kmv7Atr)w4!qq#7H zXPZe$p+DH7ZEd?6X>g1F?~vRw?w>m*E~1vKBf1F1PTKKKii*tnb$u)l`(3H8_IYAp zz6oBQKIp=8QiA80f0TVZuU6i^_-k(LuBfYBE?V_*WsJRBYX)g`_g8*=Yeri+=1&x_ ztjFWczF)6;ys_I`FHH@JrN43q)FqyO`-;dpAv7SD5-yy-pUYW#h@P66K(fW6+}M#23(CJ2AGeqKPzP`%yS}ziE$D zqHIZJt<0uwU{|qdOhf%AkNC1!dR@N}h6up@90Xxlf?68tc8EW4qSr2m;Zx$K$qYLe z)X^(fXLCB+JgzM~0Pi?}SzrWlv=mkbi+Jh$69IduDmsTMC?6GN+K*NVR;JoqOgQ)+ zLF$P-DqBKW?Ud4#Wla{*ivN0IsQDb+BUWba+ESlMS6nEyB}#JWO`p+I0nv!V2}-Op zWz=>lXo{By`8+-VTT`ZRi4#@NjFfT@KVNLQSTN(FI5suHKcB{!+$v@6!@D4z2&mtdse?0y%MFKWcu0+YBM#4$P8 zeJtviQF%LOxNHW0vspzOv5k1xK@m`6+dc10dv?(`*vFZueh-&t$KictBo;%LII10J zu!Ypngf=-BG$~iApoB?csohpf%WSZ zmXt?^a3t~Z%2ePasM0TOyaF=Uv89uOj$oeT)h$fT?}=<7=p(I<91uvmtB^Iz?}4-r zc!gBfP2}$WhBblPXZeVv5iZ)<_%VKxn^TzmyL^lWyZ^feJR9r6lyN-fXQLx&8XM9hNddh9bQ?DG0Bc=SXz8eOs{Z4lz zwboo}E%?e`zv#A9=w3;U43@*D$j+!A?+Gnr*}?nd>+avL@7%$XGt_nj}X%SNquvJ5)&eVgz#Ls(PEt>fIJ)1i~Us6 z>h7V()<7#GDIEhfXNngtks*9Nr;M&3J#)f*yrA7TbAjynMaAa%e0{ShY$vQ5Q6nz4 zl8}jn$Kj$!&z&M46B|{mvpY)6i4P`EL-n&rKr$%*Sy#D`+d`pa+TSk+dDzt*XGxO+ zZKsO7Fb2{9b6WVn?KfwMU&KQ<776&<-n3>g92wKbA9fmUYbS?Y7JYm*VaaSCO2RgBJxpYHTD!ZHgCZt% z=v0pW9ZnjtNIdq1Uj%J*9!|NVkU;%K?&&ef1-FzZhHJ+*&1kY}vCteKYVUJ}u^Wbk zAjSk=t7Qyy0#;X{O6^ce`~`-7#wi;(bscfpxIRz8aD}tbjKW=v}} z^A0rgW`j&JygiPA;SjS_NeuH<14aB?9(rZ&9Z0kDi@hny#_}OS!;`hmW4T!f+`EJ= zd$#+u!=t`gV#2d$QL_WZ%?F;PzN?CZQSk)dt4>$$%;XhMoFDn4BqDi5SonuI>!hNKT{$tEM#&we}5pO~B>`oGM;*;s!K>aKf@rO2gyZ;AmL6d@Qm-G1lMcd2|ZNvYh z4NPl>{fD+SeXM`c=Hkx7)6I$Dy_P6`c^?z4cNDn6~c(GyX27=&Q4-%0LO ztpwxDdBww2at6GyY>M1`a8C#|p^&n$gIpg- z1U{URLN7NyaXyoI)M_BdTz=(L`bvar@Z zyV%}E#Bm}x_!{-4e7u<6sc5hTT(z~A*>mq%lldsU`1h{>_-^87fCB6IxBi3Azl|TJ z@=)6||8OVypQhYD3FTN+Zu0ouHsInM_NRf1t_!}{v+AAB;Vj9D5{V`3knP`G- zYzlX*ah|XU+s>PSD5In-ZXPX6k>3Py21u;ZSQ2v&WI-7)QaQq<#6YSf(m)*Lk7Gnz zroEqGnaDdQ=7AzEcKQD84yC`E;M%BXh3o=w12x=`3A?2u+*G z2d=s+O&LKu@nu3iTyjM@jSk`Dt2)T9YO)0-lKMsffsGOak>LfCq+Ny zHOJ9>T-Eskyt)+~U!>zefHtdAEw2+f*Ds`&a$4d{2in3??1mayubccxQZ&>4`u6?a zW}M4~EQsKZRcg$#L9*EKe0ZMR1@}v{PA?a1w@4)W{K9Ns8NmncS~|J&oZ>wx%VFDw zbv=IZ^TVttcd76x7MyR*5t@-otlAmf_%vDk%TtnPP_cXB*8W|p`_y@I4R2~;WK(-t zNYOqZ!94Y_M;a`?zPTU@=7>j_xWPTq(vn0EPRg{f}AXA+N1h;RABl-X}& zr;=>!J8?dOV9Q$yx~6H5^?n&*h5*TdVY^$@qSuI z&zqsYAXxaeA|D5PC9WBDJAtD!saWTs>4if|N%EC?4jy)9p{x91saKyyLwcX z(x$dBB%{HMV9yMbA}iK&lb%>G+a{& z0|kBTnn>;956_!GmsmofQ2#3M8zBv+gP;R2!y5j0cC9N*sVIbojjJPWE96{u$!~9} zs^a?V&TwD+{prSx(Ls9@d27|yehA-L$xqLnnHgP-)~A{oLwT0QSwh8s2e-vz%z3~U8pE!b$H)?JqavuNK^^=81Qzy9@RD2Iy9DCS|Q5?xrv#axT5X3U5^sS%maSpqZ zEVYUuIB@huqbihvsZHy#C;3pg7X7=}jU&HNw0(>y)+BNDBb7zoo{gK5CxVj>{^@1^ z{(jHtv+FBHASDe26ck;cgW?=s^)1RY5-t<|S1j^yi zZ!3}a$&Aan6Fe}ocFoZF`&*|DDkE7YhV1AH z4@E268$cD?iCQwD&{4VV%D|L%&391)MjTwLNbBd=$iwaqoZD*7tr_Thy2lQte$$=d z)2~_Xt=oPF+zJe5S)QH;pRZH?){h6<2kU!T&X!GCPMJBjDF?4u_pBnXikE`}4w8Nl zQTaVnpDbbV1d)%buX&Sg>u>Y(P#m7uulZzy(*9!D+D|JdfuXlPsA#73k z6JhhXBR?!BiRI~Un(S|F_bgx#TXbW0TsL$PbOeboYv5$rk^4&@Iw^(?j2C+ZQvEFT z?6`i^V^-7|*?Hop52~1cwm;9j+n%+bAFHEJx5n~Rq3Ur8xph+xCc@n1VKtk;5<2+N8_0{N6nr2zP#)nuc=$hqh4P2 z@)NFfHD|iMSkhl^JhW4h>@|+LFnI7Iy`lXR@PxItb9AG>*k7<3yqMmUCbCggZ6jOJ zTLT2rdq>s7|9ITL?Hmm5CX&VKGF2bkdg7lig9Dhn)r^m}X2JJ;o4aS}&GcI7Xh}^A z0rL*o-Zz^rSqnAueB}2>52u*oC9&jlNp5FpvNFyr*}`zbcaDHJnE1Y=NQa3-{5C8h z>*n%#Q}ZzgU=1DK>wa#&z6|qqZ**<=6pZE&hUVQ^zg_tByt7x&X5@(ppc<*C8Z=|T zX7fV68+rqNxW|w5roEr1dQcFi?8*Fa13WnPO`z^mn3lCV4{hYsxa!EUp zJiUm`req_r1E}Lgr;K5^%t0Z0id=0kRGJx({3(%5{?PlVW%E=r{}oZ@r+XS40fy_F zG?wU=LGU7)lK*;w3NXq zHfDnx*9RD66)h;$9{E+aB_s}qh+Hb6-suelk%1otjAUkxd=uToo8@S|vufp6eRj5K zRIo|Bp5T%9Nt#gO06OrtV!P(qoWV_z^8yS>QGNUB<>E}e9eZd$+%_A2YsABWwSQtj zi$HefLr=k3y7e2*?%u$tJ*4?> z4TS@Ykt%K3mbLM(2Mi@?5SVADZP70T1@5Rs7_7r6|4%>=r1`7D-0)M1CsraY; zdi?Vy%E)}L3IQA3H$d^RhLFM{;GCh6cpvqZg1jQ~XNtoIN$%tuKgNcN0x<_=281c( z8m;Nl$afhQd0_4Vhk-PL{`{7oY;542(^sGLuhdbz!~hO*-_oQ_2ImlDq0Qpjcyjq* zS{-G&$m3_6?&ln42alh`<^3|Y{k~;6w>&;Mod4_(47>y*o~=gVsnde>X$j@k`k0w5 z1Y(1CS@8QVHL5TUX6AEEo7GK+@Kv5j*`J>^vSsLsLy}mvW4e%-;mV5Hl8LBS*x1$5 z3w_C=gQbyB2Z7$Tuic=X61^rJHw1!=_P?={c(P*}7%+KVN+Uvn6>~hb-9IGBZ6(Pg2b+KXWP|Y9ZWM{fKfI+Q-2$e%H(kGTboG$m@Bt z**28b7BCKb!@4F=>ceGIuk{)J*zQXkrtAA^`nnqn2F#8rO9$?Y;tS_HoY%K&5C?5= z$Ad-pOI0g0P0R+*XI@X93LIXygMH(B*yy!-`QR!?bNV2>dZU#$TRXq~d(U@)>!ak- z4hc%Eyz&?3#*W?X$)*8&`)^wZHQvW|akAf=+1eAiJTTS-Ny8(7@q}S|UV55^0v1r1 zB%Ha|rtDX-Ia+@?yn)NE`mr%y2)O>}U?0ZAfBw0up8QKWnLE=o3!hQgs2R@exAaHX zadLCOAMFa(i#9xW4a6LT)ij7z4=%HxhHI}k8CtjmQ-CnEnt)cnAqkuol`-fvd83Et zi#BmQACp>N)bhb6RpfJysZ9HOQC{7psp7s$4LDIZ%h(v}%%A z*%V2MQU2%UE@70fDA36WW%oMI0>2M-BWj)ttc$d7%U^sTrZF}}0L6#DDX>WM$^y-EMC-B)?dFbf%9 z*1pgk@?y$)%$O^l2X2K;1P8T@@}^Pgij`(%!lJuGK)JhPMDNv0ERe%kpfIe}3m%#_bG@CJ4sUs)96ugX%0Y6dt5k#8?)MaCRx!HqElM5htzg(||%OGYB zM#&4iJuJ8DK*O@xhafdGCn+a{6cm&^nw+7{UgE}+lT&PYc2}BFSJqNpiDPBA`<_HO zE=(NtunF2t`=u0IXH1U#_j{yGbfagZ+^SFsx?<>~nVd5f)u3JZQ@Jc-KpmnekF-MQ zml&THiF7vy%?OT5{Nj)6gHu}^;)lFp%57UowUAvj6V9|&d^z1A`_8<8EE`uRt8YwTj(F5jm_|70 zc-H2A=Mv#XvbESZlOhaaTLF|JQ%td^CX2ZmF0%eM)fZr6(GQiVt36zD(Fxe_q06Ez zAT7!edpRs&YyQ6J!v(VQl9p(E@ws{zRMzeAQOgMQGRB1+UiLg5@SrDuL4|f|^n>4) zCJi<=yW@cSJ&VaJbV%FiBxkbrvE)D#=i#rY8C73-9yodufMXN$yj&JCyVu%+m}a1N zff{+Zwvij+)0wcrV%2~R`7g0NbFK;* zZa`8V{shAox*{;J9V1_G_%0auC9CA0ccq;SLn}JFKwKCZ`ij4s3w#|N_$22Hd&FEf znums1X8vUY1~^gSd*{aW@cmvrjRDEgRlkXk4A$^YYpRY$S_J0ISwhw}7)ctGwR3?S z-AqbmVTLyOD`^2bw}8w1{o#g)-NZoX53k3QYBKc?O&ox@uoMM&Q>jgbsClVHO;c>F z@fV#9IF9uM0OUxl>iFK<4u~^M^MDU-Vy`uqqglWXa1V11Nxx#oc zV}ULp8yeMOk_WTj_XkhSWM+>z6A1jlV`tC0gmtaQJ z^Zhj8+7K`T*hwc=H$Qan zX6^JM25vj}*%fY1T&8 zYks+lkHBQN!6qf|jIO4Z#G=R2hX`wD#4OqhKSnEk&HBh|_S#0Zr`5JXz9*5u zp|;05DD{X7*jJ9tgh^UTen7E6Mm)ZiNa!Z&@k%5UnM!g7m}n2DPZU`ZeFzQ4nlFw< z;5jT99ehVzBgV4I4(jbVEf@9_A%-p}s1G7O*mwz>Rkb_DYGw~`BObQwS1hRmWhYZS z>?nehf?PAgO~43R5TEAThz23n!g&MXXhveyOf#5r)^K zKP^0f8iKc4E=oOKbNVejZ*V6Ee;Cqz;`7hZN;fCGH9c8h^?=+-0jxbHkaVC1=#`j! zKB2!2c^rzoGr?6upz%;HPt&{$m`(TsXnG|4BLqOFak)*4zJ47FJWHU|9wR=*L6r30 zfJq}&v+(Gs37qb7D{;5LCE{VTf4%}y4fes{K>BgFM0tO<01m0ct#uYNEWb0&KpzFi z5+pR6Z2)2Q98c%(?k34FGcMsDqb>cL%GQTA`kzFVnx%(Z?T|0C;22Lf_z9)(zT}Pu zYtcbGRe?j3I?H=^Eo#=LUid#N6}?g`-C;GG-v0+v=M)@DpsefIwr#9v#kOtRwr$(C zZQHh2Y}?7rJ+*h8^ENeA^ETD^`|JLVlo5z8@|M%K`GdqR-2#2sLoSH$vlHvo8#^J& zHb`py*~kE#ai)frMx3f9^heaay*~%9S6Y#Nc3*jttUD%-ugyvaQnOvn$TK^U&(Aow zmtI#Q{mR(QI>TBi%Pr{hI|~Q}%!E6RAv_NxrsX?LwWk?y$ixnTi=!GK1&A_l5p^XgR)h^~$3V(h>r9^NI4U^Ku{6(ux%+}hF z@%CV=po7wx#srv}vE5mhKWEp63|Q*_#L2&HIKhE;C}-j`@-xlJhiVJhvuKjk-SLgs zFO@$8mZ1X9B+vUVJKX88DUJN7CuNU4RRK8mh5aEoW8mQtl-Cy{0YwhR)ih)0qMEdv z@6#DrgEIC}A!0WeLwQOjR1i=S>%#%wr) z56iRSFJQMP^VkILuSv*Xir5cPS;<}2j3-JlE@qRaUz;faJS4cMsJtIe6FAFbxn}`{ zSps4`eMCP4n&FJ>{eRVF8M*yFHLva9CPB6&_{DknOBNhfeJ-EKo}NzzMBb<-D7 zo%_UrQ)EN9kEDSh4X4zi-`%qZtOynviYHaip?3vZ{iR+RZi&3@N^=!ZOG77opN?ej zUF+j1;b;On%xn}zJmt$QME#Q)f$jna{uh&qN~1M(0`Do9SW-1s%4~B64-{7E1<+tm z^&OzubL9ze!6<$md!C?-EXtzWtAd#*O3pfMLf>f22`r)9LlqcQek&MBJ5Vn2alI_C zrVUCNKQDE+QRwQ0WJZoS$aTV7c`P|pV~}5tIdXe_9yDx14^p9!nAIbO5AkjdY)hV! z?y%etKN1YN-OnF(fD!5eaP?h%u5u4>DP`e%>|B`{e8k?NbR0oN9x3_-j?Y@z6MT30 z2x%lA3ixirVaIEvX@Yw2OuD>K&o%dV6}cz2tIkhL$2^-;}NOtM$&K%)2*kd71}Vi93ovG&?lB z+UT32p0apyD&lXA`^oP27rWb!v1gZqC_h5%C&LQw#zBdtl4sKQxTo)IQ`po`_E$N~ zPj_CYg#WO7K!v2h^x~+foT_8V%W~izOk#qf+{4bbwR~-s#F@xq)W_2}u3_bs@YKiS zJ&}3{i)`%d9F|Xkia2kI;yml{A#R`|k_kPHvm}LmfTOqM7(UEqRCWJmG;RT9 z(9-RA4KzE_4rnt6sj<|5VcJ06g{*v8!9`~ZY(3}?yud9u8Q(#1r0+_O5Q2){Pi|M! z<*s)9fh<-js(PsEH;kk3)$1PV%gvn|Uk|(LNmFhoK?ORCRA1Iv@zffl66ZbFUO{gx zs5qTN~S_0ev=hP`w*bvU2-Ek)PXx~tosZgltAxukIE7Uzzo@GfOK&@zWvJsEMnEZ%!1Y=A2sT%Z6RqzmcXW<)mXR}lA^pSd&k_sV~V?-jKm zHG3oqhG$XEAgZRU{}ZppIDl==lH6hCky+d6;sWi|>(Zga+JPV4ee%)2yX$|JZ?1%c zmw1(!{1Ux$Y&%;t9=eRp%eBVCh4$0k>up;)vd){dYtNE8H0H^f({Wa0&0oM(5Rh!j zkCT_hO_gzLZ^tAg4iPvLq2bLRKu4skQ2gY@I~-Mp2C6dQOf?~U<-C;{E1D>h-6AmU z`~>uz@BlEpv`=sszOg;=G6)&E!NwN6Pa~7u>Cv;3ee?l%FGLX&j!YSvCy9wT3q&Rz zJq>1^mahfH#$m>#^Z?w9afa-T@`PN}w=CC~eFMIqbF3@Ip_I9BqrTX?8+Zf$;fZDh z36(X}7hzSwECQqVr%-~P;KQFoQoLqe85>}8po`++WwKAD1 zJ18!M5h32Hs0=Kc2(8n2v=INA=3mvYERF`>W~nXC)&| z9HkAxge2k1(OEOWeS)+JJ%@HeA%<)jO)qQWok2L2!n22BVB_0mhoJ`O9H-OvhP*;W z#Za8tOI5jM=^zfjlTW}UB)inLg_1?J=0&|z1mo6e2*nxz7Qq(BSZDf&*zCBnoiL$N zdVhq#Y%?E9_R9i*7r)sqA|vO}0>LJKdrNTrwp_anW>g7x`9|}9iisV0=dJUN=AQo+ z6UyD!^qD%{*VOEiJkq-3?P*eBYD*Vf-cgIDVfN?CmLj3mLWZ+nRo)A^nIG*nx=jm? zxC8^`nHlHJkiVt-Ny+x_6E^s7Uv<8EJyKzZP%eH62Hv zD!t{rzFi^kI!`BfpHD-BO>W>0w2j2)ht?iv<*#iNPZ!mXs9yXv=jusAj~w3p8hm@b zEWnVPhHKzVVs83DL4(+?VJM5jD2nVwg^%c1b!&JJp2FT=9~tsb6K?AH-*(G~B}eDd z>qlF=#}k}gae{;Jr#LioD*@$3Efx8<)r#F2RHF>LD`lioOw$;G@v$JsF>00{s>+w< zd1#p%S$1v3mU!h1f)v71Mm$UdfjmWV2Z{AQC44|!OU@YCvY@YT;Kpv&onv=c<0!LX zf`|>XM49%bEV0NLTnVtqFb^rG!tPBF+oG3iT>8`!kqV)HkUtir-`NKtt!V5ER0r=u zO02}H0GZ7YuF!EkEL@saS@0-Q;1q0b=C~+!(Y`4@t}vlcfHJ{j(;;{$(jn2!S_#!4 z`E-_3P!@dhu;h{O#&fvYmcVc2mxJAWE#8KxPz%nd^FRH!<4G=RAWN$_n>nz3Hx(#31CBq9i7RwS>Qkg`sC}cBYE90*if}tP{6S!SL zeUSk+@oGzw%bJb_4q&Xu+OG2OKW~aWWmH~KeNf4(0^pMu@FR(i$4{LTK&O*S42f^x zj2N}K25o>90S@?#E9!uAW*PJNpK7;BPkIo#=)Wy!RA9GE<5@RfH+tC%khOi{k%&&- z!#AFTNEw`A}9-A6p4l4g!XQmeRxcqq+W0uhK*l}h-X+mcFn9AVil0L0!yKL&ta zc3#$^7{dID!Y6THi0HFdsy$NBgS$DB`w~W-C=SM-3S;k+2oKjAXZep}e`f|54F6De z649a1SOSGeb3Ts#&F->w1qvoc@2o}W;N|FI)OUpNG76HP}IhzsRd%=DWHNh?i-XKz}Pf`X~+c8IMpGaAwY ztig3}97&lxtd}c;u8HFHf3?}}If6=F!wp&9Bx!Mysz9b~X(2MD;_NW5vIUjRF5dMR zJM=694!*3dZk)aFCUSI*Z=9PH)6F?<=476AO2>tCDNDOs1x?l#NMItaZH#?Mi4SC+ z@Q3uw$GVr54QAP-o9c*D$TSi?GDEILHhyDLrZsy_c{|NG0yDornv8q`2&JLwQZ#ro zV+{A2dOQFMf!^EowHQFin6r(ux4$pwA*cG zE;Xqhd=pICDG)+b%EJ;d9+p$Muru-$5eR217Fa~Qwp84YBL!T+U6K&IV+uy7DzlTI z6gE=ctu~KCZ=PGA_gm)_aYwK%-o|iCO&yTY$ieWYI+l&BY|TT3VoGNOFr)58HJqr@ z&6w*#C{g$>l{hg5ys|vwh(9JT9mHJC|Y)XOs>vjDOR6h52+f(v$nvBTBkE_M`vxIUip!2NL!fyhm~$HvvS+ zPD0&_Ma^VUr&_IK zP^OxL1rCHo?8K?MZFIi=K)IR2F?Z%4IyqC;FkcRrOaoTe#gIJMZ1A3Li$Ry7W3$~S zH93ps%lT*f{NMJS#!1AL4jl$$oo&%2GszGFy2+Rcfx;{E?6MUD(llOa(O(Xy|IF%l zhLqaKF5%5V@;K4CDa@?ftf3$XCaRNTtPjUaRY6Y)uKU!`Hq`R^(sWSG{-B>wVv#jg zfg4br>*-IId2>lS0;pcBB*Co*sq|CWO_ICnGxZXiWTx;3g+7jeTs|({kVfTtG;T>Z z>kUV#IYjetl1ik#4d-s0_N-hsPQk+}hysue&M+5sjfxMdrg@D@=y=Q3CmjnjK_{u7XL6SS7Ty^^zfT#(Z?j{_S zKe$f4XnULY#BxF?yMolF-R1il#yY3HO29HaEFxqT>bG8dr<9or@vTWj2eDV6(h-em61{6`DoNdvzgT;Jm%-VWR5%h@XBRt_dSfNJ-G}?HyIAs? zZA%Xx6QP{SPmoFkGupH1rKtSy;mh^;Fe-@$aZlBD5~T{51;a^&w5XH@@H2-QP?POZpDO^LVQ3t$ zynJtU)N%{^rZOW5*??ZFbRSA6VFjcD!yP4f4DofOPrGr^ql-gMAPM&B6&sl4!tC$R zK9JQB+Y~vQ!QyJ1cjYo`2v63exo^?nLHT9YE;zFYuh=08Yugc#; zlUDVQF*Q&GOOgz=$79Gn6(FzUB>OZxv6=)W&}HgW!ii6#M~M?it56pifZ%-2B_>6; z(-Dab%8pgV`XB5N>*j*jh3`erMlA>shf7WaAY0O3-ES<;*NZ?u&)ArXqAfU#lyrKS z9!|J=w#4q1YV(&W$iV`AEDyl*uOP&U=aLHV_7P^a1sfM8-J~~uF9r%qyEwb`5kKID zy*^g)aO?O4(9cStdW%Xs!kO~CnA~E5)qY^010n!rZ~`#Hbb2fh^TS^Uu&@&r00XH3 zNk;kil<#x9JOR|`v>i@v&^t(+t1b8~3g9QQJ5}3fO%Y6_IF%NF3(vp$y4^>+ z`iTnyc%}RWM@h(%;ztvN(d_CXqr@ZEHp_VcFW!k?{-U+D554!^MJ}q1In1liBfh7h>PCX3p+rtBA!be6C0TKqsYItT# z9b6*B^3^8<$VWoiVwnfI&GU^>>$)3_bw}KF*J}1l7>XLjnRh*44*9g|2bMM0%MWlw z$O>H5bEU$@_jS?uf}cGVpSJBd5AdUgZ$nP4xlE{aTZ!(#YxS>qjxsO|k8|85w>NMg zfdz_m*CXnJfAb+=RnQLb{Z>glD&oOpv1&xdl=b%KEjQt=J3MzX`Mw-G9@G#m=2Tc_ z7d@>`)&qQFS0#gOga-ur$z8ORWlZikxa(tZt6$2LD3<}7Op7PWC^ljaS$|{cyFnsI&dW;*}MWK98 zN!C=@5P}R`d=)sqod3Y)4WPZT&N-lcaA;yJ9s;p7ofzPlVzNltm_RbYc|?yM^e+8vnv5BUp9OG#Gr9J!GV$)PH5=W}17t0S zl@lQ}33IyqB2TPirxphf7e7uPS+FJ&lV|;0&HRkmi^5pReP(}N3;_)sn=m0qh>l?? z+w5m%1An<@ljDRU19?CJqrU?OEG5n5iksz=~aQ7dfrxBbfZeNSq$N7 z6UhJA!{Msni|fycs}ag2@y_~^#G|7zTbF%yr>+Li*8AOh)Sy=K4XQ@yM2FkILeZsx zbYehaYs2Y@G6V$hK%MY(d1+?iX{RqwUNx3H|N6cfv;55dGxB0!_O+mfqpaOo?nr`b ziEfa!jc;!Bh;)f?1jd$7CBS%Ic}10rBNzbR?Qhk(;xq9h(5r45?j|S>0p95~q&SBoB*UfZiw#{j0fv zT9|J;a+{wVl_y-lMf_L1h~lUe`QS82(9N|E5Bva|y9naVWNQET&Qsau*sLDX3uKZ_M%P{>Tleoa!WaihVV8sXHD^?@`FLG--M|KQSr*z0mT#g z(E{@LTG-qFZF%93Z3Gn(s7~`|OC4kyZ5yc>MX$Ar_E71B^(?Mn;rHI|1|}VxqS~1}Ptmxo5yiC-9htZl9Kp zu0O*>SF<9i0Xbu>zLV+gCsD#);9KSZ_R1R3-!QcE`0I;Emig=dgnb4VABuhU@1E~z zY@CHwq2annpOhCt_jr~!+!qG!#kDmqxd}JYNUe*dvLRgfv2%5pXq+B&o8V3!46FQV zBF^c`>PU?Q1nm~_3*?>FVmgdiv9q(Eh^-FCO8-mMwL{j3T3~|lkX9fV>h|x75b7daV2@nA21?gyYuwgOH8!&KyI;rx_5$7D73V^2PDdsmAdWkB(2pRIWr88I35& z(bU!s4y{(qv6yav2Y>W@WM-w>_gpVP@Yr&Ayw9qjxwhLLf=>Tx8w#o)q1?y-2;?I1 z>#cph%&p?WIE-R>F(Kksl7GF<#u!lj5|p9MOK`Z<#BEVXp>berXBiLWrZ;QzSbWAc&QMkFPMM`o|}7ns4WS zAwaUs;YGwK?8gvbAE-XmJV5TRh!HM<<680*$m)VgDJJ{}bT3hD!T1R9?ctEqX7xz( zPC*)_%^~DTh~KaLc=N_u58$)Pw_yn}QXF0~UWxgmATi8QB4Db6C-O8v8UuI%6bfLx zIF*E&RmII=2(gCR!WBd2;KF<;xI-Px7vgWT*pmf!P2M@nBX|0$PgQzW@**R&mE616<0D zSqT7`D{rR+@NbI*HfyX)DU@$B zni7HZH*2WJ2J(lo7A0^awj@CK|4Cachy-7tU-XW7E#}e-GKO#0($6OgabuL%%I(OJ z0Ksd!kIpYh$pdyjMsMLngn(iz1DTSzWWo}#s|(F)goZ#(e9SP-T!4ncpToX41Qw_h zltiJH6A>c)RiGjy0my7~vZlOxnJf;qdkF%Bi66~Ueh`-uROzJ!h@a2LUrpdIO#pLJ z?Ewpc*qmV8xYeI2E?ys8B}9xNq*pjpt^9Sq3EPoSepEPcb@0afwJmjQh^l@bIN>#Z zJ$hvTPitVnPUtb>={#n>k}}CP!8lGp{y47bSb6NfK=&dGG)G)pC;_i!;ZYCC2Fqnx zDb}`cg4!%Df&>V%R}df`S6C22ou1Ml0_i8W;zNAaJYK3Q!o6SwY1W5>ArP>kT7==r zmFoZq4u*I8H5ITzKg(A^fJj2+v}|l;Be*!g7yJl&C5j8TYxyb1!TsUbep~*OqigD7 zHwPmGO(_(6psu(qa9PJJ5Cv$pwhf|Xt4}bfQDO^}rkNWWt2!1C0pVqcWq)l^C5L+= zimA{dT)LBk0}|5Z&>3Gx_*q7fHqj(4EB|~}Xs>>>!M-{U3cO(GM}n5VfLb3y@8i=? zsFI}G;i z71-fHLc#{r!B&$hW8vJb(P(jFq6kv)4~qLvZ_;T)vNzGJW~him^C?^YCJ;a*&#j5v zroA(^fgMAnE;9g{nDbx%L?4Dt{z(piRH0<$qo@t8NeLj?FD-KmRk&hDAjCI;HM}P* zrWoIo6qBn2s6z5l1=fA!8XB7!#<8Ta19Jy3c7Za(9Eu!Ewv{!2V&~#U_ZGK6_3oJD zCsf3&;(;K~4}hqPhP2Y3&8}nZrZ9Jby1CI~?D-?wf5M--UQ?#>_@4zTPHGx?xEuLc z0R6F8vV%q5iCjp+&-c%}#>CNDSszZXmTD5@64rOGCJ@hBsK>%h^|j#AEty)c=uJuk zqv*}@C-R5+b&NzgrUT1Bjx|mWpDLKkpMUxT1|Qv6)1!r?!++#NDD@ZrMOBS4&ep)C zud&fI{jPk;^H7?o4m*59Zh#Smv8Ug!N1=^--OZXjl3B0D(pm4(&zg)b&NpBA)F*sA z%nLslVj8yvJkvdUzjfbQYgy}igf5%%_tp-7t2#ENuD=?YPPF#An6}XGGjlS{Vz4MP zbgY!$hYg*B<`RsuL67h9;2$HqoQ2rD|`+#vnXEf}&$`&VNTi#W!sUEUXy13|TX8A20rS zBcw>v>yl*moQZV&L=>%R38J}xN&h+*+T<`Wg&stY8`cNt%Z&GBbC{0QjSm=JNPbkd zd;ONv1JNY)N3!NCnS9Y8B5Tyb=0w23o(-x<$wJWrkv!CokSgSq@v$n}HbR_7WyQ3tO=y8m!=8GXh=T%Fdr)Q==E=$7avLsO>{3 z(dtVE<7s=ZL|ntgh(h#-ITyl|=HiopB16q~S<{h9OHxN@n zrn)SK{yMgsB1FP$Rbw7;5Ls#KI=}AcVQ>!;%?L8$4_}+cS^UU2Qz=AtP|fmtf9EwK z&yKo7!#L9L_%>w~Z|qYFs)Pi4e_TofS9+>M(p*yq-q1A}wZI9)9ITg&ZB4RH5!)Sz zHX?`|$g;Z}ckPzaUN2BhX6TeJ1W{OC-+tL|q%=a{Rzhz2fJ+SVqF<#AkkKcdgeZg) z;FyR2&;@(3mp@jT8^K@~DM&Kx7Oqovm{`x3pagWDM{GU{1O+KJ3~$>8vJYeEI$&9P z>2F#`kXg-Tt>Ey#;z|Bx_Wa3wp5lkfUbf=K-_C~x_|H2<@}+xd`60Ju%o&-ZhA8Su{{Kj z_pj;Emz6Q~eVM0C3{UXmzxZ=(@4p&jsd-tUv!;tA>;BYl_+rcxlww(U#Wb{m|Lt^0Fv<`@!*Z_n7w8HA7x%nn#aI z7pvklIvkrinV7hI;n`dJ=i9@D=j}0Z9OMW2h_H|A+$`5FDH!Im``LW^uiS^wK37%>7`N!Ud(o+XH`*IgT&cRm{6nD( z>xg|>gLImSCh(2Q74q4MsS;CSMKo;&i~S>9N2!WMhvUDOKQ-rb(-|+cTOWoGqb+6Y z8r(YMKIxpv-=Z|66xJkKkP?_t#^8T+7IkYV(-`K&Nf()jGr$0gE@SOEJwpU#8;g8I zq2Dhqjyso+?@@x4t2lt9c;6tHTfCr{VX1Xj7XxZ9yUne{L4ZKIZ+Rh-#PgBq+gSTR z@ihzO2}Tg3`4f+)7(~-e39-%&*{u|IbI~P5TuhuGYVzQ4a#jF~MiID0h|~@Z7xZ05 zhP!LCvuQXBo5nhjq5TTEUk*$c#W;^KWWJxpDXizPA_|&DX)iOl*gq{8+qJ%%biCik zdr>3ajosZJP40KjcK|Dvau=CEXO@^r0zjWU?su>pn_0KgwW|J*m!dD>={Er1v3-f) zX7_wgzTex@QWkh8eB*!BAn3j@(c{(h>fqAtRMQ#jRuKd6dPK_dzR7+t%73ns=bYwi z#|QjWWrFSZVlnr{A408HQPP`*;QWJGcNQbV{DDN(3egh1&ApA(5EDLKp;gwW|6c6! zs|4oTnN&L|4~g;_&(j_B_9N!=1miX!j{(SsZwo@?N}#X3&KyR_bNx#U^4I0*MwV`8 zj&fA&CU(|`yPIv;yu=D*taQ`2EG!DwrfIem;z1z7Eyy@ug+By{^=8t{_lhu2tw_L! zG3-pO83s&hEeev8d!rg1L}Vu2%rA@R1-3|e~xW0GQ?xk;;a%1$e-946$^a-6!Wr|o7K#RR&Zn`%1`TdckZ{?0XNzqL%YOliD0KX@u2la zlpRdQ>-MuH$&Y9Hse|LinK%`~RUQ8*GXS^USR?DWGG{2EUA#mklkLYmP(m~%IY{>j ztiwJ5b!O7Fgz-lC>#C>1Y)GL1tfg6C!4iIp1$F;kQuMmxX7&Po^CClIJq*W|45S?t zH_UJ;`MO$e>5mG#wq{aoyvNVUz?;;I++16g(aPy_7&HU8UvTC9)tR4V`uDc{wOPZm zlY9Y>Y#?7LP?T^V;9n~SHOujr=?#?IC~q~fg^g+N}3xR>%IWI}Qy zVj*AGz=`_Zl6?XT3P4wUAhG(DFuSvD_IASC({zW%sXeU11EMkik!?U|pN+d}_ltS$=*Isu4$ zkZNWqNDR5o16dNt|X`2t;COb*S?j3AY1=9b;)j-8jXR2PVFO7SV6nyz@YL?^u1Q|6Lck^zn)@CQ zv!#N72XYylxSzxk#qo6}h6$Li)OaR92(8rK++aMJW2bQYB!RxVr=xl2mIXrWW;L?s znbZMAY)4w*J7L9C0SB@&WM2HE$jd(-uer<&LojcyJkkW;H?905@3sCwmrI{422Qtb z#ryMrhg=)|KX5&v`ACq z``(z)yAFi=zOXO;YcL)NtxZOqiD$kf`a0XJ2X(CGe`5xXU4(p>O%>Zj4m@?|<-;v$ z!F!3y%w7;JkB52T@VUAM-?`lGuNJ{$@wqz=2IXAgxl|uCUGIJ^`n2%BLt$DNp}=7$ zay4-^V=-rIx;OPQ6?4`H7T4<8^j~w~F$qYO1ofZw^oAK6&khE;akxK}CgV8)@B6RL$kECHdSBCbZCTBbkJ z8)!Wc(HD)2VwxM_X66eJ)3^xqZiD(0{;3fFWm57LP+)8V7lQJf#Ru;Dyx9*(u~{fM zDO0cKQ{?`3IGJ@6)5Y~Ijxi#CU0yulF+@DlIdzOnR`uuc&g3@faQ2(>9DYcZ>6Dsj zgm799>L4F`g9$3lgm2Hrce|=z_>(Vb9A05X8i92tcKQ|a$9Zdn&9DK~=2Gk&Gcf>l z-8cYo!$N7;0}Z}E(O{w>4H zNDUr}2nxQpgV&!-AsgW(d~v6H=6oFA5ih3t+?i#$4)uhbJ`=QBtGSbWT-QxUL%+;i zg@=`4;rJJ%&Gx?+oNwcC%9@HgZ0F{5dJ-e;cgBC^TEG;N%~zS1@sLHC_yRQ23WmAM z_m!v>EN}wVc@-YAka2!nb2h>vLmuNvI25o6gr73#E)&(|d9d3vcpI~s{_dK&R6j`up=QqX-{6b2tA|4Z0fwP0dLYysYn`i z9vLpN9$^gs>0puHvG`ftl_i)2w&-Jk#wDj(`|v?;!RGg8sNvjSyPWM?seVfU>Yte* zm{)#s>~w15NukIe#hGtoi{JGrw;Q^TE%Sd!nPt6S7vaHPnCtY&0iePhdnMWtaI#*% z*gB9JF4CM?>gWck?_lQ@D7dB6b`O^lezB>XLTV+KO+}}tpG>`y*YpQ>>KA7tVLB`~ zIZ+Q?q1fOSP2)5`i-77A%JSfr2y~1S?u;x+D_n}eLu^+fDU2A^Q3gnc;<0j0+K{&j z9*N+TTgHHvNI&Mq7ThL`Qys`E%TPz^z9!Qb&F z>ayUD2y>F zwgE0$CNyXm|8B!rdm0^8SqN#!w-EcWOe;O+!d-c`oKZ3h6H507r00+5T_Xo=Q<@w7Y0!$x@*1?NJ$>DkJ1>MnnC%08yyZVB6%6JH& z^@g|)L%vkqKd~t7t&31avli)NE!3OWEcb>tap2tJ>HGD3e$p1t_i1_1_PWchQA1ni z?ik)zJ6pTQ@N8&*~t^cXw@)9qZ{&4zl8VTxn+CQkVQ;QXXQ;dH$MJ~IV z{B&sDkaEY?@kbCOU|(7$lDOR@eK+Wi3izOlwWOJ&=k)TjnP_1I2G2?>)LgHhaL4T=8aT2{+pAv>@yr^vwc~YVp z>ZdZ)gB>8|?@J06pgG70^F2Om*Ok~j*oK0K^M>~1`apR^^8>qts|pj1ojvZ46~G;& z{10{n#pk~`)s3ERT_DwnaszYwMqP8mXtj9J!fW(@h>_k&Vo3FATYr5>ABi6uquzpS zUGEYEehli9If42aO!guOtydR|4KC-k)?5+)K-O}3++ZwVce}pWgc8$qpW~qauyUu5 z$}KOgQFN^}Ca(%W>*IoSyB6?iA2A!~w(I(+VSI6fC=~?WzTFr^V;*$ifqG%5SoG;7 zS8FreGI8A6TnsH>Z8?5P>5i(G_&E5U4F&pvu#!qU8~KJAcT5MPo15N3Nk8ou*E+4n z%pK>I*qUs)c@H7hqv7N4oJny1yAlWy>rKV1B1cy8=n_4`=a^;d9v)$Zit&PKC7QBx8#>!;Ddp!~E-)cg0=R>#N}!KW zS*;=JiN!sQFwg?acYRB~DIN3TZFwmDUY z13!-w3GAi~y;kIY4a|2!UHKP7?tI=29n1pxG)uvk@x?d}h2}zY>{WM(sSBO%U{FDx z??|3mxCy2-=X;g(mhxS0Rq3#1<2{t(&|Y3(-?EzVbu`8~X1I-Pfx%QFW{pLC8i*FN zTI|zSCNl3Hv(7j)xCbAjpJOCYG|()F%Qi!#AF?|l$c@O#c0UW}%6Bi#$z10oc=P7Rcj3&03$Rjyr+ zPi+Z6=n8*g{{m&OLQyC*FqR>-2H12Dt_ISLVb%%}pie){2tc-NQIm7F@mZk90Yq0( zUKI}U+zSVGjoeu!1Z+|v`il)m-UccO;ePR_+Gj=aHfWbB#1gZAza54YD*!}+^eqq%?RaT>^WQqLNFdsV|bjq zRxS>5N^`%i!y1Xc4g~F88UQS=S6|N$9~=Pq;<~>NKmmr5-ANvpLyxN=77%#JOpS(s z>O^>vPw;vB%f}zkikNxK8y^kBuM9$w@7Nd-O3&|He~zo~s!WoPT#p3+a?(x?Yzq0_ zV~I=N#8?GEOd!PAtNrU~fN$K(KRDhWM3I;wx;0V&ZpetB{P5`zhQ!06dOX3pTjJ6X z0uPEls*-V@5y_(mf}1x(EG{(&zu24%iT28V&14Kuh#!<9b66A7pOF5l4*e|~pALP{KhT3XdVJZgA9|n3kZN#Id%zp%kTOdCc7>e*bBw#eo#UO=M`r4nClRqCQ03A`d zjyP|vK+bq`c-fGUwD4(vBPJNFp0Q9V@Gma(x-0yUfRa&D5Izu?5aYLC=2}3o1~05b z)t=BjQ7Im@ur zB&a7&;3AhRUS>r(Z}VZ!+?dg?1`Sm$9Dfb8TR$)YL|mF?K=>eZtR5FXzo3bCYi#1{ zS!Yj6)oaOTueW`S(>5Vw34%(1#rE0`+amQ5j!Va(e(lT!YQ+5LV0WBa8Lid08 zdv9LopGCP`Ak=D@&)!B7-~v>k$eH|+r;C&nS@$eBh zj&H}wfC9E96FI3X7eCUcb3VV9hz_5PzIiwA+cT(D?)u`VhW=&;^k`yfqIVf-=l2ya)7)MyXWO#f~FdY3c+p$4qDCcveufZ9> z$K1kv`1BWfIYVY7#A*|7MqunwhrIbZq;mJ<$n6B9GqI<@>hvrGhA2Ft4KCY{r05Kx z_jJT!-Bit7SRC8cMTTrHA=Totk*mx0Lq2o^MV>Ct-YwUY^S7&w0@}#og>yM%$liW; z7>LYg40-h`Xgvj8ynrl$pNy*T@;$^52-vbY>@~S!zh&Zd>7fUT0@vWv!m9v^8s<*i z##KwCn**GHHd~e;6cjWckl9AHEGU&$-7JumDwp4qv+zc5qhAmlmaOsjmVkhzlwuWeE#*ne01czD7GWGf zQB`>Q;gxW?pWt7P0x{qzLOwe1KopgRcf*vl0mSgO5-WRMdkgV)`|1OO zh~Ze;AbZyk0%fCEkxqB*xxkp`fr!SOs5~$nmGcUT-5V^?)VADnCi)G8HfFz903x)YouNeFV>;EV$orYhTF z;O#yNw&PB&d$xr>I)5LJwwo}pUg!154oK`EAuNNG3JMQ5TFtpVEIqjL-wTg&3g88G zB`2@u7B6Tut)V*AAPIr*gxW3*-!B;;3)XCd%%jNMDZKri%34h^egGR98?xU)R5Rto7Ptbu^`llQ zXFDnBNgn&D8dcVpkDtA~^UYUZ-kBZX@7;rP@4;ybifg|fXz0i&+6WVdrLlJV0}K?@ zc@j%U;FKBST*V)dNwuAr9l_=V!IR8}rPDh$In?3?d33?i^=(5uBQcH@3kWC`>yM*S zs0}dOAV37Q>ncz@{aJXE6!J%>|60AiZ@d};m;(!tlyOT}aiH{Oxj}tK7;7|6vP(5x zJ^#+uTrAACkJN?yj|S`9(od*>UXf=Z@51`G_QVFm!VJ5_L$}CwtnLY>aaH~feMo55 zh034X(_|2axLPO zPJ8i6$Onc*uBOjOcmjQ^!KtEO2VOGYi*r!Ia9NwM-yiHM)e%Ij!m5f&xeMeDuu=Kx znOC1V5b!L7a2|k^xfK~NCT-*+YWjS^o2@)80kG*)P7#3b=~Gnc1i}l30!k9 zJfe#drb9I=d+B3{ilRTp_WT!?W)jkD>Rou5J#-=8TO9Y$9n99qh#H<&#`N_Fu2y>w zN-to3q&zL(b1*w(a|n8VPVZ5}fkF?`HPYxHJ};}ijO%S0&K8Y|A12UnN;3b#qlWU_ zGi7+kL1%t!yY?OzFeX;$}m~DseB~e8?rdH60W}YIFP_ z{#h(2epTne834Il(a%17*9(G);q*dY2pI6N8P#srokRfFG(*m^2x$o$vqe{5pMCyz zd9s>pR>!N&>g;F*S0R>G2wf%I9!6I=cL4O}-Udt?6d0n~&hrK_LwwV4SOqr=+=&G$ z(-#fgnQXFpI0d5)PDOkT6@moc48M)xrs#bV7PXGRwppY#@R*0>NtX1)^7qx|>FSrC zTH1?PVswIiAcx?i^Udny?6o>$K08)_)Bu&3*2Ziy?&W29(EcNHPg#Qgr-J_4Exh>0 z;e&^F=+?HogD2lUeen3vqdOinaO>`Y=W2fIF8=VZ3E|VNq1iNL&h-;|XC*%oTU(x$ zfIgzj%>T&n71xR0A;aNE`BlUm6J1ythd<^K#fCHTOk<)E+$X363w@N1Um2p4dJ@{y9_TY=_sjKdWQu0q~dr_r5+s zw$$z1X#kBDhM1x)NGlNrAHkaU0^>eU_cN)B91sL&EJbSDDVYQHei8} z<&3^30^kiP>|8d&bYOoHwv^foB~z$HQTrfdOT350H2`A-QVJJLl04@BOp>f1S} zSD-IyolU=k^I!~rFiEX}!EE-^tVAd@G%^bq%;3DJ4i=Wv&q4(#s8V8Oq zNrDgW_p(%12|m1E%6*~-AMP?^r>)t^Hu!K>od21G#pk|S!pgHAN<|+ZVYwE~a{{MR z9RQ#+LVLyu-_i=(d9n{zCNl@n-i86P-n@_+CdI$vO*aQHRF~w5Gg39Sdxe~49Ry%w z(!RcOzdnQ+JG7#M;5frz-&kYjbTXreol22C5X6miOl^2YCslXUQ*q$St?Jw)gzn%T%vv^K)^=lopgM@ z59x_h;18gZ*rhf8m%orDRJj=D&H;GM=1m|6K6l71!0tuN7v>3EOH*t+?%v8xDQM|h z`yrS18P$tk$GbSu5_{>LfelsShF2T}YJ}iZe0VQmtpQa5pP;-R^9fqENWxWn>0^jb z5bG4(GM`{un>y&+OLPuaqjPZb9fIqoun3s>z(S};49?cvnt#;&=0rFvnG1Heb< zg}Jb4bG3r)e{d2Zk}YNk?&ZhcRp^hrO0{ABS|<~FlZu5io2>&Yrj!^tNX|j_$D8+a zbPjf_b#xA{)&wEV>?N@u`9I6-YkH@nZ$7IprGk#;rGuIx4AHyA{hng5aDE3PwY=z}7S zFT-7CBkc-?HJ2a*Ze+ChH#NoPQ*=<4;IPPY4qZ9yMC${R+VNabObuWx$03+>cq+Ok!yt!=2oX(2# zNRbXm3}H}o51DhuyYehQOe*>qX;+kz`tM&bnK{y~I`;{b(_YCpJ{8CV_hQ2@dL1nc z5RJ4eZtfop8@&?C+W+RPh@DC{z+ihIh#NUw%7xOdd}fEB7$q z(g@F+w@D1FyL;?rGp@+;)pK?J5GS0WSbTWKRf=cJaG`APS(tIPi~lUKm);L(F|HsD z`mboY>H^iV8hJhH4wPm{I!*S{#}Id5fN>S}>!mTSFbkt!@H#WDxEmZ8ygToQgJWEqyXoN>XGj4C+}YdZ&5rF3Kd^u(ITN^6;GI!* z2;bd3=(F6eNcK*R{~=&cFs_iW-S^^GXIvpQF!EI($`r+LwUgRRA!A(8BqHN^j^yFU zBRns;r0Ibc-G#!i4JySTh74%g1wU3P8dIpek=KI&i+Z5Kb#;Z()`%R?d^ihW$+o)k zqg(nI0_bG*@GqtCg~nk9;c<&TvH;Tk1Xyta}Dl zoNrZW3Q*93=d%Qg43{!|MH}3pM@hcbObNoRuxgsc`Bvak+m22OAq*j^&e3V#6vk0} zxV;IjMrpm-JV!A;)K-ODhKS6$pB)Hk#SK|mg;A6KQ;<~ZJ zvohdYQQu!2y;=RTdW?kU|5DOOm*HY+_O*A0j;_h~A~{Fbq@8xC-D5)FvsqGUEnK(V zY&yCo*OO=WI!4%b@9T$fO@8zEQBn>F(*Gk?DVTaf7|P?2q*y$Ddl*dx9g+o%BUEWC zt6@~(ZYE0t2ym4lS{f0tC=f((ksis^3qQ*vNh!gYFY6C6QVEabVip@Umz2yUk(`tr zIUtae3?bIYf&s9pjWBWBPvrsQ*3`G%9T?@6F5i#jA%E`7;`xs&{3wb1imajyQzA91vWi96gd> z7;rzPfEZIiC`5|mco$~ko(*UFO#z{ENSLMGSppOjz#@z5GWx<#mK4rxL5DZ^*QR5l2jJ-F*)8+412*tNu1^k7_-0R}xbj0CCZ!JRccT}cBRV-=@m6$|h0!UQzV!l9@ zdE_yggIdm;<3J*t&T@b_^o*oS$lDHM4;VhG!!41A7*qqp4NMRyIoM{g(r@5AI4I%! zaZZ12huqT_Uw7r6+q48!73S{2=VjDAx2)-}-P%od&qbxB**xE^Ho6MM`t;;XZzR3~ zwBG(o{or2#mUWXW`tXSPzP;E^a3uIXD=k)KLrQ*Bg`kiLNT-)66djMpH+;Zje+rzTjcW=JE!uQ@wZFdG3 z^)EQ|ASiW3a|% zfM1@hj{QG;)2AcgkGyzG;W%phd=5gs{OQZpF^U1YIOWCL!($+8_Xp27%o+FPyY+hI zc{P3g#ieeI_pg8H3aAR3SN~h!SRh^F`T2!<&rsKo*2|NNeh`uG7t}&|sIt3Cqr0R3 zz%TFJ=IyvEG}?}t;N%sWNGlky(jCR_i??spfkEHw>FRR%dU?5=Se4$}Tj(%IE{S55SC4V0RQ zJj!*A48jW}RaQESgXn-ShWVUA|=YwS;I6z0I2`UZPG@EBpEPz;RqS?N~Bwq?OG){QUTy#7tJ2?BKe)3I+~ z&>1Le+&c9E*fi7KtlC0-On+6gj4#a16SD*Sy?anvykWI;4mX?g-+D=4j#SKJ;1{l` zo6Q6J4?Z%IV%EZ}!*z7FX>vq^&5KIhdIe`SgSZ3i@x}3C@zYQIV?=}?LxV|h^Oc@Z zb+o{M2HHE7s+iYesq3wx=A}kWA0+k$s|&6>anpm-P1~4y)o14uEU91Kt(Sb?;igsu zMsA{mzx~d1`1o5oe0O>N)k@oE#CyQf_{&?3VLW|ArtV|XI)YeeON=hoL0LjR6{w+^ z*Y#o{&O`I*I_=GaQe1#VQ6gE6NXP;S*H8{hZl`W-3F%nsdgAui`m5S}NcQw*`F1sV z`~aAH)<@zR8*_K9H#@6KUO>U$7Z5=&;rSG~bE`#@Lt>(SNiL$}J0MX^=LH1Vte!!5 zoyHqtP&GKB# zs2*32s_iIgT4=Gm55x0VY19&_QucU1m-mTwi%oqW%6%ZV$ULC7w`l50NzQunKa;TZ z+*ji;6#NO#y0f0)$FCCx!X)QMX-k&CUYj4sGNZ;04Nb|Vg@NznUc}6~7jewF7jb0v z7yGneY@rQ>QVCmEm=jq00mGduIXoNms@-w{*aA2RB9C}!_iJ{pp zCz1^Y*ry7@9d5F52O6DUF;3o&JH}``!-wcWRov{c%Un6epNGnrGoixo!xP`3{(8i& z)BWl*?5H2TK#UKu17i6UPXGC$!JkE0V;w1=V@VDU$jVu^XpjhF4*LQbkz$q|C^RJ$ zJXC)-OH|$8S!A}=4z-LwgDgW_rs6&F2B56$-p2RdQlKW8g1B90ic}?4MO{D^V@_2U zKYoAs0=M}BPcG32Bd%i3e&KIC8=}u^>wGlY$|)nrouLR4vG=V7BhPpH$xeV6|B$5vM_64 zdgiw#nV}w?{%iI6{`qTdPEplxj5q@NAffiL@4MAmdX?GH)B92;e zU>M#j#}@fil`}PAsjYNVJvvlFQ5}K95#TNjrFJwGF(*Xvn*J&=?vXL5ZJ3n;X3!ZW z{SBDs8p+xEKZdJASg&uhfAH1;@Ky2rrPD6+OdKufuk~8ggt{jv`nZ+HKhqXel?S|c zj@>6>>%#+ZZ7YwnRQ%6uUp4NVNlcnDoGs+a7eB8qkKWv9^UpTVPREtFzg(Zfna+1E zTam}BWBlPi_Ssqm%cA2}z{+M9K6hDcqnz9#Cz(|y$$@61Rc!GK(a1Uon0;I+;MuWP z?w3n5t!+h5u;>_ElSs5JgF($0VkeaD*K42)LK-@_U!Ei45u_}4n9=DK!{qI_LyWdF ze29rwpCNPQSbd1J6XWY3G{OUs+G`vl;nLnAQie3PsJRZ*ljTiQ{<$EMpkI8n-n0>( z0is%=8nF#+P7yrwVc=QR31{AB#Bd{q>rs?((!nL*UW6*`Uc9!RyAi{!)++s30veJT8K@TqVO zHfG3R2EdzZ=n^RIe8f4?y89ur; zs;K!)U=7=x=-R2GZH+JvDoRfh5>v~~e|v{1zze(WUnQ zRpp2O|B>c#0=t(59>r$2lNpbgAye9C!Kd>}<7;a3TU7o#Lt|#Yj zSDWSK>G|0-%i149zj=`z;6$Hlq@$u`^J$5K?lm&X6*W>DaLm>j=;qS~q&nOIhCH%I zQ~?9s9Fd^lbZ4;S)e9txYj|Va7nOlnUQ&U;*&NZsb4oPKLJ(;x{S@*wW4ZGP+z%j@S==Xjnvr!F zeayO%u%NIC-W=bq=BnxQ6SG_(3nPW5qMj+@N4e5ubVxkVTX<8S;A?4yb%4AW6rPIk z*J|FtQ`GBbrkkjbk_82Av;MH}H!M#Xa>KPz^OU-=OBAMcNAI!9l=LK0k)CuU??6lm zb}90YU>9aGo<*pttb+ilD4$YkV6;EZRbrj;>!ZG2FJ`PH;X&J`!v;#M2;IX(LNI2itKc*FDAAehRiz zGT~xY;*g1STvpGQN8oi%E|J&a(@*~W^!~xO&mR9LE;1oTf{B9=V`PjVZss8MqgQBh z6HYHPvHnU_3jqs*veC#bW=@<=cv7TOg^>TeKsueeXv8KmclT!?@!XL@0Ag{0H267{ zHB!6o4~Z~CwSgJV5Tv=uC|NDv{^rfOOlILH$XXQ4HpD{AGDK^sP){5*$RL4WE#}jc z;bL>-OhDK`)8t_Hi?EbF^b3j35WzRA=SW__Sj1wLqfk&Q~&gVc}n~Q0=_W#G2rXFd$+NG9X>Q$kQRbt$&r**OmESBl+UJs zuXgXvDgYa#jOH6dqbwt@Oju`G<1|_nv)a0~KU)x2ja|(C;AqiMgdOo_W1|z{RL5dR zrXPf&0JhJv+Itw_8HvrD*^Krl&k~%kgx zoN?_n?u^4FFZ$%8>CtBmYfOW81u$#stPql+L!HP`h@6&ng%f(>Ip%op3Yw#Kin70gm^Pyq~ub5kI zkmQ!K^oAnfYR5EFRQSxnThDLJfiC?LorAk0q;bHNdzooRVfTSlsw zFl10_#9WkS^0V1&j)dz<<7cLo>%f1qu!Ln23On^i%r#;zVpiYuQc)x3S{)G!qeYJ> z@nORx&a*I*aA)k}(vn8ZB|QALk%l?*gJvj>TU>A%o!}t;adBm|9b;uT3*_cuE}d8X z0-PdUfu;Yq$s?rGfAMCuxJ5@R1C9r(kLUeNYEsRZLJ$u*%h-C@GN#Zep4O8%h~hngV0V=OL`mmEAr@ZzD}_2rS`MHxrs(NagyqJeNN*a>v zXv>g7>mhAO=}TNiZ+X{4(#o5Qp0pca@UnJ6TtU*qwf@+*BO z*;8~ke*}Fl{&CuH>&2>M3bRbJ0_DNb}GVPa2Y{ER;GX zT@SJ~6KOi0F|36E>tcYI;L2ZG>ngq>agA|}FR^t2d7>YID|u;+OLZ&02IZ!d6RXJvCQaA9X&&kYM zZZ4Hd32bAgAi8bWl3Qiod+z?r|NQl7-1}V2=A-Fkd&BR08@*yOoSuy)7uy@xi}NSh zM(=-qefZ#)qrpY-d^%Yalf}G;mnQS=jmyR2>gT6V=flh5(_r5JG#bvP^Xd7bKb(Gg zIz2xh4U4CrM`-$K;Q7JRPlM59L%jR*OnZBJRZP(C`E>Sau$cF!vx~Bc-Rbc96S_qs zUh;HSj0X!0c78d!n)B9vo?i`y#rDS4te6+G&&9^CzZ_oKJ(n}3}Ye=X{N{rb!EVm$7>+TJ)CP2O+x-l8?X zpLt=L=24jWp&z6hPo<|m@TZb~m_$+Jdr|BsS)69{sduBZ#bxo6JfDuIvtzc6 zii62n@fUvr^B}LkI6p6j3;Q$JpA$T^tf@V0V|zm2^U5%!Der(!Ytve7$u z&!*CR{8v-9lf=r=;lG-){ie_S)%5ss`ls>wWN>A={=e6w;UBxR!9{cP_4Lo)$@a#< zS8<|f=R(&b5H|PMXFJ{>jyu+lg?$+L$?Ty_-zL^g8Hu<*u$Hn5G-WLDs zme=>fJWM?=&C-l2(seA}m*xy{9W)!j{9Gs|Z^j;3;ivr*zB9yacv|#&T zko!6KpdSL#B!cKoE?X!BXo#PAY3O-Tlw`)I8%h!MLof95EJoW|nhGYq|7Pd)?&gch z+50EYiVMIGiF6Wh@FeU9Q4(cQ3J?)QStxp|-~S-q{~*0jL4@B2DT|{#$U*eNEWx4! z9URDW6E6q?_{8rg0lEr8ppGPn{LJ)*7&RyHf!FubG>JVQU2r^x4vuzSZyvom**v~1 ziUn3T`>&FTGlgYh3nv*Ns%0c01_4Df;&f7H*z z2wxzKqbv)8L@etKT0R_nDP}K=Pp8GKWVM7iH-i(?;*0h1{ocvzgZ=#%ugQ0L@fjHW z{T=|EI_`3Iwg!M1{;Q<{hL`rHv*KcM##{I)KFv?hjN6Yr`s@#f2ahk${*>B`@+>_) zH*HV`mfjJN-S;9N7@Yjj!_A)N{7f6_$!{-Sz7WR^DCppr|9f}y@fQEf+nvMBM>Ra< zEj)U><;k1)-iaw2!MzLoAGEvV!S?t1Ns9Z z0jhd*VpFf?^7t^HB*0%8Gyi2NAIC#Tx4r`HQ1%JHw_(+`F< z-y8i^oIS7P4rw8Amwi#*z>B{Y&Fci~KffHz2176fa0CXlRm4xWLv)^Hr>CZcIfm%N zTgSA>*1})Y>J#8ls?3m%LU24##c~+H`wgYk<&cs})w_uE6j@HK_fPCaAq3AX4U;g8 zJxQ3|9nC~jLRx$^?crQ~x*iYcBI6;^nC&5k&&3k(1v0m^bG?}E7tj&_GD+X@Xg2+H zB|ebhb<4srnz26{oQ*)e$QKMYdb`EA?4p!q8;6PLl>u zQxCgYAf~MO^_SsQc{14(;*v>F#5XEoB6ifUn9uv5m#ql0?U{DmNSCf5<{DTaAEcHj zL#9*m<1CAqA}Ex$e?!BedGezOr~?=$_vOP%=yd=wJ&fa=afLX|0aZztGL6o?G=PL2 zqs2H5V<2MSGTx!86{7SRcrgT=%VQ71eU``A(s)gl+$+7Aia?~PQLg9pje}88C}n@< zVdyVd7SMomW!|T+rTGiG`!?_r(P^5F99L1_n&QgTTo7HWeUttP?QI7 zQDS%U`tff&yDv6LUt5Q7UhW?3?QJ%AhTW~8jNxkV=rMkzeWzh9FO1TF{#4wMt#H$3 z1JWKrpAaaC16WL5%a`E!U_3gVjp&YQzPj|SxbEKYb(~OGMYVS3qrs%^Tx$P0 zz{t4x>v%XDT`lTIc4ni&n2pW?M+jyUaB>Nb6YSbb<(kc4XiG-}8Ury9vzzuxxu5_L zcFJy@f({+*jaA=IOu|aMEgy;=_wqO}_yq+jr9Ur_Jc!-qy^@U)}&zsp4;q9i)TNs|J`JOfF!focBJD2b13UXbhnecGiav zP8c`o^&UR>`{9FLuLt|*@Ur(a|2zK4f5ySb(fQWfgI#_V@+h-JNss(}Hk)2;^c zYVa<&zXE&OU~;K>Q=V?%-Vjk0i4}`9faayU zxnkX-n2;40RsJV`8JqsLX%3|2Z2G4i)HjlYfP;5Lz1xri54L9CgKCw+ zS}NgcBmf>I^jS_|RTZct?zd_=D}KqCR`cy7OZIYs=62xwPo?gZ%2LcZhkrF+Qb~Rl zTz;S6UMoObSxuR>ewOE{AI2@dAptN!7Qo#Fh$CfYReD|_K=;RgxATDga<0t@w}4$QkAFWofYq02Vki^XdJ@ZwK;bHbl>Mr$-348h zis58CA<;-F4^q0Lsf{Z7yhJl4xP?G}En7h8mGYOCkFURB*|-M0-l&YYx1YX;&F?sD zXMJQ8G25p@^j!B)5eNG&JklaR4FjQ(1CYHPc+TtTyU6nUk+#6=kBj z>e5(i-nhRe)Ui})ENO^uKJw*V4Ca-lmeBE|>0H-CvT+|n(_Eq(O3vMuq#_Kvr{g#9 zmz|A4ZuD+`hhMk(&hcV4f~NX%cCEj)JH3WBqJCm}eQ~KjbXY8)yx@-4`c1yrC$quC zFm)NcEniflqII>`>Lq<5;pW4^H_+$bD)IBrLhWmRI|`TQ!-;!dMDs}ZHtr%1|no=Z#0_=BeA4j z#ht_A+%d>UHHrGUt_-1$MzmkB-$zmIK{e(3Xwato%5ow3`pm`#$09Bd z@*bBsvIRjDM;;6Lf`&~ftJctc$!ZwmV06_*y=*Xa8HS<1U|T`5BdcQ|t6}uTG`}Ki zVH~GIwJ=Vz(UgVv){{;7jEqPUjYPY4aEaoNqBl)>NVx4iRCRr2pEL4xR?~Djd6+eb ztkY<*=o7*qI*nFs-~I|}1}Zw#IMP9zhUk2bM%PvQF7KjKo|ZW#estw&1A++yT2C~$ zf{2EOI!fj$+%zB`Av3JsEj?b9Fl_@*);Z{656n9zgsXrHF$pWuvj}z+QvB!&p|zC^ z#yz~Y$ZOen(gvWSv;Oh@zNMWC?K^*aCz*GpJ!BPm4~xNU0$11G-tIH_oSOYzg`+ES z`M@&OpU;LLU+z5Ls-#{f2|s%cLAbiW#AMwUmS54lUdOC^*4*al?BmsRwsHNxj}Efe6YcDjRf5H&1I+sBeW&G0H4TYK}x zU{P$BagAV~i#qme;6wh)XMohhaa7(uAef2z}&PldPz12Jf5Enbgy2>?UlvpJ#WcU-u&(;ZsFdO~8%>(-Y^}_rGH%AtS?@|*=w--)P#&ejTfL!#xi@0T^rTt%-sTWe=)ZF1Xp zfhrQR|B(V!s5Dv2Da&o{Ea`q{)~~2xr^njfXpf09suPH6X0>Ei?dV&QMoIgvsvlLd zF@S8LG_k^DYquuNk4@yTHb&2?*+-xhrWAN8CSesSRAHE)Qi@)GbdBTMN-X0Zz8$kZ zL~63}qz$l4rQ*DS9lpJjM#Z6>W~HnOmBX+%LPen2IqL7Un)OSA{@HwCH0V=%er?a}FZQf@5CkR?Y#u({k)KXr;M%qHdqc6^k6fT~b9T&TT- z@@%RUSwSk_u%yURHI1gZ$c?>s?d3L7WZ|>4WVmumk;PV`r2$QMMk*B4667QH6nEYf zxvh|1R!uO=o@ml6(K-i)R=N~f&|#Fc0Kq>AGV1V@Kozy4ekYU$q&Z8N7fQ)=oKj@T zWdfwWfI}G<*UJRdgXt1z5v=Yc3kb%BF9$a?WHdt&y*z+&(U)uv0`!)j7nTWBMoE!~ zugnfrt$tUd06;~L*9I5YFTzlxUj&@cFJ`8TfD+A<4N4@EMk}6PFZmc!S>ik+WhO%N z%0ybN=vtT4uyZK10j`kLrSn{EXciBVAVC;MX5#5JQf8+QU(Iu zfMFE+3%2z$;8e{Zs4S)VmF26d4~!yWr^#rTrn3hstJ>KES>f3x0}{>m4;I|n1DUs9 z7ZArPSajLMESmGmb&jHw&Pdaxc=}c;o>mpi1SqzG2nm6c_FIfJI@UA*pPP0|k5{QQ zH6qfI%Uh?Q+i08+rI-TL>+LX46)K3}#1L6kU#K(D{6bcyRivb*75)2Lx1IF;X*>G2 zx3*L1Ae_IwtBJ;a59xv_Nj78)xD+Kom}#ZA#7I*cW=v6+f0wSZn<&GHA|;P`ZIQp{ z#iGYAEIuw!`Em1bXa6Y=y(~+Q5F^e0@4f5EACu{y6a0w^T=Ru6(_mb#mKvxvGa+kOBzK6njQ4}OhJ&?)8Gi!M}L3w+qCs~G~1ZlkG1h-X2X|a<7Yu9dtql)Si>10o$ZyQr#583x={ z9dJ$1AkVh;N!`=j8)}HGRakWh(EOdtnA;y7J`jK7!sRxE%jGF?VdXAmMBSSYR$p;< zd!yy1QEsHG(Kzb`D8Pu~Pw>HGRh=>z=OLFVIJTA_5yF{e3Cg`kAbbHb0U1zSMO+$C z4#Rc`=Q!*dPn3N5zCL>*r%*YdvPh#cVT#&IhmKXMTKYjB`FUX=P7vq{4@I%4OT66b zs+Ovko2{zl8h&oB{WLy%2&scV16&-v0AapH4@6{ql704~x~!#q<3l9Z%t9~XWMXTj zDUv6{XB0~v!p2Vo1bOM!?(9}v_gX#g++6qA;5*knKxGt*jeO+XB0tpogYgcM*jDvo z6#l*kdz%Nx+#hjw^YK>Wm{`Kd=}h=IK31I@g_fc5>MBV>97KT+-54DQRY=sN01hBw zkl;w9QhB@1bx-nSl%vw5fVFQ|lb(F!JU~=1QoTd>nMz6L1O*ab7dZt|?#~?~LxJ>E z=ec)pJ@+zrmm$dm2vQF9c*H+@E2`8*Hx1yRjy2Jk*W1fSK}-(;17QIDJ5nUFhgA7W z$D9_=J!sK2OG0^#S`u){hzRLS8bk=sJt*2)Nl@g~h=J9}RB9xMs-X!h+XpvT94gon z=u-{!)6G$&F=9!;b4kMBA+l}62p*9f0jc8EY}@1=+H-jzFC+t@&_BW>as5P(lyjKJ zqCiQ?Kk$NK6#5Ic^)tY8bYWde^DE0&RUa6|#ZHsatS+7Bp0cW)=U%(#o~R27=iofZ z{Se&ePM8e3Odde*5=M5jmQ;#?E|W(ss63`zQ^Lgcl6G}KyRF6;xWk@V#ZmLttx)S( zZmb|eLg1wR+wk1805NN~6fz6Z(uxADZRutkYg-ll6yk)AmA~FxD=adQv zwe0K|_2&08IP}3d<41tMNE%GRf+XoT}2Zx|rry9M)BziYz`m%;x`(_*}!AM@;emu3+_UA8kPu6&;;O zC|R)!5dTHF343;fn@HW&#yLjmm*HiXUh_7E@*2WEAB;z*vk@hEjWtLzB^=i-eDY-{ zF}?bhcfwR5fZc5dvp5mJn|7;1VmobL5fj@Rt3IHZgc{BQ=G}NRbf9?LLja_q%C}`@ z)&#}YjVEn@Wj;bG1|lDH zsG`kpR5`^SK0u5LuJe5FfA5aw!@=wf`QEo4KB()JZS75Ge~QchVJ|mPD=fZ+je@`3 z+iYHc>>9L^H8r{oK1bDEqeBwAX@t;5ha?2D@Csw82U|R>PjE46j&SwGEl}F9oF6_Aq$w0EMFpTACr3y)jQ3g^acPfuD<@m~d(Kup9sio?Rhw z^21PvqU3xvWp^4_`()pp#zRQHVaXn*i!|&z+)_WaCsNkW;B^MCsuZb0(!>*a4Aow8 zc{WX6FZo7m_As}($c>TgVe$>Nms@c`irYMh++2`?G;eD_6F5}Ao3}fMn_c!WE^6Ge z3lgUVaIj-J0Taoshzh|P4TvQBeCL7m^*xa8lLoaF-mRAai&aahm=i)mne^5Q_H?m9 z<<4Fp9RmUJwF3}yUyF(BHvl7MW0` zsZjg^f7i^5JkN(CjqEqn;1(Zx#VmvqE+A17$8y}RtO~5NWWmo!_Ht8HR!9mRBjYN= z9%+npg^Xc4_|f=H)-0Bci@zpDp!wenz7(^U#i!FkByN}Vmx87V3koDW(_nP{S-otK zkqyJpU$Cv90Vry!KxHw_uQ;r$J~E2yoMxkO=6mZ&BkS=xRbV6jG_(|Q{Oe*471z7b z;*trWB=^<9JrOh}Khs`DfRc?GKqq~q)JKeA6ht00J}4tVT*qr9h>SKYU?a|>ECAjP zC25$#KQ(5RG)4e4Vxd#!YZ{_bWzG!mv{M=A87tr}1<(kEgh0StT5}8;hWK>>w1x+} zd^T^tYd#w$9yUm;;;2-2WB&HKY+&2A<}R!|*!+saX4XeWr55XK2(Y||$!w5aLAa!c zSqz0VNX8v;O|MPivWB2`Cbm{uY&-whfF$kMZojo96y}= zHp&Br$Lvl(jpxYn&Ia>JoF>5Gs4GJ$`P|4}G|LxtO1#il`S9emnzXGNCqbplRr;1WwYy;F2$ zU9`2mW81cE+qP|2Y-h)IB^9fZ3M#g3n-$x3zP#t0zqS8n-|V~DR$FtdK6>xZi6%$2 zm}1Ku4fmZaw!DDY8$2nEvkU%Ci-1SNKKd z?$WJ|+ePW)wEQa!==gR%XkPjN62CpYwRqa9c|c6yZx^wLY;mUD6*I=@=?R8M7rM{P zs>`(a&rIzoMhX0e7f)&leF-?>ab=L1@iK$R(`On4>Fs|PFQk)d5=`0n?3pAD75{8| zGoEDXS}m~TQ%!HsgeH^=Q3H|xNrR!!l_11{$VIh)e+;s%EJRKUV?4rJ%3n)*e3y%s zfKkBtfMjVgOw|0LZ)B#MuCz9hWN>N8=u^TltniLKrMXu|gR3VZr_Kt|w!@Ko&zVM*}JORDO%0U z80I(qE3mI!o2Xh>JP4tMZ!B|MYfNeB+_?cNTb=qLd}sXn{EF93Gqp)es>;l{{7KyBe-X zS6W`5W_#F-+3J<22JKkdjK$K5$#MnW+lJWDl{2`lm$UvpRqf?;qc_c;N`svoVygT& z-BzLFCAE>SjAPK!$nrMgfJ0DE!l~#``)93f*m7D;YPK@imS#(*39`HNY(-yaUrTptUBg%eIs#zv>QoWp!a75 zUd=2S3Pbu#EC3!1$yTZO@sT*;lrbMRx8#97C7sJY$<`795Vt!<-ea^Jayxn5N453*icV(6Y0=& z9h@%O8e!b#LJ2ie{F9lL@ss|$U+W<7X2OAac_Yb~=Lg~muA+YeJtou}@gz{9s>=#B}f z+^tcoi023qhP1iRKEip7XUHp0TW$=q)dG4Na*UmKtwzr(J}kcCW&t0iU+k(}Ywh7r z%;v*Q`#LtTw))D(*Gvj^GbXQNQW-J!K3H-5a1ng_Ps~-}vF3G%RF0}-)dk`bUftSx z(-`$8%QFq8#_Qozr_JnFq#0?(Ikj)lUzd>msdIEhs<2B)65$Ec%0jZ$%&f+l%|B`F z5SersbkftZUM>sBZ&m*W1%vaLQNpanxYR(SX(j4_YKD||GNE!IbA6U@<$?Is82EfRXlaJN z>7%KiJt2vOHE4gfY5YDsTglC2IcTmeoo5zB@eIlEFZ`i-(`#Km)r=MdQ>g17pSOQa z_t);j;f;j~{i{ia$C44Izthjk?%e^XGQ{+OYnTGi;8@FwB1Be*W<>If`~+T{z$eK- z46A9BrBSY^1&ki_J)Rt!70{H+6h>!@5iL>dwj)|*Rfh>ySNzFeW;1-p*Gd*W7CuB8 z<0dCVpdR+mkg@|Feqgb)mgJnfac+GpvRek*qas+y zGfo`FZSVTsTwD!y=$2SSo1uc%=lj=fq1-ScN}cvT@eZl0Iyzdkmi#60kA%b^JkJMw zk{&exS3+hMonouz!m&0Fcekc0xY7rp291MM&(HRlECZyhPjW1)|FfeQM6YZtB#nI{ zC6nj_3Q}Q5$#u9fUL~BDMM*hPhxod|a;!EF$~2}DP5cvpFen%bNnE8JJ4}O@%pYO*ptI;7QgWD0kC|keuNo@mm5Ldtr4l+%A z(OV(QYO8TR4YRsQb$>C%hp73FwH54>u_>P=%5=QM50YFDih#%LeRAffgnha}Dvg1b%&K(W&6 zjub040$*NW3K`|V!h@D!Kd~z`??Ooo!12ba=SC{fPY!ED<>tzo!JvGrfi#JbUHm=kjGsmy{Wx{3xdxN6lyv0tIKpY{cKS?`g>XR|5(Rc zuzMA5wSS$SQS&N{u}%KZoJq_gp}wzanfYq^%g9+<%io-}B2=iRscejI%0ps^p*uLI zevlw#<|p5kZj0jSkfVm~1L7JZLzX)06|&!~ZJXO)MH7LO?}d|X~+#O ztFtz`5W35vgdDTjBhSsnu06IY+@Q=sF~k zQqF?>VrNPa6^F69O4UNO1Al@^D1b0F2^{5fz)S)-O`!c1JI>Ciuic|`f0pSmk_EN8|H?vhEskW` zZE%L_8|rVHzlN|Kk6uXT^o!DiHEz<#<{QeN%m1Qe4>GpyRhH$26IR-f=z(IGtf=-t z3=b;Y9NhWPM?QzWLDOTWdZJ{9sk1|`S?XBqK|>gAGe{7naF_HpX6(x9Xs{>*rXp7N>r_TAFkJH&~LT^`CFWuAEHcuJoAgo^C6MOvo|@Q3R`8X4>K< ztIl~?WoqdO#x4|Aelh{vwiu4!^DCI;?a|L?w?ztv9j3*Z-`++FnUe3@6M5U|uYW$a zLjq!1`*niQgIVrgurkyyB&KwwK(Y4mY_~-(ppH1PT3L<^e+(l1U5rC;r3S<2Hpetf z)`b>MY_v?A7xvW3Z=M?Y%NRzC{XbHf{`1Oa@z}uaw!BrLbk81x(h~I;ap!OFYnTvZ zsoD&$8q-Twkf8H2e%a9tgwAbZ$t595R$%bx_WN%`r$p8YBIh~`)fMkv5o0VNCnr?G zxB+h0h>mS+JK%4U5drXDBn>)wi1gDy}c#3!KhScT8?2Wyy{#% zTrSY~J~rT*2yl9!5{c6V1aCvK47}M2aM+r8hAhh9EKn%p`0!N6$SB6O+qbY)`b7Bk z=b$4O5gXtmO0l-?+4q4^PW0e)&^XEd#q9Fi@2&JtVH0>ifqOMbv!K^`CGnD#4w*qF zFxmD!wXpz4`1pgvt@cMX;9eP0o|!xp9@reFsH?oP&=a}%zaGtlp~;@wxeQmPX3%)O z+A1>cq3!nb5l?DCOcYdo4xp2Jacyv}S;dt7{y5Z08kOzgya zSqQ0CS|e%3shQ_-i`Z~vBujxAM0XW*?=tvs&5kwZ?JaI&;$E@}jQo*ET8|*9e*T{D z>mYovGx*{1HD(vp$ONPaTCLAET20CPFs?)7-wdiM8O&Sm2h{-_GAgc$3-ULiu~)>w zY!bJM4!L$Z(%l%b{bV?SP`<+Q27qm|3CWc$J6Q9(xe{;lejp9ZM)1o$p zvx`_gVU$7hNYnTK9rTeyXCnOnKN;IRCe%UjX@SCdaxU8d^nx+?(O7ku_}}DfBf=L| zMDyKYkm&kAgWN8)4HI4rP4Y0HC==CMFB^eYP%R3??n#bCBZetMn4U3ZMu0%OkAGA| z*;YO$46+x-Uyq z7M~{Q`T11GIo=7XGjESBH$%7E`#^7D7SGCC3?XTe z0l9)=#?ppghkf<2`#heHI~#L2=k{-{A6ZNd>zKXdFoDoj|0wt_u6fo*#9ow)oe`WP z$wcVL0lI{=J5B*5*?^eA@IPV`3OS7NrO8~ZCYXcY0$~_%4tHexHi{^(%1arQ3ixtr z+EM64AgX++t5Xv{xY4P|@W3ZHM6yZE*!as;s^>C}!n`hP(%=jE;{?c0<>!QNVTg0N zOoU><)Vt)h5hn1JRQojXptS8&BDZFZqv4fIOB@r&P#NG5A@cB>keSg7*vVW$`mtI? z+AA^uV^hE2e=_*^N(~0ioobrhMXP-8%BV-}6#XPq|JMo`va9`BH19eOV=S(ysFClj zi);bu`%C>zW_p#$G2Qo1q=TXNm{WP*4O035zRGQSJS ztFJ;++dLV}F9AdAevf!mWkUpUobd^hPLPyM=3K}`- zeAx}DKgg+i*JweEn5d4SP%f@l25)}~6yrDJOc_yF8`GO6yD%-2y-d&md0y3=sl8z++!d%9D-wGS5qf+z z`f&}O<$jzXocsa!dNGYDAnfs|oiSvH1s>$+pgvX(R7NUT%-BX325(9aZw|xdz3> z73(sF$v!S!a)K1%sRV^^!;5O5yDX9{5;sBSEl`re1tI>?#)~i&W3 zXy7swB?Z-Ka->l;tg60 zC?kob2WSF+&EdH146%b?bQj2A(42LYxU zP2V+0f_5P*H^o`u#@zxVe$K+-qA#!lyw;b}o+Y?u5gW+q)k>?x%{!Kz`qq z6q8|2hgab3<@Vxl&C?_68g2A?81L)>MX$NN^Q`J?Zn!t?9p|Ms9y5?EFr0<0sht}* z8{;qEIGDSSCyyYP;YxIzJfwe?XRUarv-GE#Bo}`P+E>Mgla~H3219CUYtxweoq>^l z#q{B@h?sZ#c%DO_zqR$@$I;KsJ)&!k`Nc3f$%^iz^D6e3G>Gr5~Ie~uGZffNpH?mC(wH|foX$~kZ=4R$iGqzsXTXYb-@FReVuj%A7!he0Ch|WX+NtaH; z8q1L(FITIw#Qah}x~$KKYYQs2v*x*v6rygskMWca(&Z3s*!5-Q|A`boU?c<8oRn;S z5kO-_yIS>2LY&uhNhZTMdfdB8b6OIa9#09XP|-`lDl_y``p&%U!JO9Vub`A2UmE|u zphW))4ZziL1T^Rrl#S^pMuvz;2kz@Jhxutck6)g0NWJN1*vD7!d%R1W-bk9@ajV0fG59!$HRk2JE7ZX~NbYeq8f1@e3EeMWZn@SgGJts6>@N zazkUcFY0668&a+y_98QWbjP40a@^lI{KX2ZA6nz&F2RBG)Z(64B8|lF~C0` z{)Olg`E9k`c}X#JnAP3Nh7CPh;RQ1#lA~qq-zs~l%VoGwKVw_M;(zX9f~%6ULefWL z#HVaj*>>#Kzw%Lc0`GT~4~Q*)qNYNYKKmOJt9L~f{CQI4hMXCOCKRDfTmT-yp1ap9 z_gp0jQh~+CTImYMBDgH)I|M^{C3wVu!1;*_YXzZS)?|l=JZP5Bb`@8udS@4(FL2BM zM18s7QjD_S0EMkf&-`_>cjmal`4?oR5b2DN^V<%X^N=)(y~deCB-40jX=vwe=->#A zr&nJVCvtaT?8k6UACRZ@iHR{J;va-uFz)@5JVro!Ku%W|!uUt7x5Je>iy;r`5YlXU z)@=*B%GO>Whdw?6ICn`qtJK^#ts%x-(UqBvQC~Yd^Z0hu#5WwXdYoYD%+tOE)y&r^ zNYMY=P>`jwt7Ut&)a6LspA%!eAA(e)iocbZ2BThIn!* zaoUpjOqHg{uL6ZcxdhNKfZ#+11#r@n|`PkAw`vxCG+&oGhxq_0cB{QdV{Qi3ZMaaO zn<&H}8?cE`uSjI=mux`Jy3Nq@UF2cz$vH6p_TaA8bk?3yNAqZpMnc%spc%E6e;yO! z*cEL->L8ERaYELg^VxtY)0YOmV?0*(H+%LOBVMr6SqvIOQIwq$T%JGq#DKVgArZY# zvdoqCntH9iWquJPMt#Ln;``j#Imv}gyIxrZmyJPj`rdu>PA7GI;hi01s_T6#i)L5< zQ?b%NVGpv-kv#JDT3)~AI-!|dro@N0VZF29&J~sImS0hFxn)S<+$6oa zd%E+%Jo*%T9Ye8nyi7r2NjjwYoZYTo9(_spmG~@w7eYJwyVSLIY;|yRZ&n3v;p=~S zw35`I4|%}`5#&B}WcK*rPi~R`9`D;DqzDe@xG(=Pd0_pFfTEsx8uxkH-4=rFaet08 zk!hpDsqQsJok$PHpMNd?XNQ5+i5-9Us|V*bzdWhlM@Kaix!6mTf(amu1qxp_U~$Ut zi61CfeKtD;mUK}q9sq+a!fXjs8hSKEt(_J^M>)!L3l;*y%jxYplIHn30mut}F` zWH#lyJ70@VnYDC@J13#H+`6OLG3$8wlY%e2zDGQ~%lJ3Z0Xr{MF!vpPZc zS#qd1h*$RLtgK;}_pkT-6J}IIf;Yg$`m{9az_`F{-BZ0u_1IFG@u=o4Ftb5WlV+*| zJzK~~{~a}u;ip}Wvh);Ts37)_VUVVOH^lt3&lA3po}JC-IX}F8D0zCuSe;3q!1GBJ zlJs&)eio3A#A?+k`zGwnINgr@p`EtQXL(95V7>2rcswuN#1S&W9^S;rGsA6$y8NEEe^*MfK+#P6MxR3$`1=d^)O_lX2EP$2ytp$+=qaw*d6P%+`}T+wYq>%h|$uZO#O1gV9v*>;IS+LIqiB9QFAZlA67 zAURR%W=deK>h?|s{DM*(Bk{9xRIIEPR&g*8sWrX*;N9Y?KgUeLr5}3YAv%vR6v)2%Hc`- zMCF&CljOnQGAFX_sj{((ouG|xl_-S>tmTS{h>dAs_*vl6CrFK5)WTEiL!Fb+$6ZR0 z4tUw3OPoehPNkd!AIi$uNq+UI&e@@uXV(T=7w3ZP(I^M>(ot@0i=1VG-c17?$H^Skrmg-^cv4LhAq5F+Fae4wqS z|JnLT9<%gtmxA~HfxRWxk2xIa&7beNi_IX^dZ1TO2b6E{&f!kmoKoj}5d>lfNR^%c z7(P-6PafjlUepw~_YdyJe*q@ae2-XgBG!-0 z0O-CS9M0>X5a(!=ZmwLLY5kw;Le|tXO0YZ7kTIBq- zZGSzA$Tf;l5*#M#Qu>$NXg+`}TD>`J#yx~CS>AEC@RhNdy*f1Cm*~`YE#$}sPqS&1 zs-}VKwGa2^bbqeKKvu}7z)T4bM&ps3cO$SBl!5D?EtOVn@tGbB(O(c{}tdqP=~q zk`JDylBnCIOW~{YtW<^vO8?c~;$)M-{-xJ~G;lcW3jGh?=$k^xVe@m;8FQE+YlfSC--9P4a>(F*%bUJIDHb_-RrOH5Ot@To z`=U(*z6n-y9oMiJ*oAZS2in4Xd40X^;=yJG?MUKh&hw{p zHr9W~6gbXZjl_Lq8nIB-Q>wdP85Ug8b_dJG>XR)}VF!PR{Kb zZ%&ANWfF#*&&WvCmz`a0UC*uU;3jfk7Ax{^gc`7`F*naaL2JAwUB$Nq425fV9c8*Y z$G$xt=n~;AZr?@B7EV3Lm`1=8;p19rRu;b4jfVGxuu)xIo>nEc@>VL{1c$5Z16h(b zffa@1ygppsWwxN^<87hvRywNXd5kb&)A&w{~jB1>$A0n;w$^=vCOlqzCAbjYcNm z5V?t8e@WkW)5f$3`$Wgyt6sk>q4cSErX8i4w;OU??_VW{Q_O!EX{ULqTxdO%;?-0S zo!yhB;f>vETzmiStCzcC=3$gNW9=dC1yxpU?DkkqcUlq&LHnqqtcwm)!+GS!)S=M% zIw+sGqh(T-s%d82$amDR%mL)_^4uM`Pd6eJg+I$e%md6% zH~9oB&tXJ6tUvuCL-45GpTkL30o0H97n(Rf5afDEy1+uuj=bb;Ne#PRBThdmv;VB7 zC3j^~6N--g;Y&N)D*ZS_P}+xh`O(pZ7O&QcJG&4G7Lho;y&q<5*@bqmJL@aF2oO@zoxq@Y+Xl}8{AK@m&xf?~SVW05K7i`>-COWT?)7dxCjS<~Va zg7PfN#J~(x6tba9W*mw43f^YnesANH$D2LOg0aS7>goPjX)e zy|C(&esIqDZ|e|}U5k~G&`9#)srV{OPR9)xD>ZyG7mJD0zAqv+1K9J~q9B-lRfLrw zhll9kyj8_z44CEZTsp%x!snYt!Ie!qpciuD_6I!=V^F*HZ?p8aegE_tQMEYt6S_?b z)Hd|^`$-==f@Jo(=shH*EBJ$WL80U7M9(65fVWeSt(1}KXpzvTNqwhii9GnrjMC3D zwA51VGPv3n!s2a&wFhbd+ki3they}v%lZEH{_Zc5(Ak-nO&G7$F|I(e zTY}nS$t5aE*EEPTj!`nX3r>H}P|Q$mMJAQ#Tt^%ulaO1;U-P>{&m!JqM5S-15h8&(V@;I7{!fF1Sm!PZ74qr&DF_ zDs%-rJ=xl;x_5bZTFRQs3wG{{#o-$B^mTjfX87c_26 zN_D|eSe$rhkR_%N<0S?6gFhsn$yA3)C+dcV!rnl-ZJH|m0O=U(S_939=*IAc^m&>| zbuIWXJlc-2PsvO%h{aOa5yEXsJtRw;XwD<3Hsx&l<01^AUd_a2@klz%_@HJOtoJ>U zq2PSuqC{UyCU#EHQ{>Pz*z9ulg0lH+qreeSQaNE1FSJA^kvJrIC^=qq5;;6lTR3v; zv#ntx(b*wV1w`h$${38e)*(&jk1A};Ui0MOa$(KOCY#D_if{_!k@74^M14B(8Io7M zl~ySFpe0&y2Qp<;>oUf~)!7J$UlMUqB2neGVaZWAwv=`4zm0>{RX$Nj0g#&5pexO+ z+mj|BdXuS1$f>?C>VgnF9K2H3-NuW_nS|iF#q}$lcJq624 z9FHC_c_Pm9@bFuK#=>wWjUkQ>t(A#7e_K{0wi^2!QS$0! z_oHK6a0tRl>`pG;?K8v?nkrl+STHcwLA=w~1Ah}CA?_AROlwbotm0}Ta4NqFLF0j? zO2PWk#;Wh^VfIsAua^H^`9Mkv{VFzH96EFA6G_K}--$&yw<+egAvrwYoyP+EN}jHA zo$|qwzksInQbz%CC$QH9n9{JE%AvR!Flf)LuGBF&3dHi>q<5fwz zdl7K4-(&g-^mmjvINq-i4xb*Q6N1@Rxw$Rvfyh$--ekKF6PAKihWP47_(l^eO_*Rn zDJvx`76SEhxV2hF09ScQG6|TY zYsgTdVRla3lcuR*({FmFLQ36I(M{b$NW8BjaS@K;o z`~hU5whO92DIj?X_MYI;ogf7&Er3RJ`e| zt@bikMSkcvp>ee^q-!$9c!)Uu0dECqOCYdyv&G{UYQ0`gKtdF+IB&K5_~fQ-Mq|3i`*^S|PD!0Ib%EA6nq4PG>daAj zE!~|_L`v*nZD$9M8iWthTMP6s=tEWdqb1;pCnHSj?ReeQ3eq(>4!^-Fi}*Q3YW zLzc&-kBpbf6*?DZ)|-PrR97(?3f3&sDD$NEYQ%VA)gPdTW&fyWT{HqNI@=~kZf=uk zY|y#P@W5tW&;AReGNtBE&q!$0c0R!K#2+#p9}}89fkqh;^^1)29^HYp7}HdDPWw{b z-R-c+5x5>MU_)VCSf#*edq(g4x5tYLYdS*yNB=4aLF}y4h8-R4YBE$FIYXF5{mARZ+!~TGv!aGED4spnv=h3-l4AwgCI0fnJ z$>u-e9->}?Gs_gPl@*w-wRRJx?m-e4RHi!}1``qD)8vcs2UPw#O;r@>#z{l_hky)5 z49~Pi9EMF4#~^C)C44+r`yNL@Yb@zV0%edCV2rbTE5i`j3sZWSENEN71l$t?s?}cxw9C zGlBi`^nCM$uaJ%E{-;|a7Tm4)kf7177f>kv+6xnHV$splWwV~)e%70hu!PIRSn}aP zTM{lZBHx0Y$vHJVG(zg7(Gf`wNf8u#M};BL8afxusZ_skO-(mqTg|}=e=LP}B)1m70EWGg&KHjJ!Z;4<7jpT$3 z=8g5>39L+!2RdLT)@}RulA6m6B)X6Nd-5ducv?>A>I{Tx7F^Hi^lM!u5S>5&y8Hj> zlmT@p^hL9}H%p0J4)GI#9MlfI`$)QWoqz-Y^45YAX3sDdpbxV(EFVQ^hEO;tZ)uoY~%7|azphz2XN$u5#2 z?j&}-T8ohAH94E_v3#O-4)ot3ICOk(|2O1m=?pUoc+sv@(h1k~MTST`2P;eRx9@^XP%OmGZ@6ypk&+?R+!AE9 zKk~|x7Df_fXH)1}6!V{dDL=i||JZKSZ4pP-l1Sz0XyomWr}z^m3AzS*?G8;BhQ&~j zAd=wqm(83X>o%AqDT{_3HFrS*j-kMpKv_pnzF!2U-(ogW`%eNf4_Bl{=yMQxieSHd zbG{6Cf4mrcrL-AOJ+?rwI&vyS5UF}l!L8H)x<-%Ie4DYa3Zf5Wr<`UV5(Y1pWr^!j zBfYz(+0cJ~J+VqC$!M_AUyzq=sgo4xPqbNH!);fvv^*7n2!lm}awTP3?GJ%@^6H^b&6b4#R|4P#AcM0KJH% zEu^}d?#Q2rr0iefaEZByZ|)N=NAt}CYb18;$UQQ%or=^QR6;M~!I8FtxS+sTpi)SdG@;GD(T_vgPC2)&)lD&wR))ayTZUad z3djCljB|!?^chgzM?YO_mjMF2k0asz?k zFwwZzHk@L$x#5NUSBF(F^3&^-uPH(IF+RzWV>NW$6KlQ3G~mi{XFJf}qi$3WuoDF36}cOX;tDC!2~b~=;Q%D1EGL&v{o576>7C}*yv;8YTR z(f}k;^2DObs-Uxez%&C!1wG1n_F{3lse!wAp-6!ZBrVDz^VRJ3WlSHyO5vwJPG4uD zz@b9}L1UeuNIoko66U9?5+S7DVUTdHJ+~bTI%Ev)c0>OFooGcE>ir<5K$5X&2^|;~ zO9);_lc08QH8d_k8MUv?7G$#mppJU60pCe224(WmFPe(&=lJECL=i|dN&l8Q`M`ys z*}7})wA==wK(d-`1{10%!S6EgzDQJmukO@utWjp|UX#%e&e#9yz;R%NP@z(4ZYyt! z`x4rz(COS3X|l?0{X1F(*RuZ_Iaa5E%p4;lO@Fz2xI@aN2ui-d*iFf?=Zm#>3AG1E zP+{_+O5!ogVitjPb>dCJV-hsd`DfGqFZrWiIVgr^$09Y+8ow zk7=T2&^Er&*G)nW)n6{3r9YPgqw2+a@J!(FdD_EQND`Ft{e7{^Z@x!nYN?s;7<@2J z2oy3Zv+vYofWPxTq@4lK@5g?*jK$Lm)zuYeVcKaQt)n3~3TPR1wW2Hc7b7H3*k=&! z=rnqPY0to682z8I17#=8!x`iC*u0w^iE_Zqr@baMZcEzDF?<8r<

30APn?dtHVjc1y*x{R4n^;f@VZn$z;q`26xs$ZfNS@_)T!v z8lm4|TM&5K;kZusVC$T}{fdtn6;5d0avxFA@d>}R(@-zE`b?);A~QkU zBY-|SNfv_?%Eov?cb1o!=*~f)9~Fa73U0WW+l92?G>riNI-EEwTAh$CM^pU3syug1 zS+?*6#XoyWc1hXl04(ueWGa-|l5=Mo4&vPBy$0B$^*gQqyqTe#OOeXp-0D(UN-Oa) z$aW+FjI=;7k-yvwcnW;bES6*gb5Z%i_8m0TGj0B~unq4u9qj=@fzY-_i#(#_#tfB- zGJ)WqIsw{Y;lkZ;=V$@i0M@Sg``=#Gy3j)Oz5c8{k|`~^eNb>OlPFv;dCWrrxIGpx zCMQT{z>e2Jc;!iDW3-(V+~Y^p5YV1H$$sZ6JRM0YsAzjGi@s zY^*;R+`ci%a7sR&Q#Sjf1~PG>o@L5j5nQ{TXvykLyUZ%6M0zUSH@FiaXz-(^T(=cc z0igZ&-O6e@s5+Dgv*dhOq3GH+>5FWtp_g*Wgg(IxLjo&;S+noLBsT3Zf})TXqGim4 zXEI5VM9c>=m?%`0htE`|)xEB;TTuFFhGq=uOnuWfQ_o6@J_Ihl9eg`~5JZ>~cF%W> z3{$DVHCWu>3v#tWDViM#_jS8d>Eb*x4C%COB7=!G{?-||hJbrV^AF}f&ZHF74sO;i z@Yq@MpYuL}1InQNSc*=3*MF2rK2!g6R;!Prj`da5&6h9~vDbvvyhRd^ApYOnrj1~T zEP|TI8YK599W)_48Ovd{mpbvYFpuGYrVT=^t)TnT}65 zHbqu*f3d;DO#5>vLjR7V!U&j%*WQnOGzzp3b@dp)4e89!!R3=B0)Z^p`Gh_(4Y)@I zgS_`>@k$(aY_6pQucilDWUOO!O~(%zJa7}N6yhMwayJWgmNWFv-4C> z;ol>+$I>@y2A+iLwsYbPGOxjkF3Qdk7ltU=~(I4hV>4>x_pfK zwNbdH#UIywg(Nb9b6oCqwfly@S0I6g>LO%BLo)|MYSBhD!JV{r-lo*!lg`UHW&^o&Ae2aqF>`N~WlX>+mA;sU~He8$`0u6Mfh~B zs&RXRIu8p2?~}F)er4ZBqrO#VW}^QkZZ0V$!?%w+6i0dy2BPnVS3b5okB)=f{D@bd zsA;3|7l*c74=Y4EhIe-GGO@2BRH+E5ST_!*_`hvVW=O-2RX8|DOs74U^SY+9+4Doi ztklf1BYSP&96VZXS{;q3C!gj%4_^9QlqC~=@~kkfK(|j;@fiac{biVjmKsPp#aEax z{&t#M-xw{}F6sVy1{$Wu$O-Y1@v^voF7Y?m1wC91UkMQk-1dOoT@w?5StDyv`g{_( zBuGW|w62}oudYac)xlW}y`81^v$*X&CpNFNlq&LvRt<18TTlqY7`<+0cz67C@pi#K zK=J>v^-jULbWyu@Y}>Y;BrCRU+qSi0+qP}nUa_-c+s+Dh-tXI0|9`Mgx_VaiL09)2 zbJn=WJ;x;s%rlD`su9g#qWlA{RN&1G2XOw(+NxQfmbkTd&JB{CaE)x+1h?Y?yVdDd ziQ}P1ode~_M3jj<9`USD02QvuiJ#F+WXF-4ie0?4Jrzh;ujeZfJv>-RT%>}$fUI&k z<;63j+CC_o2DBwnYI$2Qhy+zYGHEB%0ElF;Xsm#xiuI(L1l?r}4ol&*@LhwPFD6AL zJ4<&C3(~kWL<_3qYQ?!JOvf(`2wNvgn}E$I5vi*&B%^u(5@eAm5~FZkkp{_L9UDEF z(%%NrDcuIY)PlehLz4%3broWAQF=Vfs4-qHXZ;c+ zF~o?4*vOc5REW|f3TPQ*ibNMMf`afeLc972I7Ymp@a`H`#x0oE5iKVFPdm))jO6i4 zk3;`iglxk$Xb@7TJTm&tIr!CMYV4?DN}~=fNzHgx*<+JC=f+oc43hNT({|bkydsV< zDz42jydsM+yq6D|$qe7NMXJr;IR<@ty*|slM$)84)ZTjm_?5R}hE8qo?2mto@`c|% zui`V4nMcGQ$dYd@8f0APu_BRfPw8Erd6An3)LsO*(BF(wPBa4wb;?=H$+vO(AtHwd zA|R8|N)p40xcNQcR|NK6D?^;+a%;{_V~UGE*aBD;W@^E-Q&d&9VAqwgDfTo-^-WZK zx&J5taG{l?r@6`z#H*b>liGGYBhhVQsjw;~KtCa7f|xe&7U#RX(swA7Pmm<5o@pgaj3FO`PBR8^&Dnwl;&Yu)Z>VHw=%zvl>aA1}_(i z-ys-ofBYu|0i$w{7JZuoG=f}W8~bw{1vS1=&&J^redCqCBL@os?iYX5e~6P-5q@23 zpEtS@&{IU02lsn#QIO_cRn{*8gDK)!%HJXOGkU%EI-I>^p^~gm;MtEHIGnv7R?c1x z580t(Y3}kBGL@6pZD+9TtMRnB!A&Fnb!VFe1puw(6!mf=p|wnC?aRD3?`N^Q6Q?8CDsX zQ6NVPil@MQv{j{l7DX&OdcL#WHX=g3=c-n}E<)e5wk~wPu%$uFiU1LW z<$-RM{!>z?9#euYnk>+i3v$n_wjIX z^|!MkY1In;)t*Fg)yEX4>ASS6t`5+u0xC=h(e|ln%Nv}I z3d7~$@pxoTJ|PtqC2kny$h_TQNrJ1-5b2~@r$rVI>NN?n$NWb$g3P%J?yj*MJG9Rm zfTj0KAIQ(p*TpoJTt|2vdXf=UX*$uFkPm@PU#~62BCd$EGsy! zbWzE1?MTYDU}N{-B(o+J@2HXbwxe6#<+sD$KZR@12GLQlpLR0qhaFAZAG2h1yf4cf z%wbO}P1n`tufX^Zz^_Eq&u$?SUPWGxnEQ5_B!#vhYw4rPv*6kVg`~8`V@aMI5^`DO zHo60ijC7dQtAF!uRTd-2!pwuVo3+&o$7ZX3Fn+C6+Zuk%PeI{15()T7U?PrUQz=t6 zz9Sr-+}Bt|S2Bc!kZz7N7I_^`*^D=|u^0YI>^Dh_NaMugZi+df`CY+({5adf8gTN! zg~RFZLCz!`8e8Z;C@Lr0Bq4<0(qlL(FbpZNJz@|*Gz-VWRsA<$CX_4jB6e8ztyCXC zlb&>bY0iRQ5ewvSF5?SL8*SL|#r)CjmY4@=4~RL_6JL~A$&#+fl5Aks%4^ZcUxQc} z?q4>J*tZjrQHme?|B0PeUTGrNb0W@ozJ1$Y4`+7Ho$IzU@@z&VSg>LS9l9j1m|W~2 z{^|mE?AE^xdIh`{fo7Z{u<$It@NXzRd6LajTnFA63I7G^s<|1|FAZ8+d-T&K#FkGz z{o7Fjv<-@|7A!FzYg6}VcTIB?aI>H~+hyKt=fD`+{85I^)=3}Y$O}m{`xFyjh^z*; zpH-D9k*ED`ld$WCH1D(1+wAfvsK6dT04|Gv{incuGL0@Nes2spppvbNf-z4}WD>3} z_MxGgGGe8guS7vVMbzk2P%i(){5NnOM2q67{ufmug7G@9CcVbkaLjpJ2CeHPz z8|@Yt*dEUsGzdjp@yAw~qEo{X5Ic)jB+h|%>u2N?n25|ra4N20)EPj z26lsbki*;QH&egU!O%eubI9fE9>#DXOqa`BFnuFFC2A||KJ%`yIQ zT)rFpm9J}AOHTL?Nf}*-^D<55CW}224tnA7cf~zd8mGt&!Y}#v#T7Ol#_NnJ?Y)bz z>h`NBDEM#(?`vJ~ugL~fONp%n0J?A6if_NS9!=~eJN zDjgR@ntnvt+lQj%>aZy6$MB7sbIyciisMVCQb6;@nP|>Y zTUboV->I;JizU2+9Y{RHkd^{3rmy$GV$~Tbwb*-zky28~9aPjv(;;G2K3t-qCEdQD zbH_5I-45D0y#ElvjQ*Sc<19dV+Lh;9Kgu1^>#}s=(2{jNm$_B#UD80<_GozY=UYy_=2M7|2PdnfD4|cio>S_`Kp&x z+L;Xz_%*pH-}Cw04kE_(pJFAnt&4C2s^)&v%?`}T7o^1jh9XmH`m{83_&evX~L)<>@ z=udx+=+0m5!$;)ZTalfX@e!V?z}t7m_O}tVnDlr+$im?Anq;j0zuCC);NNNYXkY=4 zc;Kd4=nG6xRe(0nBZrW>bC8h*BueeHWN94k%C-fDifo#D`+on>OvG;5>)~VoRV=Eh zO;>joN4`J;-+%S5?w#S^l`Jrk2?Ebql@pn%G`vJ5LEh6Er6LCvr5Q1z7_N>@(H@U1 z&bUUgHY+i~m^;e2%p8EGR*dCFTa{sYn7<@+sd-tS$0ML-z6s8HfeqQo7U4!k_2}V&wNpZ|cBf0`Pt%V?kTu zySyfm&$h3b?XgtR&~7-f*fF#vvPZ#TF+xbCiiQIpay2dJ~_vt8kS^^OOOVi_V?3Xtvkl;g^nqHN*sCHVj-@P zMi*=bYQ_NK1P;PJG2U<$o zj-VOCK4_HW`Ru|XuP*c^Y`Wh;O+7>9B= zBcmLJ3VZ8Jf@5E;ba3H;Suu;z-}7v%3;g@54NT?-L@sYvClR4Yx=HGgZp9cbD9V0w zn9ycHbZvGYb~Knc6Jjb<#R6_1z@{-RI6^A8OAM(xm1XLge1O3Fbh}SQUU!E~2Q#C< zTp{2hu-&UXs2p)1^q0VFB8v8)vo-iKF!^Q4$8IJkN^!mygaf*jBMCOPeKh{}xC~5l z6bsB-r67Xp1lj~?AFw0nX?SC;tMaPKdXl5SzUiA|9zZ}y5MPvFp;6Ggbmf`57cwut zFAY8!EUnn|AiwfeZ#1w$C-Yr@$cKn6NT%i-QJY%&KZkZAW8HODjC|!&bkObbcqn6$ z`P`91+-N12c$q*2DZ?wvjsDFFV215vRqnjjch4JWK7B_IK36-)K7AcAwCl^R$EOCB z+Q4u3wLERE@h^xuJXuzOf7h~g-ZW}^FN+_OB^4e~+Q<6g`ylk>x5Wxhe`e0~4cPv| zJ%iU2^xh;=juC|}Gjeesxe!m;x@^}`BIA)wT{!I59@c` z*laFAc84uKP5?E=lXjw$xQpIXx;(~G}vl7*#xn<8r7lI&J20siZ=gHC;Q$@%ScorQYX>vtYpv_lZ))5OVRtnZk#yNXui+Ef{g zBSM{nW6=wZmY1%^QnUYO($<3hEMrW@zN9|7L6-F;8iy#Wh?}RR20!G_K8wXm#IKRJ4rJa zT1jH^Vu9ff{zX*sYK6MXHeVNe?FOpk zf6sa;dL_7dkx;&Elqq=`f*I5mP?fBvEbu5S>EV>PyL}z3pAma>5#n1R(&76;@_Y2W zz09vKPy8I}y8JlW6M*9TTkU@GdbEpFd)9Yabvt$#tuOyrA%<|BuEq!XCvEg4pey#) zXG@iTCoD>j=<4L(IXIH-)(YdnP#l;UR$9NYzgJ2?R)8++=R5tqCLe1}s@z0xJ4C@}zpki)5AF~>1N(Xc zg%W%*a12F-MA#B+tIIJrZIb6G+8*YV@go62(QzQAr=j{928t=$;A|z3fuEl?RQRV zdH&rqXf?MyI_-zgBY>}J$}X59i~b(qhno3u|BK$@l*{3fHBc)2tLyZ7q(bt0!5!!7 z!s1Vh$7RwJE9>U`w+An(VWuT5%L?7}6z$mwIYt zqJhSJkTck9NFP9g8U`L@mtWOvI1t9%K|6f(E}pW6?kR~tXl(-VM?v3#jR{uR{QZFr zE*a9$M|72&&C5W;l2F=>m92WtOFmm@uw@7>7LImFb-#YtE6!bXna{3%QxzxEP=)lD zgdFmA?}Ax95xMoLwTVVS?SdK7vc^JJ=Q>WZZp;r%&6<2>H@F7e-Tr$_DqFAtLOir2 zBwgI5BnM0CQ&I;jxqG_{VlfnaDXW{YG3&}`eR&*CY2Egw9>pAqaKomip_dVo{mgoj zL`C29J0xIix_+M$f?V(+md4RAymr)#(S@tT)>v!EOvBhGH$+ZN{myV;hj&hWA&_zh__;;w zU5Kvb+nF3+UX)gDtD$$veEnrAAE@;4XSur+J3tw;a~Z*uwa|!=CiQU+*o2OzaWwsT zjoy`*SfQ41)LA%@s~sB+te!I~8x7+0f?lJ(1XrF6bJDuuz&HS$6Tve|9n~K zytUQj9#MwsZO4)tp_By@cr+S&O?&kSeQBc11nOcsvF%=zFmeZ1Z&R@U{iik>1t|$^ z)mquXcfAVLEQ}$R3&j{&wA+|)bOF66W909Vz6|lH0ksQ!X_eKybgv19;1NGFV4}Bh zh=wX4$bEnkL3(O;tzO8796|aK9bc^j&NamaO$o@Qkwx(H*RPPjDNQHyLJCL;^W8ph zN06U4a*yS2r`Nn-1Xi%aUiaeDP5jCY;{P!0hFK=4qb?$L| zq45|2^m@y9#@U(nva+hY`sXcw-&U;GP1BhT2e!R^yN`KMS`2_9ZRqaldGzz++_7=~ zUmjuK=W!Tj(8cAt3D4~4gC-(-jQIvG`@`GTi+t`BgI(jsK(!xtce(8TGELoFw@$uE`l*kg>=7SijzwgTTP($C zpULob_Q!9o2%jGoPKrIUb1&Iw>=1G&9*XIsr!&uD+x>o=KF=JUS>C)dEDTBT<*QfG zb>dj0F3VS8Ok7BBdck(ZkND5xL)@GMm&?Orrm!X&dS*>~H|uvI(Y(1?J5GtZ;TdSg zzvE@?n%*9V#BOe7ytU&_9wO55-k6);PEeUbo`xnhz4$mgGCr(+nx?e>^7+d9w>JXl zS{!iwJo+{s>NPcI=;MvspVA6gDn;K+*ecUbR1N`{YhT)Rn=)t+Y zFJtzQzwYGQsrBB^j?-sM{&UT77{+Cjahumm1lL#VF^DHr{sGnHW{fZwZ3ys1-U_=# zzwPB7g-IcZ!fm(z7JIVjK0=dvaM+CH_E6?y#ielGCH*!O7j(-rMu})zS_A zXsj4cQ8q59H}BOB>0laf_0A_8@ig`PtL^@)?b5e$@T2#l!xsh}gDWD4QgVHaTRdge zxbCJj-rG0uclKNi$s+!JjkD!xmk&Rb55Pef;5f)QRp;06;Pf!^usEHRMVIv={QV8Q z8}5mETj+OekInCQ!Eb~=p-ml}zVq@ybQg&99aJ!UXpFbA5Sb3Ko`kha>c|Ti;So*9 z!ul(rw4IcH8gc)&;r{|=JMK&N31*CQusXn)ie z$%U_{kGV4;`gm>g{dlxDFtGi{EA@FB6h8L~RHi@swow6?{Wr%jvC~{l!*ysIc~; z3yASKy(jap4zKt~z?@_~d}qh!! zib1?C02u>5ja`fD;idVV-3$9B=o{TgnRW)Cd~vokJ%o*1hsJVYI(Q@h-6t8Hr!VmM z(Udjd;s9I%6hlN*5g9WP4XG~a1B(KHK?LP0GmNRa?%3<6*n-sAJOPV!L7nmVhdn3|k1Ax9OWgDzQr7Df@yQ16=-j z-A{xIg-m-*o=UG@QDG__;=)L=&Il4&@;LKD0K{B7E^RwJTk^l^zO8eMN~E9arIZTGm_A+?-PK0N+#-gjjy*dZX;H<9FdQ4(L- z$x~Oh97F&_QO^9W7L5KfYBTAcdx6z14%ftS*ZT6VFUu^e<`p&<9_-G(=Uzo(k&a9_ z+M}S2ly`U#W26$I z2TmY@*UKvhVg5l*lkDBN@4RG3Yg_IWJ^?--{~FI0_s|DE!G}wyr&m%0f=xk#_aB~j z`;%9XKPe9uiZasqP?X3o?o&?N%BskZ-tS!?Lc9-4L$Q;XhQznw0>GH1os|9&*{4OQR%n4a+F z`XJBRk-2&5a-)1%LtaKt`gei*Sy*I)ppelu{RDUz;LAZ64XwSI3JtnresKHEKIzNd zk&bY4OuMZHe`d_KARcu0v}gDA+_%Hy`>ZqG=(P%)FSGo~Y^yG&(zZn8-Br>mn5xC* z`*B{ZXC?M2AVX@|LeH2+cH9dN2v@j|8C zE4v9oE*7_8w7i0}K0UcOxGPgRFSmbLGJom@P^Xr$YWcY>PIIZ+Or1JE-Zk=>pWQKQ z4}@ZoH?5X z>-=|l7C+p$%t}Mk%5wrg5vi=26^t}?+2(KFRwE z>|Way;+*66(b|7>1Q$;ga4r-7t2LDE94jEq5)_~43CSS_bolB?PedxZpSH8W`)lRE z%?O=T006>>naB~VG-U@>B!)|Uq-jpSp`eR0CBMcXg~Y=7wgC=(yRRE>@I)loqTZmJ zgr@i>y<@pNDg1gK3T0F_NdlY_7$!g8} zcV6p45Ld(z&!8NMJv5y{x>U(ckPsBw@%k;{ASg@}Q0bbzF-E#eF53P}?t{uyk!Ii0 z<(+ydeYe2_%0d9NK~+K(y>{3*SV+wKZwOZE-b=S+)&__{%OS9X18*lP$>=<1Z+~1ahs9!%L^fI-x$}+FEhdj4huK`kK5wq|xKTGvboX;}1 zoM8nP$GPKbu&sbfVWA+3l<;@ps|ENK2rUinf-bO)z2rtroK|vPwQ118Zgsc9RHaaa zuf10%KaQN~r*yPl&dJ&-{caY*1da|=g2fNTxINj@weQ1g%TQyAd+B2xj*g8E6ql;= za3N?Q_?g}NseFXBPgC_PP6 zJCSzQ7Z8RQOV_Qfd}VmM&(h5)JAVzvG*>$9U{J@N9N7qU5RQZN&a^Oc&0k}pq=)q> z%K+PZAM=`?AsXRqv&_{r%ek?Fwi@GsN&u~e+-IRV);+BdND`>s7DKSI$$X65KS%^4 zNR%o4C2r~9I=BERF)$A`kmtO-V8P*PXQKupC(_en2RDBztbTGqcIXzRdOu#s*4~pc zyYmq~3qyKKg+MukZBe{`x9Ac(PcX+Utlv{Ng&R)G`NWOp9mG+Q%iIN4IATCumvAa?*Ukc!O#Fjd5>Q&OKP6KhBgV~QzqTU*>4pt!k*kK~z^IZ3Mo(t0 zq}wn^HM(=Q9v4lMqV!z`qW&1L4yLf%92c~IlU6E>jLq68Yi2dQy0tQrq+`04GkGQ< z7Mbqt9-rOw0)0%51)cWCCiGW_r7o*(AxMy3X4wSI6<@I5FRo)^Fxt_4pY0B6w zAIlOfba`wayx*_wm~;3OWm`x^h?OcbYM|H|*tAokQdzZ0H(Kfnq2#n{i`g{FwloR1vY7N@0QZ+CkfArY9xRTkj zkmmIiJ?N2zB==+nI#WGUZ~eZZRtk8o=q`}QBg@{APNl4OAs^ts%&cxFQP7|OziUWu zJkMQ7y?U_bIjq52?$<@lZrmK~`;07NxA{?^Gb3)1F?5K4O)+7Ojb(V`uE0hhXDDK;y(RDAz2CsvM*VVbQimr_7+WLKlR8O<{@Gc?ay z*nA#sHsm%hVye<*Q7BP2x2~qkf{ffS)2bC^yDW;@WkJ%|oltLJYSg?-9lwEN5l!as zsaO;NF|KAI5AlGhea8jIYEZa9!$>^BHhnJE{olT8z#PvJBL|`nE;G)cfxJ7$xEF&E zz$%Jr`Z~<=wbZ#dW*tFo&!h)|tHw^H%~M7|T_xyw_!2t4!rvog_c3Z=ge=~jJ)IUs z8acPywu2|*^&nX{wcU@CohFIrP=H}|Ie{yh{;RK6H+&u(zBQcbToY{)AnTbr;(mon z|J#=^EPfFPlF?9jpTo@Vm^!PNc?^sc1Nwa*%*^I86BTo{6f1V;9eHMpMB$8Nx)IWv z)$hGbvTT#~F+t4N%VoB>y92Fo4_l$_mTzQue zFkafvIU&SQR}e#!1)?T$NE%^_=1*{S5bVZh-W?KZ0`p;P%>aH5t*H%bJzk8&ZYpGv zCRrd|?9ly^F9Ng7Fv14H8{l|o>Co{vr#um*I02RDAM+8X_jUvt)R$EX%Y_WVr63n5 zn3|6Zt3V)5afqQfJXta|gjn`<7&po{aSR-OI>Q}fwHdR@ZT>z7MB+1%yAaAD=%fS1 zhzyq=YXwJQR)H1dPXUIk)G?tAs)?d%yS!a#j6KGZYLf&|Z{VF85nw!Li}AbjCv+cO zx`2SjW5FsBmTq}N91a_7Ck$iD|&f&qwpj?FPkLk zJNaYEx{B!)XN@VBJ7s7Ii5I0h zmdeLEw5_(3w8xZ`_uY?_U?odp>?&NPZSkdR0#cA%rE6x=H;@fhg#0bztsL8yI!jvH z_2!kp(jh8RU8HNYt*rH|;FajFQnlKaR=SsPigDIBo)p~O1kEw4hZq!wwPX`W6ADbJ zA(&Nc;Wr!FIXr!ZwKREqt)bZXnl*XJ;uz+r7~|Bnx&-i(5*-bF)I8HA+y-#8RE0lH za@F|2t+Jm|sEe(ra%IqQe@TVS{okmlp(>`&RgO!RYsf#7{X@qbSm*yCLH#W!UlR(!>a9&;VBud8 z2S!Ik<;6{?`iG!JR)q63d$a(FiY7MFps_F> zMuSrik3>D@Y(LWqI8)w#kfLCG8>W`dX>Yu&&8(3orB9)s&R$`=&buR@Egbn(CM!-=B;=`8D63R2RojJ3%pzr$IE`f5`c!Glu<^Ncqw}tUu`2hG z6IUs5(paPAui=BYD4kLBiWmpa^X?NBnXq6 z0Uy*eG4X0PR8<+{Fuawf3qj0wf$*#wsvYInbPBKqAh3bsnSl=x$#5jV-old**}=CW zM+$f+0)njB;J`waQcugL0^&V~%wi^@PvcOo63Tcv(25l?d^18FHu5G<0Wu2f@RSNh zx5FiJpBE9;AN0{2a0|0+zQgZSHFPQCl?Wwo7=Oy0hT>UaU$2;St;`uetqxpV)sxeLW}Mi+<*9DP5%gD+q^%~E|tIe82-y~-~* z&NdB1oSt7??Z{PZ(z3+Zq%HT@)w=l_Z6i%m?wNR;b@q2FuA5LKU|MnN(ssir2X;qd z-85KWT-HE*KQPcR^!pC{ss9oy%tI(4L#i|6r=CC}L7JCj9Y|zDq)o^>WnT)s6NqO} zsn_yDNKgG6C$0|b2@C(lZW9(0%%OD$=Ih@iwHs&&Yqs5$oMZvfbgp;>v67F%hkt%3 zVy`!fona?K<>9b~{Li55v+Dc#RP^CsCT~l8u5EE>x^{Cf6c(8iHJFr zFfNIi8}=gF>IjqwQUH43xyrzsWKFmSdIIbMsOSgf9doZjTSZcCrVS!Nbg8%*TyOT)pCQO65+yq) zYj1_~l%E^7Jnf>ah-ti_p$zg^sz6FQ@T9;iZ4`$-q?%^>t@i`Ei(C4* z4C>i!GOB`XoU*3N2#JEDT9hpM{#QogFFnxi?I!VDLof!&YsUDkhtG>W%`bp)V81$H z@|5Q-l`M&cvm#)*Vm15y9E!H?KRC&rYwz)Cb~dPb-W8%vt9&2waNzDf)s-1NxHRI+ zc>V*3!DehwbO%zr?wQRxbps>U z=RXnCe6fZ-XdVD4T~}qB9>v6_h1H&ae|#P}{@tIHM*M*O)31-;$J4{1{>7;&AXwd9 zUM$P>=3+z6j(KS+dNX$Tr9L{@NjtcL5``h~RwlJj#J^;U);;Ja&4_-C`g2mWN%)nj z%a^4i^#v5P-%l%~-wn3Tz46aBSKhPNM@?95Ks7NtPkFsr?o+!Ql}qKE2%Q8}4Q#YY zvE{ULjf`<3y4hm1(8Jf_xFW!8tJ*pe5Yq{<)3VB1js)6_540`3K^8C{UalfL2RWgu z0XD9WEn=Xsa7KrYs?3^F4AC3M35kC&*{dpfI&9_*Cyup^vX13btRyzA?t;AEprmO} zD6KeSLfZnEQ|nN#&J3c#-9!y2NAxldW?81b>>XaxEwmZdaB|k_CO*HE2=jmU~!auyPBtJD50GR+Hc+@)WhpT3WWHU}X=QycR`Si8*j z(3=XcU7|HG6Oo$S z2^|`e1OHr0s(>z%@pA|&Rs|6@b-)WGs@oTAj6F2SBf9({%ly@PmUwJA&9mTrQ0N+2{F}&)iWX&0}(s>DP1=9>e zMyJV_~tKPnAOs7__kfu{#0Rdu8PWeW6` zkd+itb4fu#-bcGZ2RCgi!herF9oHM%~Y zd%QUK`8APb`BL^y=iu(}{$=()_j!ThcPVf?g?0V5qD-JMQ-?+Mb_0uc4J=UWa{DwG z?~TeZQwPqe-MT`g6`jQd3ad^UftW@JtNt&y|1#oeez+{BBesH81=cK&CkwIBfRbE_ zN)0AUpn8sw;ih&147`OopamdHzw0nc>s^lcbCmCcn`23b2@yp|yaj_HICK;{^c<42 zOBOBh`p1xb=v&5<96_CYyeq=PNdGQuJK&~s2Y^aDjk57ivHkbEdJLhd?VRa1;B zjIB%BoE;NlPCA$$3*ZTVyX9OMcY9aBhM#|NU+6&36jN8skZ#(DT%_Qp4|arNF991| zH7=y(b-@3VV%ag)PL9bb@SoWxEIi@=_t(JBye`@YBV93cwx$H91TR+(7}0 zpaMfTSX&?#PSI|Y>?lhR96R4h&FbvhpZ#FBItX#jf3nDuj!^GTeTK~MC^{oeX6aWc z+{rv${=CCk91tN)z8Falwve=$9UtbWDc<&E7BLxCb~lP@@&NMvCrgY@1wA?nTv>Rw zrzna@3fI14P*%J_vp^=+cMFS>qrnFsh&51Pxp(yWm7QXlo+as?O)j%x@gIKmsw#E7 zbhfSCI4(HbKq%1}ibNey#1<77d zi4ru!USNq%_{Cr`@m9;@+S>S%9Yp(u%)gO3NCd0M5W)2+aS=?7Gdt!$_Nh+A|- z)}o~)28~W*`Z@+1osJu|?OX7IK}B&WGm5fR+^NWhmGV6|=#BU#5y77>5F$D!ExSZ2Np12YDjBck0N$H9La!G zM_a($!5DgK_W6gKF88Vh>3o;VK68dN;lZ|*bR<71K|$RhdHaf3(vv-H3%S=ZN;IHh zGzPTSSIgcAE|gMcBzlNp7F%4cE@%C&!!Y}@f6NArt3hWDL{xqq06KnEp+QJ}C5fpC zzoi&O<)-w1Uf56cg(xKR5HZTGoZ?;CMTEpB7FXKDS;@oFX;Rb1sNQh9@es`+3`e!K zW$^noG5syA(!k=lp7uWJKcL4lo=H6m|DZ>W5nGc+wd2XG;;H{DEIY4HcL%&4Q*|-> z-8ITViw&$#poWlfN88rZYxph7!;GVc^Jsubde*GD9f3r(NWOJP|vh31Z{Bb#&XXgylUd zp|fUvZXSR1@6j|x9OpB_6u2`k6CC35xPjXVmT-V&${A|GCe1xlJ{Ix0JaMrdm@DgA zvzK=YKs5{ioWC|NNym)&KJK4TCN{3$c+Ufv5^T_dfhh+3h>Fxyc$i@>qzB{ir(JOg z!}+g#2<1bZv4sgDh4HVPk%|#Kzr&XxIZSgoE{9!?TF~WTi_ox1_D}~rq$&168&UQq zl-@~`l+eJ_$HoT|RPcCaARmC`^+rXtU5aMj=8#J5PBLP81F77-$$eE1<>4>y9YTkw zaW|Wj6Ic=XV5{4a$hS_yN#P>6Itf-1Zp#}C6O7YHNg&** z0kgqb#BqYNVi>%)^bTCPHAhB#E-3+L3I+2?-mKrR!e4$pLt9tyh?8xKtfY8br-4hX zO+QOXHMaaw{DN{jFQfy6Sw zrme?p#b@cbJ8(&wj4b(rI1|?LSWJS==8|rH%7}`z8q>siKuDwzrIWS8?Afus-?1S< zIQP9^;m^LNL=Y5q=3qhOVEUWDg9Q!Zl-hd6>sZW022!0XXtAW=J1rsSsmaO8Tz{GJ zV$9ttfXcTMgH_fIG?h9K7AeD}rqYXR2fSR7LCKF4M+{(FQWlc^NhS%QzBugUp`e70 zeHI^qMycPPN-x4c3|i|?7Z~V&7Y3&0V$iFmN(X@`xnq#k?}5r==+Di?;B=e1JmNvK z)CE}u*=!7@p-I{vt%`;UqC4dxa=m9!5Jmis`l$Y*(+!-l18Q#xD7IqGnf)mDx6qF2! zaW{d0fus^62%FS2>;jCDd8ipnrnZM?Y-&@DPklm6M6qqxjux@%RBZCZiZmrxTs&E! z{5sP&sNB{mLk5fViRb{YXwJc} z?75A-+*NM57xFovPC!NU3R4^9N0yuPN3KBo4qWC7COAdDU z>1R_FfXp0%-{g?QDDfENkc_E6xJfNK7B@7^*jgn9Y%s7>V=J ziRnmMhk>g3=aTEoh_8d-cQc}ocotG$Q4pGHzh7Y{X zbtszamYb|2eI4;|{M~9CZCOhhH+!dy}QrtFw*z=E&6; z4DVb!=!ajNSl}CklZq5KZd{FJy6Cpjsr~y*j60)=b;&7G3#wR9*6gqd=dfz97HgR( zCeJymR;yWMy8c~?{%}CwmT>|?o>bCPO)<2BuQGeOelitq*E6TU!USIV_rd4T8teld z+D7tUsybDqeQ{)D<2^BpVdV@%Ie0Bx3!bzh6O6XSIenCvPPW5uCA!{u#$mU)0LEQpB>#SZRo%%9L`vFjGoW zVFf+N>x;^1O~+DNT;7ZyXpbxWkfI(ea;3rZ1LrfWdXqQS0wc)mma{_TIg-?i3U4SJ zkk_QmA6_|_|GGped8ig=23?#Mgr6JoO4ln~)+@)x%^_ssrA~$86#*nTi&6xIedz&J z9Ip+DQat{-dhC{Xsa*}miH@wLmC8-+!qotO1iFFnKgtzIHvn(LZ}7J(pBr4^a;LTF zhw`k--L8DDwy_R3^#fC-;0?cgH#Ko85P!xx$_1gei(poW_#P+`B7qY%!a2m$w<)nh zzC_Qp7#!3@ir|(8J;npCgbq%r$M~=)DP+D@zcuqOT1SfEG2xCX)8m`)j;i9w0&LMp z4U`Scv9y8-wYR`R7;C(!84}PAQAhxuuy6&#Wq^WJY>CxaAOvj**&pC*hJzdGf-b}w z1E79D0M(cTC}^3$zt0{<3^_!>#jZ!mCpa^pe;WPUIE&#qD3B`-^doRnXxh9%)l1D) zI?|X$i1gE)eJ|aBySoi24py>9{4&> z!|TE5KFhuM7*v$TCPkxj&%^O?zU+>7&Njs(`@7lI;C@t0;MTLf!=uCJ2b&^n^wZwq z!B3}~r#G1}-?qwi@#w#u;6g4?1~T%T+o#jP*wFQz*>Etfj__eY-ijC@thpRbzur0G;mv20+q+Tr z+sVarcsF11wrWWEfxx4|`1)`+?^-r~{OZIM9$AAI&nBlC;9Bg((=mlX9GBp0<#s7H zI?IR0D$=ceFgdt5j4^6D*#{jOk?vZgRd=>_qv?R_mwdL?x;xk^rKXL(Laom!1#jyW z+R6jF)JoSJu;sB?A%m-vwTRhX+!fIPm}cZ;?cwvDtm3u(d~-OKmBQ|BKFH4=eftf* zA9?SFSLExF*Bg!@@2?>4#TB!6^TG9XcDao@rccHbSt0D(Z)|a5QCdNZ6T=p1^7z(9 zopRBVMxE=5I;1#Zf-@%I-tx1gwNa-z7>PMcAvB82A|JFkMC2q6G8vM~2yVvHL{Se% zlsryC#YW^{8H}$qg|7#uojCZDtHOmw9ijwC8yO*_4FaDB##4UV3N{^pU}gTgf`6{P ziynon2hI2v`VF@6BNDybS~U_D*#z{VG~cp#RP`65CWv`Rm3xEKiDZ{k1+67%x zw~|=03sx~=nWvSpWbNQ2HpGEO3pBt3eax&=#l~zAvS~f#Eym06>NL{2!nj+J&0zsm z@~Wm~Ij=wR+N7qEro(X#X!7p|WiiwIFHzDIKbt*K(sYP&1o1>)aYv3Y$Q&dGo;Z*WC(h$Is_@-TzR2)dqF%xwCV$7smv@B){MAZlv zkSRe~GI5%Qz?@qBV~w=n)~RrsF~TjFi8ac#JGUT#58*yo8Z*f()DOl?{9PmvQ&58h zS!PiRrw(3Tzky;V9!N+V?ywG}xe?o_Huc1YnT(z3FjMK`eKVZj2oXor`d^2cM0Ev` zVKquol1LmrgfFr1A2a-5)LUN?W@3w!DNhw}m@rd|z1U)-TbtGOzv_Qud(-+X6J}~5 zvCO)gx$0!I#?|tfU?$5{u`yeOnJmxYFj>V+hVfbnGZ~&!kGmB!4NwB}Zk}ECuBJHP z-J5^7%LM#rMol@S*&3GqogBlI=!pQD-ll*wFJ2$+Qkc{GLsWp@>LEqouY>7j@6Q|( zJ*ZB+h;la`T*t}8W-Mf43@Vp5qauo9YyXJXPvF#nt0oeqGKzCzit27eM-px*SJzq| zWM#t{J7nS!I{OoF20fh0LqfF#nSlbqLo&e)3IbYYgd?0m7l+QlvqL>7 z9MA<#S4!kMZ5l2u3Uh6Nomc+z&0zG)i)nV1O|vn)kQ9PhM?Cl4ND}8e^%#3I zzptJq>-}!W58QiDDK)t@k(~24Z5 zD2vWElA)4&tznQFp@9y|3^IGUR%$J-li&pZSc{iHQZBarm5Z9S9PJU;a>xb*HGm9g zKCi-8Yd4VKkemcbUO<#XRugvmuGVUBszZ-JrGX2Nyr{fj4KY~t_!k*SYNg^h7Se6z z&kSQo;z9{8H2y^*qmBi~vs#Kh{3ffDK=%=T3Szi)>YtKvVsIabyK|mLF7emHg41T# z2tK%^Q|6VKMkPGQ9kw-T=pF8`E>H6<)|J}S7mlUcbmyz)!6C6S@|J)lpVQ%hRBAs) z$AjBw!KWHcrgFcyOE-*zw?q3}v8eu^Uc@735i^&@WM* zO`=-crSM3GOzrx~`Y_!QXMY&)g^rI%hh@7pmL&1Ul|6C7Po>tf>8ea@7reT^L6r#w zc5BPU;E2?h=o0&^#R@evN&Rev2Shs#qrG~)(yhMFiU~(~aA2rWCR`r;?TP3w{Fox# z3y0?RF`>X6<8v#k4XDoIpM(>y$zC)0CzZ>aEjK!DwdEF~wu4Aa>WQ;NNK$6<+|?GG z(bZ(}PezW1yq@B?G`y22sPL)$lgKXP;hZs2ufhupPn@Zj98>dCfj9$Yx`p~DkvAH- zZb2>*X>XmNjTf%0SFC#an(Gy-XMxw)O6{|Z`Y1XHPcE`>hlwWx3AHn{cH3>$9>6V& zqjiC!@&Qj?hkLToy;%3t>YfZc_vC}zlf}-AkcJNqAFMXw#NkFe-;qJU+>0x?C)pv! zPwAc{*mbG87@|HpN{SWYgp9_Pi)l z$F`3YPiMJ$FusZcjHozMUxE=#N;?iW*hY_lTylqXAkDWd98aH8oXyR(3blCOw(+#!xRMZ0RGW zt0wK`4W0;nxUA19g>>ujGBinz_}0&m|(p9RXWP8Az7idOXTvOcF2zpcmXFGd;Vkvy6nK$6VoqV_`*(fkj|GJiiBjQ^}i zg3Uk|^I0AW|=H#$6O!)*he)^)M0C5V>gs4~5T6agav* zC2^3llWU&_$dI0g(?#%Kf*|juMY7pXLST1M-CVG=QPBxWBTc=eFhlhhwg?cR_yCgo zBB=yYK*WIzK`AWlkTb!n_z?emiJZ4`{MXV-9Un^iaU7rw9tiECE?t_BmC{DUds1=Q z`R5PgwDV`xIdA#rY8&ea8Z`!eS_X~2hQjF|Gv}>`BQ@Bq2{BZxuECfi5o#32N+_CsaJ?N=r(wlZHexiD-T($Rk0H2*Z=(5??AtT~MTNaU) z=*A}6nA?jqpfSlMylo@EAKWYjMzUGEa}IYeY$Q1lNjEq6(g@ zEj17qP^BCB0TL8OMV=Dr=56hWeT>BODM}E5EF%1yqQ+|ecJcx0x9RQ?OT|IavmnwT z=ozU8Af1Zuuo*x5{T1O0f ziV80%^McasDBvRI=mC$eysln=45lcL4#$^^%4x;;a<6N^J)dX(*2ztl&HKvdZ+*_F zLmTzWhr$sbCJ9Q=iA;ic`?a*O4zFvY*R-A`8&w~p&{Y~+J(EbiF+C5o8J^#fI$gbS~@4Wi5s(5gHijz;ai!*PloDqltq=xg;-W5`hZ1ga znxu|Y z)YzM^*y9bgD0z`x+8WAw>>S=*`L>_-j`!?U-RMYT7{##UFlZn782k7%Vpu-8eUz{9J}D*OARUipo1`tj6B zy&jUkZ;K4@-+q&gX5jjRBQHKeMOYM;?dM-K)8a)8qcIpGD&02u3iMcvdUdncz)Xr4 zS>0K_TQZOp&s0}oIL$7NCb zT}EVt%m1+qTQo*uTEcPP zj+Kx#(JE4~&R9Tjl_clqbVI7LpP(E97ngA5FBqL_Ttw6r)lPAoC~zYPMmjEEtuLR) zv9WX*U%CL^Vx$_;hK{Y(35ip-28#(%RfW-)uhY*1`1u+Ya{1-{z*9#Vu$Eb3PM)~;`HoEdW66|JLP$xd&t zZ)J=tz#*}cNnuVps3=@`_mj{?%@rgaOre2HgF_WALZIUO88o4rAR!`)QBai`^ieFr z6M2=K6hU03sA{E$sXGzx*<)!p&Z{k2qGVNM zNkz#?HaYq3&u=ie^jrz(Vy`Vo@x~^B8O&g2`rqCE?lzrfmISPpXHL;+%-LjW`pPAs zo}P>?i935~nDZ$!Ba39R9P}6xw+L#_>vWpD{XBsZKtjdTf}ZD_M8v z>al5$o_I+{LhdK_T#ChD32x#PQ1ZbB*@R ziBinI?LPWQ-fJr60fEZ{2L$#Ya34L8)@UhkQwm$~V{`4BozJ!R%^WSJN9zifwZ1yu z5xCMGotZ|ldo6GaVUHxSj4KWp%*l3A*s1@Md?GPGWo_g!YkVKTo}a>W=hr%)Ic#Cp=^^Qzc~4LGN5H6W)g} zmVCaTVWs@~CP&C+H!Y1vmYUjXqbaB6=eDM7iS=oU0Uq)^>Px1Bx$D~q)iOs=zo+^~hevtd%UQ1>oyHWZG*T#m6 z>rc+hja1-=7_lgZ#zA!W9i+Xu!p^}8oQ!K+;GL}7(t8A7n=#N(ePB3W`(k+a? z6}lOP*oiK~-BAV6SMgtlz+?6w0eLTc(bMklRO?ijP)D{K$E+O<}U#o(a<5 zRsqO3|ESam0-C9Vh-hEW1a%prVG9(brT_KEtW3~S;_%k1tLC+CTId06Dj89|oou}qbl6)to_DcZS;_Vyhn zt>jO}DJCE!CjvXi;P1P-J^qykp(bqgPkkeV0u2$Xt;*%%I)fbyJ}G;?b5(qXx(guJG9j9YU#r)rL>ev*CcE)T-xq()f;w;wEQXJ{YmuCfrR>|h?~^b!AnYh2_Z~s!PCjA z@Z#j3tHY<~hpTc?8#)VEN=eb%N{xQ)L^X1f<|?j7zm`TTt--9s3)czk-G9IOu+j=g z89m^{AMaiLQmIlKFMYba)H7-vAwQg7YVa#pVVQIAU|F?nC|*sBvywo;bUpR^em2DN z{#s*s^_JJpDvVt4!1!NE4j*H~X@JL7#B@;v5BWm|OlQAu(o{ckvajK}jX!CyhWh-sbhAB3r5>oP~ zP&F)+0BeBoTOGRi_Mu$)LgK2|c6~^0*<3IKsf3iumX;#GeZ!Q(SMOrsHSLg&G9x@f z1zSK0V}>ZPBv6P1$7&Ku=|#0`)KgMq%IjL7OUdpDp{7vFRkVyZQDippvreqfZAM57 zlX()(pUHv}BSnGNvYZvuPiD2%uuiPc?LKT%qah(Jkgaiz;Cpt%)&XqJg9YyYihNsw zcPe5acV2Am?3Mq%ett+0vfe82rVF&gxpDqlxVxbMOwf0+&l)o97J)aJ9_P4Of%iy; zDk5u>mDK{?8-Tvt`y4xzlr0iCVOTGf{m^$>bOPSD39a3@&l(d-1i1-Ew~|a)cdu)y zlP6arMN3B@tXPOloV9bLT_Z+4X%1XzZAy(|;+NGx15*Fu)$Jhyya z?`G<^JX1sqd*45P_IyjosO?uj?mpXj@nWmINa?A+QQwvxoZmd$_Ix`1%|m|VtJUXq zZHw?uy?c&sdRgurv4!TbS@2ZRHRr#j!}4>V%skh-OhDIEg-^SC+V0FK(jIlFM$MAnYrP4!|61w|RM7Ie^_Qhhgv8D<7hIQr_Zu66X$M z1$jp(UuTy&tB2U*vF+FDLmQ)a>yyJ{G9(?HQj#TxJu)|MGN}^6h@(!N>|7MV8Nxf* zz>H~uQw^sjZW1ip;3+9S8c6`H)zTCNevqd>?MO42E?~oiWSrUNwuv?- z#dZxPV~yFPaKwrjvF_OWvVt&7ouDz$6q#USoU$EvjJ;me<{^5lERs)CzwyltwR7dg zHcv`y1FHGqe0}x1)2lz6{qFec4^fbV6b^enW^ApHfyGTemDrbufsY)03w#!M;9wI` z^CF)onZ^f})G_f7K02)W%F>B#Uo5P85lR7-sx*L1N-4hK8Oh8_7g8tLus*jPBBs;Du-Lh?Wwq5fU98XTKKeLadV5b8Ko@3IFiD4l zqlFK{+IALV4qy10l5B_v5(d26@xJK&N>(?RKU8p&+L%Pma+{*PrNp=ijf^YX!{}z5 zCs|~Rzx&QTdNWb;O&Tp%+GCs*$QQzgbbJ}AZreP;}*NCHyVV&mTUGP^_t->7E_gxjc^vzpCCoB z?Mf8ORQM-cAC$Px#JaA33k*>9=&%_E8pP@8lfN|y5eFK?K!bSmY)d=NCfk>v?%R&>%n?J!lY^Tr2F+G92)hhY&~bq6p3qj!^)Fb~D*D z2!r)(!VIY<3RoafhV2#-mkE^N@kxsdO_*Ui!3Gw@>G`@V2XbIRoE*Qus?MR9)2}|W z9DA<0_)K@Mb5ngZ#~j(>=pR@RWi|8dr_Xm@?Yw@uwg3DrK82l^-|ue`f2!=L+qE_S z;eStdcefMmA&W@UPrytaSP*SKoD%%GP-0*~MC~0e(EI{f5Z7Qp;2e*5I~HN2<6}Tr zmxnP=7X}1uO@Y?ybKS%~q){ObMx`ZKXd8LN+aX;^n5oLfKa0D=pg<7o>Ko=w9Uc}1 z!W&6x`Xb&oX_{Y%(Bp_+Wh+=_@tF zH2f|D1!C~JJbGYLAjl9E1tBhKGZ_$}qHiVzVo`d#Y(Uf2y$$a4uEvN2um#hEHU4L0up_ZK!ETH^1l--t*L+3)zUIzxU{MaZl47d7#s)~ zRCfUiG8m>R?W`&#N)k*X=vLAon8bWa=m;5O3o}_vBbOBkA|>w`g-TSaWv=qb%sh|| z!u;HpnJo!Iy-c!oE+DkvR-|t2t@Y7IF5fZ>A|J%@^IJ;?ktYKU!q1@|IEg_{B7)@h z(m|Mbut9<*4?0g8KKuCRONjTmhEr>7&LQMK2$)1uQdf|?7yfbeQbYf({g66qH!>lZ7JVI+*3F{tm>b&jXb5Z6oSrf%o*>lp zK!{&XB*krpH74Flr7Dy{#x?4y6N6X zAQ^FP3cuA`&;$g=F)prZcb?1g6_*M9E_$7*(|P&IWsgG?MP{Z%uuK<26op|^aMxTH zlY)2oxz-Lz66r>ZACgJR?66&w?ID@ugXkKzC6bW*LllLOLr*HJq!Tprg(j0Mqi5G7 zTT+=MW6_&)H<6^uKNJERwbR1BCW0`0@e`@4l9%MFP7~RxabEZV25BU-Ik_QWe^Jn= zFknavFs39BD=3uaMO(j483{-#&1wEZpUX|R|GqbS0L>LM6KuJeVPI5{D=J-`g zCAb5?pnL_np^6E$>vF1zYYkIBf`d$A*{U7x*U?n{mX9G^7Q1_Y-rsq>Uk1g=bkf`3 z#ZkaASHE^^U$O(^r`1|#tvx+CKGVSqa!F|-SZxLNyhPFE;01Y~@P0U2hMxjU?%M*3UzV;?o4DBjOmOf6grqt<+fVrr%A zacbZ_J^yfVy80*8fyo`-L}Gtu)?Zkj9q&9VPv+IxcR%j69-%gEqyVSFsn#nTJ9e~1 zy>_l5;lc{fdE9slBN8Iouj?)JgO4uCkk66ULDtn{aL|z$Z$yvyffC}!>*YnLEcEy1 z>wi5q#d13%s?@Wb`kk}G7bojWZL2i0mhsK2)sg>;H*LtGl=^!YDwwlebJV#v_>_AW zPgY0gYyG9s&)&u3BUKPxC8jaH@QkBA7@mAQJzaSoO@F?3rCXDaroU+_QW_n#-v#!P z;tEqXvV6`^*Dp?&$CvFOBJVG#2g)q8?lO&TkM;$VdRVsOF41T^^#pfcqMpQc()ZcD zq4rpEso577RauPR|7mx1wLDy2Ek{;4zv>co2JW04uUD6sKkMZFKVOjkcJ%1=x8Fq7 zGJLT%nQRg<0@r$3Vqer#F`JE3a?lnuGr^&0R#R*MaYms&D%)l%AAgR0*hs`CLGU!e zQ#_|8)`HZzW@RRUe3LO=K$R@FWUr-}p$wX67k{D3x(3mlV$Eu(9kb_@jC1^r1fr4c zP~UeOYT1z7-_TL<5ozQ^hMR^Gc29Inyw%3>tTJ`B+^2prf=D%h0 z%5#Te>RGYT2&^-xP+y`7b=NWNvBhJER{@m+iG@0Ck}g{?+OG={uuOZ->+2%fNL%$r z*JFro@Vfv)kt4{Mu}wfI(oXLYcEUluX3Bv<&r4V{QVDzdN4C!~W`=oiD(X69g7)y> z?90QTM`FQ7PysvWgshp?(FEr_fFiug0)wkU`o!$Mt0M#pqI zgJf3)&UdE5K{W`MHMYK7JbU#}su@y-@gTDaF<}_oNfn-uf1gPPKUw26MOag^wNTdZ z%~g#FIiG+ZDe6RhC{^33?BeIYJbv~6UcUPA`Bq!Yce`a%ZU1F?`nMmN+L^`(MBp2+ zlj&prh&W8A^J*S%&~`(5n~t&cPl=Ty4>oVLO3iE%(h@W7zM=0~pWF03`p~D6dit4q zlZ5uM5WE$dt+u-MaSNokc@m0yYhP2TO==&6Lz?5shcNc*$IZ2SlYG)Ro@SgX!aJ$m z7qx_L_T*%tvSFjnh)X*Kmc2On=j!n3`Jpy{7&%fw6G}|oUH0AU2;fj&KdomN#*=oE zC{nI!&Qk*#eS+#QOj1x9yV%8dY>R1byTOlGOsA=mAf$FLUz5h%ynh`@A#5>90s3P~&|Wn$RM8p)T;$Fq4#q&HBE>fL7A=2qg($260{ z9-|gb1M7P1swDTM|md7i*NS9f3`=&1OoxR}xUC+cZu znJUSQz`Lg6FLKe&4%M$$da`%^QmQlB_BLi&%t(MEe(Hi?KH1CNWzviC=HDI^>ette z^k@1f$CB#i31Ur)o=*3U*emrsy{Tq<-md?i%`e)0G83e98BV}K{3WU3J*c`ztETF- zHF=Fm88m2l0qcd?9F(mIR7{glH+y+zVlT-!mqiBwebzl-JS(d{p|9PqJSVlFiSD%M z7+kY=v@KKHi|-^`*oaqkP0N0CdW~Vm720S!{fF2|qwP0Wj$l@{`KVbQmB}&7b3$(x z&(=kEBA%69K2>TAM()MJtOgZ3%LT6aa>ertym?Ks_`xo39s2`dIA~z(gp@@FEcD`^ z0Wj#$=>aeZaA8P48>kF`p;7okQ})#X2JO?2LI$*V2Qno=L1|x$qTswfLwVgRehV}VJ z2&PfY&}MjT4E#wXuWRt4JHiZ8%;`}2zzs>NJuCMUGvv@Dm)_t85Y_gq*v88q4adfl zvPzu>zT`lx0W=JtVE_%S>r)7Z`ivYv!vGpeZ?{+*wim|%4Obt}&Q_;U(13TlGBoTi z&ps|sN9Px-_44ZE{A`S%4qF^HUmb=K2(MN*XxIePbeuyZfXM=5D@_eTe`AKtRdDx$ zNP;Y1z>YK1?6kIr*_b@%&^U6qc{nz7_WXJ)33mlyQ^Xp8N#-+^869$)aBD~(^K_id z$o~uH0E#U!2O7MU9TKBWRD<%KP!>4DF5co7L+^ z-%uaKBm*dByhN5Nc@Qi1pX)$r*JRf|qRZ3&SaPM>nLWIGO@?B!A!4Hu&fy+xf_^c) zC;!sxT~SkK#*ZKv)Gy*y8y5Pn_uoBzZL+lN=)fjkj#_sgR+@kl3Q48pqh`_cd-~5A zfxLOTh6v=)>%K_@vSJpfr6wIX!%};9`s3N*YJH0I(Ofnu4FCN1&^JW8p8Rcf^?v=) zz3bWe$9Jcz=8E%=$M4;XUahV$k6d0X4?O*r=Ewc@^6b*R@$u!!@~qE$6Km$0w>8eu zV6c1ORFj0DK79|Tnm~2ULK>EuidMgiyb5piHXEBM?{pA=g^;?Ssi^;4<^jHSaCJW({}2~PxHf)*Yk zQFgdIY@qN4{3Qzl3W)PUJ6WU?WmE91hQ?4a=k&`aYyRSwbflPM_* zNR-dHHCvF}PF>$Q-jB$WNWh={?S_ay(%}($NFClZV-tCwTxyTB-b5F5jQC?N7n2Oq zDe)tegEQShB6_c!MZ!GDtA}xga61Vnn@GH*80W>5D3k(ANj975h>bSJuZ>3A7NLZZ z_(5*a2cYVIMyW!=M~F@-s@!}xXxX;e7-2~5!<7P5Co&LGbAe#Fc6jA&pP2Z8!csO)+l<6B-P% zTMj1dXUP$EsBmhx2=Wp1&Q5_YT3WMWh#;qmC&evP`gBTy$OWU%qIy{^2&PjBL$QGy z=IUoBYu%c54Zl7gu>n7PJYCABxJb7ayJ#P|Uunu}%lTV+{PF7iQ(K_00 zBKRs2%DHF&PtDK39vy}`!HdG+Mvk>{zND17QnU8;Iv@S=K5>T zwCPyIFBUjp$aFB7nx~&IZ>Qr4&X7E#mQY4vmxZy6Oq=#3$x~HahG2WVFd7_{9Xc6u z&s$eIz7r5wfnx?(g?V3#w94VamXjO97R<)8=+j;AF4A9kp2boxr8w|Eg?mG6{ydV8 zE}~QEzh(2Rx(_C?UZ=r0fa1$>3b|n9PC{|Nf;f>MFUfbPWgNBg-mESWo$Z=z{kuOc zPd{pDLPnv29n2xa!LZ=8DRJHIZYd>pAHUsFO^%Usj`9Jsee;A#dU0yBE4pt+IOYJYA z^Qgc4``d3uACwPz^gsNq{rPF<8UJ1$C=#;zs`eHtlgy||nXW8tmpCa^^E=N*s*d*C z-yL85p}txf{Mvh!rSwp1mThO&?GttTx8MASM^g_I^GoKKPlJg_(~NJs`z4*r!=^j= zo?6o#uD=47Gp;mptNSyHHg3rrA0|0VvGUEa->D3DVn5YOskKC5b1o9nPUa*S#Je`l zRjRo@e#xj?()x0PSCV9IX`aqiUN%Z)k!{ZN20I2|qH>AiNB zR{IsNJ%Jdc0pwA)-tPp+podDazY! z?6LAai*;1V9f`W)c?$Q2*!+2fbL$;Y#8Z&HHI@EbOpjH!kw_TOX)w;2K6tFG*L_bt zR*FN4j~Y-232n+QrnK+9@>qHG;TAVm9zY6qiO-x+?#3JuK2{7tXSXHYW%_(|4{Xor zano+4+=(6Q)mDiP!PV-MafidK(Z<7Pr7gRK-Qrhb1+itTcvZ%nZL)$y+No97&hypP z3etVvUCbn`AXPcHQE3fvtRN$w9pvQf=aZvt%o{(K_K=aVh+w$jb4)5%tA9T`xja~| z4^PgHw;LyVjam~(ZTl!28Ev(#JjC$P$piw%iqt`)mUe6T+S=3J@RsV->J17G%AUT5JQZCKr1#htEw3 z5qJyL4V}5!mYJids(9%8tuHs&KvJT|Q)=E(aE8o#g;wh=NiD%ot6R-GGP9@o80PmB zeN7drsfw7#mHK3R@BM0ZMfAR5P}pDsF;TgC1GmykYXYI}01S3$Uqwnufr?OX^?~ME z+g@6v>q_#l^#f63C2FOdOHlOH#t-|Nu)LE4ZEPP2W_gSXBn2V&1fgyQ54@3cnJTG* z6@pU`GXzm^VqLZ3Z93+tr+^Sl-39iFiDA+(OdoVbYz;M9csp3&Wpk#IKBF2Mf|xj_ zIpGi5K-TKV49{6^C`R%mK$R8=Rp&;>BF8%|1Fzm z)g6hH{&2dDDfJF!FnyGv3X!@wgXv>1eQ0MiL}y`|=oH{n+aMx4(GYT?{U8CvKCnHf z$4$GHVy8BJM2^zv5PYVONL#fAR;%)nvr>243hrN@=_B&0j5*t6`iQjCd%ilFK6=c% z3z&rIqpJAY!}39@+o0*ArHPmp4Co}S4P(250iGO9Hok{;Kkx31X z!~%eu2QvV`0RT3{j3HWU-)9jJz(N4DegH7M6AdIF+7AN24hK#H0I%7t4**7v(&!L; z05H;)186L%BhJcSKLCupDr3$z0l-K*z2~bd0PH^RQ2@9)Uau}MM}I$Eo{f%9PRY}U zYs%sQdp%&I3J7XKaO(h5(Cun@{PPEjQE%-(e!2bQYyOLa>)(g#^Na1#k#>5G{`1iE zdDW0lUDegg1wppLcKfUKhm*7Al}Zt+K6>;(exhmnRx7NE1 z&o7C|rW5MYE5@6|TaEROLYUN)FS%g2w$jY7w;ATA3E2m75+*13crv3g0Xd+yl2rwK zH-V2dE%~#;9B2X!Y=KbFsLM5>#4>PDZMco_Z8Dw$_`rJ^HphhgNE$i$@!OE#m?;$* zYVM?XgNC(@_U?J9uKBQ8eu3BN-Vs}h;-sz*Dbo__S(W~KHZM^3$s`i)Hk{3tzNhb( zYa$bx(07#qR%SC7xrjPdIJh zjhUxR#X7HEezY-p7V8PY^)^z`K7>f~T~`gnb?e~$fvrR|%uaC`M0ucyqBIG*W!Tq<9lH^Dx5_R6QN zKPm69CrB*>=a%3+NfwEoC%e5$d|9VTmysErdS}&5*VwK1-Xm{Uqyx~Z6p!SGx;nWy zUHvm2?u6&4LP38%`|e_Syc(@nN2~RUkgoBZH5IaTZN55U^^)gm3!c(3lpWYUX#w7W zgY5-RIi4>#AEf`8lfW=BELE+*g4mO$88C~OC_L|qHzY8T0iI$PO4&r2;5nJRAdSLr zQH^V#TL<*yz0Z%HY<`H5t4JLOW;(q)$zbDHjgHEna>EK>^XBD~t!b8RSAU{)t=`Sl zuie6(L!-bevv$6;5q7>Ky3{;}p$(3lRp-B_!vb_iW1=JP>a%Y8-;XB;f7?^F4t`p$ zPs$oGYM^MrEjhe5jp<;jPrXl61qWSuC(@?NS;?- zOQG0Hi;lt->+w<8f<3k_BX6rW7V14vueiO-X|88R!x-NzI-sP!rIzOIWIDGPFVj0l z`9{0UTKy0`p4)!04y1UpJ~;##T%513MoBb!x-B~80tA(_;h{HqL|f5cL7;eYq}XXqKV>wYoRNR%929VJ%MoEgjaW`(&2M z!m~)Nd%9XI9+xJB%Ql_y-s-B#LtGYXYZI63oc;ae@`MCd+6XO?Y%3v~e8_(}xq7eE zByo0ZY``n&`X^h)lJHf?3C9 z?C{0O`tqte^aU>Cn^&tN{}*pgj^BH}*}G6^qHKyHBZk3g@8Zb{@=`5aL_d2MkB=1V zKxNJ`vY~x{zW&$a)01Oeh{gEo$;Z>vmFEHU=hVS0TazeLf79gC^|YqG3)C-Fg6@a& z%knuxUB5V89$&VDh`hg`ZWniVN4JNu=4CtX5{LJyPF)`;GbySE^CG zFiYoBG4`8OF8iAP8W+^G1vxY4kQpYqp-VMTw-_%nRh6AeHWUCNLP9QbiEpz>GMQvl zxg#AWW?*@l>HeM0RV^Vhgb|>C4ks_PplmkDRGv)A!Y4%DmH@Gf#zp`9z?h(iWHu}B z8&s}UdxVY&JRyi#{?u4VNQ8Q8s@*NPSYf+!Kb6b#axIlZ>uB}KK!~<{t=_yOhFw=> ziZ+*|XK;>L*Iu3edp0jrcPy65)nPb;&h^#g3v1=24Tu_uKrx;VtHag?qD=cxMZMldRco}Z17Mt}LAg`Ht zM@SUi@1S%IO4p+Y+7=xJEygn4q;&PzW}BC2SFNkZY~53gZA}{}>b7m$wr$(CZQHhO z+qSjZwzb-;-KW2Q?_}Sc%b8T>#Ykn0w_env)gQM>+DfAg8cgyiLT$XWQb(la2{21i z5!i|PN0Du2dUz^>M5g_=-bUuz)Tu^RvL}38iaUx1VS~bysvz<+-$KiyGpb9f-PN@^ zCviT~M}Zll=U8hFg014A+BdGnTbYH+CzQl=&N)fh)37JQppS)||4!PY%OgbYv2pE--JW#5k5bh@>pq|Ql2WHF8`I2OlbCQBy5b=>xQC~G9 zu=P9J%os4C>>P|HXw_JvlC97}bJ?r5QDC>wG@Hq0t6hEc^*nj+Xlk&8C#C42d&Vvk zL<+d+nATQ1@a4%yveK=o)NA!SJ3={Ih71Q1I3YXQz3ZO>L&lM``M6qo!^w zwbsr^%u1tH9ku+bGyYSCKz&?=by2+hn*rN6kZOOE<;TL}kcjj`OZ63`>c@%A+rhDcO95oa*%jl3 z%gppgP&Fn|g4A_Nhk%v5lI(8dz7lX<6eBZ3=(r35awRSK=ADi14xX2ceN%-J&>8Z~ zxtN^Mhc-85qal{QLX!z#FJ-Q|CKLIxRAm&b#K)C8Rs>H9wWmQlxDP@HialRNqsm8hnw3pdF$VDTis9>uiZpr*i&kQ1j#j{k!EH28GZGbKrg{D^O&fr zN5v4Q;rYXXL=+fO%kXA3T`BZ?h)FZlGF)d4FVjZf4>mI7{ew2 zx|e6J#xM(LV?E(G4)B8jrB=H{WRBv8W&X4ov<=e5$9PajnCOwODQFqtm?5ND$IVW1 zx-)V4$Cfy8c0L$sU`uLV7EB zKM#wfPbXP8p{k8=G+GC+HHKwg(Lvu|(MAH1dUIqic8=BzTlM{X))o~ce?c_pU*ezD zlP^=2G8`gL)k*^^qVZ?5>luQym>^(KCSFChe1VDBHmv{yKRghKnBgeIe=);0)z$q!=|Gv!g2^k~Rwo_8vt=zPOE7MBu| z@ajdTK~E7DjxSrc@G|0bL^UGB7K7==5Yi#1MXfSMB5$CyafEQJqqOh;=+I4Q{VkTc zIW1q_?>Q0gBP|8|0g`$2&Uoufzs5wJxyX$4sD^(O)^$@S5f3(i`)Bmxx7m@)HQdPP z1+Ewq;vevV9!?z5Whwrn5a|o`g>*LO*R-^6DWOi}mo@RnWv$v6bds`~zI{ncf`Jt0 z-RQhP2l-6XQ8&j=!D9O@Zq4NGmdu6wm`uOc|7zn~*g-k+bC9X3_cTb?7A437NGq7O z4eDCW!FDs#?SBcnt!gZaaS0V{CMceA2q)eIDHc5-obV7gn-Yw_>lf-= zKIAcvb9NafFvIj~o!?-#EKGc1wpLFJd1vO^!o$Vo;h0rj$b(-&)0=H*gT-5rv-BLlI(4E47k4M5|-X zlZew1!j5{d5{O?^@3oGw=#ZnhBur|PX(?7yi}OrKOQ1kJG9*EVjgQho_c!teEn(kT z2m`{4_!p7XI1J=P+EO%(MdmyBs_rT7s|Ib$-Zzr(ASRbkHZ4`;^bkT8mzHHR030;1AX0HK#bk3G1@Q4gxo~Q0e)x)yT zjBy5I%$6|^&{B2l%%Q1{&*V(9#I-Q+`(CTGyi6x>e&m>G+jYAxhTn#1L<{Oj1ayg5 zpD_o%?SZ_td7+MO5LhGuGk6e|M-65{z~TIX-5m1;Nw<2QL0G1uv#l!{h5=EOc16}z zOAKN~!(1p8Nf*hNYmCF-;DKoBIbR$E#LF=4Lr9G(7<97D5yQ^`-J%O>u0cV;4x*B5 zw9DA`I;;r^xq%*F&ajkHDc$QKaaaZFGJsD0@~MB2lqpjdsGcGQDzLFt z*3pVQ`@W!Jf`TO9Fe+1uCPC0?h5RpK35aU9R|s~+gGW|CB?GakC|f;aW3d59%~$ZD z_T2_6pb`qGz%DRC0}2};3`q`}BN?dGRKB58IUzKIjz%;!D!2Mq*_)1FXG#z*hnQ2Q zIjwMJkLfuR7j?M9UD>ul)o~}UH&3-9mz(4g(uX~0$ZD5BuEtmTS&d-&4~;FRa=mT{ zuPk9(@%bKq!m_fgF7*sAJr^1~K?f2d&ViSQ(8H`Ok4-o8WDq8AT~1~5*HGT#yJh`t z^;`hRBJN{_Dos!im=V@9+!F}KoXkp0(>OR4307fxzfAFB4M6plu%l8b2ca+MpK;_Nb*k;G*rW+h5C&H=46UP8Tw0%i(DnrWE1y*ge_AO zuhhIO{{RsW_O$`TBt%VVy~Os6Ba|o^R1)~MN}i5!xNkYCv|>(xjVoyEG=#k%DYsu5e3~R>G$xacL1=EJKobWE?Tjo$UfVWSlu^Uw zA)@SrBZN;N5to~O7G=}~I#Ym!YEn?N+_q&tuwV%lVOQ+25OKuj7%(RU#Oa}+aU*T9 zaB#|s$AmE_&$bt|DK#Xv8nNZ0J7M~U69Q$7z}AUT1I~}T?@qM@Es&i#Jv9{?l^ZuH zA|Z!hgk1+j!p&0L$|14Ne#c>+nmHnt=GeO@PZ?;xW4ga_5IC$(f5i9gZlV*l6p87|*gMH+5{wS;{`dsDReuJm_Hds++DR9nMeA`t zb=^4&M$tYq{F8TmYe$Y%GS;$RPl}}oU3)IaGV@31%Uz19m)1SQ+rV2cKHH7@B<_~Y zD@81P|DmVYc7;h4eh`)0s3j59#Wu^`x*i?-^W^e#_|obNx(OHUvxLgaA~AG_Gy1M4M;{q|)GGl>`GN$3!%+2s8!|DP%3K7)q$aBwUQm zW*hk+__`8I7+w?d(KEr`9lH#o6daFwI;>b)DaW`q@eIgh-m4{ld6&vCFycU`_In>z!D4xT(0wV$LT@!f%AD+O zy&vJw60-B%h$erp;(%GNN=cuWRP-aPv`t{+*(cAPUZdcj=kMG>D-`nr zKo1=<%&Fk>0ZwJ>li9EkJV~!3zZ0;fFRp<<31fc*JG2yf8sA}R#SaX$84o9>yV`a& z@|l`GpA>-K6j5z-PFXnK(%}f1h;LFKUa2braW)9XxMHm@>uyQYbbm-UB~{~(OuTEw zU7rO|kNNR*QoyAzgb+Xz-1GT%w|+eTKEuaSp2bJ-bHY8KI*RB7>oR|fge%9g7-(@Y(@zQr8RlmNj4QdmO8 z;>7ooq3=@K3WjnZCO{ke%F)Jz&=hECw~tX_#8NUxvH}JFq&VnTNlSbL8sP0m4izOL zAEqJ&g|OWxynW85%}I7ZjQ?;fQA)QEC=*UFMuKXyaQ! zGy^-k<4eYP2vJ6%=Zvv&62^!w3@Wdk+DE+S!n8|2v$DiPt+q zib9t_E4ZQKe^I?!(zo?wd zr4LK7IGg#A1RyC?Tm!}(x`R=wu7(U>vz5~HBs+gQ=UdsbT94cyzM{g*@2lOEZ$-hp z>K*baM^p-ub6!Fw$QW7D(hmn_)r2q+0EmxM!G>3ech@zR5+T@0C^O~2{c{<3WHX3)|lrZggWInz29A|vL)4nX~thM0z zx`;MjZg{v8;&NqjO9GVsX?w)aFWTSOmMlv|6?sC*t^3}JHqiLv;e$a%Q0Ira2EZyN z|Hlx4!67)V%$LozqFl~;;F^+;q)|Gi^Qr}8Y9PXF+{Qz?Fs}b)klJGFC^(86HWKn;Uoot3*9H)~R}`Ei zP}Mi|c8J}5+*JBOX15%@Bq+H{ZuiqqvKt*I#4rN_uM#QSO%$^OC>km^+tc?@8^WZ- zi%S-bpsN0OKL;c%s^nCO$FSziMxPk zECA#wF?pv7DvvC3LdCtE>S%J0NjQ?rSTVtT*nN?{T@GoJ7;OC2D+-54j1D3n7kFk{LIFqa>n!aj_^4)HgghTosrW z?JdE8C)FFLW@S3d7GR`wRus1mT0w!65h0}({nN)uRsJz4iK3+SHbW%0Y?AJZQ(CyN zbEvLM3XQr6Dy+g-5_DHka$hH))UukA;@Josw^UmNlR=c9kR=_* zNd{OY1rC#{1SO|PbS8@k*dIfZ3+kMlziZsH&50UH{+HcJo?-|Jg)y9IsX6w z0Q~#_11LxXgP;I_0YLo1>VyCm;!mj~egFU%jsO3UI?Mlo)GeK{+1pqf`=6a?4TueE9-AIW@4X>-=_dPv6Igx&gzo zIHaBNaGYKIe7mt<_so+m4SKZn9=PSk%CTL>*Mk*j-^7M*JRg}9Klbzc|LiQK_h#F; z^JJM8Z?ptwi~Wk-TN~Hk<>c~ZUt8C8>^Hlx@5%QrKD<{iffYiq%NFUMa1vi$cr zYu-+4*fDG)z5KE*-Q)i@Aa3;H$=!Q5TDbGJk7ci8Kj7WZ(PBCNBulbg&)zls0_$HX z?JrADeQWb=?6>3_EZIH3`jMZP+gA5{+1rnM+zUff?A+V9w~p&8L&p>w*Lbj^8`}rR z2>GDcnE?ZiT7Ry2|LoMBpI=utYI1 z0sm*jS0ZkVkItK5$vImVyw{w}nC;y%ZO=LVel6S7$vZRVzG3s=SnTXF*jIb{IGWLm zbI;K7fo}W)RXrBf{$%9i#{F&Z>9gF;?)$wLF8H(7EBUwV{lVq4(7CVIk);bS2CP}; zX(VrkR9+1xPlnxhzzB(EX@+|lUEplxWo(zxpI;n2`&0J&$n3@5Jo?9Z`hv^vay$;% z_=eLC<1a3M5ibAqxL{VI{v$HX-?MW0hYRx`qpi?eH2dCe(wHIwnNJQFA@=9RNQ^hVte$K_zO>!nI#o7Mq zH>nDezArW|^4<%YU_F?K7|PNfe3xW`v2TfB0*RgsN?Ni4yDPV3Y_LfD5J3cSLmHPL zC)d{MBD&*)5qXM;QKlpf)UcFR|Rtc}unKU;@`jhhwl%2@*0 z!)a^f?3o!4VVXWVHd7)0J3Imty}Y%Z9&Wt2(UwJWe*ZrICVDpFnaE=1?~mQnV%qQc zHSY0j{^Kh@o&(y?JB#T=^|3emW+kN1_3KLPFulW4yC_^y(u59$8lw6u&-E-h9D(w1 z!;D*gxm0UvL=fYRbU&hLjX%(c{BmpVC-_UB`*>7NId=PEi}AhuRK7WMVetm@E%eHQWl|yz2gSHS!91r&|zLU*}(jpHyFJQ=4 zO8pq_4feNqVZ225Won}VZ!Q`1o1Pi5@XQ<~^_iOTB@5G&ueW+VaKkrg zmW#O=+wmNj{qu9-C5zUP-GC~-5XvLL=E$Q$s(0S< zVCw3_*Ni-O{!p5!>`!TQty15fy7&%4SOXhl#U%M?i0dDz4)1|87s$tN9mHg30 zwTdf-eo(*C?I)&4Qw{r5CCeU@zuGf+Hh<|9Z`Q>DN4gw^09Mg}Jbb?G{}tAw)L@Xt z?}gb9=;OD4$JNd4{gaK%9;iH*-yBu)9p;+QL@wgNQIt{F!6ag_W66Y^?UmzW+&bL zFfb-)kAJ4OpU~rgY$=rt@7|~NoB{StxPMZ+zP!MfLLSDqK}WN;TZgj0+?t#{@iSQI zer+xxJ!gAgylEI{In$#&M99bS1PNKCCO^K^=jWIoVS@aoNjHcR$-^^Zx9H= z%{Of5yKi}6%*|(JDg%a#5rgySoe7k$OME0Dapzd5u@EMXvj?x!DoaJNDqL_p2h;}& zs>_jM)t$<{de(Xmf*F*0>XJngv41UKPlxl66K|&>_QA=yQ8MeMY^z~x#@cDW6d3$EI09u!^(ZgbYPI7YXy7g+bYEwYur33yd1w^JvMPIOQE{;|1 zy-OMyZ7UpHg7pD)5HPoNUDaNuc+pK}5g(eD5SSnPby0gQjTDj79vu0%nWzu&xOC6*!%|KDe5u!c6QIv zam|<_sPR?j`VW(Q`A=eDg@0whd;bvqy}9+v_;Op#hq*PE-c^&kFt#j<_b$#Z=KX%^ z-{af$9eh%4y~;!vwH*|Fr{leLs}y`~leP_}X|;KJUD0e^j(HD!ogFI8Kaz(2J8OlY z4!yO2hFUqKxvUEttl4JO?&2M3S1T9b3en|kWm}z)Zv>%6y;p>$59HCA`E+aVY+6S| zKR=$lhpN21s71>%Ftg{?zx!TQ!dZNC{%C4ze(=U5fW%_fIMQ>4G3XnLjuUH#u#xY! z3-4Y0x1am3V4y44p8vn`e|xT6xDKH2?Ya4{{rA@8kM9=oKS0YdqiK)!P@jR6k(O*% zIoG#2x&7SU_f8)-$>;{`$9EvZOx(HDhVn^b^<+ohE^p-DtHtPsk$UHb1p5Zh0%F0_ zSB$V+kEmq_?!gFu5a;iW(7*ZdWWgyYqX5nEkAo953+_H;)z$0R7+}|{6XCO2?~$Jn zB2TA1=C?f%0hUn`$&kiQd85DcC?|Cr+DS*W>K)o_W_!fH73s5A?Uu(T<2=7x=M1QbEV;drEcKrE` zN}TGwAYb%x0=P$IN{#3hUgK~7AQTeY*h9jzhN%&4Zj#5>(iC5z!vWuU0?>wu))pfO z{;-@lt5v_bRzu5L3}wx9Ev$4*h$?Uf5QyI4uiFouD&ZE@l~@P*Tz}xy(hI&r6zuAd z==WCW{q~BfS;1Ry2PNxWm*{1AL_8!Hrd`sVmqC`HB={mR!su#grDiz`b_nD!g9Xz+ z05jf?8j%L{1Z#L+3Y3LW`t^{=S(dA49!Swc7=ySd@5Lgj+e1tWj|c9Zg<~N56#*zB zQ9P0ocm{nq&A*OY$A2BnA||{ZU#B|<1ZKmm-48iX|9n_nIZ{OXf;!!fUdL~4s>5(X zCzAGHKc8UH(or4F*sC(?K+(ka)7c7Q*>G(e=x3jL+f29Ekt|nOv0%(KANOpo^-cyP zd%SgY?2|!Uszcm!?NAK8xcW8(A-w`Ivf*an(2i{x_0Wz%yCnfGk}ur(#Qa+Vv{#!X z+b{%KKT-k5^{^%y2o-Gesb8kED#)xMUT`cC)2hP=GDAn)Dc(#I`J{*K$qbSZFRl(A zG@$~LRLTI`WAFlB6SzcI{ZxHFz0NDN`HUMcs8;6wd;P5$mP~ypeNvWtMRZ5)YY2b< z{rIpk?2v(pC+h>ZkjoEzqwk|U##jTq6j?Rl`lU6_b3?O!{|vgH=e zB2_DKZkt)ug>9bzHeoIp{6N#9D}9teF8M}{`%3mR7bwBxos>b`8|ig>^JDs7hk}d4 zkH0n=Br^^xfYFFR`Bwpz=(Ohnp8KDn`--G+#Svb8$Iz*87z#vVyKqMQpf}uLJJ}tU zslca!{O99+z%5ikCm?$XI$||C`&t041KnWPC_|6M>59W>+GucgC_%B8!M1r2{89hP z8M9yCs2b%EyzX@zFboG9k!gSywT3>5c7xs&U5@&5n2vW+N`N;-6nQmnb!Q1tgU&Jb3w990sQwlfj z(M4X843v#O5#NQTQxf4q8WL(b?1Zo5(o$5Nf>7ahxzeznIkYQRAAZ&+TUm{TL8Syd zk#Cf&)BiJ9V}W2HD|>XUZvHciKU^Z(XZh0uI^F`>NK{B zPQ?YaSPjLZ=;_1^g5^c(#rW6@fmR2BqbWK)k7p@#v zvttsTtDGDD!LY~^&!!YL8HA(tL# z93~^=@9RZ2Oi|Wg!Mjf6CAPMCa(cS+=gr_X%3)%rF)EMtU%pim>|10o9463n9{~PpOla`MY}hiB zla^Ur(r)dYvNr$0^n=pv4;g0dBQNSs9RtKM8P?Ed{cZI+YDz2Pj%Fh}S@xb9EXg}7 z7lw2^f)eMGgCO z+aTD-LUsesl*6ozO78N0+F)kJ-!w{e+RHT09f(e}!6CkwQ5Zkh=JDXtuGNT~r}U3zWtSs5!hi8UV`Sq%?XjB_Pj) zGxJ5;Pk)a+QUtv?h3uW;(bwW1Na3BWorew42Gx;iCofSU|7BpGlTTQMhnzkEU z93Kl!t{zx#tIbxt)vg|Dtb<~g#x2sdx>orPm5T5!|92BAA8vwL{jQAITX90*Ck1ab zz4~1QnCM5?RZX-))f1VsMnLdv6nQ{0Aq<2~Vi4D&@66W<2}8)>Kuu$ClbTg-7A5`Mt|8-sxuCI&;64RK^u6Kav3O(Ynl?mH;Z z(mN1eS<0%ZCy*(_KOs`@6L|QA@bFSVkw3m4NfLq0Vse~m%n_i{3N~{uHYjPPkeN>; zf3V3Chi$yhK`7T3Nd&P7c0+S8Y1z&alKI=t5|rmwm=ru8c^&iSfD&}K`P`zitm&J zDTeNX8-9H=kD-ymP< zdb2|YJN+%h5!34Bf1CPB!-{nTEb680za9SjLrSMCmaQGr9&JLRM**Titg7{oSx{ul zNLVWZwpEGNav5ReRBVg1EvtN-p`*+wa}CcSe0r2`@>%wo>hs~HeIXxKO5ev z1S^AUMWx+BJld@W_@)BUIs&8Jy0%7moeRv`5eB7GEs;wy#7VI%xmi`pxQ?r0JP8>w=Tu9j5E zn~|f-wT3d+y;fImKEEh@V;~0UV_jI*o1r|R1p($5z>-s@rXWci)qU{k=)^)G5lB(W zq)UN95EUOnrzL=t`bpsgyAv+4Sz-ImbA1(0Ec`42w*)WpP(r~c)x12VFlkiJ7m8i*?!`N`PmCPv+%nQA^ES7~ILH zyXXRn4O;(iwgl_;BKSg-h9o3}B}{Nc7V(6=wY709inZ393$qk!89D7HZ_+u}K6OlM zh}Icm zl+7~db)`DeDc8fJ9K}PD38YXOIi2h?*9q9PG&_y#CmhX&6KY4z7s`}FSR|C>2i-m; zRbUPFkxR}s4u+CaHL%f+Ij@R{jVaM*Heg(9OJ;^S)+Vy?xW9#`p8FEr%`K>(bP&t? zW=H(<_uC3w7z!H@vz%*?T7sbb{DQ2!8H6sJTkC@u)Nv0i2RcU{h)W`s$?roPuP`yz z4={FADD_4~HFF(m_%hQSc9?6VU@u+lJ`=A#EvWzU&`KK6 zNJ+C=L?zdPl2!>GR5scN^VwdTwe4(f`i;^AQ?DMKJK1uth80#ncan_M^TI=4(FMPr8-K$>;TrdW5eTZS_DJYW5Tf5kgVM4jf7n1|4s+ z%9HfyS0xa)o|0=DtbQ`N>7!YRtA%|OjiRCms#_G%B8(EIjMB`+ zk{XMGvJSd?MPZtHo%*_&?Aq0$<5?aA@Rqm@b#FJg+IniX5}ziYeBAiJ5-L@XDDZo) zwu-*5>9ZI&D*3hY%{&(QD)-Rb^^@340JBdCa*vhm83_G%4*yq(gLpoh58jhae=wR6J8RF zhuO$`uAJjW+p+GL$8BfQ&LRs2pz?qC_F7d8h5bh#7qiOevBj z>Zp(T57V*04IwM2<9#DWCiZ(bJAdX6AE|$2t0K5S+vdxIv9oWOT*=zMUQJ87<;pfG z@+Y4b$Gl&DHFN3~o`@Rt5?QbRzfA0G7KSY=PoU_-KRG+@?pXx`W~VKTzgC{D54M2l z>!WpJ-$d4kY6_i?)i(hU_1M9>jUEyI4DPhuTDkj>?wVS74HOwJe4*$R+J3fT`kn1+ z>CJUO*Cd@hB}F&5SRLx*&s9*f1QRidcF8i4R3tAp*GU*Bu`L&3MIV>b!(9!pR;aT- zrHh*e-DiKVmK+~K3{HUY4H+g}xxijbkzD|N9CgRkq`2S5kb1FjY%Q^&nF2zl_N}&p zK2+xc^QRCBV2&sRAmWE8RFEzmE;%Xm#dtpR2>kF3Jp22M>ZdZ*P!(;DDkF43L!ZKh3dqMuGyUc!7_SvB;T5*$B=p= zfshE_13|flq(C|nt@fTkDNDLCnaZy&u0+M#5B(cCIy#|iitCay#tPe2TjEDo@FOm+ zK4I*ROL-wQD>(O~ZNdJgvZ;?Yl?DReq37-D`EX*$HA9I9^!t0*YSqbZOmv1t1sNZJ z5dlUnPCR#JM~unfM}QfIpm?~}dAN@H>qlIv*lNN>8UR3ROvoD*6EV><5YuWyOa;x= zh#*ht8R#E^Q+2tuwk1Oll#YSOqA^Yrh&1z}Q{uoJ6%J84rHnj1O7Xq@RR9F}!VDpb z@hVA{5;P~v;Q9fm)Cu2yoH9ga9Oh5Q zv}a4{sROMrrGJ*{sl=BnV>gDF7)nrLCBt;IZ1mbia79cQKzRe9I1qdtS4l}7Fp)zY z0rbc^Ozzt)PDe-x{gG(s8}Jm#`pXiKFI`{!0$)WIHUQ4C3$L1uZPz30JtWHya1~uD zEDL1vhKeNro9@;BYs|(uc}oY_>ZaGcK`e~y7rkD0YOYvAM>8 znkeOE;I}Q9ZW3l1~V3Da$BPV3UpeFgN7)~o)UGQ@174XJSH|os}EpNo!tq$W0!LC%Z?dxW(b_CLx z97uWxPaF8(a}Y@mPA}7Mf1)2}mxj%==g_`+Hla>}ouf)e?nw~S31@S7_cpfnNIIrh z@2iu0i-Ut@{r+6#9?jyfx8rQQ9{fo=Q2rY({#sbw!rH6QR}myk+f~~d0+lR6Ci&-r z`lV*UYr&lwe6UYMd3O%Szbs=KZMXExo$D#&qAUd_(qndN87g%vxWJ; z4vHW%Mo|OBiZThPMh0{nMS86Tl*oW8A{3P7;GEx!-Gd`XW9ST{0JsF7aSO)fjEd=s z8X$o+@ABbygFu+5wUEIT0!0t6Yk{Lg_0p~DXos4B00uy2hQ6U4!&0RqNcYi-E-e9Z|2c252zQ}Q7V91lJ|<^6p}&JYuGKvReJ_U zl}B(>RX{Nb>G_`0MG%6+)pjBLGM=j@HyRa`=J;@ko7`WR8PiKSMv=rL|0mf_hz}7D zsZx?%{KvZ?hdvxrKOGD@D3X)KAxjp#-`pOoS^KmmF-F4~o53ozzZufVA3BLED}Ibc z({2b%vrxMj(P%?zmGRuFb)%0)%y5gQ$oMFV^Z!&<6&rXljn@kTo&z^e)v-=>rVZ;< zEcgV5ldmA6bZaV@O9d(DG28Cr53cuq-o|II_t-v%hU@B0+X}p#dfyBr9*gz#>?IZu z(-pB%24n{{{`uhdAT0x;Rs<5&(}INx-l-Ms0Jf8vtO`LG$)*gE?7tT?t{^pXMiLM^ z)2`U7zQ@pf@g|x<U4$oe$4pQbvVVBxFy+rSgb|zw^f+1E28}b-;5wRzF@`%zAo;bJi*-ZLbvc#RdYk+^0 zru7B^9?z)N4US5$ZZJzu`)LM(UIw(!P}6y>OHFX1N(Jg#IVSmLH4BJNN*U!U1-1~q zf##{b87C2VFlk=bNcjQ&l)fUOu!yp>AEURaD8weMtUMc|Z<9nRLLmp%B<6I|ljpvv z{o>)KhMrgjc2xq>BdV=s*>-?cTKZt*mtBaS-ltlc&k8WW&{nlo3qh0TVyRxwL2Rn) zqGG}*DWh2?Z?J2&N(Tlp*hEK&ugn~$nSNr)P$F14-GKZUXL?5pJds5CYAlsQb-+jn z9^4!U!xbt--$fYQQHcs!Di3E?fG?u5RGqC&Q{7g#6k*zyN};+AK8_IJ;TC|lgEXgq zWicajuI`IiDv#&YnJbUmvR)eDlB-rptSppP$n=*N_=KiaSBbk*a@gwn@2ZJWgyl~^ zP*dJOF0M&LH?mYpiEL!4+EfIH#sH!78I6`yxPny62eq@K8u_p{RG{+*gJesqzQD@1 zF4<6fv}t=l;jTaL(wr1~K}B!Gqoha!ksDpG?GQ*JNvfoN5ADeNF{5@FR*Q=C{iD5d}I0X_!IAlQS7&GSI12Em1;yzcK z#{M0$fJa;Rl5dRG=gX{?q2N`FFq zJ+uBc)~OUH>J_00wXkE)`Qw9q>Cch^9fKn`%VA+=SvMueV=UUFwQIJen^ag5*#!4NoZql~0fsoJA-PFd`Vr8t%z!J@? z62w3(mqHqm5jwMUabd`m5p@TebRT9PU2lGlTBf4LWa*gyqp6r~1(<7-G;f=`%{P@G zB=1Xk=j`6=G~1|TIeL5Zc=(uea3Efea+z-qKVV?y$$I^?A=4_3C+njWttw=F(WPv{ zmu|z4e(w7%WjU|9`Z8Sa$W#kz?C$N6H}Lrfvcc5M+gB73SOh+olk^`*vR&yuoAFZG z6B^z8rlD&ab;Pc724DPZ7(F;BS}hpk7FItvxO8fC_5R*u7~kF9I`knx0t%iFH%dt6 zprTn_JO{jo%b-p~BMm(YLgL!RQc$RynrjiCPo-M{bysyV6-QP5N2bNoROt;qXc9a@ADH?xJrYpi36a)m=gfHm1hQsIxjl--gHrM?Y`_Y6Gps!S9? zw;=Mpg`Tn!nHfxy6X!ePN061LoWYf!5B|yv6?t>wk>WxjUNq|D{19=yqV(@(!aX!M z@Ey8@AsF->MCOQ-xPENPNtM!*o@CjXwWcbLl0@J*gfC*}I%o7E>X@eVscrs4>W}mC zZ$GYaFKz4?k~M0~z#O%p_^zWhdc6gDFNbtc%LPpE1?p+|Llu4%dSaD4C0-DUwD5q_ zoKcwBKA?8lHCct%1QgpC`$SR85fetvD>co;Sfyc7gXYf(Efk@w0Y#!?2S__JWJ{u~ zq$Msf2=rnk>E}A4?BEjsxWvzl)5=7(HA?KotQo+707f|418VG|%BcaiiRMz@9c-M) zhpiQ#Aj;HGh$mtQA=CbxderQyr)Ej~YTmCtU0rP7@2I2lYem(QaS)Bkii=Wn%0*Px zjmSdl$p!?Io#|MV)?~K)(piE#sm96C7A7oEON`NKpLJ1ApAdSPdJxemPb$my*D54J z1&N0VYgZ=pzDp^Qi9hN*$;8A&#l09OoJnv3%NVRuly;10wyBRNR}~)@Dsrg#Gh`Kf zH}#&iyUB4HTm%r2khvquMWeljYycVlp?DLK6SFC`D`d`8iw+r}|Jsa4#|W;|WX$(> z2{VBJ)Ji<1HGRM0`~I)^ejz5J$$sEKU7H$0{QD6}S6VS9k)p}qoSu^4@gxbY`*%FA zs;a|vOqWe-KvfgQ7=>>9SW_D>y4>qgiItAStePx|2y8JRI!?2^y+`$Z1AAGL+&jtS zL^N1U4?IZn!B98*k0eGjB1naf-~pA|udF`<6&@PLQ!x@#pUO`S1h};#5r-GZe$<}V z5h3_>GYI@Q6j8EhI)0?MD3aY(isBa;Ohg!9RE~JKzga|0cSUpW!=mu(P)+(a3!{R6 zbC%DNjK5?L+dAvdoW6P0#i19T(&LDoXvdNsjihdkJN~)5;<2I7nU=##q^w%zL|`&Y z6K+4i;TkR`bq@C!hfwrt2=i#&Kv-2Iy7|5TFz`iyEzA^b9L)@34X{U=c4@CepO4`h z3gx$?%lI)L3U}}?Qe44)8*?WG+<&qIE-d&1=b~uX6h9iBBD8vBazB~Kyz>b+{gEQ9 zgBygCI9`lV^<{ec3;nmUe`X)2XCp-d`XZ+PVg@mQYRKlsS%|>7cygUe@4!j?ht7f1 z`paRl8*3lp`@14scv0O1=C}~fEpGm$6x@1BY{OO$R{ZRL=DXkq6clmo1>q17xgVoh zvdSoC_54OQi?84RYYm$-cd}pXuI!sB_HkX&k1Cy3lk3wzXQE4E_~=?kyZ!*(#vXR7 z=Sp^a8p_QKdd;$FbT5m>U{d*ZX%#NLA23_$5HfGEk!hYIQB><~wwl!nZuRb_=UyAW z2e-ySD{C8Kc+R9}k2kE+Rf)e*`ouEQj^Vi=a)gp7rg`Lw8l1RwqTJTS34z_e23!|( zd}$EFWIau{BEOG>OHfUmp|^c3|MXo{e0CWr|2t> zUsRhZAMG({PDoTo3jj9-s%lIwaO;TPd_t0v zQ{f%jqlg)eax9eqL5c((4b2q)V#^r@7{}-ah$L5tgqTdsfSNJvsv8+fLgjM7Jk+9- z>O1mW&o=vq`kL!hT>Ah!OkFB-cB8nmwuR0Ag8ULLUv*fswwy(&M+b_roKCHmw3t@| zl(I%eBl88f6=eb~*#AESetp$)N1-NqnIo&LFp!=v{HmHVP7*RtEt8;4$c>8T(AEBx zKnSpAF=d3c5YYsET$_%dn>r{nD18>V_GWUc(12(UptD2?&>ayU!0S}fb54Urw;JT)3@9_Q5dpEcyUk|;`U<7%84ROz|n7*6!Zf>%xZIm#5G8&6gU*CUc zix0En3R-*^n}2?MSh;9Hr_Mz=Q{a-U$~$~9xIt7=RZd-__^{VyNHP3aIEcuX=t@o( zF`Ip)-3nQqMHJ%jS@KBQr)(>OaRjC+N~E&PhL`Vy$^_#Q zE}iUxn4U#<52`tnpTg(@6^3T6zSQX>^1Rqsl^x7X2Yn{Zx6GeZeIV2UQD)RQ7Uutw zjDu|{(-|>PmMdnlxFdvOOpKfd+A27JENVj?q5K_NOoIhjV~wJ}78Bj}6ibE} zP@1!OMor^4-eA5O88r>ssb$$at-x7a4N zZX`By$vBwSURrzcuVw5dUho|Zln6i+fTTG1{>Ylt;u4tNEvHe zCX30^Rv}J|7LzPSM7NdZCN|C&Vli1>l{9DbEGA1k3(waw7L$G6trk-c)i3X7*;VIy z(!0w#vyb-~Gd}8VCT`Gd4GLg~8#IOOM6IJuX8pW;eY8i7oX#Jt==)X&c>zE4CRd$5 zxk0p2X?T`~r1q#f;@|a_kclX$TwZSzQD_c8#|6hNTn}lSkkyTy_D8`nVRa~YLWPQ` zNRmNmS20mQzMN#&*(4hQe59r!Ilpe?)veHUMGAx$ z6CiP2j1)3F%L9KuGP}`t0W8X36yiq zNr$BH%uHQ=mgF|I(8;`WjKqx;JFS`|k+DOfq#0DSZjl)T_F}zMhLcWwW0YepMuM>a z{1}OHQN4nrBa#$c!V_rX03FNNLRU_u*+6{5Y3h`vyhPYR&ZJbSG{BTNiHAZ`3`20_ zl$^xr*Mqxc9;ttdvsVH1QVbd$h-@rVYBR4NM@?Je+ao!TCELPpvMT3u7x5_^5THK= z$;4o`u)E3esI?H^)VFIiu4FlS!*3g5Ia@N5Fr+AXz=gx_z~P1d$_^v&9qJO-=s5#3fsGg3ql4HiS+rh$Os{IycRPNGg}tJ8X2`Du)fO7;-@( zWj6{4C-B9%J+v-JG6GG`jZxMhPEs@v-x5*Q&j3mK4ok=v;p`WVMn!=*@T3e7;@wBcu~z(}g5z5#|bO z74NJ9Kr5_ni@>ZFY}F1!)}x^DbFR72c=<^loI(A>1%OsI}AD;L!p2XsW0aS(T*`BEe_32;6+mO};= znyUt#$U>~3GY@B-Q^&!vdlcryVPS5&-1HOD4Z z?MCkF$`mfsqbT+T6=&*07-OWk!8U!w#4Wr&8G6)b(tHbr9+CChFsb@TY%^*cjCSPP z>9arlossMprV2_j@T_pbV5#7b+RRs2mYBHbU;L@$wR7W<0g3(;kp&1ERd|wVT2!$L zRbbtkl0qQuYoLdKeRP>;*nz8dOC`7H*1CzUL(tJCYg>if5ks)b8_Rq8LbzV4dLpk% znln52vA`>DFkg+(j|S~DL_cl|m-0!xm{alBH~s_W0ct=^@?>X18pgf0pBSI30q@L@BF! z#Nx6v9-A*$i_%!Ti6JG(KsCuKLx$}s)^hw#+HsPunQvk=+KZpU#IWp5>kv#PhNZ1S zoO}f)hV@lRb7nU&tnDm3Uw^TQQ5?Xdc>n~de8!49)Dg@75Uld|!`|r6l0+^(6u)Z> zb4D+J=l=IynQh=*XMFj8NV~H6?35P+e^fOjuH9>X?XhpT_Vnn0v{@bFBS#7uxgEiw zKk?UMU_toQhlgB9i#k)w5FS!5uQ$5P;i069{BWr1gGz=dW|C*0Rji51lu(f%gI!QT z8X!QTMhyyigWv}(P#`#;Aw1+EzaGluAbA3G5=!N9>rELXwK7!lXM^xi(hYbrPMkM) z!jx5^MN~zJ+=Mduy9^J>pRbnM)|VT*XxK>=9!gO4ozXH;&dCqMP{a$Bfkg5^N*25P z`ARHyxv46(t^B###X3SpwIQE|A)}}b8LbpDDmE)hII&`*5{?X~qZCH!CS4|E#1!#o zA*vI@Z=s-&hqFZq>WC!}t0=1_(XWLGrB93GNw-0vwFZSW4M%_m>-$ih4g(GY(W~Mx z`A5(!lW{+rPP?qgr&O-(q&G$OC6rVrE+4kcg@|$kN@6HRh>W$MZOF&$%Z=rNGJ*qa z4k!XKk`2jMRq9=(0ZGe@fGjErNLsTrHlY&V8iFh;dmq*AneJU8c>>W+Hi4ONUik5`QUDC7B>H-u~T4{Pn>F zJIcJkK5MW-8==e%+Nq?{sx*EMD0Ad_doq52Pc)CT520m2WdZ0dg32nFFNn%|2mzoD zN1P&orOR?jPYK+RF zrn#l!nEGwHcPu61pk_3qo&+9Bx#3(fPHdZxCQuWams3Y_4Ul2Qq5S!{tmmP&I1UBi zbb=2~K%O?YBBc|Gdj;<;kV(YBd2_~{1V2&C;|fie7_1j`Q_KoW*_)tjdY;@z#$W>( z2)*#P&Tg}8hEg&THv86>*>&hc=uV_Tf&ZU?SnPqC&2k88c(u}0(=OJ5!Pa7^)l0I` z_VMIxCXwZ7`^Cm|6ReOplGB4w7oBWK1V2FA@w96zxdSV)asB|=M+X<4vI7J2`5xRu zr3)*o8@cqS2>BbNV|j03SOOiBeoP{Di9-nzX&kojI`QYl4sDa#A%6+^QW)7-Sz!bM z75L$4>c@#RA7bvsnqnu`5RL@W9YQrw`c?~ImjE`Kss!ws5a}9`_#_GdM8W@+d=+X0 z>Q0Yt3lRUgd>^dl7?iH#d8nutKv$HV*vz$Bf?=TN_xHf?t~0L+s9U|J-ln4CEU|B( z+DHbDvyiBltq!PIdXh;Xnf&u(ERBqX!WCY+U%J5x_fJkNF09<#da#Z`53w{ds>B%Fu4;u7 zL#K_fY&;)Ne%~1mZbo{Xw5<;4M*`S2ty1b->nn7DUDjZQHjJ<=u+kevSU_Ya#Q~45 zH_OEDk2=LS%$1gND0Mo>`@YSRzkmN-Hk^X?54t@22vuNFOt#CvsAtFrfTL?;G3@M4 z9af+dnENc1$eF)Q6EEGLusvPFJ*X!CHa@v z1hKtofW=3O#gJJaU4jcW~m zca{GGuTvGtH}qrx3}(5pQbnz1MU)+pw&hQ*5jmu$Op&Z1iXNu@2QFumb7&S3+7u;DUpG-N@ie09bucS$dyVXn?kXV8Z z7h2nAxVKS{q^NsosC?HIeZ(;ul*iSV5AM0rSAFMoPxlL3WwV#1Bj_Zf=8IS4r31=NFwJD%=l@8*FRtn$^V-U+Uex8UXRnX+ z3KXJl_v!uJ{o>!7I{}Dgs$7v+@s4f3-iutTmnS(mIpx!2R2U+wUHB;qKs`N-cRa~Q zWT+x8f1f*4*=&W7Z{;~*|gg>1;5~iuz)sF@+9hes8~eXC`Q* z_*WWH zkDs(|?Th}mhG8~Uhi$Lvq6;?A8okhGwcjHX6;Ny~kPy0m8oJ+!3KTNe+DKOJ!tjOr zZ;t-;^nA5AIXfz*_D>_0#cQpyT5Y=S3=kahhgVIQ)OQCyw5)Cxb$D(>G1ii=@WRc( z-^!K7kZP7@+yH|tP2s5ILH`K@1BN;w`%yl@JIf>7m_!ta0vJrtT|oARE8Lw%1~Y&` zN?Lg`zYfu{gr3cZp?QbbYqprpEOz|GRaZEQp5aL0c}I6Bne{R(cRml_VJkz{JNM^x>= zEMf4Vh9-^L1S6QBB*5s))2NF~4hh$wGHWTrZDo2dlTDc{c7@V`RXQa@(po~f+6`Dx z#tA`k@^ycTLRn8wQDKU#>yT?x9hp?=DO!!=s+o#Eo?xD0uVj=GlM{1SrLvkljOLSB zIwFE`Mix9dE7#J1i6*Cb86GK=XmJ}YG+;wiw4=sRE=!rbH$QtfKixXNSght(%kwjQ zaX6tu)cE?V!xA|gdh?r6&juz<1(fHAX0wJ=BZ@Ojo;YbCm+(ta)#8MivJt`AfS?Sl z(TFf&-!N0i%Y^$Co=^#9QSz$6?@nisbMitncm{I^@sFx3IxD`k;TAm-N-c_=a-N3@A;c;_8)Sp2~5m z*$InorHf_>wCy9l8Wfgsdyxx6sVA_2x!UclH~jblgOMwN6%!ecNEGwv&3r}rWL~g# z@KFU^<@ON-@$urAAKAH$$z{78lcQJatV%yf21}OkSmk8!zPL88%wPb88&1KXXjExi4!7=az1-|PahG(F8 zd067h89|imb&=+nw^1+=Y)AuyzI>t8i)aLYf{@K7=}09(Mb>&X_U7~lh~1D5&iRg^ zemqqsAJR%7zl-O*b!+$tg8>RJ-gM-_sI1$TD3WS8vxz>6jy%rrpjPjO?QxBaHd6Uc z2AE!O0-X#g>PNZY>$csf+&uM&I)S)kqf?VKLL!((<>nm#2s4V1;-iGSo4o1V04?sDnldxE)-($w;G8yFAxj(f8B0Z|9->D*~xJ(ZURJq;xn zPKhi~zT<~`OsqG;>q-1f1K_z%QY{e)%UH*G<^yvI(QJ{W9xA~*w zr4e()Uy(ZcwbV+fl6DG3NVu>`An)4n^8Ks-l$zAqOCQZI^^6*s1;0DLET-A`ut+p` zI4@f^&Z9ceR7oH+^^ur`O1?erV|V|kvAY_jlyn%b;yC~S*cqb3ay-%UX{Ow}m2PBM zobNOphBFPmAfzFhD(b|r*q7*7o$o;e@9F^x+d;rkp-e#r7l<0SmF zSCUHEt2c4CO5PkdyKpXgo$c5KFPaxf8UPDL%iGMCq<1 zuu|yUG+*UIzo&`yx$Oqhpk$r|qZMdqs3$uT#e1aVGCBxrTWA=Is_upOIL>nAkwJl8q*fbAJxEAU5XnO@tHtuSXJ1{+PZnFN z#qnabI6GWm+hP@j#Bz(v!(us=?0n62WhyNzESIt!!CjevrQx{L39e%rup}WT7<%v1kKo++2QgL| zuKUVjQrb+!$@}uz!~58!w#)y_EX-9+e7V{-q*#Bnd@ss;PL~TF+e(&+_)2H`~ zi&UzjFVwe%`R0o+w>_VxUwp}rTmkyH)@lh6qP|yVVK;fex4t8`&^%N*eMHxs|CScZ z&mD_-thbqfwuuQlnAtW?)w|JIE=*&sBK-A<8h_Wu=`A{N2$?oZE!vo?ySZnQXMJ3I z5U$lHJ|mUr)g4ElQIGd#Y?^Xa72(I0?j*&733YEe4TCPY=g(PU#r6lfHt;Xua-wAg}sbnkKE0YoTzY; z5aOuPBs&*HaE7oA)-WTTVgyq832;n=2QVFlOqgLPib7?Tr$4!g@zO{MXra7mhR8nBtd(4M3}kEnvoouvvU=7!?*Sd@hRxw*kx$0=Zb8 ze;N*7~mhc}AslfpKgD!vD!ru)g9O9>H<+%^qKCD>6!>Ri9 zZCev#nC}bcBhO)NVhrz_awU0WmHE4fFF5}_v9%W*i-|E}!x0+S&Y|*{yDZ%<$nn0v zxO%)ep1(W2Dzv%3e_b6(yJx>HFP9WkFKr6q$(wVK23{^M$@2f>^6HH`iNs!C+kjUz zeScYd5Y>%0z^!?_ALgg;%18V3EBfKfYn!FKlP4fjCkz%_Jk85KcyLd25Wrv*d@|2s zv2LoRRY}H;yLEvC^pSFPtWRun*F?u4Wp;%lV~yFPaD<8&u`R5{P7HD%(kaLtXl#Cs zVajIQA;y~NK0uF0kaVP}-glRo^1_;j1*`$ke0RRO`t#}4-_HJga`m?;zCi+q-H1l) zs}R!hm^|#u!r37}p^tHGa~xgI z-$~Zf;_m@4Z=z<)->I|R1=CCQqxYb7V)b?x-1)`p)am4g|=HW!t$H-ZaT0bOu`b$M4@V7Ws0mU}~q zkRFLN$T;~T0Fiw9P_@=-vkzUPmOA=B2rMvNA^Zt6t_uq+R|w;Ll7gK{#LI_TW=vsD z-V(8{Yss9No)F$-S9u`khV{8EHR(eyY|@Jok3;4%?8`)oW5{+Tv(;8DnXS+5KDtO| zeZmTthJZkACy;rt!2J%ZCHx7jC2a9m;R`=dk}L5*f>67Ol$gCI#J%1VqNZ=wL@ddZ ztCp32WKW2HMzwYHxKb*6TpLLD8kEW{E)PrPYk5Mb6FejJq+RY5_Dw6>@|e?)(>n8 z*YpWSbUSIW{6aVBqbacVtebjI2IS@O)QLItul{1Fd>F#!TIkoF-99REFDSK2y=?h1*a6F;^gl=Ar{M%H&@$_p6ou~ zeevx6!IM|)huvr29NZ`VR8gth)l2{KFT=gP?L^zjBEs}N_@?%r5NBtL!iNXRL{zd1 zBzjK>WS)UEKQ&K?4ZI-mbBI_wW?_`$^MbH0596IyUJzdAJ`?whW&{e7N=Y&0KI4eQ zKZY%dSV$#iK8U%)@PfdH)%W01a7puOkvEjEGv7Uh8AA*E)bfHTE;r5kmXY99?Vey7 zE`EwQL2O{6XxU3`YH8yHky}+eD<=r+bK4AJnpzB^PAv^W2#1QE2Ew6wVd z3w1jDnXp_~%*$Q2xtLB6(WEa;^l9$}QSgU`{S?(YkfMuZJ>fc%#>?w!*{s|k$RtA^@gdGP(-MJMa&r<$ z2w{F6md)x(NRNR(3mI^U;PxRIv#rJr!u;Isql;|5VK<0L?*{SYI(~_LLWn*g#MfnL zLC73avYztfs)gkZyFqvheJYVG6GEhg%bCrQIgW`~CZc{29DuehLgfw$N#oiUVP6!M z!IC(Vwg{EAHY3qEuITB^$Y*HEUgNYDRt70^EtXhZ^{Ln*j*k!VOD&|8FeX%48E`J# zj)!GZ$N1R2zc)r$0kjsbt9a=$aNAmDe#1h1#rf~)jS&UYyhR{pPwk(cs(H}zrc3l( z%p7IV)V5cLJfTZ5*9SR%;?(}j-KXus8mBct@M+?Zj3KDd;_@(P2od@Oe~i&2$*F+u z=8Z@&!NU-z`za+txY!$OBSC~g=#T#>gg(i!eB}HM5c-64P(20gni0#{LJzLFc1sVA zF!~#4+bw&kSp&uBPv8|ug05;V`Nk0lYRt{gZGFDs^BD!HBe4k$F%A(Sdvkqs;S552 zeo*;u$>;Y$jeFN34|+boAP=gLM*iP>VD(XrZK4`U;4Csdda@n3SS_JOn25(ma0&Id zrYKB4pX~Fs??RK`!yykMQH@xPOWwc}5TU5s5G-hMc^DRi<0r^lkdgP1f>Sf%=fn&c zc)&cy#Z?jq*gnYOGNIeKzU<;UQ=jYOCuAz9inHi5N!km|&kaP^od1><%g-e`B%*BR z5Z}j7^zjox-+wo-N6RAj+!Slrrn7|?Z7_>bh_Kh)#aFUHi}djm_u9-^<*3~u?8APo z4$vrkI98-vQfVY(_KLBaMRFDi)~w7G_B9cN;ftKeG74Iz6LL;ad5rR@Mmom^V2lP7 z2h#~UexbTgW_ZNMpB)pryRKUvK$LIt6}IbZ#@V~XWw6n1&W-Ls>o%hlzTE5iBa`Qq6B z#Y+_q=iah^q1>5^>4WR%*!c(^z|U+FK6rVAR_NCs0Yg6D|eYjw@34W z$viCEahGVcooa&HFHsM}D@~v68;Y^G7Z>FL^w+=dEw1KA^Q-xmRmrcsM4f@VXD6%0 z<>k*hv;WT*B*5Kz@Z$5&qG}l~W40UFFhT-XdKnfwlPT_~n2kt@Lq$;)N3bb3p_lc!{Vic)YFg&&(zayp4b{H1UX<2& z-8*7yOh~Qj_%Jmsce(j*iLJcQP)tQDHX0#8dmZXy)S+%Wo;|jB4Efpy%Gw99XT`VK zg3*3mjKEGa&TC`WMB8$4LbR=Fqw6t5H@NP$k?vw2Zj6K?vuliU9TJMP(|LrgfKaEI za!BSU1+Ljrxq7+>wvRd6!vSn6oodM7?eT-NFAt+02?lFQ5Dc1T<4Kl`DD+I)c(1>O zL_d@S9&+)7dXkjKDbR!^q#6lD?BA3)gW(9qJIjcYDoY8s4s9n;0e7jKO4O>zHKaLn z1ANivKCEzxH}lPY=jdk#kII82TnIxiPQ*_E9hjda_ z!wpJf7rXe5ZK35h-JmVwCPh_tJfo9op$gkWbR=ik4{VHX>L~s=$cBVrqxf4Fg+-to zYb^q^8O7r>s_RXr5aAq9QU|X^U!o$t6a2q9$|lsDFjih8^2P&Qmja!8jN~#?G8i^5 zqL&MD0G)nB<-Nj-u6mPFc9F>hLol*)hW3u&ofU^?3(Y^9WST4(4_O6cEjl;U{2%^u za&}a#xIc}K)UQwQtIhLcq0i{GIZy%dw_8KcKRFg4F^>>yS~MZz-VuAH9u>mWvxlq# z>+SmQS^uKlCo@q>o8bgZ!~*~S;q~wipty#-B@zF-W;7o(Z>Duh@sZ_1R(pg5ra1C zM==Acxq}(dVAo>?>!RDj3`tTjB@{6ose-Hm4BRcu(C38l)Q)K=A}D01WUd^VVRilvC(|fs zzzA)Fh9r{KwKfFU7u^nMz)_GOr%{TFV1iZi4ub}g?hWVOL~_)H-5yQ1lW{zg9OZx) znbW|>9F5ge4YF!u8xYhRCv=jw-ZF?C{vq{0GLX?)Hl9vr zd7}Kj41WUJnBihZjfgy*7*8b4&OjiQA4mD5A;Kq#Mu4SnfdPni&Q4CXs||5TIl0yF zVo*M8BV!o07nj-b`*AC90?$7lGl~Qqsmkq4g@N&KsxkshwcAIOs+gp+F}d=`Wbexp zuS+K#G(<()9%5@#9QD=ip$~JJ4s+$dlnu!#kl+?3l?>;eiggJOWiuteTMX~=H@(!A zXX?oK5$*-mvx~6M-`;%n=!M$W4P9(U2iEa&l(~Dm(C#;(kkr%jm3GzX_Vgbz0(t#( z^%2OS*L|G`WQDNoihTW2dwu%-+0kNkT7}RWF{BGL5*Bq%n!?RM2h-H=j`i))%@(zy>aJqIX~<2-o%>O@U~iA2?o0d zPBrNc)TQtKR1>(bUP%2?Q_IR?fMctJ<5=> zYQiloRCH*pAn87t3nf~wo)#0?#4$Q1hVSNw$lMw`_7M=i6FFr5Dt~@HXRY$H)bHjXIVO9qX44GCr}7P;1HBY z?);KMXdTr@d`Tp%&;E8zTwgw>nx3lu1C=tJj=Tq@q%LT_4kBt9*q3q(P0teCOJ^L) z2DKuB^onVm4SA3WQEnrH#Hi!#lIdWU=R9&o9tZ3rdTTdPf=$fXwg?!ELa0MLIVo}7#1w!JQ;T5-qLh6Dcc@9g{7lzg51IYog z#>;I%_#I7yYV%GZ3-uFi(njqLR%#o(~?=O zEkc{diaH*`aUs`)USh5)78CR~Vuk2BdDY|PN;jrWzc0?W@LzxX?sRTlRKCh$srWBC zK*X2ouv!!Ti+0{!oj+UX+?d9pjN`!Di>u;(Palz~+YlZa2dJE?;h4$~Kt6PhBq^Bj zAXak0qDLGD-Uy+KV_*Sci4@B#0vPQvI#4PSt~smWPUV0>@qjSM30@S2Hgc>rw8=1F zpoU>bP-&Bh=$DJ8hBVZF9ZtvCUocRlAcH`-CRz#^^=XeJy4XWZON}_c0OUyYA(dxm zQ0s(v%1-5(1#q2!j3yK!WOqzdOe^cMI76K@xaWg9b~911CpEi#Od1blvGqnZ-lxLBUHdLAjN&Z)OsV-H&6DS+;GO_>Qs|dX}{F^ zrFIibEpVzyezsp~{ZhM$rFI+kPR&O|wI+j<5cE;uLLz~pW_MaChu7^^f(G4fRPBup zK}v-dZB?jH55c7fgkBo~`x_^bZwz2UbqF$3(%$CIS@cyIb0*ty=PTMy=lN=7aqKkj zF25xbn*V$M;JdBm+2z&z>~OI~1*wBMK0E3!zkmMO)>~z4-ugfOR{i|2`tb-8)I zs4RtwW2~;JlcOtp<0VE()yMAREmbi4)t^tU{#M#Ml@XL|>xEivu{n~-!=n{< zW2}65RGZOe)sku$DfsCr$pPW0Q{`-|__U(=*4D|Wanky7jct+?g_D$|atw4jg5dRy zQ&LvlO_Nltru~$hnt3*(oEV%ebfcMBb8Ob8ewA$Nyp`7HcFk&G*mTL%8|$UEi!u@{ zP}nV@PD!0yW_mS8GD-fYkvf-yIuEJ!c`y$t;~?HYgAq>ffv;TOZ={bdw$gynllO5g zqbaixxZhzpd!wn>Xj<;q2`1B&Eo+eck|%HWX{MaRQvTq)uH!&jPloJ8~Bl`|&h!WgU}@XS+6tgS}Sr8w;*dB*8KoQ|-t8Wt|Y-a;4! zc0XQIo?!PgIyH?fN@P;lBpHtI1L|P9f+C+%f|b>d8n$;=?|b@#&>@jmWz5++IwaCg=lN<$heTwQJ?~LEq%7XHRnQ_19kS&i zM7EY^KQE8Bp*VgnsF5u}jzC@TIo!(C;`hhP%ftEVXnA(BUDGMg7xUE_pKNX83-&Ml zE$-G^TMZ3Tu^&YvTlbq*zJ&T{MS_6wA|wdNrM-uCmRpyHv7na(Nhc~+00%mvN~VP0 zYS?5+Fas0j)P3V>q5_mVljrPcuno9cMZ%`I>8UDpSynoLe+UvJ8;_>RP(`xf3&Or|WT|Fd-MG@2_i1m4#1nEp3R8-5F zUTP8~#fO`+>*V>zhb$+K-#3x~2V#62r9dE~a8ScheGH>^Q$5@O0TL!K+Ifvndj8|d zwd%0wt*XjkpbifS0}+a+RJOzxg_0%J01i^((!0cekdR}_Pe$dW3CEk7h9Ew0v6+2N zr>1sZ@gE84JSe0E$4j&g+IiDU1EE||{#X6cUyIlA_pgip!}Rdr-UO#qi~dkyjUm}r zu^;v|VSy(HT9Y5iaDeYDV;GX6gvl}zfYgGHQc>BEqZ~1(D3XyutTh5Ir7cpF3=xF{ z%BiwtV*MH9M>d=hZ9_;5&bU-Mlh>JQx{^`^LE-QRM>5qXZ_#1gZ&xLoCsL0MlTDTH zwvukwCO^z)nHG&&@=xx^WMDo;=!V$*d8D#Ue%Mp#za=)Sp$C)lztv!R@}r1a_|xH3 z{rc8NPk!{|hqg@P^DM}TR-rx>3lTY13@Int50W4Df$cdxuG_7YJFkijLTI^htQ%V; zIs_m25oya-<|AjNt%M8jjXwza5qVX{oUJ22BJFgZua@LThk17qlkf#83%qvVK1gdD zBtL3zkS&wLz_X-bF}7P!Aaz4#JDYGJ5Dqp7WOu9ufz~x0K_EHrfJ;Nt-Hk^XaMr+M z-9aEm#|g?Tk=bY=!CHG1W~FK^sFVD2;L6;LrYfSas?&m83sda5xQ}3HOf{No0|Hgp zJbCj7DCahP$Xpu)n$Myeje|hrDZE4rkWPX?d;UCUvJC?5sr27sg1~kou|VMZ!So=o z2Z6QIMIXF%;G+cuxDX(%8wd>VL<0?o_Jbg>#eq|Uzzw_gfxyTC6di&O1V-AjK~3bW z{Nsbb$g48uY#j)Uw9|RMT7tk1^Bx6(i<8yj@^b6f)A`xf@$!`1d>E)Kw_vXwY^wx= z+C#W8gDLoSH9z_JE%m4GAM8Ba{{97jV&?k&Xmx(Ey>+ZjUt9nE(zJY4uuomp*49P( zmlEF{ELLxqXY(tS7F2!o;GX2~chNXp9oqKp*ShzafRzgAb@6_jIscfW{u46Gyz?Xtxr4RE-(O z3r?7lt&KJ&&tN?rm_4jBQ#_a{O|8Y_?brLFf)PaV)Q47o zhO|5(cO8Zu!_&cN=gH~m^5SZFI6vK49Uh#+U9hlya~5gPo-;?(c;77+KL1U}VJCD0rkPa>@ zb2^eG>S}p$y7+fI+Nr4^_3hbL7xRImT_57h=Ujqvlq zGX-FwQu`P-^%^ow1`}l%rGPb=wZ?e4ONi6RD!h7dfL8zvlP02@IL0}?eagJs-@aYs*Q)g)OEIBkJPW-!mVSXz$>#vnMP67_V>Ol_m1dN z^US_U4tkcS|DF~L(0w)&8MPVEKi@46f7w@M4StxfmPI9)Vu=;IMnaSJ0I5}mK7F1x zXPNBkoH90rS+0v0a{P@O$M9kfge$lIHGYI=8GJqkN-nX03XN4$p1BSO-!(TrH14 z1{de6tF0s&JdKW1aw#rAn513cgne~qI6=5Oj!`-B)1-x`){{gEHJ*TinNc$bT7%?= zV*rD^DtgHK-Kad}q{h zlFAiG;l$^krEz`&E!%RwL7IngMCF3*Toi#1UIPw=8;3&` z6*QZX%-FQK)W`d1K+;n@YgFwSN(gGN_G$|>M>>S6vI0#rtU*I^N=M0v38-Z}ricP= zKL)#Df(NQ16u4!=Rk-5dmCnX$2OcsNH$!Coh;o$L_hkbz!et54V}5NNe{cWWgWVSg z#X&9Eg6*$zQ_fn9!F-~A?bdFEF?dffC}4i5R*Q)v$J3U!*eQ5~%aTaI%XfcqRi-2^inBEa+}*QZmzPU&S!sr?SbvU~tWzWZ zxV(C!bR}_stZl$cc686XjGpmHMXxs?0@5 zr_Aoz(bMJXvb3y7@Xa^R7sviDUN*)UdCUHV!W4xFq2!1Wn74oNaDneqC11og-@n*7 zR;~vseU4H7U6J3_Y`0C-i)6<3L(e&q(zARdkkEXw=v+H_VQ{M$jmMU5I z-T7tloT09tp3YA$n?Xe0Ur@(WlUG@(-Gv(6AjW2s?YL_++D?Va+Aq;o4tE7R*m|uy zH0;+R>|$?mH9wkP&Fi$8%6aVb&%P~UD;~UF{jA8^6t1?sM}7V9W%23Hs@&fL_5J6c zso!wr8q^+J`yzleXcMlKFZ-JAy4OV6SjoXPo8*+`lMA@ky=H?U#gg!wBLN-J3NwS> zl`xlLLBlK?CmB%(gv!dsOhYi4!EmUUB~<<)s3DgZT7WhuLD-l?4QO** z4PuWTQ@AGPK4<3$Fgyc$)l26jRQtP?MZ25o<$1ZTvQjTYgum~#x_88uq({U%1h2h1 z{r9Y2sP2knZzo zt6h=pVJ!J|YFDJ4?n|_-+SOsLZ=!ZdEz4BFaF= zU5*;17}|;(K1B9Cs*sKeWJj?}N&7ZM^KC5E)sve@xodUwWQ<4BBvaHFS*T&XiY}V6 z<4vLP?Iw-ecBG)WC_JDhp8Q&qTm_0z<6YYWuhDj$X^#akNw78I@|=?gkDBgkVw#r4 zqly7Uo|+r97**kK3E^)kIjqw06b=5GE!$eN$!;x94pz&PlagZ7mP%2EU6*=Df~5hP zaTe@W;QmS8r`Ee(YQ1tFs&x6uL>&l%Bh^OI3WQbrk1X-Z58kxCb7^zV^!3;wl?~<# zKOZHhZ>p|BD{t@W6s_51YQ!K^#1E%G9prSqrC4J{L8eK`7{IK0fI$Hha}gLi$xAcvLtKYL`9` zZ2iQ=Y{8t4%2lTHDDt7DSvPQHUEUR3jY#5%1f*t1LcZ`Jt+}A123OMo1?geNaUmjA zK$X4M;`5qAkLhKVlV}H$8iIU6?0C_<23PjCc7Y~?8Ye|Q)f`BwtN@_Vj1uNwX&hp> z%}O4UuBxLtnyA=BSGYHf5>ghC&=WYOaiKNVqTdpxGLxjtoKp6R)S#p$l3QEgq-gvY zgK1hbEfDIxSmlqbVo_OHENWtY{!?c9Io0TA3i<*5@FJ(lh=T@4x0~vt3l1uQ;;W|z zFL$3kd-C#rpfXZR_!F$m9fR@^)UjC0Bw^`gqlwf^APM z<7}$%uQ>fos8enR%twf&shMxMNJvo#@*;w(K&Q!V3j5LVbo%&W{Q)OGk8~Jbuo7d>iPsjCW3P|BH6B3S*2W6A9WMfraNz-7~5cDBYZA{XkNBs)~5#WPU@4s#xaRm(qHymdwjdxms82F+Y#g1X5hT zOm%mIy);^THo%eq8AaSyj%TzNAxzAX)Cj@+Jctk&hZQgGK_O;a4MH$KxBKXV5cKo_ z!$3U0Io6A?^`WKu-WLYiyB<_1_bWAcsicyd86EvlL1iZ*Ya`X##R=X+g^w93Y|MEW zr~SlKnEXP0WTMf#%6S-;-g>6szNZv~8YT&qw^R}Pjws850nqbei&nkhM@XK2l8nI1 zk)Krhg)4*e&-@Tn2#dE|~U@LY=8vL1n z;6<#j#5~%>7(f)|F&%Bp4JM5@R_%~#6b|SSBewZDVM^^i@9(JRePn|e>vWyxeWac4 zOSG-$eTU$FS54{~@SI&U;2AH2O8fFr+~{T@J*>s$VU5i1d7llYFwpQr_(09bFy-TZ zYv-^WVsbJga#{U3o#2co4wdTdHemRxRMovta1MZ_qCoIaU;q9M(FyUh6p@=@TpQzM zUY1$6z_N*yDvm^ z_!_GKF~p3&7o5?j*rJd^hJ*2N!g9elL2w4k=R@H;@}mq%c2fixoUV+!Wz-;YR%U)`5IUT4FPBuEm zKRi~-w`PrGWR4`Q0uRj3e@X*^2lhC;t{7%puUhue1#pI37=p;Lr3=HTN1oR~p7nlJ z-cm!At4s<3Klbds9ZSyJn5(;pF4gLRS0Kz1D>5AM(3p`*s#iKoslfN}(#iQ4ohbwN zNzTs;FC8409X1GAzLme!Y%084Z-f;#CHY>$h%$^tCiP1gokZWLe1<{RNBN#1$|5dG64 zNi>xZpYT&PJ*w=ShN}%Z#ieC>h3?5Ka+(I*lOCVY7=j`pVHy85+OF}Gdil~#ShsBA zsc8VSS)bd;kUsP-wVjJKz`c<^ZURqDLwKkio?;dPOkf|;Nrh(;;#Qe*nq8PuqB123 zP8d0rn~%_JxcV$JQv|=6U+BaPk$6s%)iSjC8^#^)A=T7{)3$2 zwbY*>^Fo=_skYJG4laNwOo{Q$h({-zLJs(Hr$yod$8S_!Z%IFkO&FDo#nk-#r;*86 z6us!xhADKcQnn;2PZ$iPSB{&ly=vJ@gB2J_4qK)YaqyP4CvRmrt))He$&igf-jjqE zL$)w^3f+LbW=;a~){@t44R*bl4vFam;S2H|88#u4;V3slicU+6hT-k}DW+X81+mKc z=Q;TcTS9e2%#2h%wb7g0bBb>83Ik$!XEYSP`bsQ4mi__yZA)gyLW_PMb;) z+d`ri_EAZU2(#i0RL(>75y$uH{BUu3IZ&S*sA2*4iq(E^Yj1u@jfw~NB-2m>;A}b| zGJs@(N?rj=3=mgK(#Ze~m;4c!nyAj2&Wx)X5tGOzjx`@rB|Bm&O>OPk=@3b0=BeaIY*TbB&s9+0`b4Qo|OeW*)sg-I+ zH6RMm7b!6{!V|ZDg5~6dyXYra^(-9#Oh@cQQqkhQ#tEbH1@7$?>tb)`)qPb+ZBUkW z(@T5%(UaZhyDy&IKX~$rSHJu0n}hp!y(^>fHtWCGF<<^=xVN{RX!L2*o?=EHy_v7( zhpI@APGzJr<_|^jw~hVrm%lAa>-zgih*(Ju-`Q5~UPDUR-qfxaw|iWBPLJz$E2Yk> zV#1;)X>V_$IDZ8^z!WF^Un_ERDRr| z9_{a+f3~%?^>%)B_-5<>iof&E;^#E|d3n73!|vnaQx1dW`I&ji??!ZeFz1Fyb(aL;u>R^NHio1EGPy^RN>S&j_? z2Z|xyKVCl#6UE_}L$+^aU{Ze5E=|zD5gd?ma$e;e+b|1+$*L?}+)#5FuXGa0S6@o} zCcp^|TqBvK#4NOghiyU@voWc-h6L(+pIt&0ZZR!nu#dj+3R)x^KTbeyj0F2=Ep&QlwuV4 zKf$l%bVV{8cNAt*wL6OWvE9Q>ura}WmKGZm@MZ~;IS#=6c++8uCl}ay_v!uJ{o>z` z?|->n{6*Cb`l5sq=c@uNeevbC1>B{c)NEB0!5sAqE2XdtNDax@qNv}*K^WKmvDuoS zDDRwGO3wohr6V+PLKz?=3e7nv*j<1?6Y{K9K6P@|wJg^CkWCu`2x=@<=4*A8jecA? z9CXf9BEe!Ls*f5*LVeuHmOd}%@d53aRL_zbBv$8l^iej3N7H5ckHP-(v#MECZ z86g%}E$vjD_aS+sW<%0jViytZ>@AOuP8UTxT3nS4{UqnC5roMA58s6BMARb0Z`hzK zgt&ua!$J&EP9L)8&uvPP>A$a2t(YL z%OUAUaPFJ`XR+E_ynS8PPB6F_=Oj~Q;QK=Ghg0=iybFsD>6912e7RnvB9B4;TeTjb zQhQ4c%36?W^|&|0*QWoT*fI@`M8d9CgDEz1U7)u#itO#Y{0FJ569Y|(ZC{K$^BxYn zFAko(+<)@u;MILy5hVQ|b)1wItKuI&)&JNzJ2_o!(-7HQDPe;vHvwdipKn{|1)o%H z6?gn)Hhlc#nfq`}IpMYAI5@x1udi5PKZggb&!^&A>#4AN6z{DX@K5i5zz@%_uFl`C z9mt(ddr?wdD(^QD9Lgi@u2Ei!9Jph}DMd{b{)$NFo$InF6|?gA_38I#M~l^IRcYKv zf%WaLp>HS(zWilz^=9?1*mlNUkI&yhfYeu(|OFAGqAu$rG; zy5H?wF6U=mii&=K;*`}=lW4NbBY%W-Q2V9!p7OE$_U5ZcFB%=GT56J6?U!1As(tfh z?}?|vvN#OuY)W4lirDk{*~#wX;@rK>lDj^&aWk8dqYHb~2+cM`H35U#uq{>cAlD`% z<-Q^nW9EQ_`!XEZ_Zc06)Kwh)!nSO8`jH> zRT{U_b=hO<7pPiN6w{VnN?Xz0bhqJf z40B46&z$15%IOwo!kKqoq-m|-ZOG|LnjF#s$YaN_jb&t>A`AlyC7xskf7%$gGzCOW zk0E?HX%94;^5f3)e>{8s{geBRk!PExtN5liwQUJ$f>lkXWC^17o=OC+PWvXLsdLL+ zj9F!8H&oMY7A%SDP`|saRe?C6nBXT?)9yJiW#sv@iuRmI2 zMcmLQbB--;N2`Bs@_Ta?X>(MTf3E6v{>q(h)iN=5|GdSH!`e9OdD>$2a<2of%f2y{ zoz!ZT-2!B)P5<33Kv@1oVZGe+X_iJ(WD%+1^b#xv?y;65cVZX;|Hq}rIE@=sz;HG= zy9`d$6sk{ASxnJQ99n6zlsw4I>;vi~um-D=)}DMCWy2o`VvB~ikTsD)GDpRB^3gt|K+vic==g*O@!a1jJp9O z#jrC_EGP#@*=3wGlFs48gb=y2Li!2Gdl<(@if@`Y6d{8X^Fcb$#Gw=3X+dv~FPTdfd8PirLrqcO7Dvcb(4GWu1NQd*}=0 zY=yqY2jggC!K`gP?79@jb#~qOy14PmHE<(IujHPE9?NA91@(1ZYF9~>dS04}1{Nn~ z;reMR9-y0rkmep~zD>TIT(1aeN^UAMWit<6+5IC-X97rNUwaCnoWDg1ZT5Oylra_- zYu-hoY;0qYVoKFOF{uD$VtN)at0fPKqlEqxYmO;-*&0X544V+hBSe{Av+Gpm|ZM8 zncG_~yDcQoYN^f{jqoTfS)^EaRf1=LIN_N@H3X_NNdrW^k>IdvUUpT2vj7SI&1z}m zIXsS&K?;Sp@jM=-C9U|KJzfYTuQg2z=YWjEJ2RF%N}TL?KO}pJms8S{SZ3M9lw2fg z&|0KWOE_<84JCA^Sa=AuTV)Qr4s&pkIN2Gbn3CGp(rBS)8qeks19^d#8cI83;Aia4 zL*is-kYY-!?9R>%gh1|#1;uD@vhY}T?hGTPC5seN!m$?ZG0RcHQ)SG?lw2f^l0k|o ztzk5vH-%A*;2mItN5AjPutd;>=b0--5si%T8rV31-; zUQnV&lAX*7fkezJlw|yBYz~7*Nx#q2^|~%_HKnvzRJ;1{*xhzG9q;}C00960 z0{~D<0|XQR1^@^E001EXIW_;`Xp#T`q+SF76#xJLc4>2IZ!d6RXJvCQaA9XA?!7N&^U-wjc*pO1JH28uoL-D3SC4mY z7ncvSo!??%JfbUwXY z^oP@TkEWNGqhayr{RmAz3Oqk}^lmVk?1+1Rm>G9ZZ;A=py`0Y84HonMbaquWaWEa; zzC*WY#7iE{it%89hn-)KZsuicKg@3i!{YJI&8(Ogv-ic$-+w&29^4f3>i@l?1B?vg zcs_VnynMWK@NqK0qxOc=$)p%Arn7#1vhCdY#~ZX?HoDV08O#?29zQ}qPm1xl_x$nB z>1gtLr}qj6qkit^aT3LGl*E3J?(_~H?;K68cOEHM|FgW>>ql{z`f(T~Ugia9oY*e^ zb#$?~uC5P~H1(4>%L5O8N=5U}Uhcm**nK*=c>VCF;tG%QfcN%uF}k{5l=naM`hK2d zu@~lH>Un9FMrDVh0sU-#aI7?RJRLUUL_=Yi#aWQ!(ebAY(`yb?+#$w_*1bH7g1C8t zB)8ooMo>>?n#HM?1c~QGDf(cOSYFpmV;1LmmibxghXJOCUr6&Jnv44$raB0+C=c*@ z678y{vA~Z)G!;fs8f0%;RGN6(%; zeX;xWJy!AS!;|TSGOu{Rb#6NxjmL-6S#dSFC?Dnf_-+37A};6j{O6}9PhagH?|w&D zJ(-TDv+wp^Jo{tmf_K}TMm zhj|bte#<@T=Nn~-&wt$g@ediFJ{~nn0x!ywJn=JYhvjDZVtTtM&K4iXh4@&Mxx%c| zTPv@5`n%Yml+WqB_zG5M@d`WDiDR1v=^e-_1wEf~` zv7dU{*~Yd%9}OnW+=r?9WDnG4=Nd1I2%h>hDz@-WKzsQ4<+yx8-C$oJAbP+s!EXjU~<&f)WE54*#= z+wp+6go~=Z*KrZa;PQn5p7+0Ot>npS!jBE$7OPjYt_?rhI;mv~(w&uZ{AG9ulXT|hgv6y|_X2p#t*&J z%aSCIGQfd?bxj2>Wr8r9qzO0DfthD<2B360;Wd{U8F|^S!|Lyev|Xg_H$8AS5O=@c^2m z0N9EzWVZ7MeTZN}b6)7-3r&?y)!iP56ynN5uc_}tOqAb1+2h~5zVaA+r}y!^DM2>R zkFnWTw}~e(?$dygvTvyZ)cP@#soy)yiU7F5CA@DL=2aV+i}RVsTJh4sOSbQq)RK>u5siCqM#| z9Mx2dO@y{8H1MLBLq`8PT3pkn!S<@94OlnYm(WAy(}~9Aa+8nuYB0VPj~4W!%nN-W zDrh@-PWut?-^ocixyln;JKPZ3xhyX6!&j1oH0nEIh(^KW3FMUku52^E7l=k|!Op!z zE(r@dGUcMVPg}N(yLQXPO(l-|t_{>VhLEl7E{)Z=Qw+knMd~t{LGiB%LY+dZxS9=a zuB*>mS1W#=&i-R&sU;4zRZ%g|PSSH|OR5@rOUY{ifIJflwS?_kO^i_|G3u`!24EH#gJS zV(YLvt#%xE{76HD&-I5U&`C(#o`U04<1tl9m)BsI!vB!E_PqZ(*t4JbXP}a4jN&v^2b$3h_0uqB=W)2 zf*%SzsHv7-)WP`8V3gzk^wqJT53vJu8;R1^>yCY_LeAoA!O$2vD_==Bnzprp|7f|N z#GpcrZuwf!(j_oAsOwGgwbdSwghA+gS)gdsT+P%!{wPuyq_2d~j4re6?OQv<_Z8Oi zlc4sm2@AHOx0UHMed&d9X(?!hayF$v6_~JSG6hWRyv|;vT4^E7Ak{R&EW!47G=FkC zUrgUsrz%!WQfT@$#FpA23Lj4cQPO^%r(uwLc?1#~Iu9QagC<@cWL|`?9Q-s$IDVCc zBz`~5U}cTs1cuKvNfm3tdYoF8`*^$nM#mV$By5f(P*&yCzz*ZCJ5y@bXVEw%zSn7L zuy_jdde{8XbDMWKr_z3l%BXc52}f;R24e`{%gZ55JLT+`^p`a3kX_Ty1KkKnEl6wB z_emCAn)jdmynpa?mvy#x{PN`B=mEJ$7vfaT(seN^*`Y5M=G3?;YF7X306msIF#i>_oyj=m)8kRBVQY z_Tn~EAx2!En7Gu~FXaEQI zgFthvJ7obZBhVb}to-gj6M^>X#UZ$>k~!1(GD&%XzG945dEDg1dPI!9pIz>v#Rm=T zWhKUN_JX)E!HKoAVPOR-$)r#jW&z!nB2WFA6_KY8pPD$2VQ+>PSfc5H3i5>On~(1w ztVymq0x8HTkKx)E=UyE90E=9-t8hu!emrn5VH7F=UdKo&U~F178wi%B3-Sz06H^TD z9Y6K+O+in~!)VY#gPxYhFmG_pphZeZ^BVbnV5ik4(|${bc{QI*!=B4W!|Z)S@@ckVp~U1qOkkg&4JTGnqjx3W)x|Js+l zB0M_*Ky7ohjI#lNYj$f#fbF}Ma}0I_*xs0d0^0W_$D88H7qdJ<6qU? zu|76oxeVJ3k6L_*SV)HD6SJ^2Ttan#mE@iTn$#OW4)rH7!m>g%WiVw;Nh|a zQYJ&xr0m9Jy9@zxHQOacO}4?6hGo*OX$L8MK!6>BV)ny6qGk}T3df)jv!a+A894EA z1>y#1?f_;K3#SAn0Sux1M~Na+7$kUdk)Z;B2eMqX=5waQhs!^St`3wD#3{x9#F%l! zx(OTS9j%ph?;2#|JDT6O^=sbXnj;#Zd53el?6=rv&TN0?f z!#{{`-5XACKE7E@-wbbV>$qjwi1se0vk!yW#U2^QDH;WVjc+E1NPKfWy?Hab*t?qc z7Wk)(Zkga54jY$U&8D~I#lrF~4e`yN)K-39^w3PNyzS5Cn)1=La2A~GX0->)s_R@( z9hT}`+BK`{TuDF5(>zU}Qsq&qMpv({b0I%WOvw*?B)IXzhzn<@62aVVRm~v?k(vhC zdk`NE#ZVBGpGyO?J&UQ+G?Ugzp@9O&^)Y?^*fw5&^}5a8@zt_hmd~m=lC5_3r9^*o zIlk4-9`EoFabcN?kqjfqX-oPHA>v&$ng(1WRByR1vOcnxAY`kZQ4)hj_u6V_Wd2$?bJ^k79pN z)h?mDm8b8dYeA7{cg)G#wJwWej&^ms{$+K{@xkjLQV*s;xRa3cjLl)CQ7IzI28zUT zapuP{lFO~;m=jdq%bgu_w5$QDUygRtol>B-%9n0AzK1z84NY9#FrqG(rai?(25|}x zZJ0YN_g>XmMsrwc@(F0im$>At>dI1QEm+b^W ze%4l!eyv;r2q8*Is3MsQB7Vw{_*UF!GXI(rEXi6%Y6`#yk?FxNHGsbf@^ZsZw!B7k zB2m7XN+3eWbt_yUZ`(GK*n}(k)vLl4WCM@VFivAQ;85NGiyUWY#U^JoHAu3b$m@;> zZDa+C;ggz%$TUukcLpo)c5);@($6k|D{vu>;e!+-fhzJm*+$9HO6h2|T9$S{QsunB zeQr75UhZfF7PYM={ZXhM z*ZbH6VrfMj9T7{iMJ)FWu~gqb0#M-=s5&BY#KJ!OAVg-9G>sH=vxO{M$nvQm3tM|t z7Na%tAE^>IRl=tQW-+>{BD*`F7BdVTaonv~rFJ6LuW3gp9E1UMR9-fDANjojQjq)z z3Wr*Vq!pU9BtQxvq|5wA!g!>Hmn#NsvQZ<9hA>eKr(cf!RMV@>hk~?96|2#~piOmS z5D1?j#yv$)Rw-le>{4ud77d>9-Oh)5w)qt14HD9s^Jl?}xolN{O&s%v{zU|PFLi{puL(c+hV=`gYtA0SF9Wc78@M!&Zct$pfggS0-b`M?<<)<1LpZ;D_xaCnj!um>tsSGayBNUX z=j&jUfhksCl(nnd^)a{4<4=~C|FHa?65}1a= zq)PhLnNoH#%G${#gt8Z+-j|QkpBd%VSjs5Zrm%+5UT*(R`)P1wjgYncwQq?}9maG)oah>c%A3{nYpT0tj3q!_6 z`q%`a+)4;{Ba|@<+pHA#%LFdIe*{Iq#|Kq(Ic(+>i~ik5p>pY#Pu}v$pNdb`m)<(* z^i^>ys$14#S!}#|FWuQ>GdwB>!Q6&ZYBpKFrX8Jd4XR<212C8o^cko>D2aod`TWCG zVF?w#&feM8nbW^zYi|~d@oq)?iUaQ!w>Z#5LEVYzb%i=n ze%v+<%Jd!9uWr|+tW0kJlnmeDEb*WxQKXK|t+vq*>_G*mB^L>eGo z%g=%YPWLEz%go6RvX))70lKxFF4gE(v0_;g;4RB~uUOXl)1&Z>JXUBJ7s(nTj*_jY zEy=niS?@E++K3idus}&FYgfQ#on%$0TnU)XK%-a&8Z`te@KPH@XuGBz7;t1MN!GZZ z$ZRFbvZNVXok+Z0rbgW_^#-Et@-x^e$sP)3Ah>k*tn(R(|(uk*v-&K8<)nS_djdUW}*$6rD@X}y$gzt22&8ii9_RJ32aknF` zdl*_%O=1V_xZcGkh~Yc>;o=Fm4Dr2Uh=cO$hrb+(E2gLh&Us%>oakRY-0JzITZ&k! z(Mrr-Q{hEZ_P%-m*=ko`D;1TJOK6R>4yv$KJx%Qtac4hVm{r;%hkOt6w2dt`0gG|@ ziijm7i!$O}a>PLsgKU%KDqvxC;{dH7F*Wj(BNi&0N2O#-_6GT`%IiM^Vu6(x*_G<2 zsmjf2&wV$Anzz6`Oj7a&ySjr7t$7K|iGBUFpP zdqYLPs?M?62U4@yF^hgeM-;6FX|a?x)XN5N3*Jc!?$8X{!gGKbw9l>!1T4}-v@~Ei zF~@K7w--WHkQM9bsd)2>c zV`tYF9}cIpqG=BCgz4MM@`IHR1)+U0{osBqQmlU^4Rj$`<$F`sw%P>Q#UXwtRm1iohjE`#}zo z9>@5ey*g*2CetV#r>Hkp%!{>fC^Rss=Q#CBbN_So#r+Op+PH2e5g>YGfe3Mxcof<0kppLJ~jbTTFDXlnuIl` zTzv*eX$Lu5jKX$JLNq1ju>&pfQ6C-d9-Wm)=>UGm5;9fs2-BHBO%HSwCya7sC9n+>fWGQI};1pTgu%#%J|m z;0PeWr&4Boc?shu*Mk}C3&o7tygcSs&`==GBDdd1ms$4qEuW$-guw=NP>idWRr?2E zXOsu6JksVMOtmt72ox0I@*q%zOR{2o<;FMDhzmz_UHY=mK!}y98%V7z@WLEX!$^9G zt8~a|anOSV(uzd-KAiSA7C}0=%BBQ^z-&5%jjV56)DBqqkN`o%#~tLz{RpcDOaVa# zY@x&?Yd{65I}k9PW%!3LZwXLBur{zOR2A8fQj%Y$IF=!$G)}`gyi9qge5e97h^Hf^ zzv5}iW`%L7?C@`}Jn`l^*zo)OA<#=HXzuKzXL}Y6+VS1c{uJg7uKBYtS?Iz!mG)bf z&#L)g%coSk$B@sLmqV01DQCZwJwhlEb3p1oFsv?jH1;6LqD%Asv!C}5p6;^F_Kshk z92^}U?n(hiXKUGJIU0QT06)t5F$e+=K`jCQDHAfDp3C99+CSd?PBIJM?Rnck=xVQ{ zP5yrP=!q?cYS(VFYFb1Q^MGVQsw)M-+BVy2XHeuTYriE3))XL?-AssD=kDt4mdinx znCUVEn}1dZF(HP4THu-(WTVv~1A9-jw>_H;E=I+K++J%u1w@8+&s7@#5)D%zJgcHH5&*A-9P^oB?6txPFYJFBAV~s*-p)hbbj~r3N@E7 z?%vb69SNt8{S4RhbK6->KiM?^qyDkP7W$Ah4YUf{CoVYp_ZTBgq;Q7a!0u1!^;0DSl zz4}s zlnwG?IDk2T_WtCmap`BnKOB#4#4fC)9Hzr!g)4`J&;Loy4k+hJ!`8vu@ssgr_?xiu zl#lbv>C@-uPhX$^dh~qPfPANe1s3~+UCw~2r(902e1*%&cD2Igd{b9**^p3R4|TYI zeD+j%uz%cQz~%CLHGnJrVl)-wDFeuu+*n3%*x4jS49dNPwfm{}@OtNbKBY;jUKLwH z&!_Zl+K!)HPd`|XPX;%(q)15^Uwp%sqw;wS2nPp>knX?*MXS%BNk8><*p9Y+LTunX;A1cP768r!+ly za@xBbPd~Ukgi(*qBz@{*jN+j~iYPi6%&}=b-rUisn>+%8grs`82Noa}6sW|#b*eSehErBL5U3{Eyu-CZ=o>cga4#17JssAK*%4>0x{YVKY2eLuu1eC!{gBN38{MI`|YRFPzFwDfbkQKNV_j*<&E$g6# zY%%wC%U4)SUhC#teSFS-xs0j=uU!IkY0L&#y6kcFC=4ORMl42QeOaw`C{72M19eWY zGHJXWbBxY*YH-|rh@E%^9SBxMTa}k2=gNRWr_ytLqvd{~5ZDl;-n_}}KwyrANrIv~ZqgmBhxj{Xj z_OJ@y-Hr!*@^DclJslU3oT+dy4cgw){_SG=tPt#*qAJ5(^fCLN2U1EUB}M=3*fU`F7*z~4nEx=K#e18$Pv29%lp=3b`&Xd z8PIRp9NW52&VD&RdVaoJ`=QjKCwmWL)h9#~MT3-n_15l#H;P5vvAuej(Qo7l9lt7Oi_vf}-XD*yYC{P-tujv5C7|`n1)JBmzI^iz*TQ8|sNVR|hjR_vZ|Sg{ z%_rkf+C3a5YVSRxw0b%$IP2vIOlTbY@ZD{)fGu0l@F{C?E3*WoF+im3gp9({upmD1 zhR~7{<>)mTer0%cL#dr#7w?J-xh;@an8Fy}{mGYiF__orgngRZI<~Glw#z3Kn9VFH zvD$6TDtoBl!Q;W?>gb@vgT>_G%QG9QV7mq1r+lx51>F_);boqB>huh34s^-3$ z$723yG8I)NzWv6{nCBMVvO30fOC0L1TW0+2}1s8%mZHfvkrXU29a4U#$67D|x-=)LWTln6(VEY&O-Bb@pmX;FkTaOJk-Ob~jdU zCt?iFZNz~zz9tQ2ZPaUB!E0kM$GTHi(=rU^XlLbj-wA`Qw1%7*?5F}&z2p67z2&h8 zqe%(9lyC%rsH!-D-5x~~jONrHAucr*fzDA!qYLJ_z#Bz71!$R*2`=XPk{$A)cc#x0qLU649>} z$ZR3r2Y47%I-^q9Vr_`$Sa`|;SO)PN?X3Ln*MfM?HRObNgW<5aSriw&%h}*v(ObaH zh5(WXAbmGj46l1?ae$Ih+Z)xynF998zf_2Amk`(~Vn_*lH9vn)^ygj={P2gt?4tK) ziQp;#$NFJ0qI9bpuwnCQcVH!^iq2XcSm~q{#919!^FAUi;UW0i3$>)FW%cAH%eS$VdZ{V6w5D{5QW9kpd)wn8|YGN4OeX0{f(hqDt2 zOD(>ra4W9?O5=-KALEP?F0+CW{78Mw~hVymXK2i6g ztf7rGJ~`D~!Pd6*MJ1ufKE*Y?XqWpxZhcXU@wl1n^Vwj+ytQgRMvahPKB-$@RHQ>A zcQrT;$k_VY&kzP9^I*;oN`%CAK1L3A3QVh!m}_HIP#^1{)xV&F1i5p+9KzMUs4ns7 z9E6R2b~RQnc`*v7{1g3J-__by`Ff3PeNk0!_%Fp5)$x5O%XAq@?r3M_721g;UumT~ zN%F=Qm3yLsl;*yurBg$Xyc$4PlN$q=@5d+13H1cW-9$E6dGk1sitG+ajk`h`hK=^qFx+_2 zeioqOANe7Z6SBt3OM-Wg)qZgle8CNs%rP8WXr3f1zjDi)V6xkZX!$s$m%muRMx7MY zUEVU;A_o=9_^95cJRXDO0#kcfLJ1ZVycs6D`r`f63;YcEQTSu1OoRHR-<`>hc~?N4 zG-xx|LoMe>*vJ%kivQN~WM~`8HTIe7q%dUO3HsNl83h4QWjIAq3B)WQS1+IU8m2p6 z?lvU_ESILT20O2L@RO$>zh5>01Gq4D+qt%MwaI1#z^qr`P8tEKW84UULslTiHOR&Y z0EwLge}t3*DvD9_jDHQ%2w+HGHZBR={63Hm(p3b0K*fJM2MsygO4B$8E`p81hy4La ztOOKGjR1Ck&NXZRV#}|04(r2caF7cL`(v0lxMt8!Ei;RX?yD^@m)VKRMt2+v2e+L@ zV;D`mA^n!GjR|X>z(Yj*@3}K~l%6D5*#Ld4nJ+nWM@vLgE#RHT6h?sE`>>~FV1og> zZc#|sVffEq8HJ=MfC_cNz(^}isBeyzPrD}A)G(y(-SR!Y%dX`dgN-HZvTuk%IQJ!8 z+_44C7yg%?DPe8K)5@Q=LLJVVnJd7Q%532&3xKy{zIOR$4<&{%!xs zaUFg1<0EmU4PpVq8W5{G#vv9CtpKs&KFWNcrVvto!6j0OkX{vG0f*%|csJzK10KrN zr0-5pzyKRZ0$@1vJP9f9DXRH(_Oh^Jfja^$`?HuxMoyX*m96ZP^@;=_llaPQ~*SP$_~s8K}4?T|*_LnhsnnAkvEypA35pEnn_{oAw5%!IR=rXJvj%1 zMpo=_xg|O5lhFzWbC}FS3c{d1bW3ui1QETKmSpwBoh`{~bDjHv-Bid>lu|?%f7sq( zOiobp-9DOF9gV+tc3l*Uz8|V5?ieejHpXJ5)DwCy8?i9A8i>1EnB%R5`F>fLtF0gf zpht*gteAbd@qldsn=Tko3-PTH8Q#>B34}Hk-0Y++)TFAPhX`{C@-Rc;5&V&x%>*uH z?+?zV$mL$8p06i=Yej}R$21s!=~iUpwXajyfB_feOoaa%WA9p4SLJ}B6YDmT6Szqu zIa9ofqxqBD`C|I6It77Cf0C@HeoZ^n-7m^;mTQz2eCTZfP_Kccz^WvE#KXTK6UmEHXf)h_w$ zbC9NonneO&uEByDR?}_SgIAAtzW-dIX3Y}N5IPsnEid1^qkYv{bO7|=TEO-@I;>^$ zu{tc|>LzBJ`w9tGyvJ?PgP)(CJlz&Opn$Jm-WRhmJTGN(?i#NlQTXV$VsSmYHP0PP zZ{LoK=8Ea<)wOxiaY2RZ=Qo3)rS;MaVjE~ipaNK@4*0o82ysXIt^$ z@y?eRWhM~3ZH>BZAG%_}mRv0dx-QO6hL2U&xYNI-Lws3j;wWt)k7v_ch@z#@WYA|S zZO~-?kqD$!Wn6xByYgta6QunF)ipiPEvQM52FmNLUyM{DDQ|iLx+V6J-XyEkIc<;O zupHM>ae^dE5fYFJs`;~+3DOt|!Vr`YVVv+5vJR62cY|!`U?xcVNFEG-?+lq=P_O~7 z5oQ7zNX6o^B*nbv2+>kgry=iKiZ_IL^y@0TcW7Ih12Xt2(d*3p2!2XcB%Ws6h_q_O zVQmi3PW&@eiv*&V>Z8WONka62&=5*FVKq8vjlJ&L%SOxrt!%!0SI&SlJp49w8mI-N zV!9^*XX2BO5NejA=(t+Llk*MiQx6c6nq`@Bt=!U38Wo!)`>CCzE%HpI9AGp6tw2)0 z<<8Yn)GeFRZ>47NL6iEq?MV5_3V&JZZ6eaO=qS#whCfcWfXb&~qk*dI1=1WOq9zurz zzU*R4iGfEWSj0oPeFUD6J6l^yA*(0$y-!kFi#uX&CT*WuBePlpn*PPH4Ok)D%Qx?k z2uCkWD3+OdhjR_v(U59R={Ob@(zu4hsH(5foU%>ZC*o5-fi*?-kKd-~`$|&u)wDCm zEKOKOhpd9*>8w=wzh6^*Sl-2UseL6&O@de^gt++s(&KHJ4Xu+mpU^7Z@Iz5DSO={} zIvr74P{y76<(oyVKD&^La}YK&ovX2$JP@OR2}4s2*nqcox}9xh@@n@K>(mL{m1W8m zdUJ_7+gW*qb~V;@U+E@IV5v-wWR9#b`O)QWQxxezuRcTGjcTIEUJo^q9#dhYZ@($V z^P&t`qC;>kfrm=JJmHA{iDZ3B(^7{3o7(fU<)oFVu$t}63Il9wsNa#KEW&;Wvt5QP zh*_GYp_O@-8lYB^C?o$=Fb&UM?!P$L)lTa5ikMdT5c?NQJ#hra(NEI2vMq{c$TIX$ z7`PsAnnhI+)ns8UCOEDjg3n5jJu`sIDbieG&{cSgroNUPi?7B$lbZc24AgL##xkXy z(CuH`f`_mh1Q7Wi?2uXNdmyGTryJ_0vF4ehVH6g(#?|3oh~Nrig%93{H7#f}hH2I` zVFzUC8`rR*Y44U$7YpQPDGjv?&#o^%C{-wsD>Qw3S-uzTQra-pQtT_(xYA4dEt_Qt zmTY;NJk@$yuAcqbZ2G};dBZ%moDuX-4PDePNXw73wTQ^Z_##zGOQZ?R1nmw)@B?%K4V>%_G*V=v_JYj!V6{P-4BrYJB+v-@ z5W4UriSfxq_+o6EsRSDMwwip-h$IFy;YdS2y98>GTM3N)Wa>wZOWAREsDZxJ*VPeg zq;O~nq9g#U0@gu<6=b?5I~dl$WKtk;0yiN9W+QHmBOi_x!hY<**aO)dhC!-`=SI|{ zF~q(_v5zb!Au?SnfpT2bQ@Rj%+>mt-=!18;il`Sex?EEK8wz*^F_?f~H*50Ur9NBC zlz0lDGf_;Ly4V+sIDqXjh%#SUAz!1|7t<5N5{fj`7%}R(DsgU1?&m%-@RQzzV0rax z-OBwhU+&XF$g&6?2~muC3ppueOkG+}?xRuoXNC!Rl0oUs($vx@$$d>;b(H(?;c9gp zrbHHPv8E>DpkKYB<8X@fS$POOA&D}GdbmniWS;~EU?8$M^s&bvI6tvV%1;XnP#HLd z`|n>141B6eo!U{80u11z7-7u>@J)_eGK>US3kji}SVBU?Q0}NC2Aq^DMn)qcwC1E6 zu69E2hanSWWej3Um69P>bs*+k?FK*J+klp+oU5>5e(TU_*Y;G!HQlaL4ku`C`^*!qg5 zdIw+>K?oxfCrbz&xq*?8*H%YS8zBNA(+Z4GgV{xh;AD3~q^!?{eB(ZzAJ|ds-P)e3 zz#d_Ef$9xCTu4IgY6*Lsbcl9x2^+!!AGlTAf!mWOflr z=5+g_4P{`~6{tWK&$GqHF|v8lrVP`w{2TeHRDa9h{>QvBgf`+MMJp^TS)69bp^C6V zzR^esk@VrU5u@fK;x|HsaPX@nbcp*D-Yf!fP}ND*YnW4pk1~=7$fiqB2_+2_V8@*= zP`yfEwYu37LzEr5Gk2A7*789F_OM5r>`$)7mW(Zj`+)aB@AGs(*<>c{a>nX=%H{OJ zSGb%!4pz9F9_HC>a4{+-3pIR%#=suxaR2!1sqtWI-ujPQ%vHH=UkzrXL7Bjj@_d&1 z?|QcUc6NfrR0gn~Ap!sCIlT}4TV(y3!Eg0Scs`}~VfsoupVCa)j-OrId@G($&nAN# z+x6w#9Lxq+@?>QUeo>594!$$@Hnc*IdOih_i*oMf_NE9y_Aw|sq}GbX!;dd{(F)VE z|WIpV?At++o)lw3W0U{T9QDL>Zt5F>m zf6zd%42G17l^(OZ8p-Ik>UqfKV2)IG;G_JM@Eb&aYCnk)g=x8k>ybwKOS)dy{Zvv} za@wJKLg%zKMHEIuyoB5X0aod^8|p_YvKS56k&t{CQli)Rr>bz@9-_3tdc(Mn3<3PA zRW!*~m9Uk1*0}4gs_0geTuYN=pAc9xEWHGb6C+9t#=87;A_NnMs2;C?yRVtDh6e+I z;~3?K`RoXxM5<&Kz^VrGBYbaRnpLR$tt$EDtCHnsfRfNl*_K7OTi%vb zs@SVK8)EMnUb8q=zExBksPnx$-j}JfR~zsWow-AQopy5Mb%7#;?;P&3&@GSviG3Ez z1ap(Y)z>FqEu`IcsTr~n(oRtpNsWF@QCrjkaj2^Qse$_BP7>5FGS?RtCoeXtjAJf^I~+8Ml8;r)~0 zm17}uAgF1i%@+dY6(yOJELaK-@enzp{NNK7bg*R)!JW*eAu=l>&m+tz$Yl!!&-NYS z9u>oAA$)*JuKqYlg?$T|6|ozl;vBqLvEkV|?4)oXj(#sLp47$*#kd0uLegkc0d=1$ zi3y|d9ffJ7kR@W9Cjwt9BMXZTEbSna6zDsmh|6sM-|fjSKrxGyW(~1Ph`m?6xjg;d zo}Vf=J=u7cmgU(aVY7NUxo9hxuq~x9oQ-Z4&B}YI$dlv2j$u?iOty$Ed&4poFlr8u=~u#>)0GEeBJNLca(%*map zWNfpzJk%Rl>Ym}O%~oG0!Z6z>Z9GFb#?_5}3F|ZW_BdM=)<~9Ax&TSc;f=^ZU-|tf zjA!gk%vU&2Fpm|<?OD{CMyEN4EkvFi03wC#d9Se1QNNPMx)`0ElOX# zPNaaZ1SJBOC9Q2*A0aC+7NjwSbB)nSo=#G?pa3rQ~jAI`*;YIO2opTyVW3TQ$SH3YM_~O=Mo+JX3z4J@wK@P3fv-ILb*(yJ7r0_+Ym1 z#n{)<*vH%<_(b885ojg15Q>oI!|FdeHAO0SM%x?+_~pgV>DThvR0o%ej2foDuqIzGo>rY-|;ppS6^u~;qYw!aN;!%O!e4qBn`aT%C&cw zAZ$5X{Hm|46931KA9pE>OoN9A(&qp8&jS9DI;Z7EY*o)^_wSgMm~gN1#J~9PO)rEY zVAvw#1I8&b!jww8t6+GjYm|{wEajiHY#`R(&Od*2V)e^<^JM+z#d>2mxEzl!r*6#Zr;<{glz++rmV(ua zIKyv5g|j2+UliuA+rrboK6v=mU2|*I-QnZ!pFBKz^yqFYzs*%Ez3&|ce$79-#~(RK zT7q_&bNhtdTH{g2CsJ!GuoCDaxy-_k^j~p}?Cmogd6r*C&IwtzBhweJcG5_=@*h&n zxyPOq%suPBcbPPGlnde}VxvtjsAOZlk=8$+0_*15gYa#R=|R*uqwd%}YQ;MyzEyAg zY~5XI!>alH>J0DwtJCAv*@Mk-TmbKFlIwYSP(d~SMS*G$ABP0T7u6%)RC-TrAEEr5 zUFK|u*LFW^2zeX;d|$pIo#}Qz>1mt7v?;7&O4-HGNA#uy=7{(pkr4}@AJSQ2pvS#d zfC&XTwE8dZYQ=(ya-m!vz8;1^tIEyPbE{+lxjAr{fxv^mMSD}4o0b50j8Gd43S>D( z@}lFJtyOlJj)%b}T9(1ip)0VYTdmE$gZE$xfCy1tE>N=4zrY9BmFw2>LEe$Wg=6|N z4YX<&k53?U+{bSO7Q83yq|rz4dpX3PMjyd1HX5zT5mz#?|ycHLIro-a%Url4) zF5~G6KWt=ZX;IxST%n|?Oi4+R%=_&!v>(yp;jO&b0!KF5}Ga_pVhORMD z@+*qB`6vki0qZ*1<7%;*TJ1_uiw+{V(H9?qJ$mhaLl84{Xe9?Bfkw?>rW&hHr+O5r zQz@|rqQG$*&*I8wF~Mq_vK@bny*||TAqH%7(gd~3Tm{kC7bO}aUhP|A*Zz2R_2=_H zzPkEzk{&V{P2(0gMlf!S>p=?CrL`$+i4-4VK1lmQjwqILcn7;& zp238GHjAZ6rHhkMIagbZTzOrS*)^r*nxk>;dVP&)UHfSbgZYT8e+%p>IA2qP6lJ2F zIA>7BGGZTeE+p-Pnv*mq%bt4aV;@BJ(y^ZvE^g@SXeneS220@&A5x*|`d|P_vT1ed z1*u_(lK>}0q_g8I!oBhUypBI4DzE$#I&0}o>lmKh)ofG3@r7uv&*|pbS|l1vq4;B! zW9LvDEQJk^Dk;=@P6tb2Yo}~uAB4UY#hP1!NFn5cSu_f13yL{b!a zhM>B}Cy^A=cqHQ#kYkUeo;K`{Fi5sz^e|$=Oo$^`3J0?B`rtt4_MSK}b3CzvX1Epr z5POJ86lOyyW8{6@x-dK9{eW2PkCaMp_xc_=Wx3w;v>vPd15I?aEaK=BvPgZ zN30y{r;cQ95trkNWshSA1Wk(w)N(Gwp_X|Usyda6W>0`MxMJPe#YQ~Kf<~z-_ijUHjMF$a+TH1>#*;q*Vc8{k3M^#f(I}gG)H>C$rQ=+`$!VaK$=(_4=yv@bp$(K#X`= zd~oqOY+&5d1tOgqOC{m}Ai!i#F$D|9DKhWd^t8vP9 z{4plm=|9Bx;fl438x~fpgd5f}4$eYuSkCQnnAXV+i&ty?Y8=_3Q5!xuSR+Z4hL1qV zyx*cX*A2@gL})wtO{{UXw}o}XGJg2&3pt~{rcusy!vcD5H*mG?QQE%tW5Nw<7eiXt zep;iTRj8Pu2m(hcKU*AwWBk8ie+XC$Zkzxmid<_*MQ|gJ->^}DJjNbfYW&M`h(aMM$@WQfgT=hB?-R#>7 z3)B&ZfhH&~#?b{}pnH2y7-+n(Os&*>V)Dx3WF10u&FM#>OmevA_|y}{NMd#CwBz8W z7XLY3Sk!?va{M>JeaZ`qbi|=yUK8*!m1NK*ERrY%%$ih<6%?fO!ZMRco~s4ora<6K z<$W#S!V8NkE=yc}ae5;yZxBA}`ZEFp=?ihBW!{BI3^K9ffJ;7(efH7^Y3{Pk}C2?ZT#Gpk8&_Y z3ISM)%I#87m>qk$u%!&|%DN>wh`6xzvT*I(uarqOWKCHQlY{WhbmLK~G0mJ%RmaVa zB+iJz6nS=b@Xh_km#oiRd5&S<9X;xp2Le=?V4g5{#PCswd2()#Bc-STvdBs3KyF~e zKfydjO>r7J<&?ZPsURRNf^=0Y+FS$V?=BAn#gwSxJYj~%Rn9TjR;P)g=dEE-Uq~4s zcj1t__S5oV7$7MoO>Vcvw9Lsbmy0{7SRRO}zL2KHsLKZ@O2sqSx*#p48x!Q4zTo!K zhZc((AfxW8F8Cp zFcSA^)*=-eQ=dwjvgq_gDqHJ&^0W7BVMDrjhgecXnIHcu6xwy87j8UE;1?Lh%!vf#6?y5j?4#n&d`&U-NW$U$U_fYtv!fSPRNE`VA30 zsTrx)c-?X09TVTGw+~R=UFy};{0=dS4X>1%v-)%HGe)uW``eJ5)Z~g!=1G|Osp3sZ z>lgu58|d775@;hBomh)Pj@JpJ3Vqi>#-q3E)Z%;D$Lq_u7}H-FvM zK4xoOQli!y?1%Nn7OyxveRXbW2e|^Qc5mtW@CxuzNwZWjkcGaz|3qrphCxYk1&1Hm zzL@ zOm{=ml>Z2EHA9*1CZNUn+;85Vt^fUWk7c^$G5xXo(C@12q)t`^pG5}|W3~@`0^*>C z?K}7Dt3^ram>h$z>LlB$C3igr7r%+&CN!OIDe>`YvwHhlEIAqR{MU=ke;#N|&ywL(y{HOhK7IS( z#1t$k(>uyX0^_JB@QZh6XY0VL+25aD+16xZ_DUlh)El(dnyf~jm#&m`cg8gS?ZsvJ zp0TbUovmJ7wu4A~zo@1vgPHwRn%o`j2X^X-`YSZqPCeqzE7XW!r%P#<@7zx7cIx>d z{N?T2^1v|P?8*9S^>TH!I&jLwSGSn2c69z~v%b9i$(9%U=`H0f4(@;R=_hHm5hu>5 z3&RpaZA^jmJs8}(>bX%RCku*MP?&T%o>`^K!M6=g&x+9)&2DNcp_4V* z6h&*(VbveA#popK!i#iDY{mowJ{f!M{Wf;Sxww4zSjkU;+li8z5lBl5)Po@1&U9>b zxh4kZM_ZHrN7aBt@#Jw8CGB~g*)sIz62#N#BrE6(RhLR1S#Er4{lS(YF=8r}R&MTh ztok#j`un6VS>asxaiVol8G2KUcqg>}F26c|@!$*K|L`pq4J_7dZh+-3w$2@XCgw8n2-rU*-dCE%b z&W)*3@XoEiQN#-a#z6X-18QVy^ffd#ha&V&@QKH=Pt5Dq>96uzd^Fxd*vl)ioEJHz6$rZ0y9r z+pTYBXG%QWET{a%gp$~D$YNgR%Ywbjp5ZXlRNKSTP1RWWfxo#pAj0d-yR(&SiJNR= z*4sRM)3aaR*1*RMI(*ZEcUKo*uPu?IUKWn>&07n00xy!7y4$3lL_yP*2o&TDg_;UV zX^toPe7?X}Pb~fV)A3UjLHS4wK{?I{qoi5#m5hyy_Sy`I$flPT?EIRpE?FuIAcwzoJuVtDj?}^5pO34zQX-8~I`NCU;=GcECwLXM2 zs0AT?q?bzgneD5_e=`X*yA5Xx`@TKt#0SqcZ=bQF9)e;;o~(`khrHM( z`A}+jqkLm~j>N-?V|H*!+v1Ye7dTb2Fu9kNkQ5z62t8j41a|DT`<2qn0=DD{mK;Nf zE(ysU=LD(8&`6nDn2GTwfLavXq#2>)^r~UXcKjhG+o=!Z?nCTI3H6yPj}tOQO8@j~ zeZJnT&eFsVIKe|x$j9wy%DL&L(3DX-2&)`ZSQB?ec}%9Hr8o%FB2wJAT`s<7G^G+| z+}g_V1Cj&He#l5ps>xoMZ+-j?aBqNnE#Rsh51`NeA5p{oAK`@kA2HwiKO%ShAD8mS zmOhaD+r|0$E_snts++s(%W3T!x=D*^uUfT(lc&S<~Q7XHW$aZe~mKplQF#C zzf&4l?;Jc?UDEXZJCZN%YyB)nm_EtDhw*MsY>cv2{=WD+68w%=!4!L0mLC?2!))gG zyg1%Fc{W~8yaqmmsGR}F4LEMValT{Pfa3-n=Q`3JkhsO(+R0{n3w-dtza%owvOFNt@79+Te{J`} z|27t>A694Y)+J(Ne=P9uH?GM`&ZJ-hR4ZYFwyFI%{b~BIiI>(tw{>hCZ@*Af^NqOs z?Z%`f4~8Uok%5;M2zde|aF|&RYWH4!_?14{lSA)vG`WqKY6x#akf4n*U+z@esXYd@Md*&{QBU3%761e%RguNPp2n`KO8+Qe_fT; zsP!$szT8~AJv<=d`RCQ<<-vc=jW5?Ht9NHtqA7C|9sQU8n0C{wC#PbCmi?I1e8$z7 zrMtuJaSR=fQJoN0Q{+T#%$7JVE|$`mUw`))md<%qz^MbiT1aT3)t2+|d`@w-`HYN8 zxdp*ZaOra!T>4z04uQ65AQ9#2UUkDYaB23lSY0Oym)K43xnvYOGW<<73 zi<~UTcE)rs`BdjOs7rxa}3-*qHqEPpi=BP5GT^k6a zDFJr6k-N90+U<>C_uB*sqabceaI0K_7GS$;Z!xzS0HT=AR}N@G%U>Pi8SJN^mS{<& zxftF@V1Kedg#up@d@dhogkcQVG=!jgQyk66(YB^vR4c-GsX;T%@`*_+AvSh{VrCU_DJE$1;tkTN#oIaNw#6F9-~ayC;0qr@OY$ddK~ zqw@sP5){&v667mRCo}3=WRQa6VllCjW(Q8t>@>x3ncR&-_C^tD>tI=VH0>HtUW~vg;smYN$|E-+SrcZm zJ-On=*~O;hJpZucn{V%8$lqA`%$3XJ*=BWq>H7s}e7Aj4NoUd|V(jy|#beDX(}~(1 zug+f`JuKgFeg66PPmPS$OHCqxK79{MO@Ja2?4AB|ef4_t&R+}GApsy6y7q>ew1BlwshuEHz1$4@>RE+4tu!*PAo+T7NzK?O(Ag%|aTMn!#MosTMQ| zNcj1&8%tWwvlnye=DV@nso3;QheSU0?y0(=cb8qU&k7aZ6 zF%@{qk$aVTB$*0&X!tQ>k-=e(>hSXke+%VTCuxRx<(@(Y+BrTdl139oJnXzhfpIY- zZ`+KdstJBnwEQr!R#FKcenbNNOYEUB-ntG2&^(2UB&(My_y9+l1$j52}yLTH>-c#TyIR;-d z!U7aRxDL3mgGeI1gzkQuIHR3;h~OHI`esPaSbqg%qr}2f3qZExfBa-SHQMj|?K`Q( zz1C1tD}KCQZO(D3J$Ur+3%pyyp0(Dc7E=Hgh0*2a_@^fizC5fIW8;hQ#dqk+^$$j^ z^u2D&Up)WXGt<3k?(U0CSqJ8x?W?25tx=m@f0Q|D;&y%8xv8(dy%^y>Ii|!1bqR1t zQJyA^;y5E8I!-H;UzyJEvcv~h7-*!nI2q4z)Xjj+v@(hl) zHn<50@iN$M@85}gqy*8XJgI-Tw%dfHP{>)RmyrSl*~mpd0eN82bNWP2_Uog6>f?nl zQY9Xejrl4-x-qQ-q$Qy3IJoe3R+9_TrnBCke<*Wp$(=4FH#kBGA0Cat`t%YxHX?u)yph<+-9+z zFH8kcLK=$YWVx&Un6lVbFXN;CX4(u-=)Z>lKxzg9Qb=nu{$z$9=`gSUn@L5c(`Y<} zd$8C#vpQI8U%kEpu+~St%tvBMFJ@G?F>Z8A6U_ZVgfH~4*aiWU0G~Z=8_~l<$y?EW z6x_N8bKs1y;5NC-lih}}ZSeT6HbkPPH>k56;Yf zY#RpUK;(uNz&6m>c>yQop;~jYOW)AHVg8>7vCM2^7WW4NFd^wHx9nOIJlxHF}9T}le46& zSp(iZnn=V%iN@?3ejX9`?l?@9Ujig$y^^UVOQBf+V@~P|3d$4N)~=&^?>D&1z*V5^ zlHgkG9mXRtaCZy32xBJc9y#$QlO?G&$j%3*rup9}jmRWhEC};mlIDZNe%AEiU9 zH|4txXy9v;K_Sq~Jkz7e4{i30%(;+CBjTt)d+cQ|c|?}6G$72>v(4$NSLBB?fg$#5 zdwE36ObmHMm><&ectvFeRc)k(aQ<_Xq1Z#?=+WJyr=_3P!@Ktm8;7mWDyVj`DO>pL z-l3EDTC-Fho_q= zc&9x2zCqGoY~H*(TS>z_H`&%~*mn3PQw5=lxiiOG_@)Q%t}eb_pO^Qk_aM#2o3~fx z?|~Od%-wCm-BF~xTZR@mH}*4m#fUtZjmy}ED2VknFqW5uHsA{N!J6e&W+_@0^aNuy zw|*q<_wq4rJV6WDOlsuVvwk2ixLAlWvZj$OXd^jU{ ziz&>p$hkX8XX=#AYU(tdNp?FnF<)r9$g%{T>EvvlmfNHYojE2^tM&8&1~ZXtPWuKP z4Z8Yey?L^J^P-aW%F-akiK#Q#1q>oDdt6@7`Bq!61)$c^p znZbFDJ!DsXr_ua==*$+Q7)k$+^(idfXNMdg#kbFt=YoTOge#G5!Xli*NKTOJu%PwA z`JE#CV-@oI3{7w?Q^SAG0tnamkd{8Pvt-pG;p}yO}zl}lO-y#HyP;f$7l8_z1L_F=J=*U&DVOHG|@8t&d?3OxL5VCNum3TkAneB-$@<}?PBN{ zq3Mc{z-iWi>G^2Cd6K8v}F&|ICx+EH_Pw@s&#ZT|VJ{Z9C&!~l!9{9h15ei|H zo0TBgUjZ4Vd^L6v3r{To*^dA5lkN2W_Fchr_cfFR(`yT+cc_6fb|xdg+usEVUs){a?R&^3@Ly9^X}-A<9tSTa*R~o7+TX32%W;4x*L>OzJ2t-t9=B zx)ee{vAS-z5(?Gc2tlGj5&9LGCFUq%knWk{z@b9KcoPKb&KCR{4i4$*?^ z!dP!Jm#p(vXeXLCNtw7SJxTL+$Zt@&Rp8HXU*_6tGVz(vfz}hEzQwpMCzIA2M}=s# z8M&O}z7Br4nRk1fxs}icPSze-8Oao z?lN?DONrr>4T)wF$sWvnD)CUq}a=IZoYs?57PjBTo<> z@?$)qmpT64*6G9@jgF9OYF1}+eIXTW*1FS#hN0W(+`&HL%=lE1FtM(2X3;=3&miCi zR~g9pnYk;?ikLfwde!UWtFCco^(jE~hbeBWe6wT(KwGiiY-Zw9b?(ohTy>k)LMcOy z%G||k`8Mf1QG?qGF$^mIctK! zF>NkHz*VcD`T!~(31zCqIDVU$WVgC)y7$EKiyUZ!UzQ`>ECCk8>lmS{OHensWjR_- z5n}~`P|JB<4Yo!%Enaa`guT};n}DdY7y z%@<>`R?QdXbA#=<4A-&FawD>8;oU0#l%~CJpOtgvSVYa1Y2!M6!^FVV5^!Em3!h2o z|oGxjpb73YqDR7slpt#7FWr}q?xu$V>JM)N8y1gA&+dw!G$-F z*i}{3DuzIKOIkXboZ~iCg?FV<*KPrctu}~Sr&3$;*QgKr#j@+aL_4zBeb&0Kg^AF= z4m38-#-;D^eg2UqrXl%iVtRc{V9LcgwFQ~jSnS=y=v{F&4tKYfJZSW|=?G$ZSr`{$ z0&DYlK8rcoc^Blf0QQ^wUt&p1G>q~*qX5jT$VvMZSK}zkIwq*D$RHu7IoZ%ea~k%V zl)x}XlRTU7dWGe79oXw&UdtQjB#q-_Xli z6{SAdyG)3q)O45-o{*oBAWJelLEPsVRJ5g_ok`~jrPQD?o9eaLGfY0b=rj83vRsNB z3k7U9%PWg@q(5*zFLUWpLWq1tP~>vX1TN~KGYH=}XOxYTK?kqUppX=RqaUJ4d^B~) ziVmWP#@UsD4N*gZ$*$do08N*rT6gT72;wD^2Fd@t1ul`^S0gK^S z+xeXHtv&Q1ucu^wn$q;rWQoI|TDJUK*o^a~5hf%goE1y5Xa#ezYMId2X1p9>Tq1yp zGy$^-h{d9WEmqdnW~{BFX`8X0|2=iln2Q&<5g@C{Pq3i=-I&sQX8->5j|Y$c-`9`7 z|LSgQGCd?eYn7$*@E@zQe}21JpR70Q^W(MhvEu=|xxA%wjYeI(r4pUB?^WV0`zZq1 zL!(yRc8sY8fSED7vY}A$Ct1Hb2Y~%Rgw$XbZsG>qsn~1!(Q2dTIh%Y+1xcv~Xb@X( za84&6DT`g++Q}@evyZB-z)(ie4B1B$;OI8djJ<(ggB`&`#V|hT zbLDQfR7<-xyBAT4w_W}hFm#;YvOp~A%*dUtOXjYJ) zeKDP6oEUS%lKA?)fHf2F?Gy$9)WkoEyr(DJ=j8jGp_wjc%M71C-0(Ci`tkEJ?fH*N zhDs&(a}r!l=hO0}!{ARn+t3S3G{X~9YTnLpgEQrHr??0NhFErM*JUv>FE&}=STF`Q z6&5c!NMkY4`NC?T9%MP4lRF-wX`F|U8Dx+iOi_9Bxfgyc z?x_#cfSW0u;ORlab+{$tXID;U93>k`WfnrBhaeEj$I%yEiNzr@D;9571 zu~zLrh&-lN?jRcrsDedKEtD~CS@Y7Dt$xu8xyTPUq>)FIKU|*~fW#S82lD`7{2$rI z{*UYz|3?ss|Kn2r*dj#1kM9u#_l3MJCQ~UG#kz^YXrIPpEoz(>XfuH28m!(x$*owfnROOsv`oS#}0(0g-@~x1%brQEqSEL@1lssrz`1Iye zGTdjB1Etq|v#HK5kCM)jZ> z*^(%3iY2q0xoa?L4U(OW0I*{?Opxrj$aDKmuF-NI^lD+V;OZifleg_sAZWJO1+zNv z!N6N#R~3lPx$)`imp?1kkJ4Q87bl@TVAvsT681n)98=Cu%-^!vGV_MrFHfHS?b*>c z&+fMA>kp?+t)6m~J=kn6elAyc%nh-H-6hxw;$qX|#s-)Wg1K_y@fMe( zG$h4vrnxEXf<%&=ty){YoD9H~Kb1R^B$TEC*TK<400RtS9FQ1D5Opi^s6F@Yo^T{v zj0kiwvp30+)zcurkZ@$2Q7i{v0OM#Bjuma;2q#t(BwD%?DLmp{-2zB}O!zlZ91E?~ zQG|1%oGr0a>*z}l0l4O944$7 zUm46o+LM(3runLu>)I}3=WybXN+c25R0a+~UEqi#B|E|q_^kv#g7)7XkK{||_P&6mOqh)8&#WlJZ9BBu1mgqU?>Fbdt>0+J*iaV~CwBcMZG5>R0Zvsz%#IAU#uBRirIlO}{T(FEPI zw(u5D8y!ht;-sDqNcM$;A0~Cy4d=p-6K$sxXl6M=EjNzHJuHMEoaUZ)?WaXU z~y+X>|! zs$yi0MtKuhgbj|+%ZSk-_NxlOx@jx|ooXa8W)%{cK~RKdMld6OGLjG$$u*bJVg!95 ziA8Xg;JBkG1uja)g=3HXG+2buP;rhdBrJb}Qk6WBSfmQcD_B0JWV1Me_ku<80gE(V z&>w~kr!+=51rQx9Qtg~<0`G?9LN1D13q`Qcuvsu)j|&aS8zcgsOkfMnqL_5~mztIV ziQEb#LKqBO2nKclIMt2_)ubaj>BG6 zD9XNq_uT52_2$X?&5QNMx2Sun@_76L!%X=W!ftQv^@NQbE*x{cL+2ZU03N|01o8OO zzdm^Q)m_oo;p6Y0JUn{z=x$q;!(6rbC+{5we$79-#~(Exo_oTLWazS@=DD4^@aJ;y zs1#1&59L3QT4ZK2{U_4vE&PmjX$>wtzoYHz&Uwas$*(u7m#6FVtFO*i)Ej--E<9mv zxRv#vbQ)i(yp;e8om>{UoK2hDa&bugJ*hf7aCkhSRA$ zmjk+^coq2(#oNH)`EHjS;r@>wkbD(xFP&UrtdvV`T_sUP`_XI!^$BmQV_S=`vv023 zt(1LT&a31Yd}q^$tcnc6lYrtxO6-8Bf3A#)_Xp}rX0N+IsvLie$#!Z>N&TI+4>8cE z3~%q=P{L8SN*h1Q{(kVq=Je&O^}(l~lrf!~gSQu(tCSNjh0+^9<|lh6WosOob+|na z(&QX8Pza|9!oG6Y4{E9#R}Oh*)>E&*+5$HY;09hW=s=;nTcL`r&&K& zZmnbDTMJ7nJYHC)On|)c2ZQ}2Y%#B_gRSRn@3tETXf`q)nD9E-9C>}1bF+sX-yA1y zuT9bJTuA1C?;XyUHx@h{g6Ht;u-|^GQkF-}X+sQLg>~>Ro2fsp`XKO>@R$~g z%h98|M^DTD{qU|-ODc)qH4%Kh<#YIZvTg|FQtJxMMmLsLm~Tabc4D%$GqO^2dFQYL zP<^M~dp!c_uFiWjOB zkM}3JUjpDXSMT5P;Y@k)*)MO`_wSS&@6N%K)g_wQ{X0SQk`*HozAwNc6t_*V47 zERu}Jm{jJ=s@#c#0TglMTC-@7OvavIIF|JN^Wtsj?!!CZA7zbO3LHzMd z$$${@lK6$rn{%yeahqaf80%t27J>q21dHw*C@{~oX{(9tcP^x3`*9dHiPH=-$kzx# z4yU>2UHfT(4Qpe}K#aMQkfpInoCUCHAyX^Ycga>{=s!%Vi-kdC*KK(Z0EVQ*9ZhI4 z0242TV~%GPyyQylp^}YRS~mb-?4CC~wXx1h;VltNv(<4SDoEsk`@3?E;NJFByLJpe zVf@XX&>=)`^60vL3_oG~^`9Vtvjaj?4F5-g(E1UNO?6`p-ag*wfAn{JG%$`$NcD?N ziB!8Dkg2u-!N@tT%1drjyI`=rA_0BE7~$*x$UgIb1akO4Vs!F<1ctRgwj`S1x%Y>X z%dZYJDokh-@on?GD0g>1nCt<)&;YqWM!)KU!Xmp839Lyff{t6Nc`m8i3nnw8m4jGq zbDbEQV$3ZpdQ+V9lbmhC`Q;QbL`Y-|0`Gg`&E;N_Tpx}OXdSRZ6TptVsTC*L2-_~@ zmS#{#lc`giNiccjnVAu8hl`y_mAwQ?iy7APf~XSk+G2ureNH^A#Vcixo{hD%=~^|0 zpm!=H;IwASYLO(wii#awojo`^eRba2a_coASrd;Vs&Cp(A>BfIF#Ch!gDeE*_~5KT@a@wc+>KOIp#UwN zrhjfN#13a_P1t1C6366?aBsqj+QUklJQ$Sd741i@w5|vVoMx#*zB7Ecc?dTICI5`rF!e&0__1N88Xi2HXhT@h znN1jdVElAJz7jk+%RV#-O+a#(gmy2aNocL!n4#>cn}&nVja;C%0LgMq%u=viJmE)r zFtyp+z2KU?>BSx@CRCJ!UnVgSRSaP6gHyI+FMHso%~$CbY8v6D%}hiZ@RW~%n{EP0 z+^Mi<#-5Dp)lq=42(uJ14-%+Kg;*T{W{EoYc4BXh{2emp|4y*sp1HwR4V+-CTbgwm z#df}y;K^SEl2FO)0nAOfj!aA#A>Jbp&^D&u{a!u}i>A!y#A^UfL;FoR9%Ha3dQ%+C z$iX(;V745QVvYCI_d~S0hU^ z0jIzmb)P1&Aoj@3zzdQ(f#hS%5@NdxIY>knwsYJZ#-+WbfgJqL`X8rPug!5NGGQK_|BGs*=$;kR zDORm}kP1@d`^zS5g=6uImco##(=ZL&4rBOMu{27L1bbz zsbqJw`AZL&PeI=GBM0NhoRYnw{U|x;iV(3bYL(MU;pBOg>{d#`njB1>?a9V`erP;O zHKqpvvEztBG2X;J7|6k&BWpMcCnc%puK*vrcpoCb)cGGj*-r0oALvqYQg$QsT0=f4 z73AR2`K!(P^75c03A>Slr{_PNo*cpe{^XH_@O}Ia(|EQ1_2KE|@oMw(^!(LfLoGgD zuQuoWa&U;Z+0XXse>HblQ-)@+8ElXBMs@CPp(9EO*~4&Cz}634M+A;Vy`7oE zr1z?G(M>TY!6h#OHEN=U@MgyE*5nTnd#T<75?b!yyGs7NiBY^zBRD~=vDKyX915&< zDfNi9Oou${I2=duF(cViKMie2zFcB`7Ua7k?NvqvfdHY$5(v|V?u8U>xWvDM^#LVA zIzCIj>9M$twUIwg_iitHp$(&~U+cS@jLG302ioulveJz{oR}PY63mD?H1-cgrbtz} zJpoKIXOkCSc?=D#VXNAFdGY4$+4|p4k2j}puNvQk2bo}rAa=9E*kn;K0J$ahNg;k6xtX) zw>z?N@9qg~@`A`0s(R0;6iF7Ou#vL?HW@zRQ$kKiIfW=%&aM3_3T!BHIl(cJ#M9Kc zS>lpeO2^Ky=JUdoyqtldGLzsQ-+KLghm^Ot7vlm?s1!+&sSj#jzPvt5X}}aIih*0qhi}cw9bV@lDRA&k!a7sv)^?jXQX0sTa(HC5xA+4a&pj(wV*i$cifHMTx#n4DsI5Uei z;IXX2^yjQgb88!JDyxq>H@>fuMdDTW96^Jdb54rsCF$7kSeO@4uwkl%aT6uNh_N?C zQj`G#Skh`$zmxD8DXt*t!uD556n0OcjmQ*kXA55SBh+#v{u zzf`O$vE#LE*E$Vd^)?O!&bl1HZ1dC0?6 z<74x;`~m{3gz7753eiDb+L~xh)*T;t7>*Q0w>e^X8%S%6WXY^}I#RbusiJ>w z19(-6=lW>7k&s>a89#PJ(U-ETk!)hMD+nOkk9rs;;6(i$uiNc*9IJPEatuC{adE6} z%pHU$JH?rl0J0wsTuUFjYHlS&^zmAkh7y3yy3ZYacl0QY%P@E*?6%d_V7kPNi$Sa= z$I=jF#b}1Tl5{SZ;ucvEt^%$w+kA?Ba|&uB_H-FiWn1w$9+9mKriyamxOjn5%Ghmr7{<_&oR-K_S5oR zwXrdGG9@-~o%(mzpeek~e6G^$)V=i57-x$S`FrO0&EYmzrke|nq|nCM#&a&y7u|iEgY(`ABss)xF!x9*nbmtB9vqH`}av#Yh82S`XP1*Jo!YpuilrA~DX* z*?yZtAftrdoD)xZ;7CP4?EWdIqwyY9_YSURgR9xOii(K>q28`$<=P`AkWA$y8OAvV z`?KLsq7Ws7BVDm8C=&}?jqt?9Y)+0mBM$BIc7a}?a2U1gQn;kL8pb7D?2b!j#GKA& zi_AEH%_!n)O=FJ4mbipMtrRVv&vK&B!&q11k{OOy&#u!15WhsE@}|igo+*1GGQN)8=RCQAq1q` zYN3h#-R)y9$Rvs^Izp4E+u49j#8LSkkx6w56s8(8ZkH;vW)zulY#ToV$*y-oCb~3* zLN-yyu9`3~9v!W(pnA{kc?I`F!u??=P0^;(H8!TB=lAa{WPaWHN7VE7&X$xA#`XQ; z76c4sIdNMzsUjcs}v*DS#s^6rki=Top zMdGZ6KAGersw2$t;YO**@Kl$ZQNa@hzs>2f0C&tyn60DEP1xM}dT}@r&e!?@o zYL|rZM#(O_OnVrG$kZJij68WVn7UkrcgIYyRw^{6uFz+8(6W>x$&!lASueeu{lzIL zV(~q{&MqfbatuLJSBE2`9>jY$b;ajbjr0GrceOoI z97p(jr2Gd&Bm)vYc4pt@7YQ4%kU%B|Th6EGfaO3sZqahY@xRYg-P1Ew-PJucyL*R4 z2I3^%d8z5Qs;8@-uHs>*w2db9Yj2{7nsi{OtEq?D7wV!7e1prLo?d)9J-@O2u-Tnb z8rhRNTtw++^_q&*ro2*f2h|pcMlF%>4f)vSOJFq)!Z=i$SIFCnD)sXf5)<;9I;2*E z;k2akrId~oX*@;!d2Y=r6e+2uJZha%vLvz72Cz_0=FH@zbi zPQP)eDSk^y^HF>Q<#RAat?x=TesK(n-=!(T!VD;MDbB-F>S{)i;T;&XXKCCEFW+}7 zU7Tm4W4MJ#JGe$|J7}#1NS75Io%TLUZQ%KP(#}nFTW-+#d*gk@SY-7ClXLpNENf@vplq^H4r!+J;7Ffg&aL_jpOvTc6X<8mS)=X_nK!R9x*ChPb?=hbQ)E@gn-QouA7C#ZGW-}C#M_pc=I!2^0 z^Nd6=QPpjzs**gu?ujc=!}QlkjSGSpX1gYEk7$EfG}&kahe7t!ReKgheDQYke&bPR zOIN?cM{&s6tl&D}r=wbKajztX71^2i_N#7E^rc)N>Um2_ZnUF(*j>JPw_1t@{&@Ov zlP;EL+mGpw)RyNcK;`cjT8?+q8q<~i2@{VkjR!B!f4z9U*_~sjk&5~GKe;Qd_xRoW4@zy3T#EJH1evE{WTQvD9)f4STHZ z(yv8NKRLZPd;GvPZFBMUug__+7LX|e`X(=v$8TN>&Z!*p9L5&04NpV~8p^|EQh>;Z zgkmQM{Vb7$Tj;+r4Z=+G7S6RFuiV+V=LUu50hOnjz>86#Y&L4n3v<|Po4Pru_?FV$ z3f_vj0)U7FNVKy=MoO-7+sTz+US+B$Sq9*8x^an$@!!7s(6~MCeP5(o6RIt2HJYpX zRs$CYY&GWKH94yarqTpKQq8QkdkspGG>7wHy+*m1H8O)~cXt2-&L*T&0y_5Oq=uc{ zZV;}M)#TG?H=h#{fY9H+Skvd!;{TFlCxIY!*s6$x_!O;P}|KJ}~ zvw<2xTAvo|m@rjj|H0K}3%Qj-b#msYhdo8u3Dt?5W{5Wr38FfwP~NeSN1%o!YXH>G z7hiukJ=@&aZQg8l8&r^ld1$VFcPn#I1yD72&UazF^~nVONU*M`S|XCK@kR>d1lI+c z2t0(1i|C3DF~KDRoi~FvB1Rcpd;Dd>|5CUw(pWx7*SwYvZvBISAOF3&&}@X z=KV{QHld_QVgjW`=*oh1;?xr5vT3r}IIHQAW=LD0THeTvznsxu*G23NSCY8E8$A#9 zK%g*;5;v3PCrWcmnF)|loM!qX!)F}i44-sdQ743{Yjx4dq~JCi7V9+r!2?69UU!z~ zfQZyFk4uJkbHK~e&2*~9lzDS-BhpY|xe)c;lPCIH$cNL?XM22hJgge5 zxbbrL?)BN`?|*x94k~*YWWbma;SOQNV;)jE9I2(?0 zSR4WfJRvvk3|e(MAN8i-t-%Q^cpKokK~isan^5%2iYN?ltAP`QeV4!|QoV!40n&Jd zV$TzpRP4@Z-8hkD2KRJM@pqKUqH-RHI=SckHzW_1&;?lmVfMe+a(%IXO77MfPdmC% zLJM`g)F;BKQ%5R&qBPBzE)DB6h8D?;)1NU+zVy>1wy?CviY@-~*&l~j8}!UF92jv5 zfc)v4(ARWstU5V*xvGe0CUH^WQ}`${RuvkQQlz1P(jS5S(;uO~(;u%qJi;)WDN1Hp zy}}AJ0U1!3nh#}-9xPXbHYKH1Yy?LUVRKb_S&; zfb-L*?y=7jCv(USh>=K}OZ+$gpvcT}8@o@qY_~FDa2?7dA|MKoy+Drj$zsJjE*2&e3$Uo2yiknVNoS(gB>6J4lp#?& z*j4o9kno!|ewR%skf8rDes{jzxotQvl*7E2k00HB{M`NfgWGrRy8rXr{U^6?Hs*i3 z^AW{6aZXpciwKGVC=uH~!Hw`YdZWM;0Rq=ByeJ&X_nf$w>%T(?h}g~YKboqAG`W39 zf}ukQh}i71KQJbk`;kr@!*^{6Zw%jU&Z#6F94>)_2@KKgBf8uGY%7(8k^7}>S|Zdw zStAJ`QRO`oI14H@6rR8n<~?HT-Iu9{qiF(OzAs@FL*)eim11|8It7T=T-6~SE)IZr z5&215(Ji(^dcetw@Fzte3|?6Wzh@L4dO;68!arZw|WFekWAbOesg z6vlmWITjrkcTRb}W+J}XfhiOBDXJ~prc|osg)EAq0p&?BFm)7QC||}nY2-d_+%(%KZXCP}lI|}E7h`#4O5A#6@N`t} zlg#bfVkgOc@+bIuq0>qA&$5dQ&W8p+Y4oP%s?L4FMHN3C!hIq`i$h|lAH75tC(xt0 z8txENN*Z7oLc}WLXfwMn+U^-xH5~ca_ye(R7fW&EK0SQ;(D@h0Q{xP5ee(|f@IjAU z3x-kRJ_g$;#h!+USEp>=@kDW&>5mMbagZ~7((%aF$bGt1o&#c&+aKmS;APsbIaW`~ zyzvI$0J&)hsQj`3B7)$;H^%no5cfn7H*%la5U$GZ;=^g_voM?kC|mKcCLS5UiXq&m zbqg{&?o;y{=RV;l9Z*=fPr`L9JTY?P&HzA%C(@WGyG`lB5?$aK; zC*+U}PMrsTUYx#v_v*$ge`;jALr%x$su~odiz)^?8t;ibJfQL{DijNt(E&Ft#MGJ0 zk@v)SPo6^)Q-ox6sVjaG2epVt0(YGB4pOb(k z{1V%Dz&EnvW8Q-3u=E(ayMovqCq5Ca8V;Q-qD+ygf=`%7$lD^f-j7Utnt}y7J12EqFWJSDoLY7k`9-mXn<(lxFC(1;QhYsCE%qObQ2P^&g znNLVNt~HapOPCU0tdL*UnDq&1!zk_ge2MfG;`WTy1g82k? z8D*;lK?=zt+!sd(XIf`)RCFOmI4zE%Z<$YU4ih;hkh-GD%XK6vLvYlQnNMi{9*Ji= zMsypo^y!)=ckx#SF6j=IAHK{zAG1E4jLfHz`7|=0U;#USXYh<3(_MO%%qJYo8it-C z`)^`C!34EJI)|_pqAW6>rf{Y-0ICg2hTm9Fl73Rb5{H6-Ki-ErMM4IoVMoeKWLl@{ z6WnqOkR)v?v+o=n{huUccmt;+U>`e&M0uDd$n?Cpi?rcIvpT`%f9}B=d;UtYFpW{k zcV}-en`mjt{~sLb@Og|;NpI5p3~%;9h4{!ABWzDV9n0rI&qNZv5 zY=V=^N=Bb(fA75>sQD7-31U&v!WzgT6dv2-=GWWaVr);elfNfzWAQyfwb`3^9Wg>m ziBL{IMJbdD?xNVb6#->Kf_06(UfN^F#n5R4}4SZ*pf3&*D7_u78&cVMSh&Y^x7-A|V$7Y5}g6i+P2r1&A^zfvw29)5Qd%3vsM2 zazaUvdPF&$6KjRc4#=$xk0J6mPnJ;nUB|^@| zx|m>(lp($>AID8|A>^G>#+V?!arA2ahN#J3H6|zyyN)rzw(Q=R452-I>Q&&F%N#zD z;QxY+>zrbp&&R?mJ3JY}!7;(vQUtd>bWCG{6XrbY+L-vY3dsWq@#-&N;IH^Qr68S&8_O@8qe~5cZ+r;?0|VbT_**VL_*Usk9oAIfKlZ; zg~H*bu$RLV;)sXs5$V|~9a>^?IQF+4!m{%1ugr18Yx1%6JLWNOv5R*t>)-{MLeVS;edeSZR@f@v_!+;Dp6c--(q49txU5SmW z%zWP8LhgOC^jY|Z0iPWYYXT@9p6xz6w4yZiMyhP}DFp%KD zL18!$zA(gxy}EM@%}(Y^u%znw6rWC!RK@*-qSKv%{CM*F^lqr>CNKPJDr1N(y9u99 z1;!R@y0y7f{uWdL)(rog=9UZJi1mF$!by|2!V`|RAC-f zVLMKa67WiaTxx;Y-ohgxSUT91B^3^-mx~p0DXI>Vdu|lX`CsUDEvD?i0^KBb3_0B>~wdD6r9BN*@k<`9K6{2JEfhW-_QRD;E=EXju`g)Ky1DY&j4-Qyb zuB4JC%GNA*Cc)T)6e&NJq@E5${x@Bgtpi*@$T-`P?emDD z%B?~PtBfr7d(gO9LsHq*AWl_hM49Hr%r1#G&HGPwDX83iWU^IBrY248$~G&=G|{D? zo+i0BDt6JOigkeFk|PTLbBebJtP%7Zw~dS&IeQH z&B=#lY!a19R3+J(BqSA^R1<+rm1Jv^Fz!W3*(R9;!FOrzy^3J3+9*@^MY4*msX{kYjrKmW%A-YVUR}nv(L%`x)~vE9S{#Rn5_+(w2MH;v zvWz`AU=ki?u~2i3_h3)6va)Q;SjsAo*6bjoh1>+ZS!GdVwbjA0&B6JQiIJ6M>_H)` zr&)*1cUQ`})$cu`a?{<|gJQb(&B2^3V-E^hJZ-4VRQv?7|uuF!Z%$8!ak6Y&Kh2$B3<2QdY596-C%pm0@d^BLP@Pi%v|avTV(g zvU-}8oAAbFiA_n*B&7T+hcruQ!X8N6RD&L>n%v+=bbRVVdyuWJt5JI>WS%efA%t&d96X zePk88u%?r6>N>V{q4a#0*KuB!tywZM+#`#7O?>u;5D?BS-g=Pdk%yjb?;ip*Ia0U1 zy^?;4a_E{ak;s;{P_L<00030{{sL} zO9KQH00saE0000X0L5xRHLR5Y0Ni^702KfL0Cs6}X>TuZVP|D?FK}UJWi~E&ZEWm) zYjfN-viA2>&ZS6?8*t`G)2%?|ve!Ba+|M~61bnr{LxS7mnPq)Hhur(-WXY=#P?DFZ> z?egOBXlw94fB*Wc?_Q2C%V+c1vYah%2DoW<^K|QKxxD`ClP5Q4SLKKC&G5tIY%#x? zUo3}b^AAtv7Z;PW^2sj~H2owB!sy9|@np88?)~e+x_f?I&d~0~eDPttycy0HmsJyc z^RwFz=oXCx*^@;%9WU{)H&>JE8`;`lZ?4B@<7vm4*>!(|Lzt6^a)WO+&HY?AT^Tn_}*>>*q_iMB-8{HZlk8hSG9zQ`p&&ug^ z@Z#y#%gOBR*5C~eCc`2u(kw~SBum36-x?e|-8!6IZ9QRE|5IKa43jj@!!%B^U=&1o znz=6jX>z{2s;-Z+JP)&UR73&(l&j{SzutYdx4l0*fBX3R@)D2oi1zkFIk~)A%KIM& z!?4IkX%H829t8O)Ph^Lx0rPCJcf=Yxnx8e}L_={rN=H$FN5`K=m|lCJ>JBwlv>p_r zBubkn$O_jzY6SIU=A$$ZvM39JBu5`)66JNxG>+1u7>&YF9>x)-hh8Y=MKzZW159-k zjglh5>&c9(n#Q6qiP2P?BzZJSQ+nCa`1f*gTz+_8E@Yb_J&8v;T}&=7n{#4H-n~6I zeRcT!`Tncz{a>((-yR&#&)K}<0oS?hU^1N^%opY5>|8#|x9R)h{dp?q^z?`Qu547f6_}At0xIF_Cu#$TrJykPla0GhX>n-Cvs}`wjb^2Il{K4HUjlT zkIWf5{cY)3_yHDufyGH5LtSYt_%Kg$d?rO~TuZ;~B9G|L)&M)z%Tw1Ef=cDv#{kJ{`n@OETljF{^*B{Palt(WKob5S&@Y!XNPjLd^NvamM6>Kr=|K> z*j!=O#aolt?Ej`VDE2v>mcK3a!i?zLo%~!b&#v}oOla$5AqDbBFJHBgTD~MQ(ww$;GWnO9 z0I~hzWs#qH+R4VYdovl&n#Ysls>RH+`G@Oi`P<3aVsgD~F4deprfLzd=#WX5G7%d7ubI3ReO^~)s~#Z z7xMwOhYz>Yv2jtg`FbuALRh{rKosn&?v{3Mm-FW(P{tdGeA>m!1?En@amVeRnG@UN z^Tqglg1wuprD$ugS5B)=>ID|N4koUH*rx50BKS$yZXpIyRJs{>GSD=m4i&jM|gwzNN#w+E2E6 zQjL2IOqQIBU-{BBYH5%iFj<&BqKm}FPC*PTOMhy?BSxv))Hi;!6AYu|<*C&!5sqgg z@oPMmXhPwrHo*C&Tr4MNrrG!v3UO?v)qg+v!q@TCHgv z&V>32kU#`SHPw2nLIbbL8_4LNCd(_aX^_2YWdqKA_BHfS{dB5vz1;NUy%|q$)uTnj zWE8|95EZnYq7eHL@Za&VoLu(A&JOPh?Oc=>_@OIFLmK{$2%=$_JcGDWz?E#~p9P{( zTd;R8(M!UCj%=}L@6(PgG5Ajv*#1yGvs=?o@;DZjq);CQ$q#A*h$o zDlQk}>#OSXHr0wB=8JzB`HY2uOpuKVs< zW4y!JCG34SgQIvbfmL#G0UO|8DHOuN*I%8=|Kkt;{`#xIU;u;S+122$_!Is4H`KoK z%ktgze6ig5Wjdbyf$PaJ{1ul&i4-d3U*%uq?KkD}9n7Pm_jilQ#rEN=!-GfY3n$N& z6sj-YTg9SLbb56iYUqS;|@h#^t$wrVhNs^6X zC@BB}1`6>2SI5;Feg#8rV-(U1t#>w43N@LxK<+nA`i+#Z_Gx=#?le?TY}VgIiBBjJ zNFAzB668q+4G<%Jx%+DS<%`qpldG~^Lb-_lOY7PJR zG)}wfrck{UKq&R`k}}nr1G&IF+7)Rw(CE3b?=w*sD}KueTSiRyqu3kZOj( zEW`G9c=PP`W;y>*ovN&A+Jt6aBaEePh(gEHK$Lt~6nPvKL6N`+4V{NBS^`aiA{qq= zzH->7VT7YsX-E=55Owvqca&Jl}hKX+p% zBb>+lpp}x!n&B{eQJ<+2Bfig#Ni5R5^vt*{Xy&f$*dp%OE0ry^&C9pRc!yxyP=cYa zvED`$ErvG+v^TRX8KA6Aos6M-0VDpFAU(jrLH5MYstb`F=6?#>4H3C>0(O3-U;)tkl^PYpr_+u3}|6MPsd}}H~40de696Y zhAzC5>At1IyxLD@V9(W~A$U-Mu;5Jmn&9*JdwrLtiB^o{UV3Rfm&Gsv6CLa+Sb@RI zhbP1CBM#kOMdP@~a~TvI@ZVb>_u;vW+Z>NZe2H2}mgf_*uyI@plf!2dXi{$g1?ab7 z5HfEh)u`x)j};mq!iiCVH=aw)xLiMi;vqq>R@c_<>G!eoR1%@|ao#%AZh zGcGXBWGVPRly62F)7U3NDN3Ju0Ys;m^xq?=HS%kj|hHH`on_An9T!3F5#yD-hn9R z&U|X<2*LOllB(1eci!<`(T^}D<) zk4XqILGz~W%O>{H8$HS&+C7$M0j+aFCh;SI1-Qy`R3G zotKL#LUrtJend71_nDLBVuHZitHrH-*WUaV)a&Mo`R(PEebG_51XEk4Yp_pBo9F3b zJaY_v{dZWe0tWn=>3Ym&@n}4|JlvB{R?Z&3K5;<|;+7@mO%-F@(S7a_ZeG(PU4Y7y zZ9@Zx46Dtj>vl^Kj_TGB;ogUKnaom`DWf)SnIMLYXk#Fi$wvN^7!&W(VsG5kFV)EM~ z<|oIMj~_q&w=lQvoXxL)f47{!JG;KE!om=zby8>;T@>N0#{7|Oyq-tXW$)Sf z$t|mA)gH-4JNr_Czc<>M$knCol{7+JIi^zN!iWm7C4GVj@h%!o1FjLNw^|ojAK7aV zveC{&#-m2}+GuAo0hG>e^vSfd4npu_XlEYru0I-wvg*>+JZ;rNc@5M}v}n5HZ>TLa zg;}eYhH6~4cJ9Sq?ETYr?04^Nr}w-6lQp*&(3-Sf)1txEVfsqz5sH?+W#?*qbM@|* z@l>f%jO|QyHcLm7np2}kkw0*?ODS*i^qq7qC=%_woT6Rpa`1ADtK0Q2s&Yd~sEk6e z3L#Jro~tf4WVwOISAVDc2?|O&XqCvDor{8_h&+y;A0r0_rWpBFCDWmngp`p}fAnzu zgS&?i;gI?EH-sFm;4;5V<5ugE()1w#$5yDg^O$T!_vnB8ptnGz!ry0;`bz z59yLVA#y=pMLZ4iDq^req#psgU@nmZ6O$-)J~LT`-a?Pe3j}z!(VNfdYCQx!Nn3Ld{5xODHN}8K|nf$T~uFOcbK1QgV%WB%iH@CK1e1 zs8@$r$Xu36U;vL%@}RxT(sZgl7JFro$Aqs+mBB-^3hH#Ah1n?$cYRizrB)21vxstNF zwE*B<5wq;XtylvH=q8b9?E(|e9Mr%R_@}3@&SM9UNG~``& z?ESBzW10AeywKk7z9Sv0#~Shm((J2hDMv91r&P6CzfF0GhkJjZPIb8)Oryb7IX;&`J%pUK;*WQsXoVs{ zBdg3JUbHN%CGoWQ;`HCdG**Ah2@kx%)W}UK%C@48F;oqt1#m)Yxa5$NJV5gFQH*?J zsL<+!)WHztk|l^uk#aJCyOLfhm`<+Mp37vSIIQ+Wc34$qLoMdg4n>tVAVkSN zn@-Mtu1<@u?Z=n$;&KVXlrbb=my1{4<8o0{xyR*n z3+EB;#zP(K9-Zv72m8k@1}vA~n=z`&ipocF_|lX@q{Ooo)pgy*p-KzzNS}|rW7qrS zi@BI2`^CSQi*M6){N!r>tMmA5eC;|eXL1k4!t}{%&s|075HG3vUg+moFJW=I{w&1# zRuN)D5y$tgJ7eE|@6K$j(z`Qzk0C>qPuDtBN=U7D06jAH*;gaGLuV=3mb-PvECl+U zX`JkpoqNw-4lbtiUwu`>!1QT6RE_nfKBjXg%gdN3Iv(F((|Wo^7fT~+5K$R|NrVh^ zNM0P|ps|qMwz1!Y5yVIWBP|#vMGQ(1y-M33;A$ZoJVY41jL8})> zN1&U3Ju^v5I%qMi*n7L+V35A#!dNiX;Z2sv;@bSv>NS zV3AH>r^X3L2-GkKpfWNYRmnRtFKW{>>i+Ca7FA<%S-hAJuwp*kPRDfea8V^aJr@ZD zys`?3LAzVpy!qUWjYg%@gM7W5=uWXK(^=Zi_Q8%>u_tDN{f90leE`H6{ zzU0bHba&xS2eKAcFip6{$+-j!!A%8Q%geX#@GV>-g=%DuKD=w#eM^VsY(H6twOrjq zMD1r^?CSMRxu}dRNK>TzZhBHREmh~b0-0UrxTXAAY5Cj9*Iv?=LOa>5d#!ZK zZ2jH!wOqF1ogJ6U@%eZ;Mk+CyI;_4#=$nTx27_y1>3;fRyGkfMxW4*b9YZ2%oS#PT zCKu#1m~0K1PF!*GZaKcZEYF|*Ufw*J%~jsAufOtJ%%LDzx@C2Y>XtavUAG(!b7T<7 z5m#BD98JtaS+s6x&<0v{%Zx>6GToZsa%8JR1v`<{Dot}l$1t0T0mc#60!~BKtSB+v zMYqJ(5Fo!9$`gSIQH+!-xQ1qFC^@cYF*aVRnZUU}JB!$sUiwgU& z^|1)S#mGk|6QX~FKnF7M-Krh8rxo@{u)e0_TADaM`)W-v`9+$y@QN}VL#s8mGRH!^ zW3RRZ2DtO=G2S8AV%XnUy*H>ac()PHdwNJ3%G#(`TZGBZvNi_utUI;$OCy!bTm09 z%mor-pdt}~=bF>1SV&cIWAInn7wHJFPMrDrt3Rp>yy!00+147*L4Ay%J8`Hxxid4z#&Lp6i3LpI0B&n`DoWecM25V z9p1Sf#y}K4c;`%_iyJ7uGiT5tRQ&kPnG_DUbeLCrC_a4W9L)yc)i&{;Cd(_ahY^1H z;p~^m%>;$jh`*SD52u{yZ*WI#`szFUD8(hdB!I^jDU*&}5MGCm(T(uBck6_Bo_)qU z1}DVxH0BrcY$w@3yg#p6n_(V<93@hKEr3-Juk!*np_${|uLbcO?dVO;3-QKhXXW*> zJRe*v#vjVT5;PlumQ=Xthw<|4YQV!wrA2LLQX9?~*pq*$v7SI+FA+mpfi89U-=Y}W z&H(mdQL}CEheUARl4;^TKVZWy&`w|#mMU@9NnjNxogmIhU@eA-v;;*W%|=;-Ec|TJ z>u=lx=E&-*uhx(R)bb+8V+7?CqX55E>bA}VRxf|mIO(Uah8S5k0?`F2k@1W00$BPC z%t8T6owvK;&#q*z7DG&7RHUf$4FgF59*yYY-A}tm|NH#t_5Swv<>l#O0<-L5M>8LH zei~1Ieu)}^<)WOOl`H}iH%w-gh_EcAcWk?1sTRWm5nXYN&@QCbDv-!rv~2K4?^TRYi8b0xM~jKKGVh3 zUpy=oDHxy33qTbu^Gi3#o}Ear2b15*^JjGcIm=83s3-<4>xb?G(F?OWe-_|;9XZI< z-qkT=tH`wg!$3U0jl<9%qE!)ZsaLaw;Bwa@ZCZd6Yt}=u^R-&$dh=0R7G^7kb7=#X z_$9Aci571!`fWMbs34Zt5JlURs$y9#kH*D0WTVDzr z)$@I@Z*7&C+|y3)723&6-fN|M&EyRmm2y#GmaYh^l8q{94FkbzfWcZ28DRT;m{BhFdyL(oxKw*n{85xHN7Hhd@5aR{@({jKY8;=1?H^ zQ=7y>n&U>(JS~8W;GziOegG1afMTT&zzlIw!0pbwQs=`MaF7ZK_hZ;M_-4>yO5nz5 zdota(beLEB$tbw(G#cRoU>Q7uGw~~5n{-tu6i+jF1UvYBwBt|RA(olQpFBKf9mkE`vnWNp^JwhF$IuWE(jQDwGx_}qvJch zYqxZdukns=*Yb|RWhC@9Rxe#O2=Bh+fpv7@f9a_b);4%LP2H_fhnmgo6<|wcvhdgf zpzYW%-`h^_ci+kU)@u!U&2PKUj_UBE@1CeDT{H`ru`GyH9iuc09O?nF(jn@6WT0k_ z1SOIYlKLVnSV7i5lFt- zL0OvQ<*C&!k>ezen>XR7H?BZLs~LKqJr-IgL`at1MCH+n4ROCLh>o1ab<)Zk%v2bh z|C}WA1c`Mr3*8lRQJHY5iWSmzAoYOQtDkjNW_U_**DCw8!c=ksVgQO0szmL!4yVj9R z+lWqj7yd(6)_XUYzyH7TY`OjYt9K%&&?DWDx^}PowX|Yi(=Mv=kv0+3K=L@8~=U2Cvs&;#k;~|O{!Pt(<@d)e4oB&S4J*-Sx z@<{nG4ScAv9s;5ejxMU(q1tqSRJtQ+KKcZ1WTd2*C6nSbKz61Sa|Sb(@!S*&nmqA% zWk6II4~54H&T_cRV-bNNx-dhF5*}Kl-N^jJo!!X1xwl>Wfzwn8P!v)`4u3e_;YrTm zp>dBU<)aCA>hK_b;*Nn*yfG3e#ZQ>M+>3j;)jQnPy_{~`%b%Bfx!MVGpn1ecrp)I{ zJqP9vu#S6KNcl}Dl*iIYU~nf*p*E<7MT`iSsE9|XIuggs%cU^!ir2SsAj6YmlYL=T zvk!iB0&%NV_0t5AX~-hdB{FxccWs&L+-2PaU^1+mD2FgOym@wevz&jZPC?j;KWTHP zc}+XUqdF;2TP4hMphS=@k_3)sq>5yFp2l{FGK-4@H80`jMK~HOQlK#%?2aVLj0JEK zlbylZWENLyrsD+a3@kqhn`C!=Ld+6{EY~o9TJF+K!2`tXeoG99227^jq5BUpYnFh~ zD;Lc?bf9|q_8sl3)&c*yPv2U=?mIfHW&5$XqTw6Mm*i#cZ&}61zbSX{!~XI9rrd!r z;r;kaxtIbmOTI2k`Hd9elb_4w)#BDZw>Q5{cr}G$PCtjo!v=he8X~GQ^V_g-`mK zFqg=vwTCQ$uVgahytIqxbv!?GzD9W(9s|L)o{*-BXip*e$ zMo2JD(1e`Bq`=)Oo7urdkPVSG7)0+8GQOZxgL+sUK}7j##D2m#-_y#vQz*|aL)k)&qJaG>8h$Q+nKd>i#{)nZW}fh;iu4JQCmHDIBc8;=Ua zx$Qjp(mg8TwF3}@Spu+|C4%b7EvSzOq_1o}cR1)}|P>C!V* zO&f-Lh~!0spmktgbxeC!LQyHX2a!A)24Hz0d^JZl0_4LZQF9stcXgz&l+zjWw{TZV zC?cVQ0w@{4j!hpI1-vw3tb8I}d?_jLXaa9|49Z7Tf%c6rrPOs3hrvfFs&)Uq6z38! zY&;a(z$)Z=`Su+e;TSpBv2fi`!@Gvvw{%#}_LEVq%s(7rs(OG#^>DaJ*Y}aUDah-a)wBbiT%F^sN)?tNqb=GxX>9(1`QIi}=9M4pxa-mQkUTinzkRA={ zGlJd76GRRMsD|`ZltlXat8#i%%19+~2-FfVREF||C-5iHl__mc9U^OL=4Z=Er=`N_ zwzDe>kgcKKMYPEx9>#FnjgbCul#lY*X?fNfpizs6yWNbFrq_*Vg^#g1;Oa?H2NNEF zJPlziYrJ>DGxS&$1>%9u^D{hlz47uaVJ$8=svyFil_6_pBuZrlNVWvqxl4VO9ji{< zGimt0;)sXBG@dErgz5iM1C-m42)RMHtNjgE$INEF^Ry2aPkL zA!IIyBq_d_1Rsn`_G+AgPpiq}j0j>NlRo?&18E3W34Hy+(~l4r+41w&yRY`Ph16Sx zH1H(+ZFPhiIruD5l0`sOfI14_7@~tf4NRtpAxLWOvk1}Ihw(HzH7 z&ZIL&qqcT5mcnU~hG1dE$Z##)b~W-7V^*jG`Jz$34ul)w+ynTaUG|amYDQNJYV=$c zhE)B_7qR*_A+%Xm!*;R#*~xeEs6@UW9&8_;$iMGx(;`xpsG2WSs-8#4%*X)n-Gx3` z%p&0wFlWX*nWofNi#USoF-k@N=>#!8M5(W)Cxs&v>8CMbeE96!A!5G>k$7LIP4Ot+ z&>>W%$J(g=<%@l>5JsZ}goGqTnT0~=WMu8DbW+Z&>88=*}B9ukOhk&XIDjh@mEA$q1qzOeuoYYcZsO0Spi$qyontgSh-G zRdU{tlphxupdxS{L#OFyf*^aTphZD}7xM22lZnP?V$2-KdEH15gwbteFVZ z+7F6sY8!^26d_TgcrE5w*6gJZGE%Hc0&%J=DVVMnV}^l|S{umQ8u*gY z(kHZrkdGM^4-p9uFiv;;HeiHIZ79r2uY9<;2zd~Jhfu0Eh8jnQY{8-&Q6R|t#4g%c zLmmb!Do`m2X&?~E5+HMJ$Q0E(;GzUF7;!kG4B#kdgve@jXNRVkK6)NHYfwpOvZxS_*_()PULg=6MZi59@F=*K^b2@$}pu2(pS+} zydKCf8+AMM3|c~Gp9?0P0R`dhJX!ueMJ6w?C&PlR|0Xyp)!#;-{t<(Svfd+|=m>6= zQJTa42=#&`+R^|b8-lTsqR=B^H)4cu&?(ZuAsvd)W)*~kQchf{A#RcowIdOXEiOUT zl{{jwj&k!+vPvPfx>>1P%MSfnyJU>Devm@mr=GyPad&n(Ep5N{!E|z6`L5|;#bL50 z>iK+C-c0^g9?#DmElH`i_kQ|pIyw8f>PP^CA7AdjINg7H`qSZy?MJi^@jhRUMJAaU zxty5v19rK1;XN)FY=a(`i-&o>7@tqd*^;N>5@R3_b+CJMvTr@unz#Pr7IP)n?VIsp zG8P$`v}midyDa1hFs(9Adxf*;C*qexGv&_}<(@79W9HU}919uPEUJX~90LLkI zDfYoAsf0_+=BbQ6;~8RZ@N@C~pzMxST;`VSi?dQX5qAqb;-wA3o|m zK%IfV6a}rB2dG+I!eC9sq%=^P0M_Nm*A+sJ$FtkUKO5 z2LyouVki(6VW1){$OzSmA#*tiLIV+$1`)*~g#R8c-GuE#8i0uWU@&&WeSk;082iQ_Z@j>_?pXz8v> z>truY>zrU<0Am1I;X&)17qu#3%1~wl6pMy4!9QB&077CXW#|l~sDyxelUR&kS-=p0 zOj{r;7r?bPw8Rbib#=XizBv(LQ3yZI2o<9xe};BLl(imY@2dP6d0g`wqV1~UI6^n= zMfOJhZ4kI~NC5!LC}F^xX+OlW*%FEv3H}b^T2d6w21g7_)Oz$vH#FOFsCB-#=6x=Q za<{rItyPgJJvqbPb9PnDr%*rXR#9!B-uLc#U$)X-&CLp>xkG6c=}>HfX*>4h+Iw5uOQ-EPwB8N_({IToJTQWGbykc|oPE0GiZa7VX2d)m-@xGY zbc?F^jc5o*NFM?Uve0>ux(5bBGU9r>)FMI{R$*ZT83*idXfKY7_0}Wg>;0&z0SX&r zsQa42RSktGs>(lg_jpPGF#;j4d7w@tuNZy7qYaUXodwlOT;rv>Dkf8;K!k3Gj-qOJ zzC#K}s-K3#tO$Y>DZWy{XsuMcpLUP__xaK5{cT)Yv9fox4(CffsdZ93brK`lXM&_n zMZsw>S|>$XJjh`rFbY#hbB-ZQdCKIuellrRYtu>Fvn%TH$Obk#>8t18ZwEX0eYBH) zWNtYaomgt^DrxhDKzl_kCdT++I3z&a2>ZcKqwK+sJp^|Wr-n+ah$N5jqacwjn76L) z5chBlW5n+PD*5_T_ttVyq*cUjh)Q$dvSPz?b=XPcKA8MgoY zOn|sDNeZ#yd&<#jAuFH-t>?N{Iu;TdC>thc2N2Lz;jB z4{;lTObz{&Y=df}z*WK!m%}Q7jDp1o#gE7}8_Uzfusor%Dva?kFT!pQ9LfwHmB0|M zU2lP>Pq(OkU@L?I84De?08wA)mLam0lZDePE{1xMDMojrwFfI#hE7#qXTcDkkKcO+ z;n=Qj^vhNsnwPg*tK1q%F+>7%gw?=qgbzxXd~6ek)<{saH4^?SFeosOm66G?plFo@ zHYpg|8$AFsDjIL}h_@<=^evMQ1c`|Ew!TKg;R#}G;Uugcr=@H!1tn@nY975cPKE0u zNC^xVQh;u4ze_8;b0mk zOm?JJGsL67HI_gV$rG?=Rwa2b&-Jh%1Oak&rSR ztwi7K2qgjm%{$e31ir<1vIi{Xp(f%RvTZSYQx;21P&2odoMuTFwfsif$;_ z%Ti(D6)}4VG?&J8d#CNB<+$~j+I%D=lwO%k(w!jX(%BVfKS2-@yX7hqbE9578&4cx?!w?C@d<3PUfmlDz z9$$|y%fX_&C>Q1Itb{)h(zMMV*x~Yah!s&Qf3y2V$l{RzLBKmFOJ^|dHN#eLw8r8R zY<$>|$ZTm$1&YcF*I4T1b%E9yej?Q2)*GHGlpCmpo-X#ESy+nBAB+uM_4-Q0N)w$IT& zh#F_q9h+M%c}7!25fIheKU;U_`(WAp?oUDAUrx@()7`~cJHh$hCb^!MUA2=tb{4GR zy(76R8iM2d>K1D%tvgnakiO0?bGF7~o3GWf_W*ee0DR9ck;`;7Q99N6OPi`HqK;h~ z`e?n#gE?9_NXUl;&JU7V!9fpxEddh@a&YyZT&2Z=2y;QLJa9dP0S#&sZH&nRWaa>2 z1_nIXZ=t<0&5cU{Fh)=q3?`5wfJzJ&o|stRp)k!}TW`&}!DVh^2)=$GrWcz=A&sJ8|^kd|$?=&e4bS zP1$$&mKoV@>rdH4AJ&R<-_v37*^ky?QVT1CC@j|3LM3j-wyM<6U@d*+p)*!ZKwYb9b7`{f?8*;oM`NO ziN+AH_7cHsf0-`-Hv7xv@^4=AkjQ7+?tv3b);56Vq5v9~w?j2Ld~F>Hhe(?US0}(4 zz>wesTKi@_`5d{yjTOV6nZtTr&|S8^T8mauWK~jiQ_%Y0=@Xtf-N`3$$Vv1ER4GWL z;1J`5=m#>SDwV_3Kj6zBNBnY82z>=;GbuzOU673AT&-|&MRh@D)0Gx+8;$AL>+4MG z+K+Y&MnmNM8^NCf@-+&OLYdGLXACMSTKt2?39o;U+a$%-eNVmg@eg9XqGLNJT)U&M zqo)uvvGEkX`JAdu*Bb+nBtBZ^zoH5T*$BWRQqAPp+*Ny>S)8 za=VJJ^yR7d-dZ9GMs}*Xlh#{e-AGKcP zhX_^>_`-;)2X$Wrgusr}3Orp%ox>B=K>aRBH*iQo@WB`*0tpqt#)Q)rMD%zG!2|Qb zKzw+8V4!h%PZ$^)L@Z~thsJ-19uy&C0T4}wh)US7)TAiVoWULg4ZZcLr4o=4a0xMv$m8s1^trD@d zZ5XS=gA^tuwiYbkO2a^OKmE;%zo&%LaQJ^SI~M z9)#^}@(-fM8MRH!+(OASdfruUD@Wa3=W%YBSX*7@tbUz62xR7Kbs>*FOe{D`DjcFo zRv_%Op^w&!JeZ>k6N?$NIpkpKKfwqZa@ZbPav6ghmIs<#$T5f0uPwW@2vsCi6hd(~ zOsowPYjSzDtoRYuiX&Te{rOv3ymRpx*1+bbdZTf!JmV(H;qei{H%u&%le$+77_n3J z29z3ucLqQgc+sYvV0m4(LEVPU~**Z%2YVXfmx>)MZY3K}dd7&-OCnSU4YsndTAh3ySy-5f4GZhD@)Fh?!-j=bF|m}}-`Ep3 zEUXO+OWJ55&9pyIz%7xN4~Rq`)Go9xF!Sy#EaWxO{yPT%w85(hPgDXdjy5IP>%Li7 z__W$#poqz9v(Y(Vpm}*u7${g+qEc!Ui?p)fSi2CN#(&`uDcmDa>Jh|P{5|fBDS0m8+{y%%? z+8f7_r0een{2%&wfsuSb_jKL*a%QxEY*}96NFE3= zH=fdfvZd9?E zbT-aYK<5}k>}@= zZyz+dWJAWv3q^ka*-87NsP=ev|G)b;&>!_J%kuMq55O(IiK2glQ9q-P$Kpvk%Hfs?pjj5Luo3iM2L`4u%;s~oC#6YLW@~y^oS&Uum_=L90OQ>ox;{Ju96D6f0R+fG@7_NmHf;mOg=<7-aQKkjli9y9 z8#~9IMj8CEjPbWCV>|$8tFu~kiC)uMZ)cD2y$dj#JKueY_0`7zGQYdL@_&^3eoiME zv%l3Fq-iNlvR9KRUj7S<9?=c-P}RymcIj?VapIp3te73!+)CcrB!j`9-lkkS`TfqW-$n$qX zF_mlE7i8(%o4(wfTy6ua;q!M7Pp(7F4Fk_n58#*Y&(Al3TQk2uzuuPjps#PXG{(W| zqS$V0R@yJD1z1Q>7VYvryDt6se0{2x^~n3{^i<=lZuIMDU$E20-JhY+^V9>}dxi!| zVsu=-_j%g79-nc3_pT&Ix&E~;e1npAJ@azg{ zgpO)7E~Q#S>X+GK^k^q|XXEP8Q_FrT+)l7?P9ZHFXK4q4+)h3>-dB_Q@RMmt|C4nB zjpEU9RUPd++rn!Q&qcF@PVzHcUD|ulgx*wlIM82rtnj>Y85}37KX;nHXLZL4XTwjK z?Styln|#VW;q}k(+NrED1xW|GPMfMAPeXr`bV*YvQZKCNBzu`pEuj@XQ-KC3)ZRcc zSnJp!as(Kbjv8YpN5H%t)oY^TFpnHiMK8{~m0jBqt8TRJ>=^3=@9gRaMFt2AFHYpI z%RFcv!_@d36;8f!IeQ*%x0k>8o&e+6u|>P|^%umHD-v2fx?& z86KT&tCN*4_}j|~9M;?S=WA!D%Em=@dhzSK8u-XVhtKrz{q^NH8$;w+Hw#Dk_MHJc zfonvD?k>3}ouFw)fE_!76nsu6%~_T%7L=Fjw^zS9+~-aN<@*a5%2`4gC8tHN#PoBo zZEZ8NYy(NRq~;ne)cJGy>5&xiX8mq6dGZLTFozX&D@L z%PEDLu-A-`zz%x`o?V#dO6C}TdS#`M&NL`3tifzyMn09Eq^8#?r?gF#!Vx6?k_w07 z%Z%PLDanM^_owj!X?oHaqt0fU8=TXF!j|q1ta@zI%-k!5HPoEu02Zirmc;{6-*6~vQI=3aKh*zCzyAmF0$7pKn!d->N z*gqmRKa?$~za*{^pDN*FcF!9B&NR&I_c%Ma_uUC!A3W2%%bqu`^jGWiGkh(*tg0D# zvN_?8-qSk3^+IqK%OwVka!(QxeY;$k0}yx6yFnMj4pI#L&WD-if% zD+?T5L!#H!*37`Q=n57+SBNYL*&SyEsh^>-GPN;N&ugw5d{v#$Ce8;%hu3;ceIEZ2 zqvxp?lMos*RvsfH9ZLUvy1CeF*XMCs2b|!cDePkxo718xXQ$gjQ!pqr?ZlnW zS0pRyWinK!o7{DnC$@_>&2iy77D(q5$*}k z6M@Da+%u89IMCx;^nf0p&2FIQ>~uRoub37vT(E{xVT^nG#|3(KSD9r*dLz<9dSN8? z=+dg!w~^SpHzK`;?g8HtfT;*Hi=|Q!wj>lsVsD-;O!Ta4$nG4mx4nE%DqtMxfgN3> zM?ORO8_7M}%VUzi$dl$L3A;bIR|Z$_O`ffSz#i>8j`HPEGIugwoZOu>nQb!f1Rp}p&WPhi95>=PKP_#US#uSCY9RSpKXC&GF>L*-7XOfM3s4FCBvz>Y)Z?8OtR3D<%0Tf zoBh*aS#$+w(lR3>6E82M=R@f%?TpJJ0!lR$uEK1UEtado_*i=+x#>Kxj+=2(A9NyE z_%{3lA_J%#otka8gNOcDh7aj6tp1JZG_YO|Bbas!W8XqT;*NN0ubAx}$ie&Jin=%x z&jXQuzqz9IYx_O?=U?k@gmuyN8S=$L5{&{^P(jcACL&zE|Ghpc&-F zk^ia+NvkT+$v@857n75-bGX5?i_=d(d9i+VzL}sj^si4pnM@{c*Vo5yCjY1WcmA{d zbDsWuc5?LNlSk#R>#`TMz01E|Z!g~+O{jPNWxahp`M2!&dULXVe}1h_B|CAUfAf!= zH_m#pDpqLOuQ*Mm{IUx;_t?D~Lx(F=Gm2{Rl&X#SN)i_}qhG)FE+|d;O<;{{5uuc1 ztroMzg3fA-IdV#rn8*Q5W$8;(S^Clf9SUr7f`mpXREdIxDNtQ5`1qR>xLe&CR}CA> zeV0W>DGOyMoQay4z`bkG$RWMTT6K+THceMF)mSa5_N8>xV0X86bpF3uV{$cZHKFJB z23HeW)u{R|jXcYNuG7}2rc?Zn`65k=JVgT8jF^3ubY^RSzpO>O-3@Mc;-<#cc^0E1 zb)btJq(pY!vqaY1xhj!;{${hep2|S%Q7qdM*J3c^6+g0vDrZt9w}G?#;rqgr}t{n3*tZE7OGSitJQq9 z=pqE^VKZCMqGX;y3C>n?G(OZtH3p$T7R?J{&KaB~D5NhV$XhJ3IZZ7RIKf%I%#5Yk zgA$Z4&7!zQ>Bb))-1`qzXzTEZdTH9Xp1>HCmnH@mo&wHQwF&BY`Th}+i5mdAE%7vA z1Ojt7d`yq&?BAIN)$zwsC66C`=G|~QkG7BVc|y0HOw@qj^$41H?TjMK$u2%m73v}n z%b(K2DIuWoxW519%lm;g#R|Jvi=2uPM_9eq9=U2{O_j-RWyQ<$%We6A{KuYmzWWlR zcwPgVHRKJx$H7$HMm%h4Ksk?}XTNJC9C zEUN4jVsi^pR5b6Uf_Q{^^PJdXf^6FyMb!vDwpni#zmR^Y z))x5;tu@MY>DK=B%4`%ofo2cpeD4M7V532Jt9-nw; zm0z3(mjzJKE!_m-O>*DvHXFuFzRlz|^>&6)O1)CqT5C9xUSr1+ap#6{Wev4zw?l-udknd{o})@_pJ=7TWF4>JoRDewE<*S+0u3m?diO` za5I2>jNco@F44>C&#U&gW8f)zvXJv0JDKepMUkGlZX29eP~k3dMtk!R!IA0A&Cu?w zKLcng?f5xr;#m_wpT~ds(en&`_r2WWL31eT6+hjqw-@BpP98t{lH}HKVy(%jB@{qJ zVS2Sa{`uL%ua0WRSaLDG{2pJq{z2SIKk2so#Y?X}m&?oP?!VfWO<+DZ*Xo&YD{ix! zkFvl`-0g2WI}P=>ms8RwXY}`=DFF#7R;EegIL?tnCuxP=E5)2F%cbQ=Yi}`|Ey&YN zn2odfg2p5&j-&i-xR4w{gLy%7&_$Aw2BUYh9Yc013bINPBmk&3>sg`(DN9qEg03Yf z=2M&^GWU=77GfV0QXbsv?N92}{V)kB!71RlyG}w%=;ixI#AdEqLF<*z<~ahBIDAZx z`Rw1CCOSGMb9In1tYb#X*iKa7cF$az-45ZHk#a{eQi5nxuhf6o*lom8Sjbtqmz~v> zF8T@BgF5UPgeTRC9=)!Q{%MXE!bp8i=DPs#lhu~6ehLr9sV9y&fO|VlHODjtZ*9%P zk0p2;`9Q2mzspUHoM-qH?d7M&(VjckqmF7<_|^{DPOh%k*P91bO{e&^J0iApobx@% zJ_;ubXQ!baWHHTfvV?(H(5uVt(bag6L~NIH3JNF@!IfMsEssy*aEr;XyXYwo6561k zc#)Q%*^Cm%h2@aifZOJ?s%Dwx6?a8!7fWgXNl8P#$_R(==Rd|Gw$;t}=)V~@;R*fQ z@DGTMpjwmbkHhw4{J{(#(qmlxJJXI#ucsL!wq>5yuP|FqZ(x-!-`%Q)sKHwkn%p-#|Vpje&2{7Prm$qBE9PgTc97} zg*PVOTu!d(NK9tU`&y|pjj@J zZS6d&zx@Vx30wurt|+c0-XR%*fxTPMMHw@idr0DC*$Qb5#QDI~oc?!`5y_+(F6oZQ ze4y)RLLUw?)3D5~<6dQCN(P=+$%rtTMVeBcjP}V~3Yp9OZB2}1zUq<@u{Z3H5wR0J z<-QJdokm84r%9!Ew}1xTHVO)Xt1J@xX=)jf#Muy&5pi6g1777IIwGqs84yP5#rEv< z6nQwwBrV(opmi}`4_Wk)<&lh$wlf{&6hj)@D2vrTHEN|hR9=^Z6{AP1e?q}Tx zG8=E-U6;QHZX_~xcL{fQBIW%QTHxGx_vngIc`%=qwGEc@r*X6Ifib-_v;kLGFRWQT zN|mBj&Xr)S7AB9xeP2Gt?G|W3&7?+-jrIyOUngMf+^HKU>W2mSnGYZlwK*h_bCO4j z*^(R_wPI>~I7hri`f@B&_U@!JZN+A5=xjO@aXUU@-cYejk_esYNH!PcXVQnxoT2An zGJSx-3?v_?xdV>|UH`h-KHI!~RatwhcvhPiPm9ysjDs|_m4N^Z+=hQZi>zJEN6Y=I zVLO+I4yHV-e-WF`432BpL1xzXdYV5Do!Md(SyBGJIfJG9?1<$f|L%pA{OjN!RhnX- z!XTWZ4o#4ou%PwC`GaaU-zrJkiEQ+EjfejvnaWa3#9Km$ns<@d7Sz2ALb;@uVxr_4X$^G{5&$+E$n$Cir-4t`lr|zO)8$|8yG!#*jze+B4F_TC(Y=p%t zXhgjzsIAU&I|p4u!4(v~h(E$*(BRQJkB$ET(jtqv6z*eTWuZHu5307HG90yKI+MeW z$Xe3}OsA*~Ba>KN=0IRNbTd8zZAMI@>Hcl_2gF8Dt*!Mk?1Aa}DXYN@AJSu7{X5en zbg!ow!So2GThr6@DEa%@^&45nRZhT@i+`M5oe`)~TvRmyBOqe9Vq!WS!L)<~ZWT;- zFLUi==vkrZnvlS1)`00fFB=5Yk+nVg3_hNMb%~s;Ud0Hc#l3Z^#(Oz+VGr2^G%4-`S% z*Xz@t-x6}V|Ki~{M?ZYa{{o!dhA5v45chK$hIB+(`URSK4?QGzpP|?}A-SJU9n!{+ z)CHRuZjj(=x?JY$hgvw34BV?Z7Y+a1ylF*kD*#Qd^Fo?=i3s>gnhomH*+4H?d9V{e z;}9%O&oB}!$O$&2?jCHkhX+TsaBOiTbyP3xzgux;;NAPaL2TNpwXz&eb4Jy0B*Qwyn?`|pg`7v#aMVF|%EIZS z>?Q=ed&wEwZsFYp+q_lhT1U6QbTlQkSEm^^&O2S#5@vb9b(TUvB2@-p$-< zXagr(`>Ih^l zh~$&vT^`W8-YnX++S$0HX?4!?%@(s&Hlu0vB3wCUQ)W_$K=O3mHamQXE;dU=?mr>% zBc;n^1_>@h=@fx2oG#DFk!fz6I2+={W=>M~0k6{*n*=_nKHTW8i;sOAL(+~O)xBK}PFinAiJ$JnoW zb9~*m&a7SqsQ!@VwpMPIt^jB|*2~9CeX6bfIku~A%k%cnTE0s@PiMe=a50ojy%ak| zL^GR{^+%1S_|~1U%y&aPvuoG=)Gk9pZXlYoQVmXQbEzt>T3^9OP~D|a(k{kH+$1Ku zGjG$~ryIcNCv5=CYD%7EQDhYCh}E@wP&dG3HC+|hv7Ab%)grAPwuUz?V8P~D%D`5= zlofiOXE}{^5*ptp8QpTMg(McPlX|f}$(y*P)G3qpS)|JuvQ>*^dE6L$F6(tnw%in1 zEz(={pE^V)W9)=P#g%CbJATLDb2SB=+q11t@a6kQ#Aa?_2;AtiH;Kc?^q9~7t=U*Q z_BgL!c^^F4<4Ir<1+d90sj(breXPNW_oi> zWy<9R%>@~duHw5#oqKh=apb$T>_Owl6;qhyRW3QiRMw{5f))$pd6x)U0Q*G%OigKx zhVh=~bby)XDY{?XZX8`%XH?bY2`prhB8R3d@>S`MIk4BkydwN7 z$*y6e>7F-!Ye0vaNS*LjTnc9|}DN{9lwo9UGpvfICq{esg)DW*pcAq0!y z$n}^h^EAL`P|0!5#fPMY2GN-U9K#Sz7ZPg9k?9G;X1h_^d7TuNUjLXUCJ{%ZrOL1VZ<-h^5}ScelmX zBi9)0)s#+aXlhb>vs%uHA?xL@eqjv(OXS!N^f~KWyXeDS7f3%9oO&fPacISrXZ}5c z#_39o35taCe1(iwP>j{K2}6U%t0~bXDwxm-m}ejsgA#UxS=*qo9UhGbjqUM2&?TC9 z@sd0OY&8M}OB&$K=)EW3@6UgK`1JpN^Yn+W@3%42BVy*2Oy|+x*XRHJ-F9=b*={b5 zHsf*=|SSconhVf8B4%s-leBM3e4;ZEDMD~LdoXz0s!_SB~oKtxXIym zhvK06qt%C=rhMcDEhM!cV1wBD17~#tk}}!#uD#;Iw*07dDKL~6no)i<0*>wy%{Un7 zRk%gZIkQN%2@3`|lXWFKbz&GF^o8uM@`ig{CW3JO8VMUiFLWcC828{K8fWi;h$f}_ zJ;wng=W9U*Qx^0B8$>go&T|CXmqnJaVl0Fu@%?)RYclX{0fPW);$Pq;hM}4-5d56u znXcxmgrq<6@a*G%`l3ul`^mDQ+R6O_g{xvwlq($pe;V3`Zdjukjwon)J1-S^bDk1- z=|7^%fXk79VQSDmJSn$0?(}66%BU2K;%$%DEDGXoM&HuVG*ttSx2rfeX`Xb zzJ61$99T45(k@H*)(~)>+0+xSk6ur&Y@`m8Gb9w@6333V;wCG{ z@kxVdPMh942G{y=jJ0e3K?s=|JcMn`p$e8M%}{2fWi85Fw)#dZvVNAB+`}# zFN>t!v_RrMp&uv>4}v43Ky}JUN^lBBbeT|1W36ol^Os!FatbAZd?_P2pXa2G>IJU> zwQ@Gv2hEX7qPr=P%yQ&zz^FAyc0L8b&fqXXva_7%>`l)+1ic#Atf;mQ$jROI=@2wu z?t@t!_#p6B#Z?ueb9Q|G=JhWY>ql>{#jBIhHy|j{4hef8NUb&wrd*uJ-}1DjVuJZz zo<0BDiznZ{xZmp69~Dlso^qBw+-@&_F@b1jW_4^aP_kkyNNTUDsZi9K;b&XZ*z9T+ z-1ZA$%Hv_rL4)dd`nMz#zot3b+^$f>Q~y`%+Hl zKR&hus#2tmu`^?GB}qV~=X5G)Q4{cOhcVzdp+dW3Q%F&@`V5MBhU~Ec!kZOrKcF!o zAH?nYI|=O=JJ!)wQUY~6L70QTTyoY)=uF3G*!ROL|Dc087MFu@tNylmC$r?%;v_%Lo|IDZE zOuDsk7nNEApb9$j=>ra+eE(7zh=_8oefgFk|MWmHzXXZo(|l= zVfpV~1QDJ?$W-#;+`HgHh)E0^DfphatNV0D{7E=QIu-ZuHA1SE>?3P(g%m4r8(MGs z8{-GlF0LYUK2>3ka{u8PjI~+31XTR-KnM(V)0KCT(=OcN22f$nbYLz!`5j}d%khd| zY0R1h&1>wEC6k}NjMS=y5Dzb@Zh$uBjeW*JjX{wM0w4ynOt8;6_ozG4b>M*)>(Ilq zq936LIk}1%AVQIFa(sxo%lmecS=-yITOLnGgOW zE<9NPEEiA&TPJJ~0hyVR5Q;(wEJ!ZWPF%lrTl_Inf_*)Og8*rhXIVx(JIt{)AAFCk zmCm15@pDyW-{qsAT?8b5X=FhuC160t*YLS9vfn7?_@F#73q^Elq$gePF^{DkOZK!Bv6&Q>YulJ($BC0W!sPffh0~V3T!%C=n_L(mF6_ zI&k3LF1`5)sOi9cH6U3zj)lP}0O7EjOW_uOf|OvYL$=QNuqCclv7(!ziQxHDTxVyF+VZyTR-$0Oiu0Bo8QooP^ZRt8|dZ8-a3>qsnhUv^KWyHe8KN zHA8O-icJ)SQR{1@siY-Z`QSjj`_C@P6uX~M*s-33VnXG~Zby9zTAsEM702zaAqijM z>|Vu~Je_@MUn@iem!8Z_9hJ=`y;X_P zuA@q$h3$~lNc*Lpn~rp~|M3$yl_i-UxBZX6_t1;Gy=o(FeE%WQznbd>5g2e(aK|Eu=L49uMKR$jF>zK%GlEGEJkgCsrfA z&UZ*DRT9vMmHQF!4l*0aV`Q%5PSTYYOBgQ&#V3i%!qb0;#)p2lYi zX)u{?gWUY`_TUGnhdR=CJkb=Dd^Cnq!*uLk3bPs zi)&Id2}BjI5i(dpSxz5<+K#ZgO_v4R4)Wr$1Vqf#q#D>zaHRdpM^Tl&rW>JW&rCQR zU5)uyEL=1?t>yDSS73`tzW)XY>Wmu+RAW*fT8AWF$S1scI4{C*zT4JyazU8IUr z=ldWDg}L32(H!(TSI%6$86na`3{p|=tAp;aoc_xl#J15+SS@l9sHu<;-ApX?v1pU>AL`M2FAs>Drw+pY~Q z*`p*`9O-W-pRd$ZUyknY$g9u)1qfu1t~eMwzcW4KDu@1_sV&O*uqSeLd^aQS+-%!v z^oF6xkSZ#i$$Jj$;YGJKwgk|5YJ>>^>Y>?x!38fA2ySJvGoL2Rhv~r$$Sz1AV?6%b z&tufWrDM@}LAP?VaFaESUwo~17!P$)6YYZF!9~-hil@YnZS>OW1WNQcq659Q33Hrl z@gywt$eUzBa-wkYU-@HpoUkYpza^2b0}sJP5+j*odvT9Qbrq^!}F z_Ce+9l`QQCv_B-<%*O7si;1@yGY!5{bDXQW&l`)-;{`SF2zYKid?;RO0&E=H-;ag( z>*nLZUai!Chf?RS9mYnjp8Hh*^Y70dh<=U0tVg0QEuSWs7kB}_WHaTqY$Pz~@P;B9 zEe@%ZKyD$0L@P%Vj6&S6!0p76t30P8Ezv68%kLs_YnrGA=UE^)U`JavI1$BvEeN9! zD}0tk6q^2YKu&!WJ>I`J&1NT78|*gi|35yNgZ#znL44qh-hV_)&3CrB-Rh+|*9TWZ zAR+rJZ)R_W|{K=JL+hU7Td86QD($HH}?N4LMH@% zoyNgRAhW+79XNHicVr|H>GL|=T^*b@CK96c<#H#$^~CP#W7M+#csRK@ckT4>bh2?< zlns|=KEDL$l8BNS{}{A@S9DJ=ole`zVhA2+z% zf^71iz^N-Kpv;B-T|8h_`a;59GWNeghOlP`2XkD@$x{%A#I~1!gC&&y?Ob!her5%E zeldY59Iu=i(QdC~GDkt?-w@u{mP@JbDSpSCn{$X=Qa*ZQu-n=^z^yV4WH?7%c=+K>2zIRQB# z3qO_^_L~-@&Hl_mpL@$4Bvnf=7S&0Rm0tv};6i?2_*#(PdOX-@GZWX4ZDU60W8-Ud zc*701R>U4mOMbm$h7=B>&<1V%*9t*l2$Dj^Pcf}vd0?m(3vKBSriYdZ5lMs=ZhkY; zHaS0HxBfJ0yHl4CpJPr~&zDvZ`qMqP(TNx>$zL4z^kXYw&$;blHiVbch$lGYWnTtb zK>#u2gQE4MA<2Zd)5v42MJs?r!wkqyk{4q_hEuDsuqonmq-kEa` z-BN!>a%j5n&oSpPui46fmt50cJHVz_(DH1B56YD=pr9QSfO}BK&e~>0v{~t{*?orU z%1BFZF-EH`Yf7pQY@FXJ*pW-sIBXK)8IBPHPZ0Dh3@9iq0SzFS#T#w{z->xvlCemY zdg6zc+`l=raZUpEulK6bNH3^!_nc@;IC%<_;YNbLQ-Xv^UkWIpS65{a@5qb; zRwh|nG)TZ+pa`Q|gUTD64MrytIMvk|l=^E&xgv5GNMSv*76KWmBewWaGS+Vk$zjVy zXy!YR1N|y$Ma3Y^|LTYjZ0O(!a>z{P1|;uc*tf=8wkEfF#DG`?ND9Z)&A#>O99v5yY|Ng6_hLO*HY8#gQ{82w@%5S93vYlQ#YPN427-15VL(2BTI&3 z*mIXdq&|HSpLoclg{QE4_@Fx==8cj&Y$CCZi9nUVkAff-)#K zfC>WJP1--5WgqD+nRkj5g!98-dytMRzv)hm90zjaa{pxxW@XrhO}j^K%du53QgQOB z+TLDXIPa)YszTOkh*rX~zQgr6N9R_F6q`JKChJg3!;4Ym`c#jzqgbD91;`A@j4FPi z?`4|Y3Io~wU!Ur4>Joe}_eorAsgl;qzN#$gszRx~ui>Aj0yZ-IxiBKwX#-1_I$^bC zTMVarMc+B)Ifuj`QE5s8{)vdXJ}-iOFfPWI)u^KwP8cl^$r-JGPp!Bx7w%tR!=z=JZcs&ARYJMw@za#jpsww(Gc|idhO6$qRFJ%Cyca*8AE_x>CEJdT?w>^w;=A z<8l_r@in6As}in{S;ZRk2_0vyJdFb2b>13#BOMCJeo)5p1i~60kXDi!a=YYQD_JT4 zjrnldQTJSF7*^(&#IC+)kqP*vAGMGFlf@aeN53DP32I_0ZviPrFgAp%JU2B5Yw+SA z)d)|6^lvj3XktFf(nzzGhufy&vsTKf5%6q1v1bvkfGUa5*(Y+dkI$D^C(VbFQ`eab zaxU%*d8jX_sShvCtf%}ESxe4?1-DbyNHpANzCSt=3`PLQUrdXfITbRSyfb&Nb*Z9v zc0#bEHavA@%_&cq_G(bzSq{`H4xc_zJn}>-nvQ2yjhF_`C2BQnlorr5u(g}CZjVrR zc0(8i1mh0(ZeZcI9aV;b%RJ6@B8qs1oL=~;xCAn&5jWk=(&?gcTy`324xVaI)q)av z0UNdcYbqXH64i#Z%*%q09(mnTN;rP;d`x@4nSi{c_a!lUG&sg&iQ*pw;{ln%Md>N! z`RC%2Rt<;PeQCpWiV2f(nx?8CO@@exZLuoLGYN=5F=l|SojxeHaK95S?&+$AKzize zawQR|5g5bW0CxJ*B|m3Z5?j8NjG??GBpw{|gD{buxis>PAs(oXo!d*=Zi;>#BYkSm z7v;?$M*{hsMvsB?7DC_b+cjPSPkJAMK9CbbZfwu|%pOrs09Do|kcgE*%IpAc>Q5&= zL%QPjh}wb{)_UA=fkK-LeW0ParkR0lm|@~RO82cZhLeCGGzrb1ECKA2J~7(?S9BuC zsvqLVKQNYj?NBGjB7yXRK8nm+yj8Z}Sw})B-1*|BE9+rWOA_R4BIGaIItmyu3F^QO z<|9<w0F2m`Sy zFfq@@x0sg#@8LsF7hPk+k%`(m3^@i!1ThISx{weYX}recpc zzxzNmAFVLjkMV&BK$9o=x*`a5Gox9=Me{GhNd=67xtWqV7x2dCAvG>EcD*QI=;mCU zq1SvOgyRr&A=!q$*reuU216t*&W0D!!iFQA=`W~*rbD^YN{4^UAUMm+Q5N%O;>6@H z!bXNUr5fqyo3T8#RY+)$FqmQ~cHD$HGfIkV>cwmSvvJMNFP}*dSFx9rJWF}dv9Y@$ zDZz7{PhiWmT!4}-=Hl&j5V=s-x2Wj%t`OgmkP8|m|$qAjP$l5w{ zpyBy{On`CFcWU|=Y)z&fIa~<*#y|DMktZum5#Ky&0uJ!$3XK8Uo5=u7(xd>-p>|{h ziA|33mzLdIc17Uc6{^a4frzbY$Vu0mc9rcbU%{ANg|j~%~ILYGXIz5GTLq;2kJD9OvpC=N0^ z-=(yw+%BdcL(0lkL_hW%9!*8Z2R!;}S5z^74Bu`ZCVu2|j@hqEr@mJxN<+a}W(5X&?V%b=`fsxeI^ZGOs;<%0yFd$$iaV+Pr++)E4B?Tp_1ljr%C&5#2imV0w)1D<;iTU+}y)Ub@&XRLX95i)i zOH(fxwVGN3EsmxH8#@Ny{eP+TeO>MMg0JxduYyYeo&@yt(rHc_JHdAK8O~;6A*FR=FH|fLSGpe$SMqS{#R`jp88bR z1hz4BS+i%Z!K#DoaaYCPa^IJYjNnr$pThKrccFx1dehHI7eX@xq4rC7v0OTO3HmEj z;1w=3Wn){L!F%cU6JFHPyq0_t8Z1gx7eBjR2teA71jnA!zkO;p)(hvJo<~yixIK^V zcY{b9pg$8F|G{(eICtmuEK&7xJzqLIZXqbZ9uSV#oVS%HR=(%6CM$>uYXErT4fy)P zRsXH@w%i;Abegqf>bbS64FeZ{u=D0vas6$dMpmKuGuH}`y}_ahEKVtYkzwbGT!Iz~ zVG+}5S zoz{M8*cCNAN?CI-o}LB7KFy~EC3Kz#!yTu5OxHMR5qM?DLyuZ1Wa1^F?w8#fns|cH zxZTc>eyRY@h))wi!3JMXzz4UhLD|_QmQf+#geyFW^u{2TQ`ufC*Ch@ zKVo}WPd02Od|FyM=>)7_o8Rz*`A)vxH^i|O(@%LMM?mK0;0b#!?r~3Ggrp2J9V_q$1;n-z0 zS$YI-&7yYk*Ze0(2LL37z8Vz>qdN7Y0yMzDv<%(MF)O@fUY0L+r4iunO`db-6egFg z{`HIV4dLA-yO3~QAjjMx&D6XP)=znxN@ESWN`u)#?OM+i<6{R&UfcWOYw#Y#q06g0 z344b@3S2k-%+emvhN(#Ob6Z}f8yXx&ft$7WftWtS*u>}5^OZQq z6CoR85U!q`+jg;bw8~XZ8_3q<*#7|PZJCRFERLz(S7w<^>5)YO9CZ@`8BvN5K91xp zpwbo8-)+*PnEW?hPNik4L~$HU7Eu5@;i$*w{HITjWkfEiYfr*Fu;AtTV`&+&rV8O7 z@ucDDM^T^R>Bo~EW@r6AG{GbGuG=-J8YX~C%|s@<>DeQulLvM+u&@Np8U$hL*wjysIQYWT9(i@^rs_p zDcVC%f+Q20jkA9vXO38f`fL0P1}OV=atvlmmy$g00Ltj#>srvIR&Ec|N8`Myt2@M5 z^bWv61QpChP5DeKKrtrctr-yM&iuA-nuBn(R(;ljh}P-5lBQkYjP|GFNmTk<7ByGP z)+|N2L!{(5{ZMxTbw1Yb= zMnbaKY+(3s0zN^rqxU;spV(jEMkLVQRKfL2(y1y)AM&5@qzvE{PgD&z3{)Qy!M9J< z#5ICa-uATuNACh8yMhUUNF~kd1b(L)*+@o=>xuA7WQRZvT=(PZp+I{F6I%q?`zth$ z`@7cIKCEr^npw=JeNni(+UgVc21G33CUrn*T7}94NL&Xwd{^}c&I~NQdD)$q-BBY> z5VB)edcGUGe|euF2raeLTRCz33vnExKV3P!om`x3i--p80wSXq7IP#bsDWrv|13#c zNe$fg#u;hkDD=ect??lfw;8ZoNhNHCvy5+sgiN|Hd4B0smwbEfpd$P8p$_hG$nyo# z>uSw*hpOV5=*>l@lqxCPr#ii{>#T`5-+{W%-i1_w4?cDhXW(|=8JQ#25c^?^)#nAeBe8-GYuIwUpk za28PPdPyHDLU2Uji({q!z6Oyu>_jek?KbWrDi=n7aHm)5M}>-N>UGK6O4wYBc?JY{ zin$>VSTgU15S5B?@)QJ367O?flODpsqHME=%vKQHX6JG2zXlZ@=G!=Q1W_MYW97y% zMJ3e4l8ou#7ci$EB0>|~V8nbFhd0R@CLa%E$xvkl93o~-lBrXF7RFH$93?Q35wcCR z?-68Sh|YILy@L%3MSvUCl=Z{~7G{l5#W>KkDQxVOadYzQPq&P5Zn8(u`gj$3|52Za zJT!%k)vfTw<^KGfi;*85pi<@FTsf`L>^{=v94(!>FaHy0pg+}!k$GJ2=+(hFN=l?> z8J?5%#rw-unbNqDkHiHtoWC;lwRa83HcKyjj#wo;DZ)|O6Pdly6&dm&xDa&-|_?BIu?*cvrvk9>#OA0QJ<(fSdB!W)8;gcalc`(Xv3jO%>9PeL3 zC#IdHWl6?WiTGxfq;L5*Lsss}RJ}hyV@U36f^#kvlsf< zqgV6z@d}>-MvgDQ@rFb!Cs8N-yAWyyMe+k7HG`!P3jppr1yeXFZBK=?a5BXK2$AUu z8SjwIP-dxa{UHo>5G=w^v?tw)$Nx?iwv)gTZ(8hB5EBdXtU0#x>Unbv^0 zG@uTc2@VprqueNM0b?1fM_NHL$Bt7&_rjln`2t_@;cH%Eo`9-FZk<+@CQK(Io)61TBK&Lnn0JRvAN6Pe2^U$K!dG?gcS?b2 zlK>r;wi5Tm3XG^#`G-Uiph*_07%#6^3fD1^OW0j$0)0erG@7Etu1FXOpyB-}1mFM3 z_d2nlONyldq1WL`ie-q22`!uTdl#2ak+f;$PpOPN`nUkZwrX&2MtCD`NOuvR%4P&+ zQTCA&3!+H}4<{A!6Wt+9Sd$2*qG!kYI1Sc2_So!Y-6@Ma;sk3;{J0A2jiP_)FZ06} zQMe$`l662!S2C>G3$n2l92;_3UHO6xk<7lHt)n*O5YHLeHC z{+7gOa)PbV)$*av&3FIg)vSZN<;TAuKD8*qnD&jxq~OdfMYwMOXAopw#BUoyu*aA- zAJG7iSTu7nqoMmKI*8*=3u{J#1Pn39WU47(tmfCnQ z5{GwVboiWPm$}8B0|T!B4!Q2*4pBf|%Sb6N**vsiaY`QiFYFH?99tO#s4K14!FEQ= z&|DpBMxST}7WCbPkr?!xRvDW$>X`Gbk)0Vt$2g=frWm5ZG}!)gdB>Vt2+`vhyZlAI zy<4eu@l_x^h063~sqeZ(yWM}HGA}zG%gTn%2kKZT`!?k$pDHx&BlnL>& zF`PVzdeV5~WGMj9LEUg^)~XbtD&v%sEQSYaQJ71C-3?-E zh&M3KA{zWa#HeR54NsRFLJ^>vijt0$xzfOA*?q)gD)LTN+xTkmkiqH()he}o*!24k6G zD`-Ou+p1>VHXk=zO~D{k3vbyZ1XkNqH1yaj_hr6Ue7||vB-DNNFZG|-^?Oxe zbh{AJ^A4EOtpq$VaVMnf0WIR5 z6`Mc_)sTmxv#zL6+~TmNYlAd0tIS zPG2B2o*Fn`@;WzlecfBg@78y5_ukoh^sHH-R6b9CQsJqd_f;-`a?Cwn&*!GE5;WA{ z)QB1&<<8d5#>OsL($?U5|0FOm72j#HXA`@E@{_MO*3!I>BFo)2@`Qn1nPJ#i)20~a zM_qHT1IvUNHyQKf+yuY|tJxy%hSQ$odZL%1J}!THG55CXVehxg_Ndo%*>?NoI>?|b-8~$*)wo=1Q_iK zoW_dWwe@|3{PwWBvw`umzw>-{{Z#yFKXJvyno{P-yvWgAiJU$^@M_kmS}px`@?dj) zTtBZK1@F0-1zLaAj~;bf8r!-c1LB+g+tO6l-h+aUk5t;(C&-0{5nJEaYu3_H+AfyB zfcXrItLcO5&liiq_j{Azo9~z3XMM>TncKG5LZx~>>jO3LQo}txKgULTo4FgL<&?~Y zLM0DG04;u}d0Q%OiLA{n=W_|*eL&50)Y&^mK=t7V7%jP`cf0}*|bQ`Cg- zODXVuv|f1|)BF8??g~rDeRtH4EX0(0UB;X-xgqzLoIK1EGFaU5Ea}}|Jp+5!kt*pBImaTTw+(kEm}f) zUU7}pDlx;f2M7&8D=3-bhZ~4#k)lH9+8Q!)WzL9>Lg#7sx1XdHWY26r_35UXSi(P= z86(c)(&XeRgZU6FA@d<>1QZF=*MYOw$N9k9;HhiBLSLLEA<5dp(5lx+D8c8UcerE4H)C1hz|H0UI$w4TSaF5#l4`M}a=%hg4|F2SHjL+)!?S*F z(#pweZoF~-8PxUH-}QbL>p8F8vQy5SG16|QVU*PO^A9Fyju?#bE4+`_#I^Xv6VjEG zt49Z6DOyjWOKE8>PFIfZwgqZ10?u7j0N|xFXld!L^n2hp*BMp_^RXQpH`Ry?M)izy zh^QUH6n+%w8txSQ4hAn21n7Q3^aDr$IV{zI_Yq!n4@@eKm&*>^FKLxcg2rMp|F2 ziC!`ywj4aAhmL8n@Kt5I)GJ^+Z~G38{FXd?0qfo|wLd=XJwd3>$jk#vEdFdgy0j|D z3uTzChyyJ%Z&E}HpY4G#FG}&`jtcG#jzj}UMmcpz5z+! zBF6X;5VS*h)C&_B>3cwkVx0s4-8jETWm^{CH%%EhsGZ1S=lx5ccuy)>Q&&$zyzmq7 zVrTgKjF4&F!}dlBpHE(eQ#xs?^fnTU+0WFMJh~4r?QZ%LmCF z$qK=+f$BZ=gDR|8l7i-HUwQ1k-0W1HeOIpSQ^?Si)D6%D;eZ5iOTWhL&}xd%jUxl_ z(^4Mu=n?@bgz)r#5lVPWw^06M!y=xXr~bz&kVXN~d-ftQQ=u)mOnyT-Vh{vFu-kzC zA~L)XL?a!k;^R%BC<$yub%Jx40dja*_Ch7^U@!{%00L{xfc*~u{%8**SxiHG?vt`f zoqzldtNu#C3xsLyyp;0&Dr_tMYUQTQl~-l0{mreA#!W!scDZG>xOCBf`lfj{h|`R+GSW_Mpy>4F{QkD8Y1+RGG>OZHnyFLupVT~N}5u2q;wj~ zc|#sDA1>K_+g_zO1ijm4OqYu9ZRWYAw0YX+@f!m&LK}ZfUhEODt`ffTm}W+w7y|W3 zUAM~Qsl{)Xl*vjkL`jqT>Sz&BmWC6uAj1o!_G#-#*-^-$JUIRZM7Rkj81*>Z;*#s} z^lgo_d{Ttdh7i-j3xuL03Ce;F;Etj6%#$Q|D*gp$z8ZhS?cWjO4j2xpR77BOI)^=3 zWyXWcG7Uvfz?z&%)9-721o+rTWF?cc>=jFRWV6!(oQMj5xY?^3DW<)0uj{t#9Md}bk|fx9@4$oDu9HED862c5K`Mf2AZ7D!ltB3!0%dFq44jyqHhG5+*`$Vw#3^@YCLVw|y zx-rgez?dmH8p;JnMn9zlwiX|5-KCu_Jq$%|Zui#!55~q$OHMT~1fnBNSFub;g@49n zO2G5|Wz5*j9`b=j1v&BtpdIfqrJtHlURk2-YlFC?n`hLIe~-Y`354tj7*&qw3IoHO z#p;E*l0j^;zR!50@*!Q-KC6PYg$u!^s(}XQV0%i}H%<5J$)MS-Q;oW27A0U%f{rNS z>~;VC@mHGq{3wOhZ0$nzLl{PVaYpim10*Y?={)i-RDJTwl^Gqj(ip&*SUK@tq{QZv zPtRe{(!Wkw1w_imt9+0+xJU=YW&n(6eQOYp!yopc9_%^$snIW4RR6r*g~_Q-xkVC< z)({NhJKHs)db{v%F+0}c z2{CDv7@usLSYhG1SAm?USlO$TMw}m7o5JM+(TjN9R3fr~ZvR?TR>A_Ud(9%J82)Z+ z>Q;$A^5~`8!HBq1`KrYciMqx8cR%cr%o>0|R=i9=Luq+UX%^o?DV$if7Sk48^|ZQS zT}++yp@gGAL58j&2fDn)a+-}+MST>r#uQ*t^k>qegsT2mAc!F7voas~kC=s4NS`$uUyJd>PvtHv@PbUNevpH4i&@9|efhsE2E5PVLPEKZxF0OKF4e7il zCd|Jzmv0&d=2gjoiP<0%QBpYpgHVUw-jLo^c8Q+~j@wdmLFdoEk>)^4OlA)dP#FZf zDG4ky&E;(_i;=VgdsKlkj;ZWb8H9^5{7}gmkW?SXr;`6mvQ^zxE9|fBf^T)PVv{R5 zA>mS)$0gWbo4i_WjycT-C!waYvzpNG`!<;UQ#Q?P$w){ns8|D2HsQ&2{EQ`?)WM4Q zlJYjFQuv-=hm$uf4GDs3FNTk7wqTHCDm5lU#>dY8 z^KyI3dk;zL)Lrs6tq^R6p~lA2G(%kuzh5H4`YV0gqTWO(nrAai;-!LWDFRiEp5eJ* zm?>s*;wmLB`9?*G`oV)(x>Z~}iy$a)4ZOT?r@{aed1BR}Sd!LTjKd6>tMN<4h(fiw z>jhhd=Ic8EaLO7Nca{cVd|s7!d`cs6(<^d2Z!byBZSB8(`nuG z5wGzLouttF>**|(KIvLy*B|YCe*NGXTPxnj+lLD*Y6f+s_b?Lm(UC1#*A`_p^BMOW z6ae7o=P!VqA%f&{EAZ++@hDNu&)8=P!88cScH(#}J-;10`J@K%08CbFy#J9QM@kDc*;ZRmM}AVMhhFvsvP?uEI4VbacDMWo=HWc-}w!(B;$?ZkIoY6ph;c>|M3M4&Jjx z-Lg!fSiM|Qp<5o*dPdWrmo|lQXf>9k$7$3^q`X+6QC?ZeogB}Y+DY?(ovo-SKfL=6 zOmhI7OZdh~1cAgtQ9)VVW~`w|$s(em-#CcipRAEL$v8vIJi1FtibS!6Vmz{QG16@K zg&kh9(vDqA);djcQc1@HG<)^TW5!MPR`m6CXcGT z{45m6IcE?pt4Qe#fa7i&Y5S_-dl0Lp z(&jn?sP^%wOAAuqy&R4$Y|wvX~ zSgcDiL)>uaT#x0F`z1BCiETSB@V$2Wjz(V*_8#>RgMNH_lpMU?=1vekj4K6IF(*#G zU%1&CAEIWoDran)gC@bD1TxzO1k1hvf{$>vkPGpJ$HMGpI_tWHHwd|41YTvvd-!pN zb74SgwxpnbgJQFE-|P`XkN$R)TE5;B?=8R0=is((vbtZ-0YhwqA10oMGG(sKLHPf}VHnq23aS@Yc8{eRxoO9_tgz1CJgN<<?;hiMlb_>z^Qfn02X(L9 z?S|gDQH8teqaat|qGzI8N}!_#a`H7(1Lr~exvXKG_1OX-gX5PMr>QzzO~%}%B#YbQ zp|3Y?b}WOg?)&~NfY^ARw&RpuCykn2yp!VV0A=OBncmg7#-bK6I(BboXuK@domL6Y zu=AAm`E=m{eQ39}7cb!EO&y(=u&47Z3K6y6FzvS_P_7xT`3vBwiIo8@7OMj^Y1LZ? z?JiZ@>;uKaXCR%yJNCBOH+TGGlp2^$P^mMFNKTx9mkwwc*fCnB_e~oK(kiC5Kv(x2 zwYWvE%f)Xbr0cERQ`i)NliD-Vwv9^>*d1QRpO!G>hulk9ts`F-OPbsRd+S)C2it)X z1q7Sv`Ntjp=;r7rQgid54-f8JyIy1^^Dwdc<&paEndYfFNdms10D$|gR zero|TWXbJQVf3=u<7+j$JD9AhUnVfV#ksGJU8CW4cqlIU{P6vK!hIF=jF19_sq?Df z5@3)_)1us?x$p-?exo$1EgnD>yKSw7U{{-)_cXOrAs-4&R_u0lut2UtkC3cR(>b_J zEmqL>rhfhqcIQoWZ{L}a4nYh$4GXG^uhyl;Wb+A;d?BbQfx_Zlx!x*-vYNTuHXQTv z{1;=ow~KP;kQe;oL^;_u=y&yf&chgMq2M(u` z1o?Xja#1Mr%2KOhR`6{S;m5Cs*lL;NcEtXfLTp#yjTNUv>ZG4g`S@NoKr^}A!8u{* z_#8GloTqCgoYYLH6+)FY_>pTNB2H3Omc_UEB_ndA;_l!f`k(weMAG-bpd0%~-p>v0 zZ<+o77vk7FFpY~!006*mAOPh5w-DRun;JXP{&!^iuLxgxTPk9SCf>M;zVc4h6QVrP zU_5^vFz@gQ=o#>@KoI4_k|GhB>BWSi8<@mVbME}c6A6qh{dGj(HABKNI))RpQvIXk;W8q0>lbEX={&B9Z)wW4iN z+^u=3Y(z}gUq+_6G>sl(*GFV|ade|?8ienE`uk}-TIk*AJ7#ojZLfSXV(v-;8`qsO zekZ*=>cbTzf<~IQMjl^vkSQnGDs$C-9W6rd;M=+x+A(QRF*?!rb#hITB=$#MN2ea1 zpO5TKzl7kz4id#^uR1QQNe((0*0pxq({*pS5hVMhrn-dqpStrOvHPHT+Fb2xKOfkR zo=#R4bfI$UAA#Cnk-q98oF4zvz3tlA_Tu5@_5Nh)9IE6xsQ$`|rmanRdyLJ_&lC|q zdo9Q1l*t+btmOM*+2&57;K<5W{t^=QIU}~YA`-vow8x`R;GoEX^A^6A$pF$(>*hDY z!kQ0spbMOXq>GA4e3jq3w>dtp(1*H5d=tMQ(!mI#p%pt+P(k(7$?z!86v{yzl_+mu z$n8L4w>c29@iQKe#E#)Hek{Ct7i2+Y9OxaQ%$^!eO&xYsGfA8JSL#Vx3-j_Xw|B$q z8=msZ>DJ7JCYn;mE_?K4ByoJlDo0yp_EGi!0K-5$zxh7=kbXE1O)H3sKK->t!Q-p* z-=sQ&G>Jc4nCd(nPqVl6(!%Vz7&!KW*~N~0dD8Uov)N=O29dw{$?;IpgId~}Ax z5hvrT*>8h`)9nq1osw+Eo%|wT*xCo^b^`$Zj`TLwSy%E+i_~`_54*r|!XTec<@~_$C&oM;LTZLi6ve*dMKNTV6<<6KhN*|+562B4 zi4&$mnzY9k!4Lx2foM(r#7TWm95&VS?*u-1Cmq-PqBhi>qH>eFE{ zJaxB>pTBeEV~Qb?bQnQ?c))5n=5dOMx4M^BDmdc~T@Ow8Zt4eK5QnBVl34CZQ1nFk z{9PdT1Z{}*6ApocAg4y!z+jh{$p>CA3wkd&^!`|oFvHA6yt$qgjc-(_l&NBizNB*!PIpQrFf4a zA<9mF4k$%SC5&P(!hr?F%1wOUdvVq{{G%Q>0Z+4W>41_9LR>>h`B*pB>1)WVO`Rws z3;$eQUx~avWeXeh!Uk^Ub|NrZ9k?B}cvt8J2F*#sh<3aS3}HN5D(L0LKogVz;d+ZMJn$Rj^AU)zrRv|Ah83Lh!eFC6J;soelM_xfF;}yTaE6V5Zd>OAW z~ z5{iagiK8$Gj6ikWR_+0OVGtX@lfq{;fG-+|h6;N0)dGF_!<<2iK&oPWM2W=KaPU=N zuNVs{@O>vpJ}dT;St_7*MR&V*>_yCP@nbxJ9z6DfSO$Fsx<16@L$pY=H-i|ZEu)8C zYeO$ph&K&&2jtbF%E!9wH(+>;@@l5Lz$q1&>*aiQmMs<_Wgvc% zfv*zqCNR0A5qK+!tFLjD1C9!E7pEC?&J?(cq1zfyxx+ZdvIjj95Q_^T&5AKX9=HcP zBZzT~dW1`)a-5oShJZ)dKyC;c4R)*o(B&=u%Na_>0*;eF(_qhmkPK26EeO6&ynyzY zVqB6KTydnA%(U_@0!!&fZ9QtHx6sSm#?Qd3;hn5QX@0~ys!csvIiyNwGz{T5AHe+O zP))NqxN)?VC`JdxW(M0)=qBpp$3O4vKHp?*Z5_To+CA9Y+pNu1^ld564WD}7J;D!P zow{WWtG%_oiJoSLma~L@^Y>Op=uI|Xj?YGuoyqu8SPXKlklAx~a?8%5u$kagJkFN8 z*~RE~vdnpe+M<0hC0BqCh2=<$a?xCU)}ew|*#fqlx8vm%9Y;*fTv>t9uJal`0rlI7 z%Ih^*zuueCcAEn%eTy<|8$OywhBI2Re9Nn{uLIoRkTiNnd3&AJve{l-%x*8Q^g@T(5(d-U%SHb(AMBI) zXlm&C&SE^8cA2;9n@RTj@!5QQvt0ABYDgbTBYilUULNe`Z~w>D<7Y4EEUR}tnGLzP z@6vYVAkZ&09KQWh+h9vAn?8PhTrV}Fe5kE>g_Kxf_ZQlb5wU5~%up3SQ3X)bQK$hD z-LX}^xkRfPR1LZOueYY53p({{udF;jMIUr9&AMw5i!b3faycK}Touz)x*C+V|2&)j zp}-VNZH+Dq1dW=#3#@Y`4H~aUA24e@Wsh2qm%%ZDJ07;Kr`{jBB6w5#K^#mk;c2-T ze4LD?-+ps4f&d!~zWwIUZ@(D~2JqcHyBhqE{~iAv4Bi~<=Kp}x54ivp-;{n8BqSEu za&Yu)=lJCP@r#$+@1DE>*I&3@ka=J*I2U-5ReC<3-Jr>T<4?1SiWO}!3xINLQdSKf zqLEU(S#9MbU#YELI!xiC2oeq~OB|*t-)!Z4*NRxKzIrZ9p-}`cGNTcpR>R4^y~T zx+yuw$2h5}8=9O;hAGV6I0wB5gBr+Ihg!b5Lk|{Ic;vz5FNAQB{6ZMF=nQe20_zh_ zogpmLxD3Esl^I1Gy|ITE^zq-obA^P>o74!`{6<4LJ*X6(ZmA0)?!k&Kj_oy=T1E%v zO7Zs>9{tv&im=Kb9shE20G~s0diKI_tN8P1>v1R_`U(>{is@Ia?JHy=%QYo4-^%Pt zs*U`FbzT+RQWAOjgz1ZtsWGe#`}#CLV_m9Eosm(Mah)pt`QO{|*{``T|C`Z#oL{QS zyOtl{jF9{aDPCs?S%y14KKbLr={d&EgZp65@%9{V&++1Nm4VV{)bMJKS0Ua?a{|W- zJ;&Rd6X+h_U6)#eIe~L-{Zi|f+I=iFHN7_(T`uZQSmtkSD~@G@Nb8hSI{F%I zr31EKqOHt029m?F)~$A&qii`kA1z0N(%M4C7#LIvQxE;?_~hk#M9(-aOVC2BtusW# z*bFcrAT;tRXhS|Jg}RbY0d8kT@V>{5iZr6I6DIO0XUB2T0M387Xy7AE1}nwMr^KP~ z5_CJ>O+9HRGTol8MnpdD!{QQT5<{=#waNN?iclzM6PH(UVH|fva+YQ#^#p@6u8_gA zFuybl7v21^HJB%vDN$ZVTp&<*0`Y`c$L812AFvD{Tdw6Hgchu0X@0~ytW7=PG)b$@ zXiQ!2B&baH19cM2T?64PFNh=@Sv`TYy-~q})gF9xXqVn|tM}ZZ*f7nWTP5D+N=Luc zdaeHNt<_ucg`{Vw$~D(3OD{nMhZ-lM*3xKjow(>+WKYlTuEcLO1*5ZrbN!IN2`@`*=f|Ry5(Uunoki!aA@QxoY=4bDZcAjmOswSzQPhUa%EI$ysC!Uc!33J~<%|UQN%~N%oALj3G zX7lAE(Ux!-q`%wr*2JKigxgU8?4AdnVOx{zP-ib?U!LY?tShylFPsZ#*PRFLG9h1z>#xO$u)e(-(yJkVZ#Bezv`K)p zjUJ-n3d4CUQ0X1oHEALi`DE>bNfEKsRm!2V4;nmT{rwz@NJ({Y6|grgZg2ckOpBK7 zAuZEYx}T+)_|8?p};Xf+9EVm~j3_U`HAPNKmx;5!#vQjS@3K{T)Dh_vd51#Qb zPa=9NuT93fGO1F8Ok1gyftx}wIf}CE`7myN7IR|ZR&P-vjBlZr=PHCcd~Au9`$97( z$|Grh!aAtpet`aBT!U!S7fplhjfu*jK2T#K=#l(@!6g?EF<@4DV`6Vi+}Q0^tIwcX zl?a^gmdB9cLeESL$Z<%%c{?P!Z~MQ zHd`Bk^c`E}=eXHyEh{3{F7Tb&rm|A!5Pi_JHl}NQ4zkEN>Gx!{mZnM?vsKhZ3l**H zaJ3>Xx{SJ&wm9?$V`Mm-Um%}gqt&{wSD!DIMte0kS68-c;VI2**TMw7KN~D(gEF3u z)cUdvtAmo7d3zupB-?skuAN!iMa)G@Kpap2O-za@AdXVJnNu+b#PNrS0-Yen4ML>D zSKDYjl(`iILx3S0G31f=!(`B_NdgLQ5g~t1fH1Mh`GY9p;(;pC{i=XCsK62tNBwq1 zNF1VApwc0r1F{a{hK%XmIgfzqyCy;O{Go@av#w9!zQY)qGw9rrqsb^)e#)3Q^2e)U z;z<7T_tZum6UQ4Ot`Fk%Mu;7Oq-w&yK<-6q4v8awY>$W|addhgqkQ5II=$sT$dgwpx z@*p-x+#{DT?Z#Kqj4SGJLrS4$G{O4{d>~e!i?lHi8@N{G!bB56a)Fhn&AbmbvmuNN7x(>A z>zCSnEHx#JOKA?|%x}Nc`lWUsOReEl)cU1%A4{#_R115oR)f{vpQcJKrX+0Y z6y34mREzqh)-ScyxxqRuwT4qI?w4A>)b4qyHJoZmztsArcF#*qIn|6_JY8*Z!lq+o zxFrJ;tG~f_OE-iVSoym)%L$$qCJJj8xCY;%F4c8QHRrJ2T5P6&i-;rEsglOb?z?5J zr}KEVbl&PP?pE)uqtf+?%zwx~i^R2yW%(J|=&iv>>WpROBV?9;`l=2&`81!#;yR-GFXi^-B3(@yIq+%noe=Q>^>^pC z$-+SbmfkWKTZFOJpLv>HmS%5^CbCi^%8Q45M3n11FK>02D&4*mccvmMak<0uHj0y- zQlWKonQGTY?e>KhT+A>=DGd>XMz{jXy$I#^Jq?OfXv*jB0y!%V+NgWsBJCc6w8kN3 z!{i{R)YZ0)dvN_~^xbOb`h^$olcC+w_p8{e2pUYg4~J}(t%^HCRC^S@U&sRG#|RC~ z%RW%wFZj2H$fXlRPEh1UD-RN}`-)-1iR@#iI|*154C zL9(-At38xj_0lg3eW7`>FdYRJ)(E|V#j=MNc^0JL@w!j_lVv-;xo8M)F796Ei+2I z)%BNxTL8G^c#R_^3AVH9?}Kq)vsJ#iT9D+{9^8>|S70lTRBr=ij_} z5Vy{4R8)}-*>hqdZ_+RTJukTGm5M6G_jEiDyiba}S8*B&{&@{em5fqvvpN&r5cjr& z*yBHWM68!5{BSLYhMs;_XOn3ThfPY}(_b&A=R(&B&gs`wqu~6+>8K8lFM6q~oYg#P z<6^wrE-BB|6*1g!j{~qW4R0k)Zx}mtpUv?>YhVhD7t7HKSD&3>=u+PMfC9LKT0&TO zcIuV`T0JBL9PSe0-gku6xj|_aQS&PnqwOy1ECO~2dCQ`Jh}**q>JnVKc>d3 z#DTa~8c9DGMnpx!8UPTt2nZ%-J4kW+q*O zqxE@~2XB)bU)vmG(sc8RxchMXp|?PYUvfM6_VyeG@oa93_DYdVOL_fiI=UX84bEoM zX?C`p%^|pPay1I>)y117I3K!7fTu%by?}|5l3zH;@MyF|R{{WnJWgX5*99HeXOmRO zQ$=Fb0v&`s?8IdLgkVR!S7dq2560GZYiqO;<;xYpN>`_I)3Z!+g|1A-di!)d-l66$hGMY*-kBMBGLyKlC63P`06 zBEbaOMItH1J4z%khF=cG&Pm+_iHFTVzLH3E=)z747a=GZPza6ktCmQL%t(+FiVi;^ zkd!Y~fx=W2WG;u16tWG$Oc2ckiJ0qJ=$w5lj(h3+m9>>}H;IMfn?0hqJi^L=;S}2y zF*6W69gbj_&wU7!HRk-~zYH+5~k z3tbovA}O+YrFoa{AT^jg@)7(DV~H&TU91Rcc88k3oIHvifH;aT=r^DJ+*Bg=&e8EN zCkHQ1Hec;O-E_9_`)Dh4WS=!5PKv{`jszFEl=>TP7HoBL$-@>tJObgGAhA9FWWm!_ zpJzh{vLgiD7Qj6O>>D3CAtGqd5M2kDJ4Jp6KMCZpUk}zV3Ck{b&jnET;r)s8TOT{( zfV;RQL2N)u#c=RMC?oKZ@bhF#xtI@4U{r0?0{cn6n1A{)|KH$X7lIS0-y)YGcDl%A zrFd7lj3kc85)!+}sz}tF5CDqq$K^62L*{|25aB8ClKj9>O4o83>$UO@jv<0%!K{93 zm&}2K{E*~W4S|*<;x#LcbERlTTkFW?*l`gw584{U7;v8e1WI?gY{p=M;tb&i7gL(` zCS8$aGc@NI&QieK;H3G|s%5j5Uz6a7>}Z_G@g++?WBKDoH)Cj{E_4LPbrFs;LEO)L zI`5%{FDI*$URJl0)oe##X=7u-gO4vmMOcfSfb$Ud6o2AVt|yPh@ZfR- zi54gzU~42SQwii4G*}8RY-F0n&5uYL!-p!6^TES-0Lb_l5)7ZLeu%;ADv?nuL^7g2 zLjCbcl@zYVrH)k0h&z-e1uayS&^L%=NV1bpH`(?^zL+-#v|NvupCVJ`) zx%ijSeCmcnxZ1;Y9Ep#i!XjA}Lk_hpjfc42fi%Oob_43tm4rYoLtz&6P{su;Oh9nL zZJ5`_v{#tD0;KXn_ALja1oNrH`p9~!4@+wjCLnO(wjFpvn&xf(3*;_ufGevQe}F4A z5J0CxW3jlFVm6@eOfM(GDKVeta+dZcDXkL;)nJS)|dQ;cwI zdo~%L{hHH>@ZW}j{N?5I7bnk8Pu?E9*pyXuOzF$f5;Xpl6=&LxBNeAP?@;{s>ioA3 z#i{oF`RII{O_$H76g>Uh{8D>6hsV#Q7i*i;_gQ=MX80lfa4wN7>Xu<<)6ebEQcnJh z84Xe~Dr^V6n9=4meSUm3`_1_IbaZ3-JRiy3`RKC#a)mjsT)MQ-iv7Zuak+%)>vCJr z_Z_%G!+x(DlcY=M#&pYG=f?CihIQ3Wi*iF%yJ5UTW84nduCe^=(C4hy_8tz#BsVn1?_#)3_ER7<^ffxiy8O3nS zDU`|<(#VJO#2@gCphIx6RGLCXjEz2J^P}wg1DrhuZ)gD7fkMa3-fW&#o;L9Z`pv6j z;-MtNDp%s~cFxXleCKW={&$bI9($q8jZwwSfyZ~~SFQH==bhc>o9rF74qqSb9_;OH z)(z3Oc{6Cm@TvFRBmD3#(Fyq%cqqx_pE8fawy9{#T&;i)i)jY+Cv3})&L8~=`${xF zV_lR@ossd8tv^hH{_VpJcYqG9Jfl2di_hu{Wm!j(>}ASegHN-5z!_FcA$qOr*V-;W zs0;Ztv~3@2WhS|9tE>cAWiPaon)O&TTuVW=iW@Dgboi9MPb zw(>>>UQ(r+Wvn#B@Nza^4*p}Z{I8?`xLp314J&vM6BddXr%@AXFa*IMFB4w889#80 zR^tb62yGS?LYQsAha#Ue>vK8K793$HT_5ORLg9}~JSYH)mxAQYYpD-|>CA{$l$)(bcgHUs!y<#Q&t;dwGOT}IhZK36k1RrX_$C<-3c z`=Qiz+Omx<>~w>R66WJhE>3v!hUXa&%#rH%x^7%0=NNgm46V{UUi9U zz!CHv|H1ATlH9PZ>A+@A)9i&9j6T@gJUGt(y?zV~2M(3_ZjGZTMXEB{I45IFeVa1q z|20zAG&mrw;bjAr3M!v$UMhvYJS>GzQ@OGpDse3ljMkBA1S&e_cP1PZ+T>IVpZO2)*s&l(KG@OO>D*dwzc z`RPh*K@9@jp`3z~*TpnBQ2V$@M9yPAe4k(e;N@gtj)X{%;&76{s};mR3qm2rts=Os zz~CR_h6*k;(HU2ALU$n)E`5>sbgoBKGVcJ7JMUdPIPEa>T&4!vT17l<$|LozqRKa=4paj1a6) z%;46u=Ld%eFZMUdZuIl>gZ-aRHczfH(%-hwSiUYE{ikzuv{eM2vuMQ~s1qcy!;iX; zYhOEw(d%;0jC5JLpTsXB^??cczyyEqzyu&yWce$d(-PonL*prs!f+gy&};2xIWj6) zJezc~_CaU3030P4W0nu17dkd#9kv`-Ya4S-XUxI$d~ZBoENgRWsZijX!|cNR#jE-v zH3xLZH>6JHRlzY|@{TyZd79zYy}<^2e6w>w7kbIYBZ2v!XY)TA(8bf+$s{wpn)v*9 zDQe@dCcddoRH>o*yXwS#ELodKs=S;n^7m|NdT%niT-2Sg%-`B1Z1eVzR_Q7Zwz-;e z>RYeRRt#*Xb*>SxKeB)jN7-_8K3a|tsiBOBoX=BHg#Lc;VlcQN&UX97=6HHJhvGE2 zx%wnNd-Ux$_22El8UPUGcRDUP6Ve+O|k;pB=ShPbZ6D`U9X z8-jF&)fXO!2%8LtDPB$n%eXg*JlBa&*N2d_8KVU4Y^7F*qXTt^K5oL{N)ZxZ=Z~$S z)CrZMJm!^`$tzW;H1R;W{N=Y0jH&*BZ2;MUUhb_L3Dbe6kEQt$>##QTgsULxkQzIq zkdw{9E9ivz3ry7wDkigMeTBM^hnwA?GT;^nz69V32Slw46|2u8Tsr77t<1oGWq=Ip zB9%m38!)LJW`>Q`VxwD|HGxUXDPyh6ge5I?mB)$bg7p}&td_N*WX*4~-MBWeu}qaT zW~-2mrJl~?)e72l8h0zSIViBo;BaSuAkr^<`^}$uL@F)J6=G72r#Yg@zehYA1ezNB zAb?69vT88c94xZsV7#FE2*_;JQL<2izN3c5=ghQSJW2nf?{k<`eGOoQ&(8$~Be%sG z0$QTCXcsLD-uW76O2DmfySI@CR||CoP0Qx0^9j+60=biOE5lY?pV`~iMhlQD>MJfr;Hkhf!UNmE- z_RX3wlWlWa`(Of1w#uw107$z&-7M?#ZvvVub0v-0D$r!9r|WR7gPE+OZiP%ExN_et zv-82ld~}@+mbeQ>=%X1l<%ni$T=F{-c;fJAlMv0zHwU{CqWY8KfNl+n(4m8W=ZL5P zL@Wj=m1+xspoKSk4B~EQBNQ@t464POL6O;GFdV|`AD;Y3UF6`-fkfn&>!6nN8l%Lv zPFcDZ^6(nRr8?Zp2cH8sI%KrSx7ru*7(^El6AXS02{NF-A&zhP^1V3*Xn6;ny9@>t z@BtwRaFGxxJc{^cbr2O4En|eiajyKUC0y7_N5MPPUAqT{Ll5x;Vg$W|V+aEHqeVdT`Uq%8cZh&Se!pUCMijFT zp(?nF#CchDOHzyn#%H&358NC7o}E7{@2E=8116EgeDDF#3}-eQWq|_qsmNzd#ZCqn zI0yjMUP6cQYSmvU)GXyV&V<|&MgVvy!&Tga*A^n7;6Ai;F}s#-aDmGsWrB#s4L97i zW<9T^8qt+JO14xP(^qx-7pqRzQsp4oMF6gq%D-lX@4etQzO0F&gc35FqRg(*1|y$z zHa7>>hHXt6fEn7bE>H6#)|J}S7a2>n>CS_8gQLR+sbzt`5aNMCwAZGe-VJU8{(aXJ za{*dCnuXeetk@$T*W8M zgvCg*PLcg>C!55^um&`L&jO=pu)=U2m-uV#b~!vM9VBe>$=V4M4QH#Y+##YDIzD0@ zw#?PqJY4Sw_s{l&Q(?EZTns#s^!;Wc*iTvN^PetTd6tAcnB&tK&9+hd<0EMeE`f7CO-RNDCb6ujzub(Qbk>oQ z@Hsd6nt;9}?ciYDdOlP%ZeVtyz-r7c*r6t*P+e|LltRt;fcL1z2MHo|AUzMoIYY#0 zIW(sQ7ce!OvuJR?g@}ZBL^e8*#e9Gmb*8xgNj$Mkm&FLthJ-}0tpQ@hi04K^cO|i_ zI>drC$7A9Jw5Xr9aA`6Rsk{sZUWZcrL^HpJ-K$F-Kywqeu{1wn9oD9vaKzNAGg?Fs z=Zt>yfoix7UglbmgSsj1VGgF>5pT(=`3kRrGfL%e@1uY3F7p(2p8YUJPdz``FflpW zfqAm7W=STn`WuO-7MoTGq4k^Phj$4NZ0C`+54uthQ#}@UNb#^h=htIIaWt*sNk(RpxPjE2Owj*m{5f+iQzm@l8Jj@ZwADR+~ zGhw3TrrF-Z9KlNrQ~-};0K-~Od6c#tuD=#wlvnb2d;sBPzNF+2O`hg|3ODnQlhO3wl~=j=Q2e{RuUCCZ z{^sx9|9xLYA$UKSefWRKLcRI))q4tN@Tjgxl-+G#_Ru$!eRZ(M>Z~vJnf=%;@G7m~ zmC57-nxhG5wJ6>Uxy%-#6fUH=F^%jfG5zPBEkuY-g@C%0!ZS=9r1Q(UfG=PnLj2Y= z4t*s41STx=e<{Zg#~3QJ+QLGVBB%s{@F4{dLQ+%uY{E;5g(#LRLAC8h{`{^MBDuD< zt<)Bxbm${EFf!}lvS$<{@v5OGa^khx<6Qn+^*Bcx;?y$Rij>ik>uTG^eOQeetv)TS zMh_u5+vf~=TWnW90Vf^f)-h+2ImfDQQ}9Q;Xe+OFlEh!cZ=Ip0R@_xd{LuC508wud zmKrG)<$yC{cj?0-aq+!f=w9tYnv7%C5$k-YbeV1!q9iIB5d&LM6F#WzLQ0`#RKTgl z$}Z%2V7Mr-B;qUM>RBq;QfpFyg5Hw3C-g$Z#+3~|+%6=$9!Z8wX3NO+4HorQB5XKm z;2?WBV!Yr!S{h=<`vO(0WMWi@f8=%~!M28BNHcWwVQ=4TZ+w52&~HAd3bbm7NmObw z;z%#gH+gb>V}-I1ko`F+3xv@$XIqlyC#P za@T$TJ!+~7lFWZr7ZI{ENHUi38na+!ZIq{q`)Z6pfEh5^k@bCBQL?k9U7Q@q|owX?5tfv{-Tj*&iR5UOGFtnv{ z5jH~s-?hGmblv3Y7e~m|oqCiQMaRh;BqOB>yu^JM8NQRw?){X-JVhFB$4`+Y+=-Kz zv%l)M_LOBY*p2`JP!MJp=~$7n)$rmRL+5$f=`v{b22pByhI#m7C_N-tLNwq6aeDno zc=*@e>vxSnLg~+me+HpdaKm?~yW(m+e~5^}DMAV(Wjdxd@1#tl`U;Ke7n#*A|)yXQUrBNO+uaiGhCTMpCxUJovDHd5LkrWPF39r94eC2zJ| z1mF;h3Sp^29NUl&AjBnGLCa5o-`JCm^s(V8?R zlW$9bOYs6Br`gD{)5}Gh$R+@CJM!6V4?Dv+Qeg(MlPpldUcLA`8_v-Fd(qxI65F-Wb@aDni5Rh%~dwY@VZ<@4JDaKIqNu<&}Vg zT!wNA%q->?RK)&!CK^rDeLx+R}QTwOFAotu3n3 zX<6y5tSxe)!Gnv{U;}jS8Z`U}#A#DRNpAZzd0nb{RclE*sMFoT-#IH&3ktCpu6jsgFR;Cd!hNqm@-d zx2q^d7uBr7lsV)Y_2J#^xX3iH$Oi4u5Kf>#F%A#tAfVJ-=q%pHokL?oMM@!G$BD@lAozxje>VJ41_ ze>pjLak5!wE8hwo*;EF`qj6INf#iBEiVB2LZH5%Cthj^Y!sf`08`z->wTcVa! zDc)5e`;;lcjS|O&%ednSR|JLZtOas7L=b|=^I-T!{PLR-q@6uFBXSZoj~5Bztcy7%?>oVm(BNPzj3*vfQvkuojF+5Ch!c!q^(Z2SKi@i(qUk*m`;R z`7U^|Wf~%WDAt}F>O+;1VtK;-$B(e?qy_RdN=Y$1l*t&e*fb(NE-6+vHui2Mt1wHHU8p;D5Q%IV!s zPQrF;k(020H`%&PimOt*tDN+PF)}G2;v{@@0$iCk>1VZ^gyQPKt&Q%AVZ1RY1~vEd z%1H>-BlpBD+zdVW^Sj*$m+Pu; zgv+0+ZFGSL*go)yWqR-NRR-?Kb6e`oP0u?`&ue!Hnb=hjrxF&ix#02D`EPr(d3HHH z&-sn-gEWah2-Q}plN89elJkR$$k6Nus~qW6UY?j1yW;zBFA6lc$ppbUoSMk!5qUCD^5Kh(24h1g9eDC26aLXe)f>DL5f9{`@kV%5d69%Z^1iY z1027&lMlGzQtgHrsj)#JvD!fcNK^|n0DIa68YsoPLIcVr00;!9bB>sT`<$QW)D7C(gSMwtkp(B zOu+zAgM5}hS7m3dfE$xT+)Eo>da=C!bhC`YY~i)JSoXor#;_XkV@?&z z`F40X#5Nlqp;6FX9JZw^xg2q3I|Y(vg&wqA=C`$Ah~wk3wp^CEQ1g_iR?xiV7UVW` zAd6&%)afFbmEs*GGev9hz{g_cfek{~786#Nm3B(y9mC3qtDWL#R7BNhQrdyJz(~iS zt0@0W{+gd(GQ-{7#mxs?B?%&=FE7S7_}n_$eRYoQ6WY zl`Kt_+S;~Kp@Mu_xRjU4L+&Ts_GCwmB-Y9CAVPu`O0*yy>RkkLgM>vV8Z5_ng(8o; zu)*i^!W8d@$9jDkc|4iHnMoc{Ky>v6To#*YRWRqfA;gYAjKUZk7AyKyE6fS5(;$lo zE|N7V%t(}m^MUfn;zBhamj^AEky;I=P@GlpN`b=6$7OA~42vqIQiIzOvO?iXv0f>0 z>0DT(xoboVu?(S7h~=Zv^ma5E%x<#zXgQuuhXGxZYLd#UOE(K;L}~l!$C96_JV87d zk)bFfPXMlTMXnqjt(L}6s}P*thiMZe9cX1f7PS-x0Sj|9vS^V8?!>ZF2~&TaAq^-U zHFHX4+UJD#hFUOA;hI)5{{EFD4d@KukB*(SUsZsNzO$SR*Pw%PlR1V{MKb&(B~Y zcU%|Q2jkq>jS-`PP#_gQh@BzK=HO5QF!l*XqR7IhKT)rMwryA!gZZ`ix`2aTiVO_6 z%Z(eixbsixw2gh?3&GwU<{bU(YBWb6=WJeNLZj$1eDko2bQjqLet6^R?&tN+rc*?I z#xC!cVh!7qZo|U)Dna(}!UIy7h2oU6&X{<$!rOMWGd&U|xVVLh-37WZago~1FvfRo zS9kZZt|4n5bYFUFUFBg|_CY=CN!?@5}-|ig#&;H@-=bI>6 zsHI!AhPw53H2L-AJiEx|+4L-X#8FkPZ_}ju05J{Z^Ob|5 z^&Us+E7;Z8500-!!#kdoa300HfdSIN`h!il*e}Zd!ZR|^0|wzgI0gA!`%&Y@j zmUk{m`ryuGW2~j1PB&me$T<4C0BWUpcR)>nD&dXhhu~HqWUYWY8UlI4`-WUs1K)hV zqZYQret~oY{TRb>6*{<#f=|WO$5RRCF@cLMatCh}Sqeww;0Ce{p%oFC%j_q^Wv|%8 z-9lRe^7@Dq3c@Ku8*+{m4vlFLQ3^pn5%UVc9{F0VVQvTj3*HdZg0Y5oPd;|C=_>Hd z&L+y|&CVwDCOD@+${?_5nQQZ2+UNqY3%%oX@8s3N{{HhP z#hg+Z#6H;DJUGt(eV0&^e0eIcyR!T{xYJ8uRViB^1$V>U|DU}xZEoYZ z`u%%X`8)7BRS9)NBUomzWVuz;YStsliX_|eD-}e66v`CI0x2hspM3ZG>*?v4(<^2` zP#XuVI5yBTJ>AoN&cFX>BiS9+5p3EjSSu`}*5U3oIA894#12f+l;gYNjEJHRvJ8!I zw<4!*uIiD2gjJ>;o_rmQt~Hq;b~k0|lU-9>N`zB+nM8vslF5$RlG_iDl>t?TFoAKJCOA{x zP3T-w!X_HpI+ZQ2N&{hZBcu>$RcNT9Pi$Kj!m@W)@H+hr-i7nu7OTU>yVuwfGEo-Cz$SITtledDz0x)-L^>$OXKSKo`cbMn&(m@J_#NON5IKyy6v2 z7F+UWz8NPVmI4dp+N1F8KIthj3fOe)$xiW*u5!AL`ZfKfwzD}#?qAb4Ow?>{a?ptJezmY4XG>0PpZjPi{(nY9g`+kLSi)Uoq=wS03z zuBGEs@@Xb92x_#%AXSd#*({DcqKy)GLzoC_xG^UBPE{txnI;2KS(KFxH>?w*(8sk7 z0Kx$?IB!EN&^oigfF<`K2B?Zmz^|gfa+|ofg+1kdai+spW;~&gGC7;o-fDn0U>@*> zRXGnZbP)t!T(<$*5PG>-pd>VX-Or(NcnqP$bpjh4x%Q@xpTC0vuw&x*;a+|t7~lf| zB^zou?<;tQvY|%sO*z7WD^kl$$Xm0MU8LcyxbQW}#TOfljWkl@5z>FZ@Tj>g{V&KQ z|9o+Ee{np2e|l9M>}sdCgR@_kmrGK2Y6)4aopOF^NyrVJEiM5NKP|7`Dp@3U``Q}> zPlrETR-Q!F&FfjMAMeNc>HG50zWmyOoV4oFc&-W%Z&v`NR!A(hcY6y{Pp= zZ0KdQ{zkP_2x6PN1-1dzJUw4s{qgkbZ)blzx%yiY#o>-`F!7qlflt__ zhzb-03o{EdIHe)BI`Q(%y0QTfG(Uf2Nt%_}CLASIYp9~a4lY1Ap;2HRTfVlhRE|I& z(gZ^B9o&NQQ9jM7G&a(7jq~JEfo);}A;Tl#KN#-vd_Z0~?QNGfC=AOC7Ov4^X!97Z z0mTBL>Wyusm)iU?>8Vm`1S(*`1;J|A==5P{52^BGWcK%I^NYpZ1;%0Dt7#u?%rAN& zh>#$iiz9AFX=sOu=JN26w6JD4>(Rl?@rEBM7l(KtaR%6q9med}0Tq{2>KUKa)7nn% zQ?l6+YG~(Mupslb?J#!vF7}=C#^}w4&W`_6YdZ|481vEM#ObiJ!{FO5PT^uvs9@Ec z*vB!sfU(1?R#7w(f^SrZ6S@l)BHRtf{1Gw|gAXQ|esR`!F_woL5=Iz!@dP$N5XX!# zA#C6@Z;K5wD&z_82(1O21z2MPf{X|V!jw)ewSGUGx}(Mhj-89xD<%Fv3N$b#-@-d$ zRwib4hIw6R;CM$^mK>?vnM6EM$WTcQPfUnbXjQGAZSRO;<{ag0C)}tNr~Q3gIjfhc zig&3zP1HrzZ)Yogv{BA_#R=sc@oi|RlW#*Jj+@lUW}}q85ti!?ny(TCmQF5wBkWk$ z?ds&tHzGTpPG7$co!mZQ-w6C8+UjIqXM|L;VWQQwGMxu?a{ zvhN$=HIJ+1X1)=YlBhNzwE#$NjtfN}|2D!cEd*Wb;ec&XOsZwA@Jv#op@VuuhW4UQ z&&8pr^5TF0vOjC^{glQ#>V(_ELWzye7Mm?op}>FV?-40UQcPOW_RxG3x=QA$1*Ky3O8 zO486kJKu<^dUdlmy5HQV!+o(H56~O@vxRR21d!t!kyD90iad;Ogx4s6H-xnmAfatf zL&rBF;)>~=7zH}0;f8PI5zQ>Q?-^!9LtEH8rm@a9;(XQAKk@?sC4D$-W6IQ57-^O= z%Nj{*K@ESI1(bq|G`tlTz9zZ&I^T$TRy*Ga!#LLmPodidQ0jamBzciUfFU5}D1hJ| zG@>N6$z6RdURD%{NuLHEohIdwHBNaSe~iid7zx;Xh#$f?VgtVjUR=WV;p8vH9Ts|6 zwa1nAx3l@X<gB4QmgHpOpr?^vL~u>nTuYAWk#wh|EymasP#rZ0y5)Wm zaB05Bf^C?1S@}iS-~XKOi|_#G)_SQ8FScJqnb6KLi#U}+O^uSw{yuGbv3R?{IP81X z<{kTcw~sca7j5workBz$B5FfvXoHE?2KC4^Vaafqo6au+A0zD-(JVf-^NT3L=PZL2 z@Js33+ZUg@UB8H-Wqr^Pq_F=W;c)hDn4Dn%;$b=bgZ-WD6+ z7eQ`OZrF zORMh`(up)>v(Pn#yMyKeNN86i=OqIu(KnM()Gb0yA_-|#O->wA>_C}XJL48H8qQQP z!|8-9^&yxsi{y;3zjqZUUFelPP!BR3_pyW%mQ04(?e)<{Id9o7V%Fu1c(Apc5jdMk zPccbk$sM9|Mi2reXiba4y0))k=NEB1{URv%AQx4ol5M{TYB;j;T*f10p-B2gAY@Cm zT$>vZiZNvzZ4_6*l2oy55~GodXe0<>I3+oS*haSQwWPIZW$9cefJHR>Qfv~($48)* zLR|@8ZIiZPFdOcr12)MVb?jf?1#CK@wGObeBzhwfucpt{k%d&cZisLIg>g-1lqljz zcQEFDfV31{_+y<5zX-dOLb{2W&!WDCA*8sOPk)mT-Hv|`9!9jLOK%xh;dK)Qm04g2uIMDf)oF~8T@tuH2Vgyv`3SvlA@QWI)LxzdfNzfTeS8=Sc-^Fg|onmU;DrUb!GRd6V@P8kMkk0g%-BRb!wxdVVEu3%G8YmlFC z?o|={&i8H~ZMcINu^%M?ni2avVI(-CBlb6v@)$N~ z&^(R};*k|OY(hq&fYER|whTr#D6j;%*s5~xc}~Bgoy9Ap8rmnjpkHs%XBSzK+c^^) zD{+@~5?P&IbprbvpCVtrheCTCSXo_UPGrGV>s-`DR&b8rl_=u4egcl(^p89y#oI>qhj^5m>ckth}mI=MP*hF`9u zkM#vc>IGk`e?@9Z3b`!MMS69HuUWrP{U?)DSF!c{Rq9;S6p(ZoEEmg@w^w`j9vnPA zc=BlX#e(c;NAD9 zr;ES?=vvY`PF>KsQ_3uQ8Mu0>}0jLynLnW z0KB>&Q|`_`o_zgP@?b^~m3uH7By!_c2Q#-(%Y)gpMgQnlNsr6XX=!w%7^1atq(ujIGJ^&0GyM9JZrh{D;OGRpS7G970ml- z5OpEn{8c9OmJuLakzR1&Yf@`3HWvG=l^Tw~J74563RBV$YcAzKRXl_?3mzU8XMw~0 zm%FOAK(DNJpkK~sc8a=bN4V75u{7*@FxzO87%jVTzdo2v3V`Goe58=Pm)YoM44y_2 z8+`jFr^vVA7b)8?T6S947Hgn4-7phz|D?I=2Hc|SNwCr@|{%xC*bC$7}uEP zyFv3f=m~noO?o-5BvVR$PKlq&!WDug_<@fe%*YNqQfOpW2sB3t)*y(m7qHZH)Thqw zWK2$GRZFssTU@ddvh(BY{$uN8F7+1=GDIQ|@K_^fGu6peX(=AWd2(BQRn9gIW7_Z} z<3qKj;b;UOUk3cW`f301|2%s9!-HMI-(IYiCnt;5UhP@6_tX6Jm#0;^O=|=qJus+~ z`5y2jOy@8!i%wqS-jxH~gqa~gh3I zP*!&~Q}N58;5p}BmAjzxz1znY9N_X%%z>?bO$FN0HV7{=o+s=a;5Lt<6MHjP(V!Hn zmq#iaAy<2{Kbui&LuXw#%Arw8{D;ebFW%fcf1~LS>+}YcxY@w)O_XnIkFb6Zd*GOK zB*~E|=mVFK*cOLe12eccRYHbCRg8Et*s3MFUQ!Ox{T8p$+c|?ehMpS>M z>>l~>0sdm*tWy{z#R>d@pkTHTf)&a}jQTN7_I036&S zw)b+w*Q|ff{!na;(quFd`|#&<0&ig!JYG~)s-4NJ7-d|p9V1{fuU&D}Y-N~9y(H^^ zBsz!~W<2o{SXf3rZ``evk`4A*atQu8FD%fUcV)(q+DNXd5es@lUOzRv)+o~_+0<z!^wVVd6ZPLaX>Uuj(63Jbny6Q z=-R|)i_{omM9??)!YQCn+yEa*O;|PxqPPb@5&Ra2Ex>tCHk4-NK`)<9hlB9|v&eWx z!d%OJfLvs_Jj_UZmgCmv-$SE)H9{U9?}rT6Inw79_b6Qntdzt1XD6qN;;2N>Q9kLD zC!H%Mlp(h6oeKX}==Y~0uC%xh-YhstOosAfthIu0GgGOq7U#92srm~!D|k`npO5K8 zLXJU?*Q(t1a3vX!_=Lu)FVOE&mAahpW=1m=<&WP9j9bn0nrY;UdQmp*BfUPuy~w4C zk29+Uh=|w9v@p;|9?f=vNR3CBgj=`}w2Rwa{ztEP<&K(JAG1!O7wZF_8}(Pk!4We3 zZlN7(Vxgv|=Q^71Gm0#ZPl;J;U1V|Wbzdj4SRo9x)FiCvmfGvnAI{z^R;MO3)`~s- z{@2(qM6oV^SzNtcz1KQPDzp3N?_ZxTsut((Pu}{C9xtw-KrS!lN2RQgcPRALi`D$> z(!X&3aydV1^WMao+3>Q;@)-?c|IgcR?>$i?u%()G^=Z?0C)I@gsai*S!xJvGyzTZE+F9BrMm~>%%D3S_4-4CaH)|*C`3-jH`1?^`?}vG zj7yF|ZtMp4GK$^Iop)3bP*C?wbr4+RZl8px)+9<6 z-+viHj%71~ZgA2k;#{tP=uP~$;GY)7%(j0E0a7C5Xt@4$25yvl+aQhh-B;&%EIyqd zp^@9q5W|L*J- z{~~_>KZp1BpTBtZ{K?Zhcg#K1@%FzdDq;+sUD_@}(Rp}6#JGqC&EsT*d@~gnF_`rz ziZjm0U%-){bG=+xW+jy5&gHFWRKmMLl7$<+K=YU9Wwi*yjrQMPoj+RWq?i`HP3+*ii>u=LKp%;@ z+Y~s;@yV%z!k>Of7WQ5@dL!B+5QI3>6e4Tq|QBF@0gVzd(0P^gvcOz`51+e7>~B1O)cd3a;qT%YJ))R6lHw zwv&kpUm4H=$$-^jE=?=EUaPy5*+k3sa&PtQXDMU)9dsnM!65h;{spNCT&oKFxeQ;D zn%3x0B-U#*n$Oq(q%_Y5Fp+%$p&%;F5+_e}ASI^b+2RsoO^r`#H2>rL^u5+5L?;rr zJ>Uq1ot6N1L>)-yOUm5jgud$3RI*}?F&#+i>I>&{<1otL;m%666)RQ5bRgAzx70c- z)prjLA8a|*vXN?%M(vhbx74<=)FM(%az3L!mYQP_HBwF5S2kH{Yn_j}@&vcR zv%^_kLAz{Q_E6JM$uqgdd5QZ+lHmB{O@K(aZnqMWHlbCLW00Vu$<55ELk}WJoVYxm z;CVN$P7r+WQRXvk=}x{ZYtrO>{0U3mr}ca(x#^_mm)d%RdH4BKktzS*&tE*>^;Hs<}baLp~B|U0vG2ytXMd($9-KZ#;bgaC`+GMLtu)7z7juMzJ?1h(7xdEDN*`?u^AQU>Z{u1PdS)SV zy+^3$uV=5{1f5EpsL*)B=WpNq%Sv#iY%s5ngDc!4#d*>4gFK1atd;5!VI$&!3f4>S z>w|~82hWTDzE-l^a=YRJl2OdVt}-HRGSxO6_7O|e5W&Mknu8i;yHx|*mXSI;o=#uC zmUExL9#OQg#=%aGP;2309&m2S`UFPd^h3?e!YmfW4nA@V$!lRctop&HDPxxL2^|pb ztxK`EWAyd>U-VahDPG9``+MzYI5M(5nqML z(;#0QAIWCR(jRe8JWq-x)yPyjBAYGs*;x#wn!C28)OnVm@|3$$onySGa9{=PQ}Y)% ztkgCs<@5~RccwCYiESutI1-5jnheGh>z_}coDzPWowTZQ;7T%aQta%c3oq^8T03d* zlq}ebM!r?0EKW!jOV5ehN68UaUI&E7HG7p>CnD4>L7E(bf7C}Y*&4#SnRnKY%gV%x z|3tWv#JjR4Z5=m~xKHc(YRZi?pLZWK32vk;5x7%uBrZ1s<51Bfihj_a<=Ly{@gDrg zE5(cOT}_QZjPN}~%hlrd`^(Ft`RdK`>}0QKr7nKFn6J+GW@nFc#lQ5g`1kMZ)O3j9 zR*IYK?ACX=1N)&k5p|y=55kcz&VwkIl9;DlfCq7#$FX3T2g!SQvt&4^Q$b)%?G=gN z&C;-W5JLUO!zqrQnf4@i&`k|(fYo>|a)#kdO=SW~LA0bnoC-eE@~o_nTh5Q`%KMC| z#aMU-lH`_bM4@3y=(9mMKu*lgK!HzUCO9T&p_D$?5B9WQyUQJvg|N;1yBtVM_Ml-e zH4BpW`kW7l{?GFnXM_+7G6|C)_Sb0+1m2CMH(}07KFyvh`&L!GANy;!hixz*Q3j(~ zjKZWNK&~00P;S+MDrGug&x>=XJZgz8+Q%P{ln}DzZJ|HZEFfj!EGNWVO@~B|s%eO# z10S1(#I%@ljRldmeNxIOmI*;homtUK4Rn1)9w$GLDz20G5V0IneKkYkG>R)cIn;{$ z7>po4a`N=ytWBLXyPj^P=m^Hjn8^V|M4vTCS{yMWwT2sl%Zr+2GnH)z`a?Fe$PZGM z#-b2~p@n{OgqIJ(T$&c`}INDxr zNa~1NPZ7it7Wv`NU*Is}k{|9=hA&w^tNutN0BAIrj{GP>Wd0D(qjXE1#0lC^_0_;o zqrM8-qG|&Qj{i#;Ikjedzll1 z9s*ee9JM24rS3NPo<0fkBl)VVIZKlt$@{dPuZHADi+T4klOR9J3c@Y850WWI$qz+L z=#R}|;AT>@7<&yU5ObT#&t!8h1d!mtKzN1qFwkk#76!77vN4_?fVN>armnF-WNl#} zHn5C{{i1X&`ROzQH%rTcf!L=pX_01eFtE-w60JaQP6pI1Bw+vnh!4qi?W2nie4*rL0#XQQ)(-kbwxI<8#LXkPuaTgsaNj0<`k*f@J(C0QQD6FIMpPQu z7ZZ_*7xYPBzT|7NW-N{QlJ{vhSq%YS(-}{qy~W9Dae2A(>*@S#=XiNaN=PhGwlgru zD`4>2DN$eH2TT)V?@~DJYJT$S9ret2U+h2H`{4=y#Gdv0o7MTn-p;YMaqavU-(P9s zQrD@EYSq-J1>z`y+>6EP-STXHb-wE5%H$Kna;bI+I43tpP3w(&Dgy&vsC|`NgggKt zJf~720jLJlh9}X|)Xor5!;)cdNao|gj6^15fS8>mLf|>>M!FL+XU|BRF~RJU;Pprp zHBeqsP_!yfPBUIl*|?^&OgSBsrkm$Y!MZNFHi%dFW8O&F5Y2a*Q+?>A`gpfO$C20R zUy)jhVZ@tWmEmh7O2*YIut5DMlOVa-aMm+`_ukG|NUSOqgQEY64OkyaTP`1CmF)<7B@QsF<7CQijA$O>ZV;v7QiLc8YtMH`=`4AV0OK^Ke+2 zmW$k)Gzl-{gqbn+iR+-`Q;t0h1NJOBUk^@Cmls#dqxtFn>gdHex{#dPp-D^J(490- z=L9Brzc`!)-UTl1_aDp0HFv*TT;XnMwG_NdqBA8uO!Q2-_bU~cBUj9FNR7`{;bG#8}MREB76X6VUJciE$yl4m$nnMqem`jW%_omXjV*Lo^>ufS-<{4T%w)vTvW;d#>`2@fv%`np3B|0<58Qk@IBr9%=%teXR+r&{0~on_TTTUh$Xg+o&Hn0{00 z+B~D_IPr|G$`PoqLlQ!>e2GXx1BtJ{8YW4JNnNj`cDaTHiUEjdqXcXa#a?OyPTrf5 zj+?Xx6gVBjtH~2>g&JnPKE@NwEh+#Xzii9lx)$a*_e^GB3JPi9Kr^vR7ucu)r|c1h zImlQ)A{gFES#O^JbGpUzy{`h@r+9rA2kJ%ygd$Y%&Bv=p`5G11Z9qWy`h0lIpL?!9 zeE$85gC{R`U4~%q+dRn<%qQy4z1xkj1Rsb>i5MP?w3L<{P-f`uI)dHhDgy7-zaq6L z#Su?DReF7fuW7JU{YP`jiuL1ZN>=O_?7?N7TKoCp>b}k=Rg@2`Gix85{kptdlI%*; z&&B#HpS0GIRc`K|mRE1pDM<*BwKoV-9PIQ8d48cV zMR6+%%UhuE`NiD@ZcawOh<=`5>>n$q1C>(8D)jr^`RYIWr^^#v7s&eQ?)%fzMc@JS z_Y`L>?k1tD{-w&f8@QYLEmBlh>AI)qm&J3&x_)>%Ke?<2k$8X66JFZdo!lVSI+geF z*J$!SM)o#eqKzE$0Cp|bx<|nNU4&vBF0STp=2!D7^QJOte*M+=MZCp7Uawv$nsx|F zTVA7nzWc2B_LV9_`49E`*I%i>cwx_RgPdTxXBa*|-a6AZ~ zz6Qk75L!T5E()K}+H!Z3K$k#d@^)oJgAVe{j&!imEv94&o#L}cWu)Bh)q%9!XG(N4 z9&}_RXmR>dSQ4*bdSy2}eYsd6AYu(DObPvD zzroX2LgyrJ<|A8BX5waM-plr}1MyxyTPG8;W>mPqI^h9EVSnG7%=__%c_Di(s z>8r(B-{kZq4_o$041bxs`b^g1^o2F0Jbi^S?akunum;WJCo<_aUE9MZJ&r}$6z_7( zVW2}vjhpvX0b&){L^WH=E|b!Tb62QwjfGXlCzbDSm`zmfDB{d@JW}KO1j?;@Jk9&~ z?~tX4hs%l%H%iPm&Bx>uRK=jj)65P%FvGN=GPQQIVTA~Ki)bzGW zF0?!MQQTPb#42l@{OBMbS>rc|K-(H?G=M?b;L)LcJ2JfcNgZgH2r#Hvt*Ts=Z=Vb^ z;z~vq19^YB(l^671=;=Ne24731li2xDy30*vqYm8FtH_B9>3H6`>XRu3r+u9p({AM zyt~l!f1r;9c#l%=t;<~$`5y5j84?JCI|@OYh0jV93HnE$koFgw-MkR;J(8#fnwK0o zAdA93*Bzk`*`oO#Ns5!eR-;7OivYHAo43VQW3UyGP8rm&qMbD&a45POTTxn!vJzyg z9jZl|1qDMb^qAfRIC6h=(-vDIkOY(iJdPA}A@Zrr&0K-BpTE^W#KI<09MV@Mt2I~) z7jm-2Anw@tY?c$)$VTiFNFR{ZMaOza4%A!{0zaIN$UQm+r;4>Cky0vhtVTVa9YXc) zBnEa0NsY=R$`kwhpGUU8Q;mNHJ#v3CLJS>~J~F8o;a2)+gM>;L`tsq6X9tfSJ$SYo zshd;-y+Uqjhp$)lWjcd2gO6PASuRBNB4^2~rXp}G-tZ&UU78%Lzv6X?SUBnN*5Bjr z&R*WP-@UXpRJ($@Ng2tliQW|})`mLOUO?E+`gWn5Mo?gz%Dz>7rHys9EipKL$k{Qv zp_&(D1clOMliRAQi)A(9q>ixWXpM=~c1|_WgtgXAS9A2ETpYPgpi(BB?8Ra=uS4hw z++MX4tE;O0@>A;29%nmP>`|+8NFzJVH_*ty84%!@B>Je4kk*kJ*@;39=qO7g=c5dT zMxuG9iZ>(a3Z;9rCVgpXQcDvjG`geiU4`x_WTkS3z$s4lIayt_GFp-JLXAnh;Q4U~u z;FesvqrYlBja7nbh^mqwO^^G~RfEZB zLZvZ`6G1q#T{W#CiKF!FVmB|VLO%BQ>5|D#!aM&wJ+|-Gw3qtKp7(gQDy1<_e|cYJ zyZ6rQ<5>hE*x$z?f)_2mwLaQF1igU0!#6V+(e=#^@61l*`Y-74exq2y>{pCs%9Lnj ze+**?X zv$ba`{!LtwT9g5*jy}@sGki^hrRtna=*ULxO~!Mp-R{YBKmx}J6g0;&l3{<|m8|N+ ze&=@I1wE_4&jwKz*KxZyk*`wuw{iJDJzo`8-#@g2(pSHg2^H24Z+>a~VFU;u=CpK@ z5nmQ@s$??O?JYH3g(F}LaX!WaOWdf7uir|1{eRwmd+&)2u9Z}i;R)SRdwu%D*_*}c z)P#pyN0#q@jr~F-_wtv;)!Ws3e;?dGe^0)Ws>S*Hled1O$BQds%P%kHM}h5CL~gxU z&Cde6Z2xjOKWp>egm~KUvTFB!Yi;Te6-?Bna#-ltT74vp9J(ANYtF&mQBFW**9Ab3 zI9)1-KgIGXbg3M6h}=^a!+5;{pK1`x&YT0AFVUvKL?1Mj!zhu;;qONmMM4ur6~5L< zdRLTSTCA$%5Q?K|l<)!H>x2^yR-qUL6*BHQ&EsTLIOHhr;lHGULf{2P#Px*CbrmT^ ziFB#};FgpPNeD4i{z90295GcB0T}o2{T|~)JHqvHoRd64wf`8#J@WXGb{N;TNj@ra zT?8K7rU`75?)H3em_wESm};Lq3Ay|&PrBN`mhoO@su=~T@Qo@xN2>s)+Q_tre~*b} zY7z=W|D^pORR^`MaP{tMSJ)2}3RDiG_GFFN!0O=@Fe_$*%R3v|^&)`uw!m`?=QP3# zthH#ffMz>Tm<<22iJdi*ruDABrA9P60?3-l+Zh35i(I=NjKK+JRat&B226A&Hj-dg zGX*O`V^d_k%eZs(1nGg>`i*XX25wtP2i~Ur+%y7XOp`bA5;e0$R zjOsOmN;k=xR`f#J+sS}RirfIFAqF5JT!a5&AUaZa!p_054-226z+q$K+a`g<995p7)&*VhxDEN}1)nd9A5G z2Erpe4sT`Ur3R{^4pr#MuZi%6y$r`NlBE@k62q;UO2>UGx1F9U_bvPTg!>lwEbt1p z(o2mlhdq`A>p>;mhEC9L&FN!ok7QVeT#fDTe;(RlH}s)*Rikm(_j=i|k8QYbMWJIu z=sE77=k=hc*{?%RI5?GBN+1k9J6G>Tp&SR6R=u6zlBD|6{tVxL{y;MlK^UdpVaq@H znU5`7NOGp4AS(ziZ5Uu}<_5iNhO%Z!lm!52gR-3FZBf>^2XLf*Cr~Jx^>gjn?GR%E zWf7F1eChkDIhhhdSfxf;PPAF8%saxz1_Bo+e&8)AZ3Hdnka256%QuQFr((yrPnsgY zJPh1W0}W?hWFQ+AK@{u7IfcZuB=0F^rY5HZ*H!E3hIxBtJw~5HrBUdePl*t(aqk*V z@%BZ8L>aDU!>Nf404tAs=X)0zR&YvX@bTj+w$jHI;MAlagG2ReN-=rNLWBwQya9zz zxwDRLMJRi{3v;66a3HlK)NSNc?mo)l3`aRDY}W^ zVC_O|mVUa0UHX(A!5FURBW0M zd!N)Kup=tz;T=R*_VmK zCuAl~jQ#!3nax=gz34SO?O_HXK@iDeRQO`9L6W0p?Yq5f*h>u;SP)Pz&}?nibsO+XTyG~Q%T1xE>6X#K@8;Equ$Po-HU!kq3 z%grz)tr9OCmR7LTjWqQ(!@WNpT`Xk;d(xVg+pLQV${l|O(vLzGThp?+F2+PKnqpoW zAZ*6gTGjU)^c*G8Ocf(PoG>eFOmPKl!mPYTakVQMiE8_e@Uy@UHB;6MWkIqAR2{{$ z9=T`wqnv!Zg<;!5d$pRyiZsF`LM4*nxS!)NBlo8`V-GoFLZ=VM;|$}8BeTzss)y_M zIDnJ2cS^!NZizQWq5X;uy$pc&a(u4fTXx0t(*T8 z&S8x9sp$*odag*#WcUg@9;o3!q#3BmSe%1p_D21M;EEE$KP~EdRggj?Hh}4_KgKsc zFdTKYDDcoa;7j;=eu2dpF}}rXF_w!@A3o}XftZmNAdi+DmOa@B~?4AzrgrDUNlop189_ zjCEvmhaf{6n%vAjK6>(4j5hI_Hg=T`F1<&K^LLA@)o!*~w~gdAaj=w6i?J)%KXsik+)Dp%~P&eo_2C z|ML6SU+wJdyqjMgz1{gM|0X}bYggT(B-`Gvr}MM_(q4SWf6{zjY{{L!7XMLPez~}M zwbZ@8S}l)v51t)7yhC67_(m7CHI47D&M%5f)IYxd>c6@X|BP3BBTJxxL_RwK&WMAf z2F>FzNxrBGiQpbGBkeB<@<{wjLCN4TJPMce@z_!Qwcc0mK;$t5twOxdRIZpps#e6p zhsy4wDkb1egntN$_#jrua4GhZ*#i<5)Q30in%2Pow>KkYZ;mGskW-Z+FS@XqgQ=5t z$M`!q-@85)f}e2lYKWh3?pAqKIN!UyRQ$xSr_ddKVyft$^5P4P#7?|hnKP#L_fd03 zqjA{xYTCyZxDjS5VvcaQ5pB=%k^?adk?X%8l0+C{wwwsC-mdUtr0lhiTk<60Zqtlv zkDm>562%1@C5V@K?l26gX|RBm^po_#hAXM9tGeS+(FMJ zQGiR`>ih_`&so}O6xVHXM3ruv!n0yW#?cfNgbuPORH@Ulsqz5LM#?4C&VF3$GBSwM z1darsIebo_FvLPj9b82-=d_C29b}3IhFBQj)uVJ$YYIRs?CWy?_QPlkfTP&u&TDdR zb~pfc{sJm^8yrBhfkY~* z{`q(N_aE$v&h{SvaCraV;ltf3e*7EtYrzwIb7wE`?eLpBeB|ovXbJtEtRr(Rumnr+ z!zCGs^!W_m`)7+w>ZjCevi4H{aen$f4*A0^7Yg8aSWh8K6{Amv9NqP_Rh*=DXf*ncb-jCnKXZ09q)3l zJH}vXk{T)JC@hvD#gS@Z{#%jB{3kcqIlQ<3{KcyWPj-L)%ac3icSVKPt(7;LvV?Ml zV=CnfWh~W3(u8>w4#lI3u^h%+wrjfBY1EP~Cd39;WFmve5=3^Mf#p_b(Zyu#nW^Y4 zQVQu531-t-bn$>p3S){*s>*P-3B#s~vG@|rNZ>kEw`^ZNmoJ`RLhTbeMk(}BnG&cG zU#zOFXJj0r$Zi>IwgtX8@GxumV*fGx3sN%}kkmCfr!u>;eVxe+U(#S+{U>u7_Dj1qF(a+=4{isXeV&W3YQ|2-AU`Fv9N(=`I3Lc` z{@(SfvVFHKM+4sfwtA_2w`MBMmx^{E+*g%y4~`_WM`CgmP3`YfzFV1%fCJ;O?^XM5 z+26Z;Y{PeJ6!X1m=)0B0uJ`bq@PKmGql4Ju4L?#_G^ElV%hq{ zk_rbH;CdyJ61*>u*s*D*#2M&I62cye35m5nLn!)UxxV=sa{Tq1gB;4=JsYmle1j8FNjY$6Ssk z6t7ps6G>Y$)EeH=dA78!J&En_*XX>qx}x%mPWMvjJVpX6AV81kSy%I@9#>V zy7IVtzB2B1j!U0bXD>C=&?`6XjP#RK_tP%8=I|3ye1s+Bcz_ZRA|&?hQha2kMF5fM zRmC2QnvQ`}U`X}ZGMfzZVj`vL!zq(c29@TOBa`T+KAZFYk?f{9 z!5_F@i%xCAl(g|GzL`}{8BTJF6_T*%F&(?i*%R$b9~8Az86TB8zBUo0X_&O_q_Dv_lA9>m ztrXq{1SG^!@?IepI&m+9EA$XZ=j_OCG1|m?`b0=*S3!9XAHV|se6@Jx4dyG*rKDju znD@0LbYb4#UFeW!RY*t$94E+VWSECeIJ2%tCr+c5=p^q=$d>|MgDfSlMP@-RiB8CH zLUJ$A9cade9Vz81P>0Z{6cx!p9m4^3a?+*%kD9`h@?g6bo?!gP08cU)81=~{8w_=< z@&@?CQ@CBIkXs|lJ~%aya}vUNZ%RkbIm(R2&;cKo7;?lzrTJ=i; zADadvspJSZmuMyZDw6s;?-Y*Fd5@ph*&DkGjQr%mxO5W#M zu%XEi8{vi~H>!yV4>;(wC_AJiN#cW9g%1MOXI0ich7=k!k7EXjk}5_c>%l0@a_HF{ zLoUT=LWf(49bl$O8BTIzWv&Reu7!J%E+EgS+XG$Rp8-mWyMGkoelSsGga9Z5lE720 zDKt)bf|o`xk`j+dejXgHNQ4US!%$Uj(jl4VfmTHyHr?%{;i!pwn*~o3_f}%OCP_dl z;y&F=McijSyeO$6No69!-}PH_#xb>7`^r>pfA5-+C28-VP^H7S(#JL^`zYhyjIy6j zJI4MRMQHF*DR(QGt*J*w)dq3+kcd<4QY?ei51?wMXnLy%`vDwoHl=bDUd`mSR`U?m zw!YR5$Z9c>KNqAMvyJqe_9>(>?oUYjIuX6pYSHKGXQ`~;_&&AMcuw<{8gJaAU>_wu z@ao25I3>w*;PfChUQSg%PSm5E5_Hma~ zHm|@auc`0GxHRSDTxBjX<_}>K*30lp6FGXqDOP4XXSLQ84ST8d-2{O{={U76uq0!Z z35~>dC#xN&?C(<=ueFm|rB%~?Y(wKkHC9877j^FPNEhMaosfC3-dhCm} ziEBQo->b9UAn!rw;k$%FK#fxpRE$V!Jhc{aMecKQqaKxPRcku3c;m=QAq0w`PdGPP z-h_f59#Lb1^Cc%QB7m@TTb)XVj$ptc@)!Rul=2ksi$Vq$9j1-fJO;_cAcm=&MLCb+ zvJJ>#y~&J`%6gWvpr|9Qe=n&sU@1$yW33#ZLZRl$WQh z{PRbD`M-~<#J^?z1LcTpzLUk}O}zuQosQpGQ(kc0VJxxoH^_Rh37jw4CK-xt{bK#YO0grVDA z)%VVN0NFZBtfHLF$p?FXoxi>MyZ)cOd!kmv-tuB^b#>ZwaQ}YY zxB9Bn%hfOQ)$4n8_szq_`SqgxQiVrW&CQQ0@=$*))(akmi$OH?B~UxR{Ks$2D{6VI zKGXv5@2M2czc-EaDsQSJp&~4zxhoZBFh2s;Z5;U_Q@KkQaaAaVW~}& z4stgNjt;hNLB3>bE=iw8lqMRem=X>&V!J@H zjtCr>1!h86Y&ZbceUOR=vSt!mFSoNM=*WHGj&chTLF`E+>ttR;kov?(2Of5O7ZPMj zWXKo<@->?~OCrBvUxipeY#Z@i1|PV0b!f$6WCa9$1R+1}Dhy1X`ci>m=@Emqvf zmdR0CsWK1(3sZ}8JV;Cjj_0)Q%JF83yp6~=P0SKeGlsmyINpfLJ$+SrX-sGuaXCTG z(Q>?^M-s=eqMQ@5-IMqCk79UKNFdCKLonmR;k|dj@TSya7%)jPLy-E~zMmq1)n7qz zs#>Nq>rEyTvUhRS$NiBN|3@Qp`jTCBq4{PPe|q`&_`8EAPab`DFG8suQlI?OsCr>PR!+W8ug_d^avOpL!L8kOBsG;^Q!tECiS_j$#`UY z7t^_m=_LNYq|_4$@s$;WgyqEmN=M}S;i10GhYw!fQ(>aLhl|tsyYnhwdH4 zJ-K)M=p_!sgD2k}-^0(NtbY640DWif|E+&`xL=r(iV3@Hhjars&0a|Cxcq_OIJpe# zV7eU+LvYNUZ~QKN$T%>m6sz~ zp<8)JbaUemsr@?IInwwwka2ZyKdsm2)S}T3%`e`r-|>se#}X^BomXL-+F!L2;ph5P zPLr5AvAK0zu?YG_N|l6*6qVEZ$xrN98AQ!k6k(ZYeDMFMk_Z=-BueMYfmPO%eBH+lg| z&JQ(HN%3!~<)4y~h&#<*ma1YSHLSF;Bg*xBiNhyO;*yygrt)BxGYAGb9=YB#b6s7r zAm9WbMPk$mP;^TC?6&So-iJNNhsqzvK`F|rXr4p*Bzbr2M}aHRlJ|a(B&6jO>pNMc zRe7(DX)qnnHl`@SADoA2w>PFPY&c`gRFG84jOl)yS#C$*%VCcKp(KFg(9OoGs;XKV z$02RkOKjcV_U{vJZ{L7-YooNxWkhwe0?xuzsD3w2FqfDqu?urC%vQJ{j@~R5>)tH4 zTH?+Z@#yxr4B3CpO(7*NMqSF?N|`}gMO_hyGBUF1r^IG3YLA*?>NoHM3d*vtDnDND>UA)%n&u8(5M{i#L^7wMKXqs}FC$CO}NBmErQ*rIhZB=Edp#Y}rY^@hcZYQoO zB~`f=ls)+r*_~Pkl@gacyW~3tpE4VC&!_AWYfrCIBv-kpkweEMx1N-;?r@UdA$B{? z_X71j#^q|~yW1wt+oYNZw|c4XOiA8&mDL#Z5AYwpSso3+(_D%w=G`*0A&FCUMrDj* ztS+!-(ad(f4~o9B%}oImld@j6Gc2b1x?X?4r?HlOfP|C<)E8C{xXJlGBB%9~`pG zWIW2fbH2L*)F+ux87B;Pon-6EoCU5Dvi7Mt-&@LmD<07(U9pUWn?0gg6vv38NeYl0 zRx+M2UAHJuvagVbFM}@E67|60JAzocrcbd?AB0CVxmDJhr9Gm_erf?Sd%bc!qWSBd z_K4~N#y>qB{ItASFIK1X6C%a(Jfqs%Y57G>_?>oo{&qyH+tvK!XUum;hY!9nzR|`X z>i(r|{nLwshrfUMC82VA=jGm;#r*ZkcwpUEV*l2k_1fT$i2JLXsTegn`knvcRhpHt zz!4b<0NX4xdW|{?jRR_m0;Qi)v0_4Gm<_TNLSw>**9wiQUN%KFP@=)-Py4pZM@Tx9 zQZI&B;-{GWDTgxB!h3yQrFf%it}8F3stiq_7}}1yYN%;+sm1 zJ27Zw^zoCa`lFZ87ke8O#N98m?!gxfS&HnG|3>PN3Cdp|B3rWd&s+GF)X|GgMM~D` z*$6JQE743m*&UZ?4w8WCU|BqNvuNehwZQqwInc>*ec38`osxa|vQ@IL`r4Q|B(IAZ zHYfu>SzNwdtXIFnwJ7wU^3erE3V)W#)wO1smWGo3be>`0(Y6o%lhrL{*$ z3loCf#&~b3u?*tJiXLkTxeIEvnh9y4-^1`shD!)DA;jX@Bw|KXLx-7}kfc)t}9b+=lALxL>p9xNJuhR#P%sL2xip zj*%hS{a0G3jH`)_kv<9mN(}Rtr-CZ#1FV>wAn-~<046ap#%ATI`3P3tAspazamtTe zmjp2tT&ba>;&%;+tqGga#*yZWF&1$)0)sVW(PwhFx~)0u=`FJeuRE}eJ1_&6NFNn2>k^}pjQ7Z7!KviM{uLF9~*!V*-m+Z&i2%j^E#dX(e^G0N`@&K0+ zgGLuYR^zCzV3*zV!4h~=8h-ENsNoH9hM&(K6EhuOL-#m_X4} z0a;V9%XsI8ReYsG16um8+-o<-I|!~oM||HH0z_U4Py+ahG}UX67e9Vsu+8@^-h6q| zOj9`&bMOz6L-5X!cvFtL0(inLtkYhfQUSgmu$Bc*EHEPF1fY`n$e(_A@brJ4JpKOB zJ(?b`mS<;+)jq(1`maCC&wqZtTAVIci;I(mH3Ks#hLE>H`prCEcN_A&AT7z)5 z4^P@trFX>-(q3vjd8Hvp$lr*FefStajHx+H4h72s71}keE&L+FlwyCkRnz)|4>H}D zQ1xj2Yo$wVZ2Hao+Gt%C4S9Zft?$0|OO==W=wx2?Y-wIc%YRqBz=1eo;-@|=f9fl{KxS{> zozI;{rZY@3I3mK0j(@$X&uF~SgLmu8CyR@UWr^7BHyU5l?PwhG{K~p507^Qm$EK84Q{7 ztq~oQl&BGwV$iIRMC~;1Dp8}{%v2Bxq9cy7s<6DnVj78hh-8ZZPE2B;zn zEtVkmjTqzsIbK1NskIqQMjSMGSA}$}R&h$$C{cM3G6U2m({5*NqD5zTca$!YcCMi8$k%37yg(WC z$vY76Ln5ymX8D)OYIeEGO21Xzmq{@qdTT}yvS131@LlVwvxy4wLeqBIN`kPB^o?vk zCYuu{wa>jVI;C@iH%Z?cq6%W(=nWI&KU;cUV#nt?5vDa8UaB*RDit*=+=5hX9hJ9RPExG_V zE-Q9x;WZ2AU9h&wPUS;{4ae>TvP))nerfsOoOnf$DeWwz9%Jl<%bSo(L<4vTh(n z?N|TV_6^7N^=hd8==Bmk9DV!X;iG#3=(GRy`@@F^k00M_DY<%D6Y;=r%fH;`N1hWy zuxo>GaOr(Q+8nOPX8CWV4%$ZZiht(9uVfrQ|I2K`p0fb|`)+yivo;01n6H-Fy{!+Q z7U~4i?BH;_Gt)?rnhPgcmdCErtil(wT2YIDivhIz&-cQm4^FZ(Ux&*&A6rkt_x_|O zQJV_Qz{ziP`I0z9ojGskc|JNnUtX=37@!`kPL3~OVPInJaFOeEd7v*%Xbq7_9zG4p zF<%=Z@3AT+!`N?FCnz{Wxt%#{c7xA$w>2bg?7dnoU!MUeK0UwuB?-JmacP8)IuHwv z9v3;HjS_-}DD~7B+`-a};Nm6b>Iroa?Cd!WEAZ7tA&p4#uV6XEDQh>4^8bitLe9V% zuM$I$k_8w|SptWV4Q)zKLhjk5RIzmflD#16DfW;dXyDpH21VupS6mFM;tn?uGz3f5 z2lTUk{}mMwT>fqR8^vV2KXu$24*T!pq^lA~-8K7vPco7%WjOm~6m_37H%ANqWoW1T zH&VweEHb1|T=<>j;fqbi7Bf=w5ybz4N8hRhrWHcu*;k)`x46b%!CqIZ+WJmk%+KG| zj*Kc9DmPU{#!gr;sQ1T|6!ot0!l}b!@x9Gr7~XJ)8V8Pi%^hz%t}jfW!`n35x;Dv4 zNWNXGEve3GzFp74V=H3DF2fdAF)D{C3YwCd5({k2Q}*M}vC~Fvo?<4@Xmdxcei0OI zzShDGV$Ji*)%vgJ>%U+8^=$q3w21|YCYpk!Bq?9i#1e9WIL+gdPZ(4*>XGRSYY2uB z03#c+eJKfufK#%zk4R{RCK(c8TNVXqfFO7vzIge-A(G`qxBo+2APR_34ET^4DGHGE zLSHU9*iBp@qh3i=Jnke$8V@QE(gvqRxnHAdY;Q&)5sNQ}stbNg}ix?Sy>AD(kKGI7bDOkR<1EvG6b|`4(OZEEWZ+!?b(B z6}LPQA|8cIZkD8&fL|--i3y1bPV=r}f`gO@`X3u@R^_Brn7uItzXnv!v_#)pT9tRv za(59IqR;mqA|_Z!37o&7o3^c(;2L33?iIfGtGiD-l!RO0gDVsWA}WWk(*xXT?>B5CySMa@4URN^Ku2?UimOoF6nQCTP8|8Sef zrJh@WNhs+DuqGf-u$P4KG7(>KY^9(bOoG*Mfd!5)p@m7<4-zm5Uj|jXa7*K~{+BG6 z#3TljupcD-FSgw-G#9%w;eW9oxTAFOzeHgYQAbKHOk%QwN!%JtVhX-mT6QCzm>o>Q zAa?EYEZD1*vG}%f!u)wWF%l**F_}Uw+ndC1`X-?O7Zb|-k2-8XLv$oef<#}f?9(b0 zU0@OgfDM>KBfm2UNAy5k3??BI8>S%~%u>Htjrc8E6BOP&-PIzcHVz5Va$-E{@3I zbk?$I=Ycy)7dCy%FbTeFmr!CG45JN`u;ck7%(;e1SYhgx^K83xZlD);Fo{18a~26D z2m{g(AGnUc_?DeG6v??lg~!3{f*b zT#l?=L(+nia4MvcTe3qrr5P$bIRweyAhxx31-3GTV<1trrB(YsM1QxUA*XkvzY`5f z_C{Ymk<%eY+=K3cfpW(%?`DfV50ls;ZQpj){N@ zZ7mhO?!6o|Nv7-vKMrhhs4+wguy#IrOgluphMgC| z8_(z9NRRP`A7SE$>Vl#HQFo4tFVdeJK{SlWWJ2kdne<_YhnESe~Un+H*-~n>u`R(UaZKxJrkiu zFssU4uV@aSHWX`04U&#I#HBi^f)GQA!n6R8HUzp zb2iK#Q&yM5OZAA6Dr~Ahu6aMFsOfA-E<}5*$GI*iBM2L{%nI8>C>ROC+In?)-U22R$cjpuOC2`X z26je2Bt+@mp+%dQkZQeKd2{-)Y2NR-y^1Ap*@&FroB3*f0`;$^=oVWS=jV1faEw!;PGPhc6l*hD|W3o{8yjL z--H>sT#$x=7toIJXyJmAa&S9C-49VW$~p@q&Pb;b5$|$dnS}=GL1} zaP8?2F3zf;YYqrSQ1Rpr$N)bh0#NLo%SbGQuTRGBtfbS|Kc8K^HblEP9iC3+E@!y& za!lbpap=0Fs7fdb?1ukF>Ldx0Rhvv0BYmjC?`%F_{+GEj-sb7NSgcI(n)BtEA=^69 z>CMRN>7ps!<$T+FH*Xsqu5^pmo!Q5- zg7#Dkoa{`JQnMgF=@TF3PGa-8x2m}&ub(x~7z3{7NC6ln7-4NxHn^D-rL2B}35i0B zmgvx3G>>Hj7T8$H1ADQ-NbXW7brif;tQpFc@}&T`zl#4jceg%gFR#uQ|F;1=$m+(-5|DE^B1v*M2r>ET2NmX z$iawvu3SJu1+Zn>=9DKc`n!*Wr%8B*njL&EtY*7Z(Yi11QGvD$lYinwzrm$Q1d`O9Y;S%zvHtY*R@N|B0cJNTk1^<5Y#W&Ad zQW_-VLbdLMOiL}CrEiDC;bneg^dKz^7O09Z&OX$?yRjIUD^E$@Rhw>8{J!R0^}va5ba~cJ6nXeGM20^`QKU?Y zA{Z!Y>$`8~P>8_}qKMPFvnWDv0)`9_lqg0D_7xOG20bu*qbc&r0H6W|3T3MlhY)hj z&MNZRP86xh=gunfZh7+a(fZf(#f$lBIX7wEUwrkszFC4(yoZcCfK#oXTC^5-cV`uG zti1uXqm~F#(!)*^IlhFuMXDJjWT~2NlG|2;vVlmkS)pR2=Br9z3VVjD5Abp1>N({XdjpPKv!NL(k&%7=iGBpP$ZN{{-}$#fJB zhO{LS5?=yE;oy^ZsQ`K&(pPw+6?xg8tT9qYF0j&!Ax|HbUWn$L0b>~r2T0*dU_>u*@Om*QTWf zB_YV>6?<~DUM&HGy;;5U-+FlY zjsjOri_3RsZ~R727i(e;uCL}NfpPU>AFt*YfvG>ZUd}JN?Au#~RXaLaEw9!$e63m1 zFRYaoBJ1Mfi|>!r2H8hVI%c|zeXbDy5Z2GS+5UD%?Grd^j?Y-ZZxmINR>s{?+flV| zA00jlFfl<4J5#k=fhW`!@Byg{fL{(Kh}vV)W`v}T93i76xW`|8?wa2HFoY5@hZrN0 z+zlxL!svH0uB7{w{G1Zvl5>z3JEN~!&opc2=^jF+GouDK6>d3SpS+1g1lHS<5*QPm z-xQaa6i}@tR7ynL3PAKRwQW!Y$<#q$+NhLAAli?=4Zi*-7Psp*1W=SR;2?K51Koro z2fFN>hl8lX0M_IWK<_`fsz5_u{^Pg$A4(HGcz*cJ-e2c$ul}z8XYcTv2S>+0J$hER zQOfA=X}bX%6Ad7k%;I(fKZ3w*9k(0EMQ_Xo1^EvKz%XZ|egFb&hs4x7EzAcb0YZNo z_et0@>sR$`9Is-l-hoC&+aeNvtdeky#^b3zkJ&*4q!Ud<5x7s&VFW`cu}*bCI3);N z6OQ0~xrFD5RHoT+1OsIbLCvJ_1K@hL5tYjcBPg9>KTVDt=Yg9eM`Za?(0wYq0CJrY z8;VioHpgkg2sjwZYXu8~hp7w#w86b3yeupR;>!huV`Fv)-GfT*U}tqO z_M}>nGItirG$VJ3WvVpo4C@d#bpk0v^5J$1lq+66QqINo5of%VS+@;8jKW~ zN8Bc^0}d>@vki~4VhoAKEDzHp$B?qls{dshm(+R1qssmMtNthgPosX~{?wsf zPA(YI){F`x@(9v+C+Q7*ihd{g8#d#54le$+ zyk25WtqiDQ<6BLI4!&DlFaN#xVYz;z#C1UfHg*uy|GvL&(!n&Hw`a2bychHHcjnVn z>chcsJVbuQ>jYuYKAyuvEf5_(czI8C*{ddL&i4K{j}D$5JbQBQ_|Z$Y{@}^C$M-P9 zs|oBgn7%Ui|JFY|+%F9OOX!sE1H(Y76Y+zn2acVTO^wkvxRSym(II*xMOE5;&oX%U z^je&uT6ZyA>&Bz{<_>sCh^SYzBsm3N(N1?}&%tLqV!DX~^qeF}XRG;D%;xd!?9wF-l8Usv}BRoD{IkYKmLLx`{}%10h@xvJaCn2VTABSYPAWjvEzp0G)9Pl%0e-iV#p+))gYLdVHi%!VE@`p%D?~> zU+^>oe;RgIFYCk)_OIO$ZpHo(ty+|4e;Dq}4_g#HYOiZwr3@O(}Fug-ZCT z7$DqbAmeQ-LIzl^FI#!Ey0nmI=FYmqjKk@KeNOzP`Blg?F={wnYloj$vDUl<_FvA&~URU32w-|JSAm2St!UmFArJE#X!&v%7H2nh;CIf;PZ={cB_?_fQjZG%woI3N#O|S3~nl<{p%AUdf zCjpd-kXCB{CuP@AOz9KDO$s{?f0d@5nvU#nlbZY7GQy}nYEo4A$iZy8qXueApwhZ* zw|H$cm~Drf+#R*|bkrO)_$@jH(gLVuA{L$pk9`~YH%m0F)yb6Ln;cit@*KH4*_m(a zMwhcSw4mHu&%)FDgkWt34sOe1#& zL(i=*XrDz0x3WSI`J%rwHuU53`Pp?liNyZh*dOEC6TIURu-N!#w9`e~d_)6dN{_)! z&bn_05+0eu#d`jFzMk*Z*i97$(?UP>p{ts!CkE+x^z8XpKYsbFZKeR9X-`U9_`*kU z7DQocKTFt?!o#4=Nv?}!bE4oAwx22BJt|s-JPeJ_j}gBhV020W4e9|zkm{`s%JFq{ z%IPd@0IEpEltO>R;!UVDMV?~)k&QoQV_7TL07%;A&@`nr0K+1+$H3}f=^8QHx`Lr& z4$uw`L&=r(t{e@4c>s;YG-riJIgSvrQUeAeypTF#R=dWLp>2T$201W5;ESqCUbj(j zYSv@3$y=>*KI7yXV4}nkvqjHcJQs!`ZO+}C=dZwL^$B<^Ca3C;-ld3@lgwA(BKJoQ zy2!TgHI6}5Et4F&l0BK0hFCTyAK#<8;+UCb(=6YFT?o+{3QiE9P=K3X=#}4@ug{JG7p%6tnRhzJ+ph2 z18=MDYsS@n|4e?qN=4DNe?{WEcV(OCu>61IO+LtKyE%C z?#tw+qaJ`@}4{}-C3-1xaKa*w!J4mU3me! zt&5H=&m-Sd7V6r0hXXU|E*aPtHMuY`@~N+H&3K}sfjv-~e#V(xCIz+5QgTu=-J=oK zEG~DGkv7dLHdYo#1!2_Xh6s{v%`0!W6t8S=V{!#8dDI#zb6WYEMaiJ{`dCBd?N%2a zt`pYPbif)ba|OE)%h!M-)rrWM?d=8~x}{}#uF%3499Lvu%x>aleyZZJ@K`DU?0k#&QqiY=zJVWimN z<&D%~o0QNVq)K_KXN|OBPP)ecXUv;ZKKWB|z*|ho8Yn%b=~f3~YDr>AA`u-ZYnM9M z%DM~jwiJ<`MPy&}VXpp18&txtH^9B>=RCf z$nY-ZjnqMAP-TyU=ivq;B^OU!yWl{1yJT_K^gvs&yn!W|q)#}xq{CCnTDze|@sd*- z>MIlAtyycQgHsy8I6bB4NLiQG9w|Mg*tyG_5=rLm@K0Wy>~CF{;^m{M${yeU~DC8xBNj6$_*u}SH1V#h9vRRFTc9Y|#f z44&`2fjUSI((r9bLs@$?u|`U+odT$BF(r%KZ8&yY5mpVwYv^5iN?WlCfFrrbK`nD| z?Xos$YKbW6wVTAI#My2AzcKYs2f{XMmmVqV0nQ>r_DIQH0=Br#;#ntr!<1t5E^kWK zNa-o*-3XBIyz{^w=W?ZKY^1y?StBK>oRTFrr1dSoW86`BJim%pU=-o z`gpNkT&%DE@&5n-0RR6308mQ<1QY-U00;m803iVE797L-X#fCcF#`Y<0001XX>)0B zFK}UJWpgiZVP|DIE_iKh?0so-8^^WwcUSp8;L24Ym7<{87st#kT9jngD=Lae+wvzB zL=H(@LjVheyd;(X{ysfDGks2Pm;ou9QXplq2R+@>>v_&|mj32{e|tY0{ZcJ&ri=Oh zPB;#BM%DamaXy`2?(ck9T|6pwM*sWwFTeQa)#S2zvY4-``RZnbm*zM7J6EgK_1BLd z-<(}l?!tDb;<}on z-iyWZ{bY4BUMw%0Dh?NCAKs%`R1##5m(^^t!pGiRO|Nh2+P=QIo}5+tJJ-wVrds|| z?fm_lldH*fb<_O+=;#nV+Znx_ysy6B-#Pq!KEaoc&KC1|b+%e8$07Q7bo1oH&1&(! zDby72Q@XDE^!ICgLEY@m=y-Cos?fm%U-G1y%|e7#baad+W8pLIs2SHxs zN&Uj}?+;!d?&`mmhc6z|M!&13mscxUQ9MqID9iIOOXD<&qny<9bbkKRqi?Ir>HH`5 z{)@#~*Gse<mJy-S=dr?c3!$vagTAab99nineL_@!HVT4R=F{kqnZwOo9NfWpxX$ z4qorRdU?8ga#dBUaT@*)R;`$5v1Z0^;yvs0pW=tCFS2{}ScJj2D2pt~N{mJxWpcvq zq!o;0*OMqO<4BBjQUn>69~K2~BjO#2nvU?tKSjJBVy^05DR)G$?w(jxO)GtTGkB(bV*?tPnXln%jP$^eBZu#cKZ70`SYi*)f_$j1snX$v*X1% zn+AM-kN2bhalHO)I-5OPEUU};dHro)rSHmj=V?8-r{6t2e)_|~i`@sJ)RV<*v3#)i z`uVrJ!5;qdQ4}S<{kdl?k*p?4)4k@uyt0$4^IzFVC1sJnyU;!gN6Go(SN~_dn9i#o z)uzRkOTvu(p>rWh*Y(+lLY@&v&vuVa>Y+K@eYmH_sM$k{rTn6Y`Usu=w(9nNFpg53 z9AOkhQBVeX7}L*?CA%G8q)}LwaT1n!gte)aR7YDdMgjU~XN0r-)oDF!+7W>!$XO=N zTlOb*yhG2gV4M_LNdNfTqvse7Vg9MsQ@fTGuNNOy)yeAjSyfL;NV|_A7gJUjdHS0? zmsx?+>bI3zl@WdS<@Kr;vhdm({8 z>gnWkf{=JQhX(p?OM|vK$LvD{5xM>Gt<;&aRu;5W^=QJ+4nqitVx78rY77d{*7u zj3aCV7ovBSapQP8J)M*b0nLHm^BizBOiI4@QA+mJgk9t$Q_?NM7iU?C5i7DREP>8Q zF(S*NvB2a(6a$9lX*hg^I3lEZ}zc%CL-d0H#6ItVk}*_fNh%IDEQG8rysE{qf<^vuC>s>4{%kkY{-s zJ$Q&eba|R6G>8)pfLbXoMp)IUUVN~J^DcRHYSc@R##tf$su8K7yQo5btP8sTP%T%} zv&rmWHocURk0A!p+g5-XaH-YZ*Q2Qesa`fB5b)}xS{+swlMl014Q`ZXe>4|_2w)kJ z*3Dv2XE`c(UEKf`{Wx7+iBpdp=4&fZM<~HJ--8)ZUneV9`$2u)50lvk`Dr+k3UPp> z^|=(r5{exk*E7Jr*xKOT;7c}F3O@431U)k4Cj&Z=Is!RNZ;Kv<7!>$`0)Y-`da*M9 z=nnI<$4@3V?ZP16{AvNB3z&@+6^))?VaxLD@TGWq{fHdCn|(h&ua-0HC9|1-fE%>` za2fl+bba$VYY@wqP5qT7h_Fbs+crzF zkZjf~-o0HgF{-z&cJgEwyz*N%Yn>;0;x{Lv*w3G&%JK?2{N-|TeMLG#mH6)#%YSKt z&oeSk1xQF)x2ob@c5MD>J?7kd>lr-vYt)qu){v=?Tr1_|XnqOn!_DYLJetBrvb=yr zVzd(U%jnB5PV4{2Km7a4FGiyg%o}G{qp$H#^v|r-b;KbQy`5gXT|uYcn~8ti{p-WM zUuKgz{=cpP#0}W&(bx6A)Ro*+tG6)Oh;Mki#0y8SkDfh5i}>+e;*hrR;c{`^wfp54 z|B;38zi#~sn!D3>5`n6AX zeNI5p3GMvcHd8nyWKv77@iR#4rRT(XroN!d*$^xvnM->!NmA=(`gECGFlY*m3vQl3 zEHvaW9$4Kq=%*^kk&Pi)5}*ypm;wwf{Zv zHB;2o(FU##CZdZj@VBmx*zS){{&9K)w`AcPM}0&09;KW>6ZI*#zON>^_^a1;A1wJA z8g`>Ntsp&_oi_J1c6+Hsw4%wj0<=cu3$1c#oqO{Qs&{D1mI$Ht%;IW?Wd+;cS-+Ug z52I>_VdWnKwWr>DUwB5s6dFLkJ4 zc8S}6`T8cd&I(O%Pk(2H^@D14VIKC{-8eMRW185PKS@J5(QgK*ZT^#0?b2S}86iTE z81S)3gFfQP+pJA*^9V<8T{gANQ&%em+dg!_O0bh{yip^RWV==cdt+6{=9qOfKYun| z-mFabBK-2Ay0HK7x(kD2{P)SVKsa?(h*V?L?aB4G)dk{=%yv$$4=zNIh2g$sam{E) z@Y@fwS!MY&`5aDFb!`-0%U?P-H1^r*H^;Fc^c{GZ)!*rA`q^x9NgS~}^XIfQP^HjZ zroP?5Rw=Ed+TrcLKzr$yew?R|lvlYtu2z%t$!ap9t{`yCX#7ls1*Gva5k4OK z!p8&3pwDvyRG!;&0~*f&&ke2g2A&%TWX%y4kY^k`C@^V&b=u%i z*9~~VglU+-e*=-PB@ddjx?dD&l4m?Zfx1v=+P!FIfO_=Q@#Y;yR(tsHW$W&{VCUFJM$FDo)3Z zBSP~F@wc8F2X7%mWA{=mJhonQ2g~K+S5wYmJRQGK6&_o-4Hrj@XISKj9i;+}YVRm3 zHw=~(Udj=zLW|zQ`GM%l>)h9gdeyiokUgs(`n2AGUc!>$ki(NAxEFr-Nmvw!d8d7r z`%DZ>oZ(;q;u0onM2XNs+h9XT9WyWx!o_@uBg%XTX<$BtSTrA&^r02zI$@IEA){Uh zL5AZT9(#F&zx-5hu#K2@Cu%am7itZ`o+9iF!PPy{p$iS#+ zY&3i*oWP^?(a_7d;Rfvv5QN+?VKR<{s|6BCuZr!}c+nV4(-F{WHuL zd?QFs%NCcT3GZOqU+FQf=9f|Wv{!G0tY%&t!I}8mM^=`IO21HM~6~ zl??8m$jN)pRSy6N7u5y+)RU|&#ZDs^8jLgXfqur4VcLw>@-BHMH%R?P)LV1X8i%80 zpGpa`d9(D4m(BW5EpH!8*1%s`dp^ldn1?*+uV<#{!vECS)Qvg1VNi%tJ?089rLr** z7XHSZd~ZF2=ibsJjbzzi4S5-(gC{RqCj_@Y03fQ91QH3t`jbFcPO3r~+r5czXpgm9kXUUMLT)HG zBE|%*&u6g?-FBuXZ^nbKwh=rgHw0;HKE$b~9u7lEe!_^E0www|)*hlKhZ9Cj*5+km zA&hj9);op=qoGko!UW`kDJ^BBmvMs=3MMHyfo&p8B9J@?E=I;vLVhx%n2z?4Uc;7f zp3b%cv_`3n@q4edUw}6}%hrOp@q&K^+uvEgn9Z(Gfb8!Lp+xRIb2K~^)7Hz8qz&78 zIo|)2OvBt2J1{xywT?W!RoW(cOn>UU9ARs>UXD<=8;LTQ4elXmC6u<{HzNH!Z1Bbm zs^AxOr|_+pyR#jJ3;{jB*l0+aGDMF5vV<2O z+b$i>K#s&Th;R{4p27JZKBIKMD14#kUu6OO1U~2Z`-# z6xiK_X@6z?sG1)}$Tz@NSZkw*sMgnyts@EdVKYDuy!Or#$0zeM!(jO)p z8Neb0v%x}2zg!bUSR|TH&NqV0+bqRGvROOS=u?-?A(UU8#D=5Y!m9KPRKZ z##Ht&Hy1y)_bxlOmS{cZ+ORPQ>@!+_M`Rr$rK5T7nvbwk^IU2FF zWHk7^uI=q=HN$0~bxHZ){ptfAblJ-4U$kom`X(z2K|VUkTcigYK;G)5-O7}eyhWm4 zam_E(DRDJ(7+Ci`Q>vmY6{I61evw$IUZosB`9z#5iL^r8o;Vph()Ew^PEJ$ga_c@w zI;UzX1l1q#^+UarvoV6s)1*WyI7GIDv224AuDKRh-nu7i&%OT131Z4IVMsZLtM07# zCTq2I4$9hdy_JqS&TxNhl*a{fK!b|5hp&g46LfoZvi98LqpYjwVsY@%((3Bmpta|E z8$;-0hnF%&>Q>S6_(I3~hR#W%;J1Ft{H|(wRNR%HvOEE>q(QRgFjf%b3Z+51ogW3b zk^onn!mF8<-8w3(yYtwkVx2zL=l0>x2@h@<+0HamiLUFlhqRtiSw=ZB!QdL@dYwA) zoT(Ss#4cIsT{~eA;HDjfioVZeT_nHq(Zv{?9nP& zd0Yb(aJsONW^6|?gwlidg{v~lgUTxN(7vANb_67;;3r(8{wMOj@LRBbjD~gSiRaaZ zTNMB=C~Rkrphf5P6TeohiB~A`+q)|ix%KLeA%(Zm?d?^w+Co|5uT9T!!{_@`@=;zF z|3lK>eCE;Z`r&BV+ER*mcN!B}I*UfnczMA4x4O47lhg25)}Cmx6FM2e&Lv$Sk_Al{ z{-^FmdoKtruS{VJz)xs->lr-v8xdOGHRL6|E*6+L&Py3T-nP{s$d6T~04An+&-Q z{wd71{Ly{8sZt&Q2y;j5FLOJhx|G`XppNQ$DjCtVC6Bh`(fse<5FB}T

x4Ea1 z$=iZ?y2*pr+l;bsTDT*K0d@tCz;U&ANsT!KpjQ7TP*U@6IpB4R+d4uhA4ehVAV`;7 zCb)%%e`tp{!g!p=LKcZDwBf1Fm>z{Qpf5D{X{1R3sKNbf2_u9oH*sDA5@aStS|8vM z!mV-Y0tw*ji#j53cqy{h^rM6TN|5YSAPocn1n8iYopxWqgZbsGG851~n@z9Z*dI4j zNWc1Zbpw~DfDjhSZ*Y29xQ zpekB>0;rzZhVd4SrQ}@h*O+6@)DH0K#)nT{jV@-3UwzsC!?IJ2Thl|{!#tsvaVx=e zF?GTS`c7DeFccO!qM&fgF+E?EFbc=;Scj(-(yc(d;}^jxVFbr6A^`9Lt&GVcF0?X+ zNx3XS()^^rg~@q|3@#~ROvnImK##wo7_*d3lt~u}D5wmJr2|L1>9iMpe(BkOK2_?DkoE9M*im1uvb$D%YF>ailVm)vq6VuA?Sd!ILD0J`X9lR$PhaaY`{ zQzb9e*-Hk74&bNGZ4=YOzzh+Vqpw+L3~G=D93H~viEh~*t#aktu-!h5RC}8YU~{-d&H*mrU?@lfaer|AJ-Ot1HDN`?TkZaX zApx4~n-UjBgD_6ZEC*eH+l3?9hGsO-g77=4oj=!UaFTH#G@z@PgF9)ZGa4W(E>al; zuzujyBn+6`2fU3a;zonkFrhuSIJU}N+ovB|U7ho+_FQk{E{q1w>;wIb2Kd4aoKCg% zC}jV=%3eTt6N2gQ!YMQuHybnrkr$T{Jhlm&M{zXSm@Tc((qP_#)+exQ0NncnZeYUb zz&#L0DAKyX=7|d}>FCJ)M8-uPSXxrwZEAu~KQ)1cH8Q3>%Ia*mPN-!h}D4LWYuvvf*U4tAO!G0X^gsM z{7&7Xkz{}*Cx8zIJm8VCDMFYput{9jaP2Nwpo>WSb+JINpMSdxwra2WFW4VH`7_DI zU%j?Znf#%8I5%LBwXnuPlM_hRC7>YyD)=0eZ@%GMxE8#QHoR-t{z{MKY;h0%ElA{eF6IpT+s0`Rlf9-m5j&$a1zvi^o=OCv%F1{S=wqNTWuSH zTN_!{{qhSaiKC~8?4nV6q*$FTmh~5Wwc(eqZ(?#|F{a@PYGo8_HCgf9Cuft!uFJ^( zenZk$1heh;^Ydysvl{ZY8FkN=)9Y0?q}zd?m`4kSeMAL!n8DTHhJQlEJAR%UXENM>h)Tbg{5y)ZEx>9B0qN|$czB_Nnd{lMJj z)ctgF>U#DETc+#iZcja}80o!2d$HYvR=PoUe{+3ZFI%CKb~$yyd7sJ0wXkf8h<`qM zIT~FHetiGs?sR^+tZr^b*H^#GXAi&p0>96qx6=#q^DG)o=kQ*-n4DFj#Le5)1irfG z`@dH=kLL@SJL1bPygWOAm9BfjaK2Xe)XEIhJ<~Cw4sr7@ZefG>SPVZ1f~A!1Y4EtD z6ov5ZJ-zb!U*=graCM2x7Tk46{2xT)g>06^rzLDw;JR>oke%C3puC~j#m2vKE?rqhIBt2)0w=ye|^i;^F*1ZPu=5VV^nO#*c-bf=pvLHfNTM*!)Ts*2G4yjj5cTuc`@2i1G+{p4xW$J zr{|i^YiP#ub4kS2}fUE~d!D3634 zPS_(eV`Bl7#66CP|5gCV7AcdSeGp)e&1gq}{hPHyK+iej?SmBpdMayA6xj(~e6y3p zvp}~H@K*#8H995p6W7*rpTQ|+ozkOi?mNUes@cECr(%jTuz+IqXqdc9_~AAOlNM9~yiV3kOu8X$WF zu0gSUHw83CJThEmEU%Djwz4s<1i=u(r7cg;V>ys-e>`~c|DM12{^>4?HYpnRl;h>z zkCWNYua?zCwXEhKhbbst>nVGSx;y{ad>mwP400FwUy;hPAwxC)*x2D8%b)AMt7xMU zfYwEGCvDt;f2`ZTRZUaPL&~-L_RX`?*GJEvKYhJ>a#d9;ggS2GAFG1VgoLd5$_IN6 zX9f*7>#nOm9K6_l(A5&jYN(47TUEa6!PG~=_4Iu4tN*i1nl{nsJi3}ST2}AG-6dsJ zdmS7{3SU#^?LZlLeUEEyroG&6pG|+O&Y!el?zO*Sdkg|ZicY^?d{|Xaf%Qe^5&kXE z0F+ZSQ;1J~t{}t=?<~Siuk)PgJXO5+SbWvE{1RQ<H@DsuR* z#z_A}dZYjf{9uv80}0~u9QnQYeP;@ofCQ3iFcP~W$Qp^aNM(eLGA+xb9A{0kFcFTh zf$+eEn=SwzsFt;Cl*83z13F4jw+>S4R2~V)@VWgVmvr zMI5v^)OVmWI7&KJ`%F*>*GD{hE3;A8ePnGzKNzu0q{FgZg?5RQ+Sua1^@Hus3l`@0 z?T6W{TGdA|a>Ld7Fn5p_oG-!`4ldv;DGN&nFbd9}%_f&O>al13ocb6g#?5tVss_e# zv9R6d67|;8;>X@A)Y2rSb9>jLR=Ss9@BCn?7c2;E>IYjpOpJub1gLJ|A_3NX|Cl(y z9^<)}1cyTCCcy_KoX)kA($NOv8SV4A# zJSQO7aU~kA{sHy;r*Yu$B~~yqWZOp$=ZD@^R*VY~`;OF^Wh9bX%VG2r zy=~eE(5Om=+>CFTHbSed%h9Yo*IVgm@e)?@Tp;?SPQ4~_qeGilgu9}K_Xw}=A@kRe z;kT&yE+}v(g{}HoAGt0HJl|5__bIP563WW09^$qqcjH2FNy)kSp z+l$_Y1zENFhSvr|j>mg%F<57gClP7=0;6!k&_8X!+udS`mNwuaN=!49M|wj)5Uk>i zjM5KB%Raqrv-FH_;gIjt^7g@GZje0Fb{=czhU|p*T++oMSmwAdjt<2+MC(G zhAH!b6eqxd0CQERdhx*?NS5T)sZlQx^u+aqSK;@oT;p=G-Zk*vgQZQPmSn!rvbn|_ z5all2D>{3X-&wLjZbXe!&XUa1)fv9wjEek*yWv7DCj+Oyj`$?0h?IRj^Zs46cTI?9?d!K9P0~YEkt}=IzwC?HQug)HGg2qwth|9(-#nIp*}_Bu&pNHoh39%FGXzU7 z?S{ry>oeHNvJSw(HNCh15L`2CjoKmOLCBWUifTgy76SLh)ql7y0M{uah+mZdQzEc% zsUq%+!p(_60uO0hFDVezo#5lO5m*?xVVr!7T zUk2$AA)wg(Iq*AzFyR?OKs425^w5l4i4B-p)|ZqRb9A)H}w z$siKV2&a=u5j?fo)26POYr$cvs2-le54a}(%^s~a`GQ#ik^Gdb&J z+@Ow{Bb3QG8OQLmLtuRfUJy15~DHXsB~0Fw>qPQ!k>jaB>MPmERTmVl;oaKX%D0h-A- z-*B&5u~^LVz6ET5qsLk{o8hX3uP;PUeuhjcVh*=AHhlN=_^C*nz}S1j+;13Tw>~;* zdt*a2o5>3Sa6QK9axy2e94)EF`SA6Fx{Xw867S!oL*h^!p#^Eala;ZrqydKkK}S6n zX>jXSDRyhs94k6%B#O5SrU8M+guCRd?1cf}NPl&dOJvl>qG&UFo3 zB`_9?#o}PD&)!>X{o=H=N7?S-YuxMU(j!2vwq?{tkNu^{!LwWwjut~HbQ=VqBe^t2 zi$Opu?baRX5K-L`UchOVWp(L*z#Z zGO%$W8mX1I-Ub2O(%9W2+}wyvD$sxRCuPf#aV$XaLJ}i490D2YinI@>xZSuRvWHCo z3O4$QlQ%0uKQ`)6ey-bovGVF7S5_-dMb zA!!(e4Q?$~ZaSU!&^9aE?%mvqEH`_CV6+k!sPmggDbYYSIot$cFhtrX%AKLCI?P}> z?R_x_UL;%t45QS)IbOhwZXNdIjJZx98}GM>+JMP=r(mNE3mS#@@@xSaS7P8cX^ZSpfUX1V-{W2@-Uy#(rBP%g4VE zjK<{LO+r9^S!c5ZG>H$G&@EQbMYhQ|-%tohztLSTGxH4seatoNLoY2W*0V2EU-Nf| za9E$Aon@O4aHrFzm;!oxGYdy=Ta(IXVp3`OXe#Wxz>FSNpzVF@1nQlvmQ&;qzgm7! zxzbu)=y34?KHy!6#fQr)v(Srb15CeXBx2|VOm5vSJuLbl6>ld@`-pzux*fvFUU*^E)_}M3-D3H#gW2?QZk{Ku zWRLPWPOAD9s^6(xlCIkBf1XKQ<0L4YEmMD-(tv%|V})8s25O?(V5OTpg5|z-q=_Wg ztshd=;>80IN1|7|g$}sOXAZwu&+ntseB9tDND#bw_iR;UoV0BI9QyQYzV5j}4 z+v|wd_z0^9dCk)#gHL{zBjs34Omv3BpB~9583y(_dCt?3IgEEyfdnCj_!m&5F%sGp zah^5z6KQ>|8>;+0_Ya6vlwD1>)066`MQaH-3VrrF`-5RluEHZZ?w(<=BF66H^>4AKhv?ljVh zstA55H;@-hi_oT0MwBM{YJMU9*2})uZROfOKKaM#(aY0ab$ioZ8gQd)y>t$i%f+vz zw8A(#ev!;ji)#4h(c)q#i9|LHtW`*An=so!FAI}BgoZFS1M(d;R}7I8%>#yp0$2O! z#3{QrSV}E1F7S_!5WkXVagHbh=99m*soW#|Wz*P{G2bQDbx_ksPJm@oD0)~hMlenzpiM5o<>N zQ2`JI>`6?3Q!x*`k(FhdAy5b-L+ctM=KG52|3c|b@CA|Xn>xSTUgVR-EK*V-_L3+0 z)n&e1#1Ym|loTObA)lknm&237LW%^}=rOb*w!?_fFT=FVGHh)~{emS*-U|IsU+9a4 zP!uAqZ-VOqalvoF^PS6uFjVTJ)(|RZCS1^;j|&VSN%J@cIfG!r z{@epOONB#KjG)`n25KC@AcVqz14Oo}4GsYYVlZ*BMvg^@G=liYx(SVZ2p!}Df4+u% z0Q-V~;28H!r^1T{V{s>~KW0I)^=p57+yF>wP2mnE(%MZEYD4;Tt2DB-z5a zVi9K$uC|~k*ae4>Mh9diE&2JPA?R!+?9#rgUJoXz24m zLuCZM9O9vXQi;T3%za)igpJTp0?~_z9Yl=)Y%xx;SzQVZ)lwQR_5IKgED26DB!q5% zG^Cdvh=#;HVAvK#fIMl~CsHD5XEFmr(hMV9LUGr;4NxdB>0#7i5v{ILc38s+nFEXP=Q>UphDCT?3XAaPdK*Kq z$cF5U3xZ@@2tp{g+cPry*4Y|iWHJW{(AJC&K_J8k6C&!C%+VHseEJAPUk4GVEjI%o zdZihJGg&tZfKV3&$i{vE1mesMK!5@U01&P8KmZaZV~D{t5K+Z2y)=5a7lDY2OTpp5 zWCAHmjI6EOh(aKO(kdJJV|eJcZl@{37~T&co8uT{^B+XVB#2jsZ3q`-Wod4W7bkQK z22)GHW|9~&ClXW?HGjU2j)DCMkz24m<*AJ-*|3!k0@cd`tQ8DYG2-NN#$huM1En|) zVpx(g7`o{W83IEbcmlm}ICbC?PM6cm%W7HsH}r)uTP9?GhXpfW9DDeJXiNyM6oy+A zISdUZq~0|NLe_)*X}uz-Zbfklf^A5r8ZibJG=#kPfG9`d5rz@n}QnMo7aRDg_% zC;$`1^ag6TfkQMB;iNI>O}NNnis)T262<(L@xcf4%UM+mE_m?(T;#Y33PKilf3we= z{9Y}OtM~6ZAxDl})2$E=G(6pdCsTyxW=QT0(vY8K4x| zj##fYl!zD9boG6M&YbYnEd@Ua`xlbegCV5NZ00#=-jt}DR%yM9`5gC7V5>Cu>Gixv zIgW*6R1ULoS|(AG#HSff<|Rg46CDZbB4G`Ne>ALfxS9;oUF0ymR2K%Zy^_ zQJ0GQCqsI2hO_7Firh~~j|zFx`RCm;UmLsl`PLa57_qHR7dSNQixt%wC$~j37Pq|# zFrA7lSP7#vo%NW5Yo2XH33Jwyk~3F+&#-~C_!MBnIhEK@#5%Zyhv{5s6n9GxtGi*C z;tEY%IRd{n9D}fj(~Uqxja)*wwI z_yw|4;LMof@(8vbG?lg6ATVO$vJi$n8B)ROjoBaU4SByFIfUB-2X7J*OC|tAIx^gf z1ZD-EUbbzBcR0$?!bPxmeeF?Pij|J-3om0_f0UIOvh>&*?4^-!k^qdz^(R-8C2sNp zkX6CURI-zhmkm>Otqs@jcSE8QpF)Py7~_o+1CU6RE;q#k5uaFh*qP~1UGfO;Y_YER z%k|H=V>D-xZ%^(#FU9&KXNT`*-_Osh7gqHzySYRWnV@f=PQi7<g}3QYuyOjR_T=6fNa6QARybB z7A{d2@7Pof%;FG@L@Pd2Bgw|_cq`%YmLtXoPOUcCt7xrg4DV*}S$UF`_#dnOqBIh) zR&a}gBe*oL=`J~MWMuRkCLahA5!1H0U5>*OfNgwv^EtrPwr)~TB2T35)18jdR2|6> zWQ9wEz|`RucI{>(s#=IaL>O5KfL7#r!cID+CgdPZ;8O;!4@wT)tm`l4-aScV_wLc2 z>z+4C$-tKgPAUQ^18;Z4!wM;WCv6N-QRHM0Pvd^pG>0_~op-$NGpi}+B1-vjkH$6< zH)TsLxMf`gKqGo?ulX+zf%e5{tLlJ$&lkV?KTDi}{PuPYlXG^Nhj8nikSfroL0(dA z>G&JD_dv3Wm_PR&hjh<@cL;R@)g5X$NDTnTJrOu4=y}Pen^ZABLX6QIqT!^10ES5o zNuGn6ddEi78tkRvh)Moj?r`j<>$F zm6#7deaOWO?Hv>X<%Iv>zXgI^zysG*!vM&oiT;3vU3RU6pqgQahW!yC)rhuIxXuH( z#v#($c+eHZNyGR4WB%xRa#@X*)kU?e=4Ta*h0s)8=&DC?H@b=_QJ}1a<+y}GgHr~4 z4LEgn1T$ELAXcCToDvA2W@?RsQy_J4Dk2oR_F{*1MhsWGJqT{{mebBOX$owrZTywA zbBV$t*c$u1aZgNw&lbz-a(-Sb?_XWie`T=PKwY<>b+-PCfd;0}Z%+)&M)n31{Ol;QF_{w=&a$YaUOQ zb#v=ZmH6J##hKc-uDT+v03|pU!5}>(%sZGCNqFxvjW&HpuO`97qb( zaxI961mN=p1MRWIVm#23N^QSAP@N&g+oh~BI(XvJk! zA^aDm&L+RXc+rPHh@_UlAPC+CDJZ$tfT4gv0OLirS7=GgL@w4Jp`1uEpS*+8n9S|I|SSQ$g!?!vuf&^{& z7pnc89&6V8G!9z&^yi0aDbI3y{?`*^>pZ(M&`f){^@Tk5{u+UGhS$!j`D8Mi;s~b4 z5Lvhz8fBRV+z+m`Gq5Ek6eV(};MKQz7+P2lUQHop+43!>*tlIA&h?#v-cC@o)3T_( z%G%}F_QH_Hq{lkUA)3aep`c0z|2>R|+|G`|iPwccEtW{VxEqODogE`^ zfJnFjKR1jCoW~_|qDf7KNTIPr;?7WbVxxFz<>Zy@7Z)7X?#U_q6yX)$xT#9)CX8r* zplz{+vw+FMV>ZYC+H@fb4XB;Nmvo8P79*@IxIMsvg5=5w+FN*c(MCH zl=9)SXk(i=$e&$f+-);PAm ztyvE`Z2QORJh3(Ffew&=WW>>{Q+g{e1(!M7hu;#_ zU?`c#6fAS#!~)JFM`6g9YoR^L56Zh}U(9#fqq0`@lj3X!eHROhcjXv-%2 zf}CkAvVuOJ{;O(?YTD3lp_SpURZ@SgVGPw~(296WMIkaqcbLb*9huNd6a8GD>W~%l zn38(bT3j^_|LNt7ASm4qQ-WA=fh&u{lwRBouKGDlF~2c}s^GPbtDQtH1Sl625GXWd z8o|k4VpbvDL`}+R+F~E~r^Q+KrTv@^blK1Btjc)TY<=NO_HlA3Y#pYgf1Mre>O{rX zVQMydxxYgs*@gzVt;3Ye&*8|(U>;)@9a2gN|8c_jPG_GnYo~L7pO-$Z2B8DsF~DI8)QI6Q1^wCuK9s|hR>%W6 z`Z!E6+1ttS&`|sdL{NysG&+)E3~?BSLQ;@J4y)m}Zqo33V!*>{%HBFmd7zV`G!*9A zI!t*i+U=oX7*(umRx|x}FSW;$b-`*cZ5^iOHRk&N>|JYf+qSm--kJUfP4gk{9Y-Z8 zlA`X^ok^P}$8(dO+}dq(K4lbJPBh1{G_umi?VSI97XX6T8vsF2Rvagxdmk-D0>p#Z zYp?z4$W$>nOv&6c-%rL%YD?yBDDryB8s(+>20=-HVV)?!_6s=;b5`ENcWj zxh5eZ4K<4Sc!IdkH66J^j9@wa$=|qNmjOY#E1z$0eF6jrLD9~OT1^f$W{<*=#$?1c z%pvG?aF}ZRy`2g3kY3bHNbjFMGw%RQ>M*r~vlPDDfK?ISY8&?SIZIi$x1&%x3k_MG zqMAoGMO|6AEweL8MP0I za-9;v0Oo}($$wK@V>K>Nk`{T{k$MlbA=Vz2)v)C*J5)8#Z0lgggY|4nWl#HHWG}|f zIYU~&B1^@hBjy6RWyz#j11ee)MDXiDb0_CnJ(I@UGA31i-R{GtJedLJ%$KGl-^JZP-xg?W@Ao4)D9;9!S%>vAarCb4a~1w>36q-t!r_D$_T ziVoMXXh?p6>VT69^%ATyH5@)sc}onh6g7PYnJ+Fb&fu{l0f#hwDp06XV!_$|Fr50L ztnv%@`62a1z>1;u8W=yD9f`EozT74jqG#S`Q6?HZ5q3H7!0*N=+sBI1JfselxbX~@ z+`*D7s#1OTEitHlN-PVp+76ans_NfGOKvN06Ey0Otm3|feVG5Y=k!jf-jn!5|09x1W zKKc-VZ8p|cCNGWXIT`0uifmF1cSkZ+E?!Nxk+Sd}vX2r?XgL)s1yMcQE${FZU< zG&~v6WLg2`Zn33%P1}L0t}TQkwXqhF`S{yY@e8q8LqAWbXaO8Tu^jeTegDz@h}+wt zUwdRJidUoZ=5$h_tPo2g(VTh`>@jKVr3c-REOHwoUF@(Zavu-#2n950)`+6Bxace! z076Xh^Ome$$9hE)7lZ|K?JP+66cBOqKUS~sO>3z+}hE^a*tx%JR zZp=QOJe05|b$9O9=bRsEOrLhT z>FLXm1gWG57qN;t$5JR^nSCd~s_-b1J%$5Db5kJ^uTMrfwnj5@_2_23hrMo#0g2bKc9+!?AGpr)zM-QEyrn+R^PfYC@=NS>adq7)xF-K zyzXYb1FTM|t`98fTYLA3(7yGE4)hV;!v1HvceDFu7BmhFhX9Z}pBVp_>&2$7ONUGw zKQFG40Lo0N@y7jie)(=8#X>sHYh$u1$f1;X9!M0Bns*tJY2}+nEH*yeot4#Z4ko+1 z*n4er9+lxEVusr0P1HWCX~I1H8!xv9T>qV4y*_>yzGU6aehB)h^&5 z13acOd0eZ*VMOI1_&`LP$l-PP$PgnyaRPdaERmgs799+caV z4FRAU?3YTW2-F{Jiyk}?ZE1}}kHJw)$#?@$bLZpxi|ikjjTQelwW7za@x?ypGGDoqoVBjM4elz4!TQ3c|zA?Hd(VEK8p zUIixyIt&gm_im34YgtK`LkevtBGdHY0wN}2!_?h;>a3F}_hGOMz7^Oa2G(g0$#Dnm zj2`8m1rb28eFOEn_rdCNr2yl-fe3&pZ@XEdeD0ggI~S5aTD^O9xoGZKy?gz}z3H>q zY7|%RwABWJQ{>}pw$iZKMBalIHk~R|J8ib%TpPBU_}reh8g2%H6NzsrF{b%ETRkTF z3?p4^ev@Jm)mB)Y3N}WiO?+eCH67T)`)w4Sy8dahhc3_4wvj25=kB|RcFeZhiO3^- z93}Nsn%b9ZRP-Jly;23f<$u_eohI}I;T0zEO5oG>KZkOVt% z#UU(Unpe!~AlI6PTV_W@j?X znsLkFmrXfF#FDeWA!P1SND~~*+FCp744EU)b4ZvW{hRC0zBi_hIS}>H5jvz)#|8+I zV_-+?uPf%snaIZ3h2J91-`oM8*t&yjDA`FfiIl(}0#*V4o)0IFZ-=1(=hj@O-s}4Fs}~NGMqOJ!6S=_)4*MbVBi?+w}tSJ zxwQ&d-i3CNPqQa?f^qi#B*%}&K*qsd=1taV|=Ks)+qjGwUEz>JzX6z53o4*2C4 z=ZmxXI*25ZS))DWS{BH=;Yqn>-`+2qCby)W_0Cv`vtuSBXHZzHnjmp9N_}Cpt*V+&Q?`IT%XXtr{4`N1 zkYw$wM8*8r%Ir7tXhf3vH}mykbo}V(ehH9o^Xwuga%a4geN($8LeQ0sb{C=@X^4<38CjX9$S*uAm{jCKit`DXQb;1) zC2~M*0PQJ~5*0{_B!${Oc=jZDm5A)&8i|?mB*|eqEf1?O%MNT;r0Q)XkW-Jp7vw5V zcNyC*D?)9-G`*gqUU5X$L;yxAHHBJgWNJWJ@S3#*Tw)Ko8 z)zs-yjng!r!1E?A5nr87eN=f_a;m%`{rUAU=}(<|GUZ~!$?_e00d=THg2^gUC<#dg z2%3BQnDUXNhbM0qi|x4N*P}^)uZe`W)s#}{=wlzGf7wRbW0Foc%h#{#>@n)KU%q&J z`t$LVCqMpt(9A+C>0i8>y*gK4hS4|VH)OgU%QSz8bB|9RF{o6Wx` zs%XEuFzTFS#i5p;ul@+XmaAP^bSm>Q6L%XoUS|;Mq&R+jaC{=C=F!2|hh~myn6cWZ zH~Kp4cU~U=RfV_)7_-=GP;Q!h_{FS3ni2qGs@6+x;%4kECo&nc-=eJ$MDW9#`DT75 zk{20w-GroUi9_A@@{6i^^@{OJrNXP12?&Q^QBRmNv84Avo_`kwN z1)#G7ucl5SC53hG7UH0XmA_y20fWihIr2a_bP=2`|0BMcb6zK0)d%8)wLHh7+V_&|sz5X9}fA8Ga%E z3`jGadppo;g#2Bz^%2sj2PqXiL73Pi?YEFpQAeYapu2bLN^GslRM^w{ zt5mP)3OaIf+v1)e8v5ZAm)H|R$wRzCXgk?5fLyIyQx*0(Ot z55U-sM(2x*`Mb+4>G8>GyQ*Jqv{}7_o`p94gZ~Z0f?>PbsJOmFP%*B=qvF{ZdaB^% zP|SD&u8m@Nii(-rBvT|Q;wxAhO%~HnV4m1pS(-uVRhcwda~#{jd<+wE)2uwcA~15U zDi+qgC{pRh7}@tOF6wM14J9``Z{a==nm=-g>TixCW_K$6k95zf`(hkFM+|1b&&fE; zpPzDva85i8_*tdQKfd~XdA-ERTzij_Z5x0K{Y}pZG!QWWX7_#w{LIw;JK+SUF%``T z6{ictjOB-+GDPpn5KK$zhzZoPfZf)(TE|wi@q$>Qy!29z%m*gqx{|mtdIsHqii|=A zcMC<3vq6tsD@VI_>r;*b@|^)^GDR&xc&HBG!7Y}ARYM30@W4qpC{BNXt*$jm_;JGR zSpacl0c<77slwx~e{kEe^{4H)YI3k0zI`LsK1y+lXvfS{jN+tJCcn-!YE&4h;VfS+ z&xP`16d~wRLjQsCwVtnEu3q}`SqC{r!O8}~XQybH98tgCJ7igRr z({K#nN4hz9LL(3@ON$at5zHFK6{aS4MuiL~%yvPcy-31{Y#hn7M70CxO(fu{dH|yaxF-` z)uEZI4%L^4I)p1d)FIfEtE`$8sKZtw*NH!Raf<5WNZx|DyByAuvy!<>889#OSo+6q zW9kq*B}I}W;Tm2{W=w6Ouw5IxBlI5;nuPjqC926ykyT(H`j772)e;&#F&+E*bsq@L zk>{kh6&`!K{Ezr%&V3cG;Y7T?qv6~%_efdgD4#7?NV@2Lwh^3zQfxX{2O z!<23il&QgPT?NMy;lZa}jmCOfFOYi84Dm}G#E=oGjtpr}%o~H@XYYm|iaFs0MM-D2 z;$ao0#}U&&lZKC>_?8A?dnzh2L=5x$QN)=H$)dwY`75l|Q#5G&7Qq{inHKKI`gM;>FNz*dGt^ z9DZHN8v&b6#uF5^&S!a6&TP+N((03cX0FgE*mOV+PHFXPpOg0}K z0vn8gwSEi*z~V(Rq?9QzRQWoyI;PXoB&Zr85(Dv~+R#DW;9wE}i>ZXKqRe}VoH%L5 z>}&Eqb;!DtNWyuVAXK!LP$gE)oE7Lg<3XrRI4!?!htr~uwl@(Y+)E#Qf>4!X1_>f< zi5-oMJ)O11t~yFzIBK-DqK{~2h&_dKLsaak`Svn|E>#7$PE$*(`Q$Rhkt2~HjtlZ> z456preXGyd4%q#fK($tWxOeEO*?M)lgFUFsb>IfOG055tJr&7` z;t5d8e;#Qq$Y<0D^BKHQv)QbIW7}+2SZ?-4h^I(gt%AKkrA|v8E=mYc$a=V!%#b)6 z#q)}MI$`6dJRWkIiu@2TxJrp4{uLA*`Tsl-n!;5t$l)m|!cXu)P z!T0uq@1E2Z;Wzs%H+ihtWjFGbrzbVsw6uTFM;W|IDA*o;|1B_~K90>-dU|x9bkGtN z?V=x#Jka1SbfO3Qb>UI(>jG7g#+Wrh}9?zQYCU~=SX9{MX3kT@zwd` z<>q=@2VxQUieE(fckd4}#k>2<$y$)4^7;crK~OM!vi^Pn+D`|3k$z6r4=+TTAz4?A zKH_m<(KGmYRynuT182KAn{yUi7aK&_yN3S(qqWO+${5utVcUlX44uHk@ZNHU4> z34MB2W>r1Hz4Y_c)V|TcsUVk#E?2N(yi1?x3zzs-Tf;GCb01`E- z&h$r4vjB!6crXfZ(sN~27PGX%$B!T^=5eTH4U$>lU?_4VN6m8FOQ+@0a#Ob@m-naD z2syvrzPp@TH`Q$gZW2d+4O+yQ{VhFww_QD1sOmZFJy59m?OK5=PalDq`;^pglCpOaXKdYQXpD0mxN|{KQk(P%C_S6ZSQ!HZ8d*Wsbd69!eQP zxD{9kLv34;5f^IMclXS~ti_zDyNKgOZanMUY>cF1REw zxnoUCfTtUf_q9>2xf>qEij_mJn-^tokMgeTi33W9cRb3ZF|SI zZQHhO+qP}nwrx8%=WE@@->7Po$Eq4N=bCt*mJperld%OIZ!S9LU4c&3tmxOQ#3Z-H{%T-?k9k(1OXogOge(;?_+n8Fu49T}N+bb$^%X-2#WksE>|x zZ@5=Qs*Uc83Pve2b;OH-ZA%M#?zW(}V^Vx*rhQbiDD!5BU}huXXy&8NMS!g!DFVbi z^5!r^K&2x;J^VBcrPn8L&?@!#0-j`oB`1jja*X@&{z$3wc)|NmXg)I7cI>0Tiq~c3 zIMau4`lpokGowK|83dp9(Fg@-`Kr#yChJp`Q#Rr!H+!txL4xQ*i^xNe)wgU0hC4;70U0Q8UGk#`| zajq_wwV(Q+{=J$_nEgSy2FiPT0O0#|{>6U?w;Im7RbLX-XV?S>;rxh{@blYyavB|} z(KDK^d6Cy*Uq67S?$1l{wd+5c#zz4EplIns-GAO!EgLWWNnI~_W=+QbBj)3FKY>dS zdtQhmw`_(g=t`7M66Or;nXf7um&kWlom#T~EeyW2+~~-?st+N-42%jHH@6;$I;VIV z_g&W^cxh?GYMVYOSuqSpLL9IWUBzQH()0$pF*m~hxW1wh?JOphHFuSo2C43e>0mA4 zE1wWAE&Rj;s)LMLI1n$G3me5JZ+4DS!F4aW0zaCGNTf;|_$fUX`3eTm_-n0%f=BV3^1*C=?^)tG*y zL@$&MgnX>;^&Mrc6m7Drpc@u+#5CnV8)mKuOh?b*q>+te#x8{v^o&2cLlpvuzJ`Ab zBkHT-3Vo~?V#_ted2yphG9mUS#G0(M_8@?nIGh0AHjAC8g&B$pW8jlyK`q(9skj#M zp<{6b$-EqvvE2Yb_R=7_Tu8DF2zGqprp(4bhO2b@u^;`<8aX;5f0Iwcn#&0vSh0d< zehvhr`4BZO$?ZrS!(pu=Y0=tV_A3Dpn`W_sVU=~u{4&q`X3t>2-L=l{j&Z(oMd=GT zSVc75u70HFI-OM^dwn}WPki`JT_8)`0C}Jo_N~H633Bg0bts-uKlt6E#PHK~MMdB} zmQCpqS^&TNXg*<6`J|Ms;}tOU%cii>BziG;2gUo}kV=MlUAa@fTAB zbn6rIk&!j#MTJw>z&j**j2dcC=&y1XJY!pmHpUvP&7Ng^uU8%wJeo4fXwH$dd@u)z(&4z#(Rb8kxOFTc<~@HjLX& z*U|($^?tYNx%qvlCQoIRTXY*%C?4~UvMq+lQC`I0omsR5JHPQ3^3J_Dk)p#fT+HWw z>E4-oOtjWOD5=7B)pUjhYI+lrgEEc<-zr%j)`O<#GI&H60@&iRZ@G^h4d18*SVR!T z2H-U+K$gVZ#+=ljrd2MDPA{dAF**<#tn1Asbnm)dlF=NPY^3}h>+}dveaJ>@q(4iq zwVM+&Lp%tQs;uP-+E;z85P9~1OiF`+yAbWsYfM1i{;gxa`Mb9l=%m@Y66&K(HRX=+ z(&JbX=RUzT)?pg(b=@lzW#NN%`SlY@51OL&GZ@v7-_) zW`$?Psl1yVflQ}fq;~Y3oQlfJ7J<7*xcDb}FZhB{qTrXB^ZYSBn0i}yBxdF}TXkM? zV>T+<4NgSyXwk81DWUtZ!BT^_*W0|`PM7kreyXO>t`@VXUlvIiYkC4Op%;;h=qV2Y z5kcZ~?`#aaB}S+SERjS+oW`&|JxV{LZjob7i0|*1B;XR0Q5gHoSISGB>KOqkAN7&? z;nh+Ri?B6ZGJr4^zvb`gU>{4 zm1JkAk<*ZLb&R|F1$*G0$ZboMJL7juk5v*{moQ>{?X4O`b7F>eJmgn zv{vzgFHi||@=%B!>vio!j9Z#XbhAVWz+I6rI`V7r-@>XoZW;(k#UueEH7$Sqw5R{_ zUZbWHhilg(E8azFl7M@L3gVFPWY`c4*|93&DWXEOrjqjDwQXh`ScM3WkOG36L*>0~ zZOEu7N34X(_t^MZ)IO z3C;Pb>AN*(p)vYId*6J0_^MaMl#U_W)w~oviK40kbz1WNyvynYMU{6R>{_9-_1A28 zT>?hy{<8)ZDQ`XjW8;Sz-3TN+|HzrnMU{GxW|ALi>No7yPXJ@mciqR=vZw{Wmo^O~ zKDoxu0sU2swhDp;dRzUyccbNcM4kYb?OX0;ZM?V}kD7u6spn?A&9dfDwM$>H2=-Uex#a!FKdP1jiGK*$cjsLbd<9_%OR@wdm zDs=z}>_4ODq_#EoB|Hr5lw!+2UGl?*zxxpQMfm!oHbci*p!&}Q4qrsf4o(UYR)xvc zwPc+OWvPaFf`O9LITyyurlN0_zLh3m!|yLIOhjLT7xHp)%Kw}O5x!P|VUb_GlVaN% z2Dkn(v=_+)v|Q0HaH7O|E3{%ZV;4rB`vdbJdXg|uwKx)O%lDoS2>I!rihV{sOz1&x zYse8iP+iO6Crr^PT*6?3FY@YyNe;&ppI;81Zysv_qs(@ii$f9CVl)fhWBpPG``e~P zLNF)FoeQ7l=aTs-n)v#SB?hK3B|~8Gd}K~2wC5`fK0ModaGe*2xnbU#C1;S0JqIp* zij(`tx|)ncf!J0-fQa7;sZKQ__yCR%lYDVo(-onQcVW!V^t!$W2<&dX;hxqzwsUaO zOQr*J?iOjX0Q^YuK@D;wER($#G5(JqFRwwGs1C$A>K-prFh*PSaxXFdHH4=a4Z|aR zt+=3re>g8Pl`#VuvNM~#3hrJxs0hPJlj&))WRw?G;i?9w)TVf+R9J4EHJ1G!hY=7; zQo0Rq)>>IXdAJkjCoc26+NF36g1dMeqYTu=eFLSwsve zz=3wQ63Ja@`LP`lw(ID99EUSG;CsG-VK!s>DOh%~$&t_L0K`<_OM7p{_%x~DYc)$t z;0D3ZBtat58Tc#%+f|VlRYrRar5M;|;)hcubB+msxbiXJ7JKzoLDQ<^Qt&=pZzW)# zo(QKNe%8huCs_Ll7fD|Wa^~bkD55&{hb^RSI(thMgJo7gDE@2>*36u;uEH`SO z4#*(kmD3ut&&pUgASh!8YJdG}q>~l7H_avx4*nV$zWCvoj1i@UBqj1py66~$k@g$d z2}IA!j`0J?oxNZaF1AHb!V1IL<$y@_Rg@?lh(-F18-QvV&V~r3x-3*D-qerCwrk3X zcJmHx7Gnb7?*4g#oDRr)6_rRL@{7pM6kJmYG3&Em??50y(>7aYg7tO^ojaV7$#RD1 z6Zu2yhIpU7oOmbxR>A7;QcUfYW)ZQ??wkXD1q+)mh`U#{?^Eot(rPoTr!{HI5q{Ea zSVjDPlO-d%QItD!q}Zh*l7?Wro<+P2s8eiSA#4d-lk!k;jI7$e*5@JPdJ_k}R=O^V z0TaZ+lMq`NkgT6sjdbf-$9kP2-VlmN#RkeMy-4d)i6|J87ssHU8h5uIpMwQb6%DP2 z8@T&euQ%_!iT~?E;oISuk@`RU^KqVoD|&oeLvAh{^dp*ek$=4Q<=KJ)@n$!RGPy)UAzs zRnjY{f&Hh_P}4n(8`KXPLw=J_{|<9FMrV}{28L&%=WQ=}{s6jL<1wN>V*3!uZNOhI z=|aTPn=tkHt6{Uw0RsFe1+;FFtK7;p>-)?d*%#nj2Y3~C5ZH&uAV$4*1=i@|MxF@f zXG=eCBHhpn3@s3lwY^d4!qEz4{sqm+OI zh?;j}4qkvWi|Y)<(2s~u9GF>O7*or=?_&($F7lFetpKD>*kp_H)7dKgRk)M9!O9O! z(v}-t<1I2isFL!xC{*++gjpBKl%EBvG22;+k2mMS_77&a#~qAXA9ygjFAlr(BHpuT6t{iTLwQE-fnbPLUZCZ33CK8FLVgUTu z9ghJNSW30w_0jn}6X#^bKqFLxlW1p0DjPJEW!6aV6@z9JdG}D`6*s*vTP|gPAZ)W5 zHVjj(6Oe|A3C7F&wjdbzn7yr3HZ9s1t%_aYx^BS!X{@sDhhIb)1n{(L3W0 zi+iV9*FU!gRm+&`iNelT89s8XEtTo%eG{bl!J0B&XG$+h?(K!7cDcN^-?fz6Ynip_ zLFjqxPg(X{_FA#q=Vl{K{N|IT7BC%i4UV`|{B033;-zIoY`IoK zIWGAc&{1{J~Z7o3V6HaUFS<7i89=l?BoGTc!aK z`k-|J{mXaOA+7jz(ftAlY44r?hN=*@Nu5RSm;T{e{df8vei)bYHonl)Aii!ZDSVMj zK=vv_5bScZ5WT*V?we(4q7U&dkVz5L{vYlRWcbJfD;D>&A|S0VGS#QbNi zF^+Szc+0s5Y9VMbcaGsIc}n+441^mpE~2=<8y&d$!GTc7b)20|1*Q07k#cqpA)2G# z@K>{PBb!^MAt#ampfUJz9V;E8b^_sGu5Fr5GoUf&rv42>qD8lx5E8yFqH?4cz=-

v;vYn_=>-+xSCMS}{Ph79Yv`?)@IZ)d)vgXr@u;WCQpp zYw$(7sv>d~gTGH*#3F|2RByjuQb5WsmR-YAUGnNcX7M{@_xm$>m%=Bq!yJ$^S)!4b zU&;B$Fiye-tlE?u+j+mksmIqPV6SqP@s0>U`7!nIX0hnjz1~mw{oe5d_J7f$?x(rp znhO8`NUwkaQ2q~E6g}Pla8X(t_Lw5^UOAy}V3pW)h0f%qHz{fkEM#$s=n`X^arH%{ zAc8Dnf%v|FzRAqdUoZdEmQpGuP%-d~#2h8)4LatumXx%T-0lsPk70klK0?NJTlBlp zW{NvBzGpWukQN@+hFsm7I-EK>Ju|JKzdv$(el}o93o7>4EZeDyCx!rErBFLGRwj<7 zc(S?FGIdaKg(LPt?%q6`Q?+P9P8wikj8jq$9`?s<44A%%Za;U&LgrlCiF3Y2HeKDF z6(r}bk1W6S8a1Iz9xCd?zSiEZz#JolI}gV?QzMgI*M^>6jq%k#M)r*zwJ)u=CL@IC zf2vmBPhd+{3NrNNz8!2EW8$o!wWGO_YFt{Tjag;3XObt@Li+4kR3mA_^)juYqfut8 zQCn5Fm9}@zoaf%xN-#SEPF*c-^&3@D(DeOX+>|9r0#Z6ONDt03s`_SH*|Cqk69vsO zJ@n!|#+G+&T`o-ByPgEwKB`(dG2Pozj`-jN-I9U*u8wtvQ70_yT3DeH24DDhV)lt% z4uA<6F@NgNbhK!XCZG!NV*JS>0wT9ZoIma^JH6d(PEGPqKkPC=)sRZk4j|Y8Y*OFl z&cWnjb1PP3YV#*m+|@Pf-oylWrV(kOx~TfUEVT!{mL?6gGhOBUS-#ky4ZL^y9`iG; z(tDZ4=#R`0Lc|KUh&RMT^wM9=vX9jw#U2uyqeXJU-y-%0KJ;lw(^&1;vL#_m9`?=M z@x4E}y>ohcLahu6HFCb!_l6fPB4WfdULVgsBs4Nc4$ST(9@x9`PD0Rs5^SJrPi3YD z2TXWyI@w&m-EWq@pXc_T=gMAhzOF<#_jSCtIk&%IJUrDiX-yo~&Rt@hrD3O^r?s)M za5~xDnxbHC)%tJe3Pe0nPMNc(NEq4qJYm#36w{j?Y12Qh4ie@NI-v7|SXR~# zsB)IU&MmOJ(zm3=D3U3ClWi|%sS3()Ryyt)t!u4cjvv-UmZM0}&&#+oVv1vZ(U=0C z(`yK`yU?fbFL!Tq*H z$1}72{XGL_knWjMkR(gi1c>8+L@|Ww`L`q@v=VOhu#uKM(-( zJIrw;pA20J)Mfhk%Tt+zY=2t1L?myoNovEa7FK=F+aFU+1y-Vy*LIZER%@{Uc@j7> zjsU;16*cpu%}hrO>7yA8ulw?D{JUDuk&){P$o*o39j6NfeY&HCKrLvMfT8M>qHiqD z5y?h1%2wq;j^FhFq1>LxBSf@J;`)oERaWYDIyx#d&?~Xv`^dFWb}rn1e3#D@%Fh!? zU@BDyD46oI2d&gaX6xsv_nGKkCQ^fFEQa)ffI6x|hqH)O&cGp%rw#h3f@()A?Zd(8 z?$-Qu{^#(*!r1&lImw5$sr>XmEim1A@bYaOpzMQJwW^sOH$;9G)RyD=VzD)_2KB% zlW8p@vZk!C_I2KYte+XFlJxsj zBaWr9C7|crm_y5(f^VlhwAPCLA2Gm+MrZhH`_HX@}_kU|p@KvV{{^C*5JtOSlG7I)m!t zf((o1^H%JK1Iuq(sTJ0OS>ofl$9-Ea9nrF~-9Ml9nwslAu1X1_XrDfLV%dx|gwcE3WNZr}(ue8PeOV8X6 zZuV$0^)a4lVmKkqG4AjJyegd=geGi33n1;rP(f1vEsw73+FansPr_FrZ5kq<*+1f6NFjRRU@A8{1jxwx!XhAdA zf_M6*A;w`LD4NdSea7boP-$_Trv}yNa`xpTi+zX{aXs;(^$k~k=EQc#fxGGA=3{cY zKd|dA;)a*@iUe z`0J^W0*J0G0Yth`Vsie!^84vs^1#(IMPz@L{osmOR-5b$G|$Ia8HX0tp9yvJpf)@c zLfs8wmrMDYDOm!czTqrgGx-`NlHgj_ABqroQYCejA?i)NwdcB;Z#vtz#FsC_{xy${ z+xGh=%&{Ae?E;-9)1wChmAKPtwXZIHVHf0esgEA|?+YBeC${^^3tLL7;YO%jjj zEQl$ZP?GD6vj-tEySnU z!|P8UFgOPQ<$!J=%!n&v=!e?xqS+p~{Z;Ss$ukq9gKK%P-0=2#UY>fRd%D!~&5-`- z&Ol4^*FFGw99(b%q3L*lZejr~oA{EzHDjn^GtVsDqYnFa9!J%qF){|juzoM0OJ##J zw@IcZLZ2O~)5oIlMgNs#wNBdyvs4qky>wd(C|MF|M?!{!OVLrE@xs2-{&*WJyNkp9 z`*T-`eVFXKC17Wl*~8&g-#O1S@K~WOdjzYA%Lah=INipVXsZcBlmYA1n)p?ESMEAq z7WC-;ij@{bXKCoN;G+oxj=C7E0^(lqZNM%rxa^6~5ehQa=24W?gk9T;h0C7?ZWn_N$H5<@)>3{yXhd#Hx@|n&7@D0H0ci^A&eIG(TRyC3V+Log0`MTE% zBV(-yFp-UrD94}D-)H*sGSYgtgEZEoGIdOHt@CVRXikPPUFvF!gzqEr>V;;Mq9Rm)hoVbZWm|Y?Xm|kH; zK0^(d-DZ|x;fh6l66>lX?7s@8Cff*z7)i3Z@6biH&+^6$2fiWK%GdM=t#a!`K#p4c zz`V?>03kK#g(i^1wyXJ~ZEH@ZE(>k?BQ9OQWR}7XXVN#7fs&N3Jkc!sh1I6bQU`=F zbGos1u8xC0N8%(j_>dH+Kmwx4v(Kok&ca@~*4a%8#{Hr2=zP_^BXAi>((%IXHO)sSL29MeudM0-5cZ5hp!;U>@(g&G z2v}cZB}&o9)YbHI;nan4Efv1HduqUs&J|w=H&!kml?g_2x(}I>4}i8?ClH5I0CkNM zVryP^KOONRbeZp0l_ZyhHVM?FHNGvX7Iw?Zw#9zL|0=@K`m!7`NTjR%MK(amvTYKT zF3-S|)5Y709FV_%dkOkHp?n95*3vjsmmfsSu&Jbg+!i1bEBC6#J)11+IGlG6A#I46wq=<0tvV$LGTOw}I(4z}rRjt+R!b*l zV}|nVlcEWxVH(c`LuKe)A;@7`NC(Nah|J;>eca{Gz$d%K(NwGkvc`Jc&4-MjJ&1NH zyk~F9&X0MFZljwNKKZua#lA4EyEG%6!h?i#qd zI_=M{qQW!|yHO}q;y>f9oaBXOsMpIR^if7L5$z8E0#mkuUjY+k_DA9wRY?xHh40tU z2TGq@97O-aRtX;x#bx4HI_=zHZbUE+G0icUkME9i#>ziw)qqWjRJNW94jfJhD+ul% z0w-zhMY^XJKM!~*9egREhxVq8MFdG&&Xx;@jEeW~*PTU#tAvz1m@c4-@Cw`{Jishw zIMJ<+M&w6G1AtIB)$C3gjGIqh2r|G!L4l|&vyOT)?U_SfsBAKe=l1HZe_{xGdK*_R z3`_u4Eo$Yo@_7qg+|eS61U0v#V=7^i(u;0j32lj!^H?7rVNBQM@9slG&;87tKI65w z?Hjc1Yv49Qk7=!&H?0DkB(kxLqpIj>`UCIA$l|&x7Ugu+fSz|0%fVz00bL$w=*UnJ zWog<`f(>lDIQ4~k3&oE;FIEdKveYJDp5(&;r9b$AH$y@YSs*xUsDhD9=|%ViP(XmB zRdX_|`%>mHmToKHXm*Hmk&tZVBa*JQn&UOkpdP_xkVW2A>~VrY@r;AThm1K0ySPXWt!#p1F@I_ON>kBsnvjglVAcW` z|9d(Azq4IS{UEz^gt>L`+^YY3RqcKji=RC}}kwSwzZ3Zxt6bHkQ z9_^9+!FpOzN@UgkwH$N?K+bfTm?s0JC^#vpUi0-^RorIi8m<;KbMrU7R-D;21_4dh zu89?qhKZQD6eNQ4yWe%`WGB*ihO3L18e3*GGgV5gvUQUM%u2Gw#t>qcJ8dfrdxb?*l?#JN6!-oiQbB<#!_iTa`9pbsL1Q;(^udW{ z&=F`SH#{0{n51t#j;5Ho|E*8*jqz-$bHv~49zI#S3kcIr$+as>8h+Gk7X)qNB5#<* z=@dUa>&9VLiR~vyhLnuA6`0^+#2l&k`*nccnwg6pNVm!CjR~gxJ!BH{r^W-d{FRZk z@2bI28>hDy+PEl&u#jH5Pwygpk)J_lbViHs6cc+w-Hk-HQ^!}LIlIZ570R%gZ40Ij zU7CenKH5|mRy?h)agb9nz92V1GCRA_w0v@+=`Q9#RW8EI%h5O@^HnG*XQ!~xi$sn( zv78Ln?I_KJ>^-lIENjfB-#(wvOoVAM&pJ2VQKdmKm}(ZpzET9Jd4Ozkd`r3=>K;d3 z04!DtvPGw8tS1o+IW{lC+F0@%8?c&t?9rkAnb?5;33n%@D&Zg&T!}B? zp&sc=m-|>*Dnchh^B-@U>54)q5M}kPXB5RM7fgSsf@T#?#>@EU$=+7uX+eLTJf@%1 zy0cycbJ_A7D9+rI`J|yiB)QKVA@C|9L9sHa0420?e5B>(z}YrI53}-$;~7sb{q~v{ zBYDG8LxBnl?i{i|a)!5wtA1lW2<%9unFv4bHc%nvBr0@}m9Xd3CG+YqhKyfPe zdi56}UjI0xK|BNo23MNJ*VH--z|eXGe%A8t&<6HuM>n{JWS5p+RyDm_thK_}O8-NToFP3cBQC@%E3XwTASG1NwA_@k{vo+K zGa^Z7z&t-fl=iAD$%ZM#XYB&NfSHLuhV z$vSf~yyK_+dGGG7@fCs911#I)$42&s2?0|TYye|SW{NnQ95Mf zW45EHg|k*le!u4X+_qC$(%e?%+djNN#SLVHzr;KU5Xy@2x$$irr*cpZWqcFR{%-Z1 zk;UeRi1McEIs=})^nQ^`Robdct;d?{xMy@##}QT83Rmbrg@KE%eSG3zuE(zAM1nB0%R>Y)6 z`PhP543zG_Hd6q;{m^-=h{d6^J>N^zAFb!*L{n0Ea&b+z?{mvtSua`K`HY@|q0jo-i z05jKjR+7?Zl3f92i9opMsV)_dl3!i9kfwe73{bsv(!HlQ;JrxsI(x39+A+tAsV`uyGJAvf6Hs) zMsUJYs@j+B+E%Yng(Bn^$140Y@rjoraije{8-)j=>1^P=k+u=M>r6kA8iaDZN=Jc~ zx6y0cE+Ggqdf&ed^IcwKeG0=#ZE03iBOfU_A`jq8BfJ={U+6%ovi3ac6R_YAzGp+M zgPfd~q*GN0MP7Y8LB41ZaWsI}M`7HI8Td2r6pD**XaQno!I-Fjl^2ZrS;_W^j%Sri z)w3GDCV3k}QaC-e2P(y9>o70wPc`>fl+2aW3yDYQ6gk{;EAAqIWvP`r^nqo^QRvC6 zrBMKtdouV8hzmNlfP&9VnJ5Peskp+AY8#F!v0C+#>9I%lCqDangv_2Lu2Sl0Ux|wS*@N zyNhdMc|z}!D?01kIB{O5t7%3ap+K+DuyAqq!_rAWU9Cvmu?DYN(SNBOm?=E2B0D+8 zhI>!71qD&cNOg+%zlf_ji%#T$$%|>Ku!k=bw&ygYgv$t>a#SX1T7-C{g` z&4}`Hu7Oi|nGJo0QpZDkdhgdu!V36imP{pIHP6@bvxEIz&ez%;cQa&0NA@j)r`p@AHj%XKnPYj~lvnaBy6WIh6-zJNx$cYJ~EInSES!L_k^ z`HYd0aZWs5B#>cR5@f#Qr{TA}1z1u@Tb5)v@t3ki&In{MDdU@A^J<4Cio;sa+S8t) zW0NTjXSYs8(lEQNLl#Cb;0De(#nDtM<>HcLkpM@{jI`pr`o*SLk;|w7G1~nWE9DGc z-~Pg+U@Bb2q~KMu)07|sfZPl~*_T0hhtmB8t1$scAekW%<0adsa6d)r(dblQDqJA; z{m}HKAn?bq=zie3q;BlJWYte8fkmRsEpMy>AOJ;p?B4|QqO8!GhuQ5MA}M8$}qOvw*I?BgX*M(a99j3_;o7kYf6_f(<& zaua4rM=u%5oUZ;xYdzr#;sJ=E9!*Jb|1UqE(_KN{5_%z9D_lf*e^%zZhjjR%Ch2C; z4f#|uvfRJh%Sxd_>03=2h$DE>1I4E8k$r-U*Td!15> zql0@L0vADEknF6y&=C_@7C;kix`W?*EkLQ)hkRGo4ga$cHOCoex9&%#?ylH^q$cNL zOFTf@4o()kC*tKTy0*jd|9Ev^5@pB}JZePmZ@t(c zJKimy_l)H~z1_KScfjM7VhcSU?o9iW%I!7&!gd&+vl;(cB6nVELdgNOqpcd}<12C3 zU`YvvA*8~nqOW7QST@#gd+cz4VnLi(QYDVHK&;RTcp*s^rO_8_Jx)!F$^!ZC_4q20 zBo|~g^?0cuR(xRCr>iye!#qoUAiLqN0QOn2^2B{jh}OfL z+HFARy$*H|kr^;LQfjont6Td#2VVKw2ETJq4AV~IM zTSlSRwmC5B!aYq6B32yx&x^@BAxoj}-f2<@`VHGQ1oLNyV`Uq1izQ+>MnN#jBh*v`gsvk))@mH%SE&+ zZ)YJ|=T+2C)KC<4F9ps7-0qe7(X_qQ(#-a+e^cx+HJ>tDp=AV+(Fv>T5N!N2txCd@e zvq~*uTSaCniGpvs3x}?$>Iet68~z~J)QWz-959}!ONeYGyB5IA_n(t@7$6zc(rWXaqkpP(0<9FU5vI{)(a z+izNsBn>jWL48PMVP`mkUY}=z(a>^aIl|LN?!(sdOX)%l>-4)N$7_I&J8(K z+YlR@v-w7Aj>d(_#D#Wt7_bBKX)m4V>4;@K0vuY!V1!@z8A0MG6mEWKZqLuvn`Lb# zbh!eaIPJtaIJh+vK_uusAEX(=i&F$u`0bTCVT7z&)lxNsK*VFm1~t{Unl5l+cbjAA zeJI;cEdGa4;vu?@;*!3pB&a_ng#6loKnj>0=uTePF{X##<{QK~9ue87KT|$83|9nL zx1=R}8!q{SPd1(%@n?Cn%Lxid3>_~X^874QmO*_*q5ggAsRxHwUblss{tv4L{(&gwtoWNZWUGI{-tXCRoZS%)a zU@kC8P5n^Z_(CW6e+#vZb|5G{?eV8R5MUZ*2{M&WUM)3@=^sHjjg%COX5`QeM}@J# zwkkc1#0f3Z9r`=UF_)`sXVcNBC{PU0Qu~nhY(RuQ0ug}zh&Ac`SU6LPLZ)(GW$p6I z7Es81H~^!6LPVJMWyam^SgQCte9r@Gp%|ikFoxw=;KxMt2&DMjT;S!#bsT`Q9JV(A z`D~VwaRzenf%o)pe?U!m-W4ZxkZ`vH77NJKj)l^%xJq_3G$v)z6eCOsy`HX%)IR{CJJu@xVj^_R_Ub3 zcbGSaaTMizJX_|TKOYUT8MkqFs3{w6W~}!x%iG@8u9lq6$n-hxTUGtb)P4QpyK$oU zb0&{X9_A8_$Sa+Xw)MHvmlLZ08An`|cabXn6(9Zi8nmzaJ1T*>Q*@SD$*kimKb4Nw zpFexOP94IJ-Pqv(&T_pJtj_dB5wfee!;xy3pC0jO^EElF($~S6l6C*Z?qlQaVZymI zeD!(7d4CN9URr~{fTt%XRL$7oL{q@$WZR;@!47Z3aN~L%!vJ9B;rzcmui#qbxy8iB zSz~G^rp~3T#{uTu#V)LP2$8i;w}y8+d2`H5HcuXuq!^33?@a6JD@6Arm@C+1hkj0)|19CGQz$Yr~O|2dY%I zd9sQX1B;CwcP}-q*Ef~ANK5BqStC!qyYdw30#(gYz!`N7t$~}}Kg(3*q*fdHnN$v+ z*kqL)wlMH?j3!pR{3dT<8@H$A755=L-iap45aS`Ia5o0}K%u;)8rXBU`0 z!5!}Ud_}id%*Fh(6-Y16#g^#ww9;dQo|evQe8wt=HS!&wq@yLkey8teZ0Z{3<=BOL z_bPNc^<$y}Z#yHCsPp`x@~9_k25qXJ1dU(cK-WV_c-f~@FrG+nKCMq0mCR_9z8|8S zbjmhgUBwYXkLY2|txgr{Y#d#(b&GmWZU>{&H%NJMuU$88wTWju#uf_t46YwedxJ^% zN-aYv5jt*UG)Awtmn3{av@Q!nu_mQf*^@I|3CR^=bC69tUe?Hf7{>R&r2xm>Bm}9` z1S!(j6rZhn!coeFDMDRaIKjDG%g_V*0dNIM-O=9~^(?{c*YtI!+Z1C6V+$`}3hNBh znb)~dB!pVX4Or{1H?u~{VoO%(+5SrxIA0NweI(Fp64{#`7QB+A)uS()P7i`X{GZaZodsc7@Ta#(65B@rY)f?@t3cM__OWZZ}j`&h+ z3on({T11!$X|~0H5IT;{rw=pesiNbM{w@usyHOl?V@n9`tSq(5!RPIGgoW@J0H4gr zTG6KCc&UPuCV;uUeonYDk}NP7vPgxs{SeFHi#VsdB(-9=ig8R;d6;7Q7-J|~XYBe~ z+>nVXE}QA_nHzZQGAMJQvhw;;TI#ur<<1zUP7)x5<5-u$PSmyHX}TaXX;J|r1)q5{~Ce!I)Ms{Fd@ zSeMyakwyJ5lSTd)2&jmo-?AZX1P=}WZ>2TmHs=xSc)nwYq~MI@3s;FGG3AUyy$i!L zRSPNLsvbb(4f9)%Bkfs&6p?i?vuwit6>BG*-rbuGiV=&n1{U6SpqTzI zS8J96)-uch)`;km7;)A ziCf^TfSaf{ki|G13=PmbWh7&qmGUuwtKIv;+T29LmC8(xHDOt=^jnVzXN*BpBWTk~ zX6Tpq|K{5ZxG&GYb;~Q9BQ-jgxY#(>D-hN_1v(Ytz{wSTE-|+vY08RgA4UJw3>I-= z@9PHu5612oYp$Uuww@;rKNcxk47M(tC5>;4<(Z33ea4h&+32XeFCK@Xt33JF2fxEBXm=9giS9w$m zN}e?ZS?2znHM7#5H34gVsYm^Wv2fbP8;vdRk2R?Lquvnn=G4 z@fbSBRCxwP=|TT;vW0?c>#FXKtmdQEVs=4*brcZLIB$rs(s{0UtbAeOrAKNQL|Hf} z@%VggIwOE4IZtTZ;6Z!zAr?10S%KL=dU5P{#dn4`X~J;UK~Vw0rB^dcZ1P`4`cW51 z1T2XDdM3fAJ)%)NpV|p`%G?SMw%yAfvfkM&kOK1q!HMA#an&(J zm*cM$ySCG>kp^Z7DyRlzH_9c*Mb4eNVmw4$!e{2sZhDZJm=`X@An@cs{ z;V;5C0G=v^E-Cxp2r_P#!=Sb=h@hj1wI;dS%`oOs%YAk& z$cr=~0mk9uUdz;FlMsKNhDXqeWQW<*iv%B>#+}6*ZXwm!!+{d#@aXbmEen&k0Cv1nV0$s+qL;ZGCzZ^YVW_Rqli0oX5d_Lgyu-kQhMKu42i>;Fh4Vr(e@M-8*fX ze=z!w&r6ODGGtnnX{b>jAYKTXc^35kWnkN3UE#ngSn7O13@fF#xB&m9R|<(E1AkDv zN$|kqZUc)sVKJ_;67N8YhV(bUcBHbRN&}XfRqjkjrgA(>F`(c)sw}5G!Bc)%F*o4g zH1(w@j&al-Zxoi%eQAn{>(XAs8|To9=~Z4=^9ge{tX)yNa4D*tdCu-B&^^%lj7Uff zZc{EUU89|ryK-Q`?x&)1SR_lS458w~U_$<9@fR97LJpj7*$h$6byK;Vy3w+95T*bM zu%VP~I!f<)2rn`26?DxcaRbRVBe*OiAOSv9J9F$2DMCi5(F~#3OuH$GD<8;{O4WKyJS?h1JV<{#sny{b@7CJ2hv^ zhZ<3(Hkd?-9VaUo{iZ7|=ORX)R*M7|Rj};z*>j%)_ z<xeS87$AQg?IuYDDzY;%YpV z%XAZGYR6t`pKv}bhY;Yz6}a?VOxC+!_KyGO`SI(6?Zz>>gEu>ykFTzZ`OYt+$*(8# z;cf9uv+d?F;wu@H0s_x>;Ou=dsq!$ ztiTAwB-9it{lR9%BE^AJEX-CoV*)^n=4C6p>IDf65VwU)MyQmLz+>8U;($6owEKnu z9$HZil@w|75}T+-zk5wogJ`$h&r<-D%tN)xvfQC&k}*^RLKhZfnC3|Wp|J|pM2MwB zuuB+2V5G1)rMI^;s=>Djfw&T!p@vu4LytlY*3Z9vEET?@Llrm^ApIn~`XD`!JvVQu zUe4hRgkq8*I1dUPZswSR^lS)7^ecgNJY@U}iZ@AIsKS{zP$R=CprKuNhPdf`5aF_W z>7|A(n+%O9*&-W0AN!33O;sl^k!_*JG092P3=s=25hHawYq9{Ii ztl!*NYlz}Sj4=BHIY?nXXEsrxvvwgeltZG0$e=({(JEf>3>RZ$d8%1--TiTa2IDUV zNeGTGi*k~J)-Ycyg5#6*z#v*TlCh#r+9d7TB&WYP_ryWbh@9R z`WKb|;$KO^6{t-_TZf=(!yHf@w)w$h)icl+Fo%{li;V+#nHRCQ&5PJX=Ecl*G3OT> zu*g{RwJFe&&@(^0FzVOAz7P&6oB%OIL!Nn{ilgr)w+R3h zdP#zeHW30gf!kzp^J*)xHmgf}f;8}kc)6(LHVUAol7mC_Zf2a=mR4UuWU zJT^Zy)K5e?9M6wpe98ngCSPuiD)bRZ%CoB~p|#9gCDLHQ0f`Sxe|;syTh(=NW3n2k zCG{nGbftL(sfg!McF7?9@^#*+p2n*PQ)wL0`fHr{r=rW-9e=Vo;94 zDVk)zjXGp7h%fzb{rt{zC(+%9A-08t1U$tNA;ED(PyIZJS)J2|W0y)HS6;tO65qD+L*0yf$B zg&MK>xEokLkS=F1;Fu1)t|f@D)=HGz7iTGV9LK0{C}vAahVc zBy9}47D*OyX3K-C)H*Yaqz!2%Jrwx^v5U|P9J^+iSCYu`P+|bs3%dm|PRQJeFk*gR zO_Wk=Fq|mn3MZb*c(?m-`{EPAqzB!NFglp{Wen#XT3&|}mE`)n#_iieWp?xSh8t0# z7F@{!_LwhJyU}%8SG$#j-Nwgp(@XlR15z8V)pE6oHMTdO&pt|PS6f#tzevNPQt0(Q zA@e$f)x{g(Hb}ESu!LaR=i#5@u4USMjZnby+^wOUth$f^u1>*yZ0_QKa`DF4v?y|- z*r`%^iCF{rkkY1x7)6*YpWvP|djOmnbPdHigdrq+njt=`6XC`2k%_aQNIftbIQ)ve z7Et^yUpD31GPR_I9C{*Xc$DnB9^Dj!qy612VlmpW2z&=?U=i)^HL*yL52Gl_kpKgJ z;t1{(8@f=ih!3wr00J5jOujXYtidAKdUgDm2vb+Ui2kb{z^0)QL`1_^ith|$fO4$s zp%L^|i&+s43_p!+q&gfyrkDtWMd2hIQHP(2NwW$fGdMz%8Am8Ti(K8Fr_rtk96^qX zEK5+ANfk&n9HG|2+(Qr=99_sEE(sIWryAyVzpZw?)o)A41b%-?`yE4_NW66~U3@`^ zl5gUz)!+n~tvy63Y4T?C1Oc7aN0Bmyvvr6f_e=nNOEDe_)VBH~6`kGcko;;vh!ia- zOAUG(MnevOp-fMyDJTF1Ig=8^M|Q8Yn|)IK{lH=@ERRZJl z6CMa;p`wgHRNyD9V`T`#tUf|>qblr3!vtX&%cWg^8>+Ef9G_s?kozu7L4|loBbSLU za4)^o=thhLp??|7H%LXbDkbicy9MVZJtT%fTn=ieAg)Fcn(IJ}w&3?xtf0{$U0D>v zW!6q^^bliNONa_#AQM@fhB)}@%vy%RqqJ!#_6cAkQPk~2gc#-QKnsAwfN%_A1vAO5 z0`)`LClT`zL5LyjUk!`v5yrQVFvL1QmP#1xptEt-H&enlbR6N46e*6d zafN^^7Z(5q*N%xbjhYEC5?2D)>PK6;SNg3xz(9I5AN8w{L=HA=6d{+K1u`&zf%;t@ zV*fLodO?E3+;(>ZFtD-ijl~ebQxQldbPF^jI_?fJq^Ys`RG!9SAXY2K`N25$;Cu*B z+1WBV3}cwN=1hzc`zr5HVGQlM8)INaVIAWn0USM^Cc#Lw_ zfL8WXq?}hwxrYcc~GCb&V#XHo12W%IdIio{f zU`-K0txhF#ad^X^%Flyn3l9Q{cPlk|<@LlF0Fh!SNI^E@I|+p@`ZOY9XXo`BlTlwD zY>$!b05peq&K^p;H@zy0kSRyX4=3ZB@-6u5(Pg$QD%Z%XVln<#@d7!SEZ*_oQ1Ej9 z{p8tXeEw_sGvSP%P7Yq49lSaF<>=-1Bi;vn(v#5=MK7uX!E`$dL-mx~>6@={J4G6- zaXYncuiB}-)ZyOo>4EZM|9gu8i}m;OXg(e->7)oH&K4%!W-PbKG3eAEYxi>pu~i@c z<%}lD{O~Vl^d)WAPp@rZ6fb9|)6tFXele5#^U+oFV;P3FDjzj{q;kLTB~~qA`nlQ` z^m}Vmoy}`(?DwWKs~GLvnHj=o5iah|Oc}#^7jM@(R8H(x5}@f!5fbGz-k~$|s0Pfz zO}<$ZY~lX1VePqLj2yJuKx8Bt5q36q~rpEjj+&&%R0qukH z&XGd>)WY3Qa3m5*ynGn8AVaU93i z-wpUb5Hb+S0JYh9+nq_G3($ITgexhEC_C~eVMQ(_-mFMsNyT=8{P%mRdwRO6-*#rX zvMFh#C-;$O=e4J&>(r@JRaIN|1sWTKv_Va=H#HGvk^7~{ClXey&~B;u?3@Eri5;}e zh?WlXH}qHC1~U&v&1I`<92_0N2C0%7#Vxd+&eK^7h-c!jd)iMqYeDMJg747@i*p|- z@&^4QQqzy-iho3UCWepcF)95E^_Y>)L2=6uL&41K7njNb#=gy#?kuKVec8b90sPbx z0*1;Kjb#ijeeFaO7MNWinuy}A=z-7U+SlclskQ!-)OwM8h!BuToy;Ig^Aw(GVo$6u z9GrOHFVEjEuhzfn6j#c@4kRu359*st?{b0j_|KR;&+tbKT$4kzZN|!jNY7ElZ|@JQ zt3N;e!`rJrC&3Y{cU(w7a=jQ#3TO-5-Q$3XKd}W&$Z^dYB*{~4nHi`IWwM=RJOP~8 z>ou>%S}^2+no@j-NP|<>XYV-tSGso1E8+4nb4D~syriG|tE*R#HV%u`gt+4nhy8!$Sk zgh@+i4T%wS91R~GZNAvJ=#iYkG_WxoqYa;av?0J8d9OX6saSykm79_`q?r~xM|yI( z2r?jQz^J!irz3fCSl<}LiS85!HXMcuU_))#(Bo}BM|uJO6fB;BWDfbFh!3D406sAB zoc_9J`;_S)?umO< zDU8A1P}xFKNWm%KAa8E?NE9D<`Th~9i5tM{xJGgghY#s7o&7uG06YCSj03sxo}erRNMaK$HnS{j^&`70J7xTSSJ!P@!g@!)6=KwgK3A&U0T_Q+~3gb zm6cAOsz2_BXr&{<^-_U06(5pG-~B4ZS&Kteu`-N^`79otpIxcbzI?ZQzdW{gL+yyg z9bEs;H(z3GIXAYP`?;;$7N}qcQ|y+rMl19r5~*pZ!hgbB;McFgtzM&c+(|7KKoO2z<1VTh6XOl+21W@ zx<_~O0z*%iSBvAt)q+Y29bL4u855(eK}2uu@v}YE=VtHTvpc6}Z5g6>zv^w@e*G1G zU*)e)PuS0^eDCxObMRz=4k6g%^7YjM%|njwpP&B-4&{+jBm_zHfFu zot=g{pK|~_D5;Uw+d#r6J4IOU(_?bk$HYOrTRn~K#*jP8_MoycDtn;d2@(O(wubHJ zz;#*BQIp~7iYZMT7LRFJR2llv&ueJ;6pq`%_jKH#(7iZIGk1f6cj51!8b9`ln)S}& zb&{9)Lm57#$Ef;u#+{%(596@F@s548%*(1iAEkp}#K~p;$!F-XMMlFLW} zi*T;#Q&)X#jf;aG(bgy}G4I3#;7qyy@&}ZG?D6hI|MbdteE=A` z86P&;VRK+;Z~+FM;|S0l!GPIGBS82ALGfjearGZ1g#d$3GeSVatN-Kl>K(0ej9q?w z_Am4$f74~)uosoEvBODww8!Bs%c;rLME1NOgc5CkUH zndCG0IKU+o+ZELL(!(H7tR#sEI)cETkxbNjl|n7PH3&>hJTU<_fxyIh44=^Z-ERc~ zlXEBu0vAZ*xVTy#@13mCK4tIfR}|Rq(VO@wn)fgghszmxf2RCBLD5HI1VoA2t6>^pM^t zm%YZhtw^?>pulPdeGw{dp~6y7Bs-I(KTOlhncRLok*qwI70Jr&?lpEHl8uU7?Q2rO z7fltjvg*2FCPrZkk*v*0BNM5cJnu&o4GwU2^{b>mxYeB{zokyYbxuN@kSeflZwmU; zx8*anUUNg{YB8c@SR3E~k_FdQ+=V%4zD zPI08dT_1^5P@n^yKPq&xljTG#LV+B1h7w=YB^rHFQS*Y0uSF&Z2xw0j1${|E+36&4GRsQR!&0j~)DS^YH39$|38{$EWeY}%Y=B6M9_6q<-n;$HQB8kCsFnsS zT+@TS<4B~?U|nrcjNT_W1ssoBnxHM@u1Er|hwN+`A0& z;5iCCpw?D{)W|u?6t~r>9K372%%MDZS)$z*DpsT1J?d1D^zQc|!qI6ng8&v~_t9Cz zwAFH+QbPKn6(lf_C|-u^vrik$QbR@z_cMbOL zh7jTHx1P<>Qm#g|f6jzS7D9gG*C)a&eyNcNKV7V7KoU@sq32#%at2Z7Xr_b02k0Y& zgbAlKw_a)@WU|2@V?_<144cAeko)hGD|xd*2m-Rq3PGeN=axIq{Sd+&RW+Ru0=1%y zp*<5paQBHrJnnsV0R-4{s+57)D8LEi2%^Mk0@^V)mHITaU2?{zdaY2n7k0D_y^pfwg-#1ABu9lRL_C~_kbz779?)Ch)Y>EvLB z50OlE&qv{~x7X8n0hj3!{Z%M2Qh#K+kH6pUrwfmeLR|86YoC_1e50({O*5aAZW7Xc@-j^E_Jm)u4yb~>OVSP>R+qH*?+tAD7{htx0gFb ziBqq$zrXHk9lhQ=fAfFKqpLgLy?A|rrUKvE6X|^)^xyYM5!m;|<45eAHs?N^kd=VJ z2;MFmmy151ds#xEZPf35S_g()I%Z` z8Yb6>Zlia=6itu;fDqi z8aYDMjWXlR|)#ju7NH27|@UM+N^qgrNr9;IWu{Km8qQJ zpWIQ>IM{uCZ3>eCOk>n}j5?#fw(qtHoRUE@Ckh26=axIq1C%Gyz8%VQ-fn`|vOYgr zUS6Wvxzkzt>EaRvJmt*-hP7B@Hp$9qfxvB5q7!E#C#@zm4v0@k$xwBYkp~u}fk$LH zX<{cv!8saFk+Uk}o?Ib4gWylW2X(nrg-kagt<(T&3p&%Yv139S^kKhSLRvQk94|qd zT`Bl)U%r1teAWiQIj)eJz~Li$%w_+!r00-&7Dh3AhD<3w;;}o!UmrewICf{)110yj ze=XN5pkY5H0~O6r5lVQs{^0-i;QRwvqHd4#4{zW3J3U!m!8~4Gpun~Nqhifpt`}#Q z{%?}+-rVvc$bk<#tRv)Q5%wpGv$u~Qs5@Mq-TmQ^th0+I*m6N;&7=)~>OuRV9)Lk$ zsg+f*tLy?%t%yWD0c&vWtA>DP5FND^CHV+`fB>s4%l(hpS^X3qd}Gg>m~>l{>PFGZ zBQs-bhI_X^#auLv;6rmX9mH@Q3pVA9N8WdG_KIK(nd3<%OOGrx6{}D|jeG0!4=_a= za?Vf+#W;a}64|hnGk5Qyg#THAZdh>=@aE{8W zEUQs6oVwp;Ra@ArcjYy7WZYTTVl*&prpQhx3vk%r{X;j-al6+IvIpf~O={{S*kn`m z6`y5prWQ-jvc&OzB2&vLzJ`i3cu^U8y3Wvrkv<=rt2OxCmfxRGP;@QNzzZN%4%~n` zv*g}ur`K}P_F8tf|5SV{XlcRkqcBA^!voX^EooWtPOh;Fem~03+t;LUS^Gj?J$m`# z@%P_9d~rt?U&APj#n-?ofNs4ZIcNG~FOhB5ps1wmGRlbt_L1WQKs>Pa_O*){Qgi3X zbh3Ypd?sMXB-a2wk?W_+66_wnUT2ZTF_LXSI!DI;q_4*pFRYvLR)x(r*7l32Ew}SJ zHE}V#8CU63{!SVy6D66$Rx~wA5F(>|R?J0$kcM0OBT$Ww;80C#Wbz3*cMOw!mSGZl z?dXDw0(IyYKb=FptY5qEIys{E-B;45OL)g33f<(w5=OWSS80kI{}CcKeEc1kj03tk z@e?E!Z_L_r_ld(~{+u?%g34ORv4g-H6@00_E)SkqcuZZe`-E6T-Rqe+ndGQ5N}8TF z0MI~9;tLbhc@nj{&RK2erxhEO4&0pw)*QF?!I{>~Fn8%wf5 zeyyR5S?PmQz{eQfWCdRodinkl76Q4w74Hx~n9!VPZB(KXCi$16;d9qLduMh0ePl4cu;~KCB*4GWryD@^-#@u`< zfR4p|8O_xNa2L~*d;IQO(&m**@A_>NsI39{Yvfk>CPwsR*nZDgK zzmV=x7Mk4KLrbQ6)R*b&ua>Jz3^;!}g4A|0PJkQQc%;vg^2B;!POFe!eFH%UfBR+EcF@3;dALTon6XF0kjphzaDQczWakx>`ctABVT zWms!g%OoEYI&-$Wp)(%(t0xfaf}yKXjR{>PG)J?Ot8_s?|4A5=C&GButOkjP7-!Z9 zZSW4_a2S$E9LG#5#AVm)Iq`xdN#vv_e*Kh`nvtz1tk+Ul;r82dDKzM9^LVwi+ zWaXEh9{%m+<7Y4L*d|T;)l>#u)yz3qug`z>O&rEC@{6RBHy&_CBOn!n4BWwoLGb&H&`pp~u*(R_MgO!8I9m>&hl1l8j%t5FkJB6YySyl+{ zb_}U}1|gM6k9nZjqbR-#`4c@(>KR9s`s7aZK;{WhMl{Q~O2kln2;%NN6oSl9<~qlx zkvGUkX^p$HdRhd6olzzcQA|iQXVo-ll`R5+8NY^T$iX1gLIpAc5)sUXLJ%ES#TmNW z2`3G^7-WtC$;+AQhDeLc1`LAHp}XtlZYj86fpo3o-y#|$ zbVD_PSWr35kR&8bJjVeAqDJU5g$`5oOvbN<0D-=S{0p@I45~2uzin^A>w^L|o2e)j zaxXD8*%We7fu6ia_LP*zha{{Z0wf5&7jddbhoeA_)xaarTp$HhZ5~-QJX>6Z_E%%`V7+*2e{9uq zFP5vP=l@#1Jcm1i>V>?bN?Sps@N{?Y5Rs1v8|g^Sjr zPqgtY6h#*P zbAR2S<3kLjm{urFlo!aKWaoiPwNc0Cg1Fvfd5K~Zh})x$A!JGT;Qhg2WO?ot(4_sP zPdArD?<*tZbGC0{%gLLcQR{1D+^W0U$yKa(iYI}gr^>hp&{i@`L<6qYlMG@0a*ik! z%smhR7DR5F7r!poPnYlCEZ27NF)JSi?dAIP?c4UZh^vP&iAeHr3;g)L9_GkY?}Di= z@J)-TwCf?x)mKv@-xw8PDuLKOXQ~=38~T7DNgY*?_?MtR7A!#+Ht3EDor;b1mhUur zh@Xjv2oY5VUKy$Rwj=|HX>Qt>KDJV7TM?!=NbTLPxz8u#n1+(OGtn&JR z-BK0)(dr8@K|5E6K;|{R^O963RV$`xzoY1R{K56tw%AMO_Y?4Bdpwx+XMG_! zt(8$16+U9zc=$?iZ}+(M2>Y?{Owoe{;kF9S2)--+AsDa28j)&3AI-e3C>07)vC!Uj zb#gt`?fuv(S~AQ^FrVnl3h`#%-seXTb)wo37MdIy6D&Tkt)b`Tjx1E(pi$A-7M-nN zYqcQj8f7BYxm%|Z_C`frkc#wZ^s%_~qD;!Qg~YxjXbW`a&m!Zi~} zWs2D={@~ltEHGPy&4Pm?Stvu-Z-gclJ?kJ%g$y_J8R8g;a-3{GfT`5=I4*_bfclNd z0fE2;ZUX`-p$qnM$se8mvOK@k5vhUG53iEw$=>0toT-CU05Qa z3V*0ZY{U$w`z5PrhNjr`QY{ZwKcfZoT!c{W@4VE@<{Fgw9P7t0c4|;fj=Pz6 ztxgRLzz;|>)vF)~Tr7bl_uE5*`VDjnP>lkHp!1s7F#ys%j40O%>}ALlSPj8FlNC%qNrqS;Hei9wtht zhb*=ANWfY_xY}t^Ac-aP(YX}OtEePct+5u(*ndG3VVY=q_LCnvw9X* zUOL-dRit$?=uUtm@nPf{5@nm+U1Nxg(l;*VcqWl>93DOrj!VVWEOep0(zu8AU~D6k zVFb=HHlUrf-yTR-!2Co5TK>&}cgT>@B~ZW)JsebKhCmd#Xbo~#+afd0YOu+}+2B#} z#RMKsMQZ|LYV;{9>Kz}mbHQF7PS}Sr3pE)hJ2QomJ4D&0qjys81oJk4fbLw2`-|1- zoAoK@Ef_i7Iunf45PkyR4uP2tx=#|mj(N6^QfQt&Wh3ZfbS{>b2g?9jg>`H;B>GkQXvZ6y-mdG7;H-JCs9!w32aY`!UX|#NG@c( zj&L+Jg5jhE>^F)CK0047-<}<-CFYwG^+!FRveFubQfVoG-u?D}vbdCE=iij{*FD4G zUk@HUyhFRTm)n2x!_x4`EP-xv;y`vU}9eYb_LmP=jN)=5nb| zT#WC-73BI?r$>v`!TKmJXx`Z%n{hd4ftr7#n8OdAgbc@b?IGS!dPi)2LjF4YjM)~q z?Y>rz=mGH91MEG1i)yHwh0$;iS{P#5+@m;39DGD~N*IE3TRRxE23D60keF_3`}an^RTF+(d%0ZMd0O9SE#(j>TU!flfV06}l?wxBjp z6Aez0ri9cb-82>nJdj8Yv``p^M z)_P1>=b#NOZz4XsdA7cg z=f?Md*(81I$2n*A2ng)Do9`2o7dmwIC^VGV{Hgr%0_~E{b8`bn9*i!B-!Jx@0Nhz%==~#HjJ} z_#>7)&+q{YNZh%AZ<~?#@Z(=tb$-H<-zhAK^t$Irwfn>B>d$9?czgBdB)5fcHy$`7 ziEfPB3Iq<_-Qz%$k3%S$ znsR$OqA94MB0QVPetq8br?}mN; z5LCj}x4{l$sIV_z^{%7cgqP0$gcev_P5L*Ec5`rK6Mnq;qy2ejB^)E09qr3WU-S0I z$for@hGESODKVV;(hyF4h-e1{aWnG4k!H~9t)s{@1pGZv!pJjr8AMQ_d;oz)X^}<0 z;ASGO2^TGa{qWrl!G6x}1F>K3h{kkK%=zdo=tKVfd|H6DYcS-<9}KNYcKLH6#~LF3 zE?7@!#x@5>*&@HKWK0+kj?!od(H;RKSWV~XZO>_&bc~(>U$KW6i8EjQWEZaO z7h!8+f=9{N!IL|;DB`CgwWDP0>>bCz9i^eyiGGu=Z~Syqc#_4=N6FZ26VdXOLLin~ zA5mBZH^vuox!vU{5#!tXx_*$U-!w8)E^&{pfnrg)XdErZZJ5jTe$G)ccDv7*b)d10 z$ok_O(XCm^Dug@wOU4?AkUYs4Sf3d7u#z!nrv&1-hGfih{24^Bh{KP|vfTvY@S@mk zM+rHCv2k@tPx*Cz)FC8<&qm4EKl`#n#?JQek~U$oQ8GsG*rcw3ptnqb*$BmkzY&1}e#Ptzl$%hoQYu zGR7kzNlYBVrhS$ev$CYtumm_AC1ahSoh|y}O>vnT7fNk2@*aNVlCj}(F)DnX2pQsA zjX}$hT+G=$4l?;D0F7yj=*q=X!l@3zsbJF#sRwfuX-0)ptXS5EGxzRRX#a}os(u;gcAG6#hd`#DB_}0 zi|wGvOVzUdR~Kn?w!4u=8O`J@s{b_3srp{RB{YQ!9g$sL7e1mEi$^7K|2>Y!osUt? z8x_?wtASRFWsSpNcIzJd{71Q18wM1T2Y^VjyM8f0V-(1ej)gGJt`wJbfPOoSyV8FL9Ea)09BOH94Ck7j!n7_X+bcC);#E_gtpbxm0IigB*bc* zPC%yJyt6$HvFLTqAYP*m1-O>h^X=psLon8k1!K{cKeUdDx+Cf8V9{(^I*&DA-=xnn z))*UWjEyzM+MbuAV2sQ&BY$_v-VTmdxA72eei-K2sbCDIpBcICDnc+ehmFHdF6HR~ zs||v&23E`s)mAVuF-+BkYTcdUNQxuLjzxpPhS5jfA$kUp3|yFXiJ*kZW#eM$vM(Z3 zdvbCFO^$+)tUQM)`-SX}{d9ScSzVs^$B*SbLRDNGM33-hHzgy%c>jph^bC-{W32Yx z?JuOf$2VJQ>jd-KuNs?Sk;cS48{xGq#2C!gImoH_t00J2$h$2hYunbh_y}#G0%ftB zPT%gDB(-gmB&6e^IUIl)sDNr6i&E`2F+%K=3`~EX2O~aC+^WT zPK+!X9OyHbOWm4y&M__ZcS}oEy2&*v&r%zMRACI1*Dw&ogfN>#HH_Fk39!MwP@o9? zT@}S7N3XPURu>R}<#SOC!eWgYJ+9GPvO(7Y{NJhQx?=4?K0(XR99`a~C|8S?>%wCb z38}N9ENXNet*G-Hs^c=~!dL!mf__dj1S6`l$kB+PQ7nzR;D&Tm0H+4fDX*t9RP)m8 z&MrC_oaFS^jdAk!<-Enw{@qGegLR}vi#h#u&vp|co{rgfy#6$TiW*e2TyDs#JF3&TZsR)-La)o&1(}{_@@O z{qoo@Jk)nYbQsxv>-erLtNI5PJ-o~3FZs$pwvO*=0?2p4X{s)&ey!H=-0%6+QxI6l zcUYEl!cN$)6b;YW1tN_xeBcu>2Yu{Q^18lPl(de?bNQZ5@?6Gpn`cN^KYiJtgkkHb zxJjeuPUhpY<433KOPzKjXkGmBWO)+);l=6OcY$9HF9_kN44%8la^S1d;l+2$6Lh!K zrE26a2PapsYD%KOfB5V9`riku)3;~-Pv3o5t(Jis(Ay8M^x62y^e-JdEuPf=7FiaE z0)2jdsqQm&=|`)@+e>?=iT4+ERB7v3c-Bm`~<-B2qZZQPz2in=C7INJlY8TB*By^`GwQO3>m2 z9K=s2XwwW&xVs(bf&*(k$MCo)9PGS8Hx78%vkZ=G=n!?`m{U%da02bJ?Z~U5ZAa3` z?LQUL0p*3Rkt&wW;U6QCPlKUuY9|-5+5}=3Fdfj29hEcZP}nd>AA9MKESpbibg4nj z0p0rAe9F5J1EaGuItoKfdGPyW^il9usmB=Wk9zlY~|)S)SAD zC&5OT_GVtr{Dl6h(=vTF=PsuE`1|dC<%H$cq&Zlx&wsXN!n`DmW8@d^#+%OuQ49(T9u{J|DW7E|H$5;bVHtSpU{IuI=?W z8>s%V>+WhrB^EpP{{CWh3jdEUEf&EE-`|S;FU!qr3nO9f0!FM|5|Yp0 zGdE;A<0mt&gn0q&ME8TlmJ z^-wWcd)u|%ZSYyfIj#1`d$$7&7Jeh|<=$=OCEnh9y0`>Ed+&CTu$oU0Q_N;n0dYYw zh4O(-Gc&>*Ojacl!Sf2aV@QAq?rjY>NaRdTd3E-VXL_Z1rdNVz5>~t;mCJ zljVAOcC-}Zz&I+x@XegMpyg!&^aPCzAn`TMjXTim+kAqv&7iTJ!$E+!MT2cge^t3` z;AT>Dob7G`5U!z@GTc2H@!G+u)ZOpo8oS`e>Ym(K`$FA>8;ru3F!STh>5$95^%6W1 z$W-CVN>9Xm(N#AOBpTa@z#CCs2Dq@AL`$;Yh$%gbiAxeCDO3@yDXG>k=?)LPMOF0}Lk zPP76b7`6mt0Zk?8E+}8x{X;0m+da;X1#u0+DOFR=DR&r!X^L8hxEx=e1Dk09BZaX5 z7((&7019HbrUdn-IJc4#aC9K6H>$x3m4G$wuWlSq;2Ok&L4z{}FmT%(*5Kr3$?MxN zHfts`G%Y4VHUlSz9Bej`Bt|KwWmBMt;tcW3LM){n-axtz&Qw$=&WB1ks00|m0;P=I zbv2`%?Fqa=<%%j4!35j`H>!iA5^p%?>U)hLctc}jmY^Gf2^I~iuu=qO;D#f|r($uI z)uX)>g%V};;(@xrS-$xCe|OENH^aAqN~5TJ&%O(eGWa(~YtbBFoA28TW{g0>8o(e? zF~-eq3j$P|c$$0jY!3IUX&NlfuvvqVglp^AH195wLDzxhyeZ}==?Vum^s)v437FI< z_kpE-7?5yw9|$D!VuC`oZHx`}J_HRq9FgT{R*udQy0i{dJ)ylBkN`0;XC8Rd>QBD^ z;o!xCJ7hICFeENokdh$x*CdvhPM`^5xm00t=bC5&gNaF^wMztBM9h+*2^vQMY}l;p zzywZKaPmSpde}=(PxVSVf&&>jeDVDa40>N2FxqkUj>+EHh>ur{Sbrb8sIP%f#7ui2 z`lci_&+a2=$l;GcGKV^iQ!#zr2xJa{Pg4L9*TA7AL|p-Jj$`;21>c~sES`XHwuH2+O?~PG zCw_SLI3*FzNL=g6bRepWmbB<(I_^$!{J?n_Kmtv%!~Sq#O)VVg4mivvib?=Z%K@eu zJ+dbLVgZ965M#q9A}3zSKDHz05Zs_-q3xBrW?ZiYyF3j*0oQ&Q|?K6#W2hlc|AdoLN^UcYU0GU8$zv|0y)HRBFUfsKmPsf^equ;p+ zqMp=8B(T7aRXtl@mz(82%SZAde344OwMb)|Pra0GVs#gzM&`@NgZvTmQA}XP?>}HY zWj!e~qi^0ai#}P!lGt8;fEsRvVkUQ%XN#l7#kXnPS$DY8*Xm?5eCDyDYCU$3W6@wx zET5E!z0^}^>yf*pM68c)2I*>hiij zxK|lM;YEULcqftI8V0II-&4B9$O%SPkNcg!6|2{+0g&`mkO9ba7U|>YZ=X`~=G8RI zD!~u;qS~YeNbrZF254*q`tu&t@>^+us2W*WK%_IBA(dPaM^Tg&0=b?+X5XTLS2X?c zi2x2jM*><~P2bIMC?NuZ-H*raU@8Z|9cS->XeTQHNgx7jkZA|G3pHnlnRYmWj)B9@ zkToNv9RLX(LqRhK_O@^bY$#e2~j>DG)YE`0fvhEd1F73M0fwP*cA^b5QCfySwXjXa^GlO#+}?NBS`40}0an zt7Oj87`Zc2H^gJQ$gK-FBHO<~#b$IkMV$kDRzXbVoUDU~o3640v_r2Yf>wIM9o&56 z1S8yGORU4;veE~N2Hsr|6A=bDT~nw$;N3x0*F3!2a6XL#9xMShd?N_{n;KFDi1C~~ z?Gi_=QQ-aAKc-q)U}1DQU8-)t{^+&QS!X;>7iq^R_HF;9ug4cQ_=oaVgG*r$&GE0*3jVXs3+}z|zrdpT=|{pbXk>zDSC0jN|JJflVilP5td- zsM>_F-F@O%ntKv9id{?~9z<=T42A}xb73_)4DCZ7wuo56l1(5GSF{t91n4R&LgFln zovYkL)Q~{`uX$CV5HvfTUj8*q1r~2~3R_lJD5;E27-XZ=6;nZMG>t-y2`Y<$O3Y|( zs}Iw6;2pR4b-8}JeE$aVE~<4G5d0=@#y5W5u!V2KKOi-N8*Q>bnBhZujH`cV+!ptG z8V@LrZF6rscyY^^|Ax?Xukd!HAjPK?oE~0j8Kl0*g@rZTWufJM(QqN+zPWMmq1a6u z!{o{!j5#}hv>=3QLjud$buY_JVV}i4`3ydQh?p&BdDRb*T)thtj(w>7duKWgAO;`* z!AtWu-XQpRLuy+jCZ3o8n}Xnp^BA2`?|0uT20myGB}3qCk=DH*Up)HytG%nmo7M8` zul|F7?(Mx_TphjJ`;+=N{!{%qZEL!&Pfyf#sD@BWM(lLCyn6kw^~oKa@mq7)9%AhH z_wn*%@nLnvPI^aLnU%?LyVcBjA=a%+}rv?aYd_7dTC?#c2B zy5P%;#nDocCBm&ygZ*;7IJ@+JlT7pGLFG+BIuC2uVI37d_7WjbhB}PQ`|8EaYm}N^ zSp_m?7l^ud4)6(BgKJ+l7LcWaBOHA|WcmTc-An6s2dc*u0z0n}n`=hG0I0K$Je@;{wRs%E{=ic)OKh4%knkeM0irGR`MBq zjsU<&G_7dYV`paThe)nVi8sYVT%$&T*8quPoir**+7vMX_<8)7pFEFYA$q_2UIfvg zIh5pw4(>lO%7m0i02U@n`A~z^{*;fq_fX0wM~74>0%irs4P=%DS&b+@3lc;YWdNtj z06uEh(PGq5HJd6;CMhH5$5eVgZX{>@My5zcQ8(f&C>(`Y2e)_8>U^S#nl}yj2J{it zV9=##WImToICpZh+=TNWVKgAst4p8Xa2-oHL77-q-zVh zpj>j5fMLuE_p0m)fQlO#%Dc;~wQKNJ-PO<{-ZF}UA=)g4-h_9%z;v>&-8U^8#=LPW z0G2W`86|gu>+dgC%U=$U)~6R&-GvXMGIX~)dH=T&jIpE0aCA`?DSjqm_4)bb z!3k8{u}eQ%E#6+*bL)9y!`bZpZOvlGzYZ}=H<8%!)3_hm<_X%1#142W3>>>7m1MC8 zs2q_#cMs`v8%2&-S>ud1evG+}zQ~bEs`0(!PGnf*2qzM*1j#4R?xaG`KsHL|)pXt& zM2;wMgmPpk6oz6)ErIQwHbw0lECJi zH||f09K#AwE^@?R)^N^{+lK}n#Z*-2keoF-G@`o$H{Kc$}8qi_2zzSnUj%6nMuWI~7Yf2|_L^T~Wyybvl6gl1?=OhZ5 z(uHKzM7SX$M@9C!SSKWM#H#NS*x1Bz1#cDI5!lW?aqPhzppL+<$y%aN6k4>^Fg`*G z+Ch$-q;+IOdO00PEor$>9ng5*j)GRyexqb^D&F9!pe>C8|#U{r~J;Yj51f)&6e4|3OHB zMh4^!?@P9E0XL3a;dK%tb{glCu#r|5RM85nb&@v7e}A8uAvx#FZHK!n*_P=vXuKq6 zI2X=&&h0t#A1_wtLS;jwS|^1MuYSF_xq!j2RfowwH4i|d77sUVLj}U2g@_i=cgRw4 zBSqEXH)Xs#M9CzY%-UPUgFbiWI@jKI_VZV>n{Hi+yAjqAZTslgFz9}nz*U+<{FMmK~u;nF^ZR~Eo`_*@)H(0;3pSnwvHlILel71hO(2e;k zdRsqT+%ENUc6E06Opa}S_4tR^+B4!bJP`}*026OeIxxc%Y2RbvjS_nbo^ReQ1vpL- z9(-xrrt1L!>NRZ;6L;EC5APV{yMrL#;MQU*9=4AL+vz^e=(Ufsj}F>HLG!50L|nq; z*@gO260-f5uf7pvVjcY$45SAqH>;zI>;3EH{Pf~?RPMs%j*Sfe`S|hUKUT}x^}+Gt z`oBl3#nJKgyDyKg+mHK;e;k}HmcPxGCkKLo>`zdhy#{UB6~MsJ`QrNM;^g3LvA4qi z1R>hq6|UiD>$bDy;+?!%yteZXZ{_#Z9-7&ckNw%-za2Fi6a`b~!cS{;F5{koI#&tN zm(-%XE5d=sAmE3&Y(M)A_+oW%37eU z?l_Ky?@l#(RNY`?a?Xs`O+!^KTRj(iO&q%tAjQ_7wqvh-u${qcf5)oZw@{n3V&hTa z22m$uq~EZk|N^*#jcCA493&g&MmSDyUnBZ1-KSj25DU=1*wmNd0!c% zO$NU$G&Mt~pbCYVU4sxA*CRBVMif^>E^b+(T6U4PU6}DNJhhT!kn#%yGDy`>cGOQF z&C^V3>g+7t8KhHR1}VR=Wd=B1|U*Ut3dwX_N)mXn11Qlw-DKV#7peb}IP&Y7~2}^8^x}P9?QmB3e!|s*2Le zvZx$_ons8bB15FA8kC*RKv7!exstZ(9jx@zP*piQ0%fd~amTeXoLj&^nlv!Vv-tKC zp`GhMvph1&v5DQ!MC@n#)i`o?ZsztO63lWB&AB)Lz#3Yp!jht1B@TdHR)ixEX~T3( z&I-}TOawzrwA}HA%#4YoA7|7}#Jai2L54ln@3O5TbMBK#k=SSln6bl>LVu-iSUnC; zn30Ws9P*&UiJ=oc*sqODJs7DK55dN4Yi78y&LY)~!h&&R#HwQrdWoDGb4fqZTvA?b zk&)}MeHym75Bkv7Z_y}|dl>DRdp#H~6_HKK&GJuPd=K0Anz9)Phx)n z>+klfluipcR7$|KLL`bI12Sn1od(41gJwSQj8dh~8k0k8;FwJ8U?gV3r%)y-s-qL5 z!{CfkTiX{0wqfbc3{J*Aqtv)y=6b?@tKP6+ACZ}IDx}W+NPAD6eC3*MY5zAfSjIrX*S(dBK2JJ?9Qsu8H?Gm&(3 z7v?>F!w7|203S--f!!+0>M5w2YdEzEo-O5tC=By!k7Wkf4=YRS<%Tz%qJH9Qx6}NH zc0gT|>4bRMM6+?8c6ZX#299>B7`orZu#(Wj&ixkl1EKjNw(HK$jV^*y>3(GWtlBR| zv0Kz&?6`!}V|Xy-qLGSU-@o|hepZ$T;A}cbf~CHyx;V9;zsIG%Jn2#0+EeeC#fD96 z2KUhEuFeb<9G@pJKJM1%9!CrDmks^#Ec&Z=lm^}L-I8w$5rikf>(CE!U~D>A9(*ve zWOOSjb&fa7*_DGJWb;+ltrHi1zr|vG@!c+=7~u{-iE)$YWds%b2f9f#d?li0vml2c zoL~k+(!O<$DF|z$P6q^1=zQ~T>4(uFXBReYQB-gUc7#I|wp2r~u`?O1>GLJ)M4`h- zv$7MK6;s)<7Q*4hx7U8a_KjG3N0-zgzQG;}#trmA0mIVt9Eo{<+<+BjezsiBZ*Gp3 z7pMDQe}DM=(SencCvLksKLTCL#r5^<7KGpvb%bpgDf@_Wf`Fb#(a3YSYzm z*M#tCJVMJ`<@pKwzp2^7l)YB7H|`mz+2@lID7h9f^azwMnJ(Td_Hd<$fOHl34vG_x zVjo+GiR$}Q<3yy2qZoixe67HDT6almjvMIhwjw$P$lq~*ylcU26GOidm65={K^sS` zJxGq*4n1+(h_B7{JJWs-Y71fQ5)pe3weAFQQ|y3%Q)Ucx7p8j>cCn#RV10P?NH96S zyzD1CN-J7FsgzB!S8<0uHdwuOYEJhFkrOR#NT|D%?=dP9WpM4(ujuM6(Y@G8o*THt zys-`RgKc#ZOaXKzVFF6s7_|P02Ce(|KC?Wmi&^(Kvvxm5DVo8398@fa1f)Ot_FquY z9zOW;3)Q5{xe8CJH}nfZW?p5?jzl-__N!%7@$Mb2P|Ki?!O@!4$+Q7mH$9!YS?^&n zBGC~ugxhc<*fC2dqlw1IB7SZswT)D`w5SABWXTj2f8<;CF=n??+6Y0T2$rkijh-T+ zu!2k4H8mtU%+hd$MqorbWd)C?$`9JA@y3Opa_)#EVeGb!yu*I_=r9Z>&r#|KrJA6R zS5sCJ*%93(%I)Glrg0lNY#h6#iWAlkL(LMmj)jdByup{; z2TK+p8p0>YLSbp3LI2@YWs3S|6?~&4p5p#Sp(9)ce=0=5A{(1Un71fuQm4XGJk1M~ zM?g?9<9-;U(Clm58M+>joGxWye+z1%ho23Dl02Dc!DXK9;-(u2wS|d#p6)t2UF=WYeg@2v2-p=qq z9dc+161OePEMBN|ngOW1rn*w3z|JR4HWeA+z>c8CE!7!(^yAZ)|M%kM4`1(VZTUcp zy@MZTm;ZT%0x|RD{OWlA$Rp&!=oMp{l1L8)6z;c?1A ztxJzr+@*G5xUnHpel$M_f^NvYpHJo9&@cnC>}2sVQimDHVfW6=MnJ~}7 zm_;`cmFMPmhYi=bm3`F0;IIF{l&UJ^I#eMe6mSBjiWF{C*z%er0d=eEJH#_o6~Q1( zGW%eE{4-9$U0tU*vWlimP~FhgVH8@qPk5w{oVt_TH?7(e3^rO~K6juO^E(taZ%Bs$ z;Kd=Z_t6g2XpPSE>stO&0&%A(fO z62)+)6?{mp6ONyW_Ub!MZV6f5D$OgO^foD3++KEJ7(5b#m?!H(Jd6vb!Gl1f3Sl;w zRKT7r%}OLq?6Mz?tcnSEo3gqri=s+_*<8Kk2Ge2MjmEfO(i!#047&-op>$eJD>&-P z3_{Wli!mD0WJbmXvzH;j&S$>CvUsd77(+~q3f|Gvz-Yc9N3OsPBubsc-R#)c)Sm>{ zZ$%Ep%Y<Mtb7EhN3+HzW$H=28vq1=1$qwwL3CMslL3IZ) z)CRDbW-1Ho1)_L!fVdXob@WH@hWLS<_a;A_qeeL#TP+MJCmFEZ6#TA&K)PY)TYtFO zf|pE*KG#x&-GJT_43Q`yC`>j~-zCgGum$phL_F40rb(*TLjlGH5pF0)GzIw@jv#gV z$S#BFmA6=sXe9nI4u43Sem{8@%=tw3Erp6RbYbI6e%kxYfwl2Oc+0t;;Z_po?z0EO zRe_lCP*we-raM~a_aQKCSTfzFjcb2>aH)?_2a`@dqY$0?&^`3p~@vWpU zq1P-$>Jnn9;pP*SE1f2PV%?InIW1sBOA$7V8kP=AO_90tmyF*-=}Rg6dATACN8*_v z&a>=6Mr&`oEGgr6vzOkoB}W2lAc~eF_<8CcNy$gjmGCI|@BRuNKurSUVo9;GFx9!n z!P|Np*~bp7T9_%1O)Ac)g<0@CKiag28g*wjEfUR<F zY1@>5J_4%&IoLnK^kDx8L1_P2yMDx>uJ6IIcHI7O=n#%*di?@Ac7Ym`HA?k)yLl15r`OsH(ZzmOpe(`*>RL@u!W4Jpve z#W7ga5EX=6HlP%v_8a%R*cOj|(%f%hKM+qW=zx`XH^sT;Pazp9=#pz$>QtzX?1kd~v@$LI53=7(1&^WUZ09F^tqQIbRR9x{`G83XYLsrK978Zp`F{1kuW z#xp*QxM(IVPrN+b5%g1_1y&J$^dQwKZ0lPX$;9q6nb=Txtng0oDA)Tos?@YC@g3r4 z+iodvQ3yXMi-SXO+X!upVSMyz2ti?MLOXI2(?flvVWu+53+$;~*IxhNwqxs0+p*U^ z*iI*Hqt`ylJUnO*+3LadwN%4|&hqBn)z$oRZ#Db*axTe=<$pbV@Q36r{$;j0KHvLW zN2R=yHy>S`NW$dV@#fQ;`9cR~j@~20CqS>>> z-U|Oyz5X)0zMd~1|N8so?CMX!lzcKjoxQtU^&Rf*JzFlWA3peVucK8K>UG$n4VBSS zaN6Iff`gyp6`Xnk8ZFRD!Q_gFba?WqDDAPjso*e;P6PCGg7AqP(TN$%3eaCzngmmD zq|sIR=Y`*&c1odmGJ*b>mI=~Qr!^E72t*Ks07^C{^#Ix9sSqblR%vjez1idSz~ zBD7(lJ+8$+a+!D&;k1^2&zGx<TjDDx zhx60r#q5$wS9V*!jbck8Ijzr|s#yVP!Z0dn-y3jZ;tq$@?9{AHyR~6<7!U-<~X~IwON>edu z${g_{Amy0C56*KtdZh`WJ_!3jTr+MgKtjs2Ar1NS2@-G-!BK$J4sjv{^Y##>3DM=U z9&H2WP7pCB>^=(aQ{>sz$kIhqaNO2yqTO1ZN#vF^Ad4)jX_mu|+_Erl;1;rUfYY(k z%$-)6aqj?IyX&{Awf3+fK+m?H2yI*U6Tuzqeq{Y-wqJ}Ys^QTP!{fbl0ZH|xuQ!b- zg|RkGUoJ&z&70P69o@t>()cl$)E|wupEgX8oi(^_pRi?MBa`29}TAO+j?3 zsK_y;cZ3gChIdH^9;MC3Jq~HGb-%XO78H)bG1y95uq}?;ZTLop8_-O~ayFl2Ih)|u z!FFj_vkbN~c!x%5mV8H@T6& zJ$xW}5tpJqE5E+bt%*uJVc%ZUtEo0iF0yO8Fh|QfwLh^J`O7P?-^REi$|;@^M6l4b1qq8beiu$JneQLjyV4V37sojCy5bgd{&j zsQ%FWQ5zr;>OW_KBkU>7WSWa9mc&}1QDMq|pCeLDdU_S%d*#dzxJ!vY)BDOb{{aPV z-XpD?F{1)YX~xjM5FQTW#@FX3zdc_p=VwoiO197U+;om-?-5S4g9R&L84Prk4Kj3Ib13UKyQ1G+f)@yiNH zYne!{BhE4Pb% z$&~YEP`S*C8rCe{h8XAs)ouz>R#a@k7gaRKStQd$&2nbl&d9hN!DFA4kk24KL0!9| zWq=%Q%=3%0^HnFdP{nQvPBi_eV=*8{Z3o@`p(TcNq}B^>c*vR%6E3xQHFGD^9hF|5 zwKrZ9PlWcZV+Qa1cgJRBlX@1c=-tbpF;+x+|K%Y7EPxe09EjXM^Ksi+703?eAE;J3F^;8m-K2VkuN%odntiWZ}%UfBb;(-cZor zVmmiTXpdtC`bY;JD{~6wPna*J;4nqaLL|GoECO5iOCemRxdg;xa16GU*$uV~@zjmM zLU2Uj27k(NaOIvafg|3 z1P43ZqF`xHrwFaZ8O@H(7Ptsws23BtRDcv^xuz(dfU*|_T!pfF2nRzh5!v^mvn^a! zXNZpg(rB-`kp?afLKc(fH2H)s8eym^8fauF-r1*Iq4|d6gLMvL1ByWE zk>`zzCQhODKd=E#Y5tcJOi_^0arVP;99fX80U2$7H*DWZ>>0v8_f_pME)1yJp@y=f zel*B{r=J$2t>wJya;{p&9{>Qg;yzR=)&?f5>c|!??*hzV`s2Wif^{mjs9xJN`EK_1i!Z_MF^Rc+Zze7){Y+TCw1mTg-3LjB}|Ex-=}*wS8g!xmhOhb;)L%0X;dSBR;} zQESv`^4J`=fRdty;~kudsLh;E+#!VIV`W}ohblLW5G(*{3kF<(3E9;($OS4LBIQKp z7Qz6O05b3vMJ{OE*f{R;tHeH1^4F;qNHZZt#mpeO!g|gx41g}+(zNX`Msv~q^w0%X zm}!o1um;s(k-XPdXfsD+05M%~j9)NIfq7v}6by^)WhaoP+7iUT zVni*jimGJqwTg2o_uc@jN(Nn>i(azC3bt6i>;kty8ku?INWu2jPwm70%$o+5*+LvS zijtwa1l-pdg47%OsrK+CZ4j1@sChUTa{NCx=WBCIF%iWARG}@w0L<*W1fL*ry(b?Q z)WV#*omx)7INCC7we3ooEqe@C*&^)2f?ZG<5Y#JJ4;m5fjH1lYdy5nCk9-MzjEZ~Y z2@1V8E!hbwj%QvfJQ#eZ+td%l#`V(YgOAqRk$BvMYRXEd(8aa7%`wVS9gyY31telADi5Ml z#6#aQlb)mlPe_z41sq@O*8ST4kl+ax9D^Ma6>O{9jNKSQCzNT+AP~-LtPy9wzc^QL zdf6~(JN6KR?F=7cWJt}Bxw7m&uaHgo#o7F7zMNeK(;Y=1h=rzr0escyHJUPRx-B$? zLS86Uk80tfG&#$?2uVwVL_c0M#j@>^WNMryz@l(a@9f%*7{ zP@iB#atLAnkdYi!pf}A|8R1@AAmJ|~+=H&Jp;;F|4>4r_2<|KUM;v1Ij}85CgnJz* zc`Nfcf)I`2AQQ6MSSZ+5*X;=R&V_M^O)Z6(G>&+axw7D%;?M{KJ$y_S(8Fi59_Sf2 zZ3py}m9g6>+H6)&YM9G25z;4Og+F1ScY1G&(~n4RM0!X?91VL`&5$M?*8Y9sAd^G9xem#h3O^L}+i)n@%J-Dz4 zsW2(ulu`5Ay#RWO;5H2OpbND?&t{_q@v9&6McnR(f7E&j1753nfQ}W>u0@8}SLAOZ zVVSH{r=)0eAI!*gCUo60&5-quPr<5<&^@Hxyoe^Okt1A{ctX+&phl+XrDrj?yJ&yB zN`WXqMEln{NP$ICscXPAY|4s-%-6>IXC({*8FD9*44r@;SN6dp^j|6JL+r)A^*{g489~r%!elnQuu0F*nk=4E_l*D>%%a|B*y(+MEvX1 z0G!(?wA+*g2h&*4{uBTW1hRy1Gf#Ppiur$j@%#MbtHsG&LQIx30O%k@;h4v$0M$+m zgi6B6MMl6o+*4&HDv7adil5|Cw5yA%V08+nb`ZuQzKX;mjSLk?ztZO0&Zhf*A_BZo zg=-ip=z@}EugYm&CKbdec>Q5a3ps#oK#!fnunh`jlYP^7lOmx=>_O1N0AryrT;Ge{ z>6Ul+OMeomh$w3zyD*WBNKHk` zh9cFF4I%rXD4PasAvF>&Kp$eaq1GjxQ0iwF4fr$%JdRxtAJe@ z`r$bxCeq;j4@;LYBKn1hAOxwT47PEjg(p6qFhrWNfmsHJHn3+6dLgjaH!iS_2lNJt zeS+>KkgQFM8aoBjp#e{RBKVuSQwhp93w588 zC#oaXe1=DK^BsAB?f`3{+ZPex!`jc|-#!;C5rbY{8aVo?3BS8AQw(ce%J#nX;KYlg zYGC8ytdDCvAN=MhL_-=6&r3DDb@6$|z4}yC&KR_$l24;=JpAf{40TxRvS?Oh>!OC$ zu+|$kG2wR~zGJ|65k2oPjp>)y-hrFRTj*2Wk&q_dLxFb{9*L}u(ylS0u32=nZhWb3ZNqe^pppxyGbzT~#(hNUpJYgp{uTi2IwT#d3$GNp1;G&K=_+biIbKxv z6dn~tFKo9*?F$Z*!adbQVzZv2rcaV;$L)2Y?m73w1|5Zmlx_7j6xR_`Io7O_ucs(!Q9%O49z-IH!#Sq7o^V%ePomO;v!|%fwqiX6mx*fO zOr}R|ajx%&JKE}akP#@62T|qgDQfQEEsb6!YGq)Pw?R+A$)g4b)=|_dannYyBWinK z9YyVM8Cw*)O1`r-t|unc!{bYPQ0j&P_QC? zhx>*UwMuY$lAeM?5>?hVpF3F;Hge;6dy4vKc~9XX`9y8pD#h=+W-BG88L%C^Jw?qO z?A*3a3up>d{yZOG8HMAo4owujBxr_glnyvO-!ysPxWEFm6=j2754%})T zTqQ3&j+(nPVOu=dlUIw0?1_D~;o0*-@lCo44$5y+jyj4^-)0BFK}UJWpgiZ zVP|DAF)ny*Z0ucYa~n6Z{q8FN2i~M=CEt?ic|WpIZpoJ9sIKJrTJj^GT(mTjSfNN2 zN!iY(_P^f)U>@B71{_kdV{0j`H}(t$7+?VO>C=twpa19c)u{Ken9hci@%Dz__cnUP z_;hkM9A9j2+|17(XB)l$`Q_n*pI;6xif5DYyco}CJv=m?ZEsx8=hr_yc``e_EUpH# z{?+hwI+;z*=l#>k)sxBj`S7%O@^Of&p9G#CJh>VS#~bR|pQgsslj~xPde0}*tHFHM zpG+^xDt0HQH&0!Q zmH+J>?4oBIy^}w$QR?~4@T}PA9S+CE@%+ybu0$KX{o!cT{OhFnJg@)t%g@h>(Wv)g zd*kJB{BEQ7W_u&Z`jMB#Q5wZz6eJr@Sef5t89(UzVVVR{?59x{XE`nPc6c_wl*N*M zkR*PP#BuDQc)}|CwHRJp&Sg1|K7Kekt=}a?GohD+L7K*XmW3fYv%Mi3_;0Ttn~$m2 z!)Hb@)qq}ZXM8cj2%)2XKTf?gN9{?PN309`qv5q04fbl{a@7JEmRH4W_^;w% zF}W({(?2(Q2k%5znveftBIc1AIr{o9CStakJ%2GdzMOorULOyxZP)+rW_bF??sRa` z+m zh3%&SW_zA_c@}$YHDbxBal+CL(=dyoJj?SS$=Fm~FKx1J*GpU9?6Bn5OIwMh#Y-Eq z?8V@!5L?Wj;{nh`@3h+F`{lisTT0Z+y<($xG?>i`;EjlEh_(C-(tebtVH(7cpGg2| zE;hk~@g?1+=+ei6kGwR7)Xsc>3bSyt1Ni+AJA{{|K^A2}6k@AF@#n91UhQt~jnCda zep*}rQ?MP8$o$7~KLjv{qR@-dAk9+&RLJwctLJ}b&r@vR^%F?#I0};}i2T5hbKCo> zC)C#VIOr!CpajYWVG?2wv^}9-TW;M!(ofMfKMQ;>#~<~IFLz#TzI<`Ad3;$E^L`Ti zS1FtYZ0o-Zx2)HH4R+{kW4>#CK3V$@=BqALfx4Myc%i z`?ouX|NH##^`7`(C)44@MKRsNn^k{(I~e`(a$1}hQ=sO8y+0mMZ=d($EKb5W^25we zeP2znThx3w__LTE6;~gMX(>O+p4+^f>Xz!pyZw__2hX4Hy=uP6-bY~Scl!Wk`fHb+ zb3GsZSIgr90qsww#l`qcKL2CCJia{p#Oj3PO+TF5rlx>DZwYMf zdyx-RO@8RQ7Nw<(GG6uM*S(`XwNim=4vyu&cQ+qx$-lhWIo$lA2Ar~nA0BOaeEyz% zp4YpK-_Nm3@+?d7Hd)GWg(_FBKNQST%#zge!`O=$en-J(Z}IxT`t%303LE9i6WgfZ zNwTka{m|z>Ezj`1#283tdvsUv`abj<@?+g({+Eo zFyMu|;&aLh_CC*>#|d?VL_m^B5N2V{7k`aT zb)b>cW!H!fzn}ToB_NBUAosnLmLg&Tn+diwFH3^hi&H;{XgMw#FjoTT`6v~|aTqID zEF09hB`Ov`HbQ^_p87!?03j)O%=Q0@d($Bg`_fd>dVTB5Xn>x|T>ER74>$(Uq6Kh~ zYmTM;kq*OZzS!b1)t)ghn&*A+VzuKF;PdMF(@k&7Pm`@ogEks+^E`ZWY=lrw$m7Sq z?(FVulD4)EUmxur?C)uL%uh~bUr*CjCMxD3nlp&(|PK76)0A#pz-?xV|JEp{9>tC)0mvyPjiYTG8(!=&Au1 zGVj>;r`4F>zWem;@Z~L7nBvqGW{`~CimMelkto&Q^2hsG;RSF})XR?K@(U%fxr{l{qj&v(LPBZ{6WDZ)zbPAAuB z?%(($s)r@G6&gd8D)Fz$*G8E3Z6JAZ>2|nG2fKmGN#Bpa6=oSY%qYnfveZxzgUwOu zB|(;Z5&kS-bsu~EDDXqn?!{3a`LK(MdDxxS1#gfiur4*0F;W3)XLobWVnz`n%7SuD zV(^tgiXOloO$BDPi&1=tM_}2Cg1BxZQLnEJjwD~zz6**M$X+nmpg3}B1+>QG=xby&%!T0lu*54BYRuP+J@7XNJlM`_c~XcuEO7B|&F={}o$a-;c1Wl%Wq8{v|hRiEeBgr3K6CtMjd-O8y}TZ@oY&6f-4o3to9L`}FG)c#}1)RIAvzB}@p`K-fV1diV)l-G*O zmS!d8+)JZ80W;*mI0)iO&a76LX5xKRq2z3yCva}!MiV7CWGmpEU|RKIkA)*uEI+so zJxxf}ieaF6YV=-trQz~$!D?ToU0_foi+n$V?JtJpVU&CgLDGH-dk;wa2*y`fqv=AT zyc0l59z|J-A<0u#iV$}`z>iX;Jy>#ck68*Ret>^i2%H4VG+r#qvaZA(o&(qr8oL)} z2|V1Cuv>w-6N`sg_Ra(GD`ltLtQD#{I{xkC0QO`-yU>k)>v7CCe@&7MQt_+T_AL-o z8r%}1rXeDJB=nP3knT1HM^x>~3uq`MBPylZIt8>=sZ?qC_~sLic`Kli`G9i@+aKvL zo6UA89(MPJ2&;QYy1|6ZIJdiI-N=OYB1X;6e(!&!3Y{gd# zc9*fiw}11+O$=rhdhz9CW^#_=y^p~vtMbh9(QQboePQ>*=rufSrz7k(W;4IR3*3I^ zcs?D1y1ty=m{09aZon_sB_=l)mu8{EVh*FbbkjC(%E3OF4#t+QbHuiIP_3lua*x$R z`k0OM;b44muq)rb7(ae}ynv*o`!u1V*m6NQZTFOQh7wG_9a9_5Bjw z`1`}@Y;G=D+&L`H?KfW8&nz5Y3ydSHdhGYPrg;6dIETxa+0OCx&bjc`G0qHF=;msZ zdwMe(6_!_1*RfH_+9<$QcWUXt2^LwK+<_~%AHFXL)dB#qKu*8AwtUaFruRpK3$nR6 zzF(^XCI-*t)6u>>*es>hm@^bMBCcPMNmmVT=lR)*7+-3hn_3(p#*d2m;A}7-^eQh7 zv3rB8E{lkNNxpmg-NOgsx1Ght)~RWL<&{PQ#Dyg^Ksc|0rGZKY7uFz4q$5o=oV5lh zI8e?X5<-JQ=^T!{Y2x8PRRmuUrcM$79rU3m36j|Z@grOc>4fK~Igb+$T(%EKA8?~# zE^(1;(e1q92Ox#v8{)%Hv3hI;6_9Hq)|b(?NUDus0$EZqsMjyr$* zPB?X`IpoBt2jdGIQ=j#uBM00xj!#}nuZk1l6e!#)tbQHJr{lfw=@3pB@<;RSfJX=F zn#~9E;;-G|>~t^{XZW|2*9IOqEC$mtuJpD*xPMZ2rGH4{^dX!=#QVrfdYerxk2*Sl zWCnCDuQbpZ7nXp|K|jSo20w{mJn`U#Oi?U_&S?fHj5E1m9Ov9onF2qQieRota3C2+ z?Q>cgM|-f8dT0?xwLF}iqvbfn%W~zgh*N23yF4=12|6P#fH*}M19xZ2+;MB@Y<(GR zN7SLS`5NW}t`Q_VX|?bS=&TK<{gDpiYQC8cofr0I4W0iU$7d=^4QyOZoECgdu+zPS z&TGeK!R~!Y$7eri-540^=mMQ}5n|cR8tA-Qvo`4L+S{Fdut8^6Wz}M=;ZytWy@t** zDv*VWc8tu*(AhEZ*aTPvogMWo{q4I#=k9aJ37u;MyTAJsfYgqd6*fjdC>SjJv+3#k zqn&44lKIu}G72`xS3T7m35+bB*!(b+VEd7}(arriuhFmR&|KcLg{#0VD)@1(~SDvx3~A%QrKaW+sq@OQa3 zgE7ZxAmNu32RQO*d3TBcr8C%)uFX;3gZC4nctt+63*i8P?mFFqE9YkJ%e%n2nG^eW z)JEgn9QG4f1K_}wh~t#792=d;?7q$Xvdgy_+Xhvz?x=-3@NI5&PVVO0oUMJErE&FJ z@@-bj9uA){m#Jgtm1LsoD_!m>2qA>!p^Ez2xw$!Kx%yc{scg2=nsIe_X@aI!?`9u|yfx#t z5Ugznv#RI?dYSg)ShS~rA{0hHCI;VdNzU#6>G3G@jSTaVW&p6ttlTgOxZ;;0b~jAOI)WJVYcw_#G)h7xH+B zql2(v;Ql;-6a)js1|;O-3Phg4ORe3Y-)wJuRX1qs6fh~rdbYNFeDev{%oWqce8N46 z?ay%jv}0!|dUp5cev@!(N9Zze$J!BE1o&M$Ld&2OYe#6Dn!f|z4foEM>T^`o1(BHQ!v}1v= zdAf)xchs}|6zxQnTT~XuTsPIe<=mlbPmgUl-ksk)Eh(D zUi(kizR~h*4Ar~u(0`h8iEAg2?F}0JZtXv9aRRXcu^O7(I+EYB^#R;6uTl2{xa?@$ z0;@tL3Rtnb(J6_Vv{VE{$5A1M3`za1KJ+?_ut+qez&K@+vss%K=92Hu%4}MgtFr3z zsZN-1i1b&rYxNYTd;a%sKU!I#w2n-xHHxYw<_z$WZi6^61HT#{!lG)JAKzKe(%;_F zB=ufdVGcQ!o5Qd-9OGQ@InuthIs(yiprt#|*=*k84kX-s9zJ+J>CGp-%0r*EGOyh8 zQP6N3>Zu2XTaql-&0WZc-*>4@nf^l6O`F4zxVMDIkdKru3BoUl6Q0tYoy?f(OV|1U zj-kS&hV%k(xDkPslu1iN-HkE;3uTZ5!a+aHakMq?L@ab*`@hFy2u@C6oFR;30u>O3 zQ@S>)o>Fyzx)7*%jEjRIir}FlzXGh8NtBc+dAP?A_o{hUc?|K(ZjYg5-EF$H(Rd6Y zv0oe`sUL!Kg$cq~%8}x|@O`__kapeaG{hBhF){9>jXQ7~YW4f+<~D>uSRwySqf808 zC}CIYVN_9~iL6Jn=vZo5$3%pugj)Q0kRl#xk}@BIcE2GwR=|srx)5}799kt0O|=6F z(bjxf_MVH6K-`a*i3DJG0`Q9667Db}F9|$I5Jw@-k)MQqIE6CGJsq(RavaDCp;#x( zvu2$I2b;YaFX-=yEYu2uZ|{;Ya&GyjxOff7D&+`qCO)VAXXe=jv#>{@lk6~Ai|`JD3T(4C7h7`+(D{) z36>=?!HN@|NEt$^qvS6F(IEXG9Nf!lmEU?7P951m@V=h#c^91$kmHt|y8T@=L`($? z6n@KFm=Czd&jQg6N?jXD`y-1-)qF7ukvnxpU=u8+k}n3~PAuj3 z@>nHw8*DPdW(Yg3NEnLDeE;zgN!E;dwKnR2l{aQ^xvv@0@_T30+a(=CUIg&BFzP0T zun07T!JIP5*{qFEwIPjCCOYe~ajK5Gs)?t%06x84e5+=ytTElv*L*sStJJDWxIw>j z+Oh9X>oLE*v!12DeOErc`y6ue>8|s%b^MrKPh-(3!EQZZ`&a2#w27R)?}z7)L<%A8 z+LoZ?hu88}?=KO5MwXqwpCiTW=HbrsCnDsHy3L69=fCxC#(#__pT_tvQtr*>ih7qk zewSQDKGa}c?aDf+U0RtX)vmZ7LoY#K8E8LZvtZOjt#5i=oBfoT@1U2vaA z!W)q+6p<-$)K$cPTTSmW6p8gYm^WYb9E^Pim?~mDRa?Hj`GjlQS|mN!cFh@Ve}rng zUAscHU3YKpyUHXJZz4Pv@}=c|i2d;{RVEUibDa#p$TzU|MOxYyX}PHWwcprskKK*G z(tSehM3d`ex>5R$dt+rdn>5g(Dw?>*A`NccuBj_JC5p2THhsYcwKTC=sk=ISBi(iA ziYxmo*;t}=E`aTgCQm@iwjh+OzdaX#b9Jy;>egtT^>B^W3C~)aG(E&R)YGscPK~xh zHh|xxQo8;7!w2ebQsvy9-;Bq_NG8ukgemn8p^HB5ef&HcjG+yaP^@L?{*6qkGx!rq z?!yPWQls6LitX9M2ge9OHN37$7UR>wwG2x&yngsV=3bn>pAOIY{RtBBl(j8NR^Y=7 zsHw3DVmvIe9_nS5RAakY57T~_!5bsX;F*ykWEHd4H~N4{oe=eusIe#q6%*kSk#itU zeR!IYauoU7Ep`jlSUeVr_(?cHgg6v&awlO~?eC)P^W1u9dkgac*Z2|nP%TuhPnkn$ ze`N8fnlDE6Df^IW&lpPo+Isj^tcMhp8s@=j-n5t)*Q&4sc-@VU7PAaBDe?H_Dkl*< zqmZ1N{2*{2wD*@MbdTi8)L2FAkVdU5X+c?SxUep}K95ssuxsn#r=BIJn+J1MwW(Bk zjYHBg)VNqBG%&?EO^R5vkd*SJ@2*r*i~b5v91z@cn2wn!jAOE=4wm(g?ut|{4G!+gLsf@JMfTdb}r>4Ry1q{FzHZ^l)n4!v2cN`FuOiWV)X znle6dNdy|}C{xQ?)V_7-f@%d$LW)oH2#f~+8qO5&x`7N)`@bWyRI({JKX-KrjyN@04Z4mltXx#eX{y`&yC z5xb^7gjc_Y`G9K#Ez-qMv9!UoKhj}b%{S9jti`=qt5|Cls}V+s2K}m-!OHS>IKLDd zJ7KX8#vg~XA+olXCy2V3NtgTU{EoiwB z+5IqjEj>w)&E7nf_U%U{XR7N0i(-Y-Sh0Gz9y4z9a4^0&*p(wvj32)~7P8y1!iX-i zgomP2)HNG|#j@p-JwXXtWLVc=1lb#^o-6HS^=7RqmP5Wf`(UDMs9285cqgD@wM%fv zms+b>pD>e4f{;a;U-2}XbK$~Jb^oW`>rZh_c_!>#i}!`!**0gWwWbSWvWN1 zO*N_%{waQ-QN8~N$G=VSeK%xu`CnhfpUDdqkT; z*mE3VLwz~69AVv}${9!f*1Q1=wJBsm$VA@vBu5IW4A!nywJAe6Sf5Y(y64l}X(%eG zq?BGIVC~=DR1{s))*`(d$ga{S>ND8>NQcR6z8O_voxQo=mL`z|KpspJ+l~xfxIUn! z8;^cm@o3%RLs9DkYWL)TTIIw^)rXn_SUe9VqsdfiVn3c2=lGG5w24S5fSEh4WnCBhb`y1K8$wgwqSR7zp1bWSAvjtjkU+YB`&n=2@?g_+ z5#Osbb&h4WaE5l`dri`hG^x*YC*RxQtas^kgN%pU75^$o#;xif;wUi!*p^8UtE(bT zmXo3_eOchuVkYp2w37qW^<{DCcG{NW8W6Ij!71n?+&NO+<8%OmE5{l2ajSNin!Xk1 z4Kl>YM`%y!bWHOh?hWeD(3-+f_%4?hH1tOzC*KUTi0mbN10sWGbT8$Q3j zAjJni@*zg_PFksxeE1?>i65s`ZaKwzYi;DbC&AY7Wl_xW!Ps?Ik`Lxrr6%5;v~dSX zKJYzSvUGIJ@{zYaZx{mN5BY~Pb$+H{LqsVz8zM?HxggeAK7M$#fa3DiHrH$b zkU=T3!KTde#A*L(;UgPJ9wXE}3m4HT$%l}cGDOE7{C)(@&%6?ZOlm$Ivstwq7a{!o z-JE;)F*?t(45@mS>18jm2{DUwimNZpl(S>!`R6FYH(Lv*mq);=>Q zCn5F*WB`j1I4g}~=EX|^g>Fqu6|m`IPxe!!xj+gn5&1MtmDpS11f(HH)&}Lj*PvWZ z0S!`e$pQdueth!@*UTllP6f|(i?T6^?ay?W&*rOfi0tYQky+n-nutY0wK-(Bs-2X} z)S4M^`nxv!{=qu|AsQFoH7)&}JG_3I7La=n)d+38go7_=X~(|ZtkRAizML>qnxD4O zRyb|tb}6P(8c+*jDI0cnVlgpomwi<)T=GaqokVJ^ndvn%odASWj*-pN<(O$!PX(R2 zPtlIl^fGh(w%qi|&hz)ei+CGR^k%P z@s6oywGx;2k^Nbu>kA@e=S&k8>V`sLB$$YsBpB^V95P7=J{w0iW1PqI7=6P*Z<-+K z1hO@%ddrl|+Fq<7Ud3bw9vCDX&4f-1_Empk@MkeSDy}}@yp}=!h)Mgl7+zc|VhCz3 z&gZ8A{iy=**{a6V1dN_$;@9>L8a)`DiC))^hKQY=XI6pNZ0>@8#`Z3PzobcwKc!~yw^)3!|9gnU zAVP_Q)V21L3-`+KqjsrqvBei=l^FG96xA6w|G@gV7%^ zr^R_OMRfXt8Q<_g_4bMH;pmhHFM|xZ#1vY&Ma_3%-EFnbHvN>yP0jZA@Agk#9Xx-& z_i9twxYKxT-BpK|JQ!Wr4>iCD$w0uWZs=Bf!omLL!Lc-a?`}TYYAoO-@|aAO8GNC2 zS1oqLqQvf&ce3sxP(QXOo=8vHw4~*hm_yl7M!eVl@N;qY42qYWBTNs$UD4~c?bm^W z*0$db7VkjqlTZY4(iEpyae5Xm3fS_+QexPYA}tf%*+eg~hE}a0dnCjn+CX4g56LQ; zRvbvi0a_p_O4F|iS|Z$R%O|q9bUWK%#Wi6c>OS=rbK;t;pFm%MD_f2@ws2{)D$xYj zM17GAyphAXti3a=d5rV*a1M*1hQ>(CnEe-~{jrkwOoUQp_Hoen>y+g3Eo{A` zXhuv2oO(=!ze^1Khgv`6^U4=8W>rVeQo$V1LaVM?R zXa-6L_uZ3&rM1C5?%qYE|60JW{~mE%R^ytq4+x#Zm3F3IG{KG3dzC8N`FWll{ zd?sb`k5QhbAM_)J5bkG_Pwv+edn~8tz72#Eig;?^qcGVJJwXfMO&K}t6af&t2l^9U zG5C%49y8vRk#Webhs;If2OhofH%9gki<+*Ja-r}0NdVx5_~ah+td?i!J_dzJ2aL}4 z=#BEJM8=Cr4@>^)W;Di?J-n+&7U0;OcrVuUlSZ4SYWqClla4&1I$fbS5Ni5U7Qev*KI$6#joXJF!AM*14Y@(?sb~Dv>rEyI`C&PbJ`oRF>CIind3}Ll~qG8(~ke? zq^NmK6ZDZ0toclsBv~jBwz3<%k9?9W;3uO1h{vc5n+0PdrhxeM*;M3$FN5ZVIJ0H7 zp`w;#i~sHQ*>vMV4>DYOxPrpWkZ3~*y%iir6-X$TArgXE-bOP|QCJ~^x|#1`KH(a} zg_yz|PWvMrM%H|@4#TQFWMEzIRQ$?aUournfjc_>?d0Ia$!3Few_=V@HL&Z!?HV4< zqHm;{<6n1n_clqJTZgZYb`SRVHyc(=+^q;P%hlkANBE&zmx*V;h`ISqF`W-j2cwPls(#^}@L|{fB_Ql|AwrEJ0i-o)?p=Vm|#-VMU=Jbi#kmna3so zs(JWQieJC=j-cIm3s2a&a*=mnsT#HX#uV$Q)D}Hp)!Fu zTAAAFrp>%8?sYdW2MH32g6T|zn=4M*DKV!B)*6mwphW@Vft@lhgi3Ga5E@9K9r?wP zWhq3J7}jp;=Ya`o1L{R%AY!A)$3fQw`K86~)t!zN2empJ4yK3$Dh9lr0&x3?kcpnc z`Ys#QMuzaQawNSV^$DyWGQ$bM)uT{sHhBs90fR2&f*>ADnFVmw>! za5bY|CU7``TLC}DNA-(ld4S}L5N$PN)7{GIF{KQUWfi+Dkw;5lyYlobfjkZbK)_Tj z;>fiYdQ5IHaUjA~#&CEHv0c#*pahB>HBb*&_sBYe00;1LAv0mkm|-*8Ek=S#z_17z zEodq1_U1(p-{wVZ(dI>Lo#w@yUTlOonO?1MXTdRHaVFSD>|;aGI02jj`--)OO&hconKtFzGKD0{==0n>3>-p+x8scRrWI%4-V!(??BfJ248Bna zcjDYR8)c{YY7g)8WQOw;C$!f9E?(ywbGRDpbl9$%BIxzny7fE{Knof%AXP)VLwK! zHsQIJW#D3jiESzT0lO$YbBA}fK?#OFe4H&*@y`H3zyR4EAlM^@uNHMXQw)$M$s>*y z!YbAnzy5p3Z65@=_~=M&djrx@U+GR-sc{b&mL^LiJ~9&^D5Yk6V}K0fJjHCFi((n< z(ZXT)Q5%TBD^L!kD|NEQ9^2es7vtE8`zTiqP%3b$m$T`>phvZ0BDjbm*Cz)l_YrxB zT_wwKa0a?(45w$lRBFk9+c=ei^J6%ZDL7gJ-PW+<`-dIOLaaXe@;rtiAIT&sCz2@x zyYU#15$zBIyJvULc5%j;mR=HKARH&KHxlKgINcKBzc!ItLkuD6>LcyqOs=hT12F_l zqh9m3;X0u6*Fjd5c&d@gVh{HfnU3<@nrb-DZJi}(LkuO)QYP>a#{q%HO;l(@yY5CC zg8ayQnc*3HiF~U&X{AOR=t%~a9AS9D+CVE~o<}v>Kx_pCH${>(5uBE8qIZBcFeWXO z#TsqwsmcFUEGA^oQ3D?!~U)H#Q$drOZQzH0~pdLaH8st425D_CB z9kR*eh!^w~O`&PP1C~pv+rqpX;yf1fULeL0VFD*tNi^{>} zRWTd>t2nA+kuXY4D(1T%M$bmW(?80F1kU*F<=%^vy>};X4_<6C!;$!;F9-8^F|Cep zh~mU)&|}5LH(#Q-@ONCIxTxKJWJG(Z{hh<(J@#V%cY_Yg`S)fp9S-K=V5$gLBRAXC z%<-nbg>IuH^?qqL`Re1pn213#r_hUu_>#8k$Cox|&KHy8@!;B4UXJAMba2t!EFIk! zIIT$lT&@>7jjJgvzG1a2#Qmjw*NpWpYEzA|wZC*@<{<&g=HhP5++#>r<=eG(m715U z9iVB9E$y;rw`j~fE~?H#Fa6CLUq|PGh23W_d*`FcC+7);yw-NBGokQKX;*Sv3$^RE z)D;qfMh!C};vvu{{Ne%3U?qo*+bWL2J{;57J=s(4k^E& z`GjlwiEnN`;hu^1XF5zuvmxpm(yc%3k{`>Gh}rv;RismlU=fv31!t)xX0!W}fuRFf zo~jdW@36?3e(Ts1%!}WUhD8oabUHjyNk-SgVG@`$&=Rx4+|ez|DfMGmDA_2G%&_+2Pfo}INp64LJK7Tg#o2^=hWNXq;`8z8ba*|lXW3(B zX*nE>FAjF)tSrWlUmx3W2U#0y%GABFHmkH_l3N}6Sj0ia#JS{?vt0_|D$3L)9-Wn$ zbRwby+TfNZpHweQ3`aVw+O;yKE4vC*v218$#)I+M{%|^*%URFt#kg}=oZD}_YI0Fh z;CXyqI}spU8q=(gub&p@h_-LGb9}vXE(DBB4n%AFbu#@|OKi}mH=|Kuc{O$Yc&=)r zm8mE{Hw z2Ypb_DFTeaA2mfZB`!rLr?qZu1WScJ-bMSw=HW#+1dXFLDLgDNws>j<-BVM8c;Hs` zF51>i)gbFznB`sLN0w-{v>LoFc06+^?T=_mP`92?C8$ehG#Gw|6&?jCkFy8^$LP!b#W&k7V;%b@UA)P1Y#7?C{(vpq+ZKr zQ!aC7tEP-@+3&hkW(sO|Wz}|~B&>5AabS`Aq{FO?iyQ1gc9xYS{1Qz3lxY{|-n*L{iHBgSzxm_E9Sl7Ow=bZ*%o_T+!GBA&xlh*`K0)dxGxc3eH$1L*UyUc!OdvS z+ae7C_=&f8_~75=%Q*2LV4Q*fqAvJPE3_>BLlPKdlSvWl6XpUOR=6GhV=8#cG&l$T zW5x^(sW5do&Q`;J3aZ^60NP&01au8-)?jEGVx$OAA3?JGt1a7&05OpOcFniyFs^M6 zRazLAbJpIhAz;O;za7pm#V!ZtyZUekK%jFDIYHn6=QytC#aZusI=Cu&bL3AHc&Z%+ zN{qHOtjIS82Pxp&6d3L0n}gjFmMN(B*V%M(z10K9{An;f>-}3|yb|IOxG73?g>=|< z+r4MS`OY?S&*D9+7jK7ols>JAG&nH^31VX)?k+;RBOJL6Bs93ip!wMaG&u+=0ULD4ea*SA<}*p-i=v82a+l-FqL}wF z3M;tDHiy!Lh*UZB*14(g#tpYx>!3^E$j*;EQW9QYjh99Owy0Z}1nlg0^vDviw&wPwJ+?Ouj~tJodTLV&E=2vI zrJk_xQJ}uC(q*D2d?rA83nvM%=G#Za3HAuroqi3jXwtFB)ZM00T1arcc)M#YV|omIRL?M2*an2wA=nUDH!cV&@PN8qv*DVrVzrEI1B``tW|m zF;P(d=&r4o9MUh%g2(*;nN59!w9MgTD^AHSnWH5?yt^0^`?jxrLqoz3v!PofhS@4+lc+G+j2O}{c4yZ}cb0@W+ zLex0$pCCFB@;KwPM2Nh~L@TZ!yz}F(18M+em;vWv+eM%ivn03y^CCPE&5J-3%|)xi z@om`;IAmeQDd*JEr@6&`fI-vj2O?p18`!JN2YQ)ygh!Rn#(I$SVdX|V)eI+pB9Q)c zu{e+&SyGgSMa(i(hxB5h$Ya{|G?T-dHHB3JIYE&_K1G&N#10Z@W1;;(Kh~h&)(YkW zB@wEP#riTP``ZlL3f`>x2wDI)C$e=Xa^^o&X;gTG6WJZRLQ$`MP_^zG_7?9{{L0Tp zf)rFiXq#XKTkfE<(mh34k4QD&bI{oZ@kFQz*k$nk=B);cEb;{Rb@PqI4nu#w=J6<| z88X&`U=@SqQrm~$@36E>O~|!xIGd$=e3xCzS(%Ms?6PmDPB`}^T^tgEkxqOP0|h&O z0~9JQ5qq6^@f*S}E0d+mAt6e+nKQsS@z?~Q^_U;uSx+TsI)D34)ZJ2Z$VuJpJUgt8 zMg9Clm9#)GKvW%q;nM%Jcdfl~8_D*2fcyu+0j^{~p5^;-Z?u5oSBz_Wy|&^wpNz3I zl65oD2qQU8cCr8cp6({uRs9-rX6(o^?F}}W;jqbOv+LBUQ&lS=m~qTd2v(s^YmM}7 z(G;dkd9QJeB`kKt3Bi&{i3WD4i-tUIL1?OM+=|q#gTPhV(3XJHhv0u%pITNa|L8ttq#2LU}>GqrA&0Y&<&Qi zo4M4*xWjtM2Dy zeCbmER=HBY&!-pv=~AQAhw8uEH2UsKku>!-{^#4S&e7YQ#k>ET9bfPL@baxFmvmR3 zNFDp2|FKU~$FVP;JR;}R`S$K)tN;wII~Z(-l!x^&Fpu^_V|V;FPGbo9{ zK!g4>@LK>PJC4>+4@p=k(JLAOqH#b}*P@9P&fYuzjI!LKF=CD`7FZpA-vPCBaj7_hy=iRf1J0(atd|LGz}CnoA=%T=I6tU2vFxzF`l8 zjGwLRlwf>rj-o@*A{l{BtvLYDF-5D`RXUEbR>| z_Y6x{V_5oTg{7MfphTntN*i9%oO-n6#ou<1gw}G8`B#Glsc)3%81?`fsW_>zFJ*<% zqEO*^^8A$P7<)MgOaRBR<#cZleY?HTv-Q~5>E%G+3R?F-E=SyL&+6*k%OTf|tJ=tN zi6^m&`ZSO6<2&k(0EuxE+?65$XxFkc{WAqnF5t-5TC;R9W&Psc7-qMrVb51fbs=a z#I!YTD=0)|YTNDHxde<+sd4r^eES#vRqOCrFhXbnyMNJRE!&qxJ&f?Zka*oK#fwb_>%6M2ZfLB$* zQIEqKY&Y3<8QT2lzV zSypU~hQl4EV?mZ&iz@pr&R(&OA!|ISdFhd^CZifM{Bf{cd_W5IisZB$3OH|tHZ$1_ z6*QZ}4|eT9f_b#xb##UX67-Q4?f6isBmrwyvX0K}bJ0E|)H6|)7DWkRa*n2fE`pt+ z@*EB)O8ysBi6nwj>Hhwb$Uuq8E~xH;%dQ~7$=M=qZ`++PP`&{T$*0q52SP%CE7%r3^dBf(mGR@zrm@yAON0!jl996g5F$2hFn2_+-S+1 zHbDU6^IuY)QAdLSsEvmxLxzh-6*#rVco4ui*PEVWY$FKZCGquB3gxEZ%EtXBSrX6;SQw`V_sL(pg z`lS{i?<1yv!sF4s3jtMrsm|T*t0+LXrgzQTM^mwtUp3!aksBelYW<6{K)N*QZbmTK zXm`ZuT!psOOCY-;iAV~hsS#s_$0WX68%Y5SstZKefQTS-20BAPzzgVY?^OudIl3bO zg>G?S3lp#lqtt1F|ImYI7t@B{UTwKRZicoT$;T@LEaowOluYll3$VobL|BrvNTNC^ z6V%@$x2n-`-v|pUj$Qb)<#1qPkz9?s$#_Inuvr{C_pkipeRcs zWthew%S?BPM2qwknV9rXz!3k1K^ES=)9sm2@B_NY_3#b42*1z}$ZWvq^!_;ZvDdI0 zF7Y@^&wJsRCv-cvJI*qe2i)z_A6U8siFd9E1i4mz45{3bkV?x(Q)AzyXsiycEym~_ z!9QJ1$q!1tMX}LXbyA~TVuYy1;!97?CBd~}(YpZrU|t9rz#F|Y5w!zlD32rzdxGQA z&7$?MLr5ifGFSXAbh4IR=t3lhYd~&Tjq6-@V*szI6KU^Ms(vK)GWiwV!*pdy->+oh z-}8UX&1`;!1Scd0iH}e#86}q|@(X`1P!8AH8}KUv z^@;3&pLw*O%Am8UsSGq^Vto$C&e3i%n$gH61(=5v$uu$2dq7nw5w3Si10HA2l z#nuBsb~J(qnn)x_Bdr2a62>EMBLz&zNMFZAxtv*{J&O$WPfY!Rc*H3IHgE}H&{9f7=#E^vV?y+ZW> zPZ3se9j(CyUaSrBgbjd^0bxKOAc8uD5MvDj7`PJtta>7hG6uwe)~W)E7Kuxa$)N}X zlPO|OLRA42z++AnBxDn0^g!&8pfeS;R;)g*HuE@Jj@yMk2^SI7taTN&^GFm&Ns1K1 z0&q|_Xo#8bYo@rU_mvF_`(CfwZQlf^9RQX%t_SI#nRzFNf4i^WM+p^^ZBi?`9{ ztLe!R97UCD+;N0#d3w*p=+~(4Ule$?QF{!bR5CH6(f{&|zE}tq6pVG6QLwowM` z*0cIJYb*q{LVgBc7cyDqT4}4#43cz=mlgc9MnBEr=QjzZofdf|t3dY2rdWx)RES9{G)6y$Gf+TB;JRII z^bx5<4-SyykXJk9xLNGs75L{Xcm)(m5q&I$B05*Jj=?MNvvq=8V{~&A4LGnWAgDwm zkTern$7)p=V?_K;y6PhQ^UbP@@N? z9tD&}WMW37b32euoq!3iQlxC4hcP6N^cin}bTXJ;B;r&B;11`-ETp5Xv{NqX;5T4h zyhx`+O%7iH4m-SJ9z8U?f>vKZHxVS)NsLOwPA}gTU%~r^KQ4Hn(U8G_sG~QIugEd` z1EDp^4;o%UA2ipEQXFJWBiUpq`Jp2uVoNWXKdP~abv8l07+9q6U=jYgi4!Pw(u7D# zh@uO4iCY_E2o_nBdGSGzVuT>(!t;btun;`oi~$z3EInfFX{C-Qg7T>)g*OPWAS;qu zrxAgC`3S@`F@Ifv1%+4f{`a6-AmLz)i3n}V+T9XA2ro?kmyoG6|5cH9pz~8=lxqb! zBDh%i=m-_i^{uf3%6Fok7D%I_LYfGJXc{sgB?W2EDo+Q*BzJg7Py}h9;;QfWgVA`P_6 zc1_$q>$|Wz55bQ#%26#MNzp+`}F z9oC*l_lLNT9zPIw;St*55{{PVXJ@me-1_>upJGF1S~|Ze5QmQXJhiowmq*YY==NT* zc%j8$%a;b~kSHx7522>!HdEM$x#a-RIYcb%o|Q4eBx2g|Mx`RTxdejKv;Xg>@|DF@T}pGk@s4_wMv)B=Gnf!!s=A8G?8`ya8aU1UxINsr({}ChLZ&t=34Jh zRxyB>LDG@VKtYsl#99<0f;cV^=Es5soV8&SexPAu-(S!thy4^O0pJ8GbeEPI89EGc z(eA&WF45ozSV7mRrz?=;Yui0+O%jHlcX4rnjzGdA9VF*YQ?wT-OBjJ1HPxE*ie@^Q z|3&oT9ycz*TMum~b_dZk5<(a{1;KMUoVn0b3!cm2(ycz1_NB;_zBkFvshp5-yTiVWL?el6Gp)AQJqYwvA+&g1ZP zd)%0QN_P0O&|L@YfMyIUH6Z?J9qzXt)b-cKBbmg|v0=YSfH~bej)Ws6xesU)kE*f?j^k~4{ieg-jo25Q1 zW)fACV8tRdn}YKzkKdr^BXwOtb>%*secLZ-7Zj&ry9g5Xb+av$6{2HB~ zOBP_czx5hHt1C_-koD8W$!s}yMl=5LyYHK^a0}YvOfSx!JdjU$c5&~gS1W={#1Rrdb_x}IZnvaS*l;^|sY-BhTCs37rQmjD+dQt7&wbre0nl5eM7RM0mM<}EwEUG`GX4b2R8EuYt zWunC9;X}QVbb>-TBBcU6FLKnKwpY6&8N>~6Uf_oLWZfCvQQ zM8azh;fsKVTN%To1DUi0ld(=f%y3IQZVhhU6Nd{0)HH4pM}Mdr zl04sU{^12FLKQRg=>UCC79YcxC9o|gSq(tW7MeJkaETZ|dYs?Y(`Hn(^XQe%#2!2#<@c<-u$&>?kg`^|7!@><_Y#>t`H5@8Lnm`4JnefuSHhpZ{ee&SDQGhxS??R~u#H>VbRy~NOaxJtq ziBVt7Uq%cWSuM2s$Hl$N>Dg>&IXj WpaLb<*2xtl($5Bxe18h#)2$hUr z8Q;lz{bKs(Z24^V+q+KjLLR%9Yjg+-I(9T4FF^$Xd{MXoH~L-+%&qALxyu>goGQ~UeLm5!H2k(Tyg3e ztRIH(2eH(0eWJVcJCIqXSr@<{Lgb>@uZ$9s;sn~s!q3s=QHh2KuJ|OVU?6nTNJIbd z&VDpWvKL1TZHTXl**mVpUp*l*7StW1_>5>De?yqX`Irr4$uW!b0o%U_txks_!5HC% z>i$iSHEUlQN6LNf=k;u<_VW4pS(`OQ-b9bn-oAl-AoLxVdolZZ@ zuVt`NZzE4Gem}oDf7fM}k;&0}G)|xr$9_J)elK=OvJb61fp+Y+Pd%)O*O&*~FZ$X( zfsvHZl!*Ju4wN0XwmtOn=#}Wmn5L2NH*$lwjTl+g?StHSTo=v_?Sa9YplC*KU2_eDq!{EKG?XxdtB>n>BhKd&Yw289reS(InOOwd-fd%v%8S zds2!YEZ5Et5^N$j?*;Po{%d~yKNtUXcKts=@(T#lA6FFWT_5@75Jj4Y`;jP}35`Ti zQ3U1FplFYf3;_C@3e64U$$=>pN07`%NMUm`QW^q?j}!V^ zQCv>KA9VsN2x+ALajYQ0EkLsn&Vup_-8IDv>%pH}F#rlHqiU~@&f z3}wgEdX+C?384bI{#JrU6P4@XlY6133;A^Kdy3{{z&X9HlB9)QI^ zH^C2vPzxK)l4|s~Kqf0n{E1ZH?Ts-6V5ucU3J!ZjVLfqGIpV6Dg{wwU*(fSgb*a_~ zx3^ezY<7dv=Jt1wx= zbT+OHyZ*CN#*w{fl#K1dFli#8O_+nxu|KTohdRtbR7eCm4#K9PcZ0zlGJpr0+BVAZ zMz@e*?Qva!qtLDpya`(XHA=?b2jh13j17A17CIqIggq%LQ=>wucKxhAk$z1q{75BZ zYskg$iUuNvcvpSU(j^x&4)=phItv3SHJG?US&;x*sO7(=3&KDn4TEZH-xxmNEo?E?sYOy#x8A{UON5QFYC z%S_1BlI|>Ht&E8w7o*fZoPloN8`WZ$s*WG2Ex}j`U_4=W?OJ~=i6bnj&f*$NWlZN9 zENQ$!U^(T&n@l3ntp_`k4f3J+us?*P+WrudCHq4dLHomPx)_5g)i;XrqpzcaZ=XOd z22etrOEL^qi$Rs9ChBt#Cte&*pru^-f8Sp@ADN~EJ5N7H!TfIr>l z7o#w5TA^JBdfmuAKw;2lMvWClTpR3R+JFEyR*RubnCr4P#ds(djS6H%Rum-)DDfsY zR4s-nM8W`Kv}jNyQhVu=(>M!iG0~_OWl2z`T3s)PKBdthsO?T~eC~%=;!&cf0NQC+ zP+aEN4lHf3L*UhR#bUTHkJwD?p{yuL7!P?CVxw1o6xrS3M-1!0A%-3O_>2l;^%Wsfz9 zhDa@1C!ne)z!93P0i0i1r1tdm7?K?y7MdmNM=dK zo9)2k7^?lsLbWfSJnB`?L-fcCkaQqleTdQ#pcUP*=;3~B=?&1Lh%MT02%;uOCL)Qt z8HSoeP4x3Zjo%`R(B6l>C9RRxHr))+es%RckiJ<#P=d}ES*%#8FyYpQPE9f_5TIRy za_c#YCJqsxP0{r`MrAydct$xuvQ&&4;x#oiyT?B_**y%Ud^kx4izbz#ogVT{UFoJJ zKUd!|hA@bp0Ii=2>=&TLm5)^7>ziB8gR=_Mp&28zV}w?f^ib*))wQj)cw^qF4)8jo z&7UwrD|*?2?_v<0YV;_v*2H~{Dr281LR-S9G~myXMJfs_P&5YxR09?dc6%J|2Y>#g z(*iZt5H3XLanv32GmFd`JDJ5X>l9t}yhV2nENul1$4&;u48iVF7b1{m*Y3ag1|u>rr+-=2sgy-7QCL>AF$l&n0Wum0(uOFZDe~eD-!TEO;mkKJp*w(!vG{hk|yYfROe-a1OSRiv^_{BX!x0+&70_L30V{?jKz#V0R{mr6QW$S zoAcVzw`gqnOa$5Xtb34?O;Kg$`vZa@938(9lq~MR+}( ze^B}%bhDIQNL06z0&F;?Hk)}1nAKHTsefgqkT!3VqNXlOc4gc8&98j*5L<5?-xXbz z|Keh5#>Vkox)19abAR#M<$U(XtK%iwQFR&6_n44)VB>gUhuUrWOV2PMp2)Dsc)(6D z^h^fuBr)5-$6*dy)E?T=gX7v>QP4UD&t-c$!E?2iyS;~i^$YCCYd5`cZI@3jP9B{v zudeNM5q$G>cIy7(<@wor$2YGo1;SBEMI~vp2uFH!Sf7Wp*_2d3|Q%QMi6N-@@#fJJ(*rlcfLQqY<7{q{T=>bbBNf? zpIn?RXIEEmRpYz2m*_;YbMXAzZ-QQ9)_IM#455Z@z{gv!KHBd|x-|>JldRJyFHs2* zjpHyj@=FYOtHlcW1P$iOx~__lib@X-WanzJPDE(Z0=9d}6)JO)K;zyz0GW=T@S zNv6CHcms|{Cgz{5fIXZ26jg)amsLe7GaE+G z3FM)V@>KklrzH|>N?)kN^8MWCI4D=n;c~h7s4Ydiwe= z^48Wtez-wzs7t@8CIkIw9HkV67!ps!XMd5G&6z#xgTrWHw6vHAuC zK_HlpMhl>=^QtW40*y52!{JDwisK0Fg_`pwwR{zNP}f<6Cj=EI(sc2_6pGpgb3OLn z^^@2g?{cR*#+wk#ZJP5b8z_L9HUvc-&)5EehQ9XNbRbR)5niV5-}G3q_N7sD+v|2# z(EVRa=iQsffOn+A{ptK1UMJnmG{?*^w=M$1KR*9g0~jU-7Q{|aZ0?X zkuqcUv<06Qn>BhKd&Yw2Y2Cg46V{Vr8#41jj_sl3&vr;Z-@WS(7Z*eUsgwF~yFU!4(`!Voxg8?I}o*aJW5#l{h8t(@Qrk*f~^Faj$l z_)*Ny+n*1g{_o?bKRw*Tp$((7ugRhPpQrO*Uo2;*v*qmKc*e*X;LL6 zngwrqZd`%d6w8Tz{*d6LinZiaUBZdKH*Fe`@%b-CvJ%Enud}#%=>UO!h|wKbUHw+Z z*hX$o-jl=gaDbSFHOM^e6$oNNRlgaFFFtv+_vDqFng@G#_w^h#n4(viApN$dLZXF` z0b_ls!_>Xyf;U7dwiJ?hic@=$fsfArn4R2ToG6USeviu*Hu1JpFC3xu3TW*`SSxrr zy5`iDDOV;cz?4yzRM`2;TD6?^;w>Au;S`nuw%9%#gwi<>Os08+maSz}Z(5HK1~7zh z+HVhb?I5B2si21scIBJ5yYp;%g&_FBt`ok*`2;U`38e)%ZN&OO7TSP>z?Zy=zZU@MS0_uORSE;-ZQX$W4_&-O4B(p23Y^Ge@L2PYS$0 z>Y-^6Mvxc5V*wCGKnz}wswxp*s;U?nRPq^YaON#Q7fihedg%L z4(&}Dr75E9GR!y(x=`a=MjV!R5DCx)mL!MuuMxAB5)hM3vpF4aC1u#x4!LxZ#P&0Y zWR{C#D5$_e<1i;mp%|=-CC1P`>fj$N2YpLBbW(td$-%9NR2d|~*UkuXEL2K;wP9L-Ye1UDh^ zMO#kc7OHk_clN1ogRw=(WBrQZ) zBGm0b}G>6bC&*3oJAL4blKZN6_KXe9pNc63jLQL7x@Vmh*HyL0X z;k~VPUE?7+zQqM^!j4v%@jZSrGh7wg!%Rd%wt=rVzPEb9ddBxwpGdzZHWnlr`Xd$0 z`}Ui?*~RpDdWoRk_W`IFB)RbJ4v69zM-L3*#n~hiKpUVjDWM5-+T0FjW|Oi;g*8ab zK>B5=JLIPUr=SO^Hhx$Pjv&%|=>)7yiIOtN$}WJ})n#^U+Ddi2Bt(bG*1ymfn=q|Qre&G%7rMMTAVycmckRX5pt)#$j{9eqH z^&Vvl9GRG|%}6p#k>>;>OGe#F?z5151nIMEl_f(Nh(wV2DSQkl)_pk@l zH5${vAxZGo6%3Q&NWIyKqNNG_BU8dr@XhUzklhXN2{{Mkk5e0g!MGEHi-)_f|Afcm zzD&$7RS~?#&gSF*=|p`IBp)ht;E#L=WFd*l{*FF{+R2DH9ZEEW@J#8ScGk&K{v?4; z0-Spx^EZ;Y7j~~%?1;}mdy}LQTfz+)25JZyZ&8d@4x1;c`jYz@lQ$rg(vDN>cflaq zAAWKVZOtHY&xIhBv&`3_syxb24qIO)ej{Y71F75olthwf30LMdVz9`QMD_t$q0K4| zj1q$J0h|tSZ4^E#uvhxfdCT#d$M`Whol(vCrf2{fiPkL{&~~mu_pQ&^VR0KdkqOae zDMp?nveW>ak)8@M3rou)Mktw}jERt>Ca6l38$IK=;i-@vniQu5LG)8-6`qo=-2XB|Ti;39{v56xX5yQ8D`5m4~ zg3<}RHsN+lDfOe8dB(`~pYxfWOWf750IRXOV$h8MfyyohHwk9!3CQ%av!*$5>};D3 zORZv`eLi>(HkjyVG}KK5ck%!?OW$`A1Oa%kF(ceP`@x*LaUT_pxHQPC}_^41_J8F2jn_5|N4R6P(1YF1xnx2mB2rqDryZ+>GLtjAhUn(%a(e9WU*u#TEvFY( zwr|6|mDQ6<%YJqq*07&-?$lT1of(`Hi${bM3uukngSon?HZ@{}-+aqdY2ei2ISS|Em_sggjieNBz3#`@R@CWS` z8aho&^Y>q%jv;Lq)ZwXE*B%Oy5T(#b^#z~}J=TpNhe8%Uf@L{P!iWE6avDlb4BkvZ!&>)3TX=BI7q03IDkxQ`y@3ymq9F28myLw zD`kWAU7%Jdg0(2TfI3#kDr zXtIDEN$b;btSqY&UU`v zTp|`%rG7k)Rc}sOBdtMXfU;<&Q)R<=B%n4yY1(A~h}XPIa8Deq&rmT`O;3&}iKwO; zqu@)zQj(N$6BCo7yANJB6g)=l6Npa<&o-(~Zcqqd1Jy~5nx05INB<_2o_?gSYo6vNWJem4Cbke_^+*n+ao{Gx4Pt?BJa0V!BAm`db6FR@ z??^k5*+FHn8P)`%daA#5fH@%))Buhvxa67TAm{f|Ts>ZGWkc2lAvfjDd*{AcPI+c z9&p2Gx%YqD+viZe>g3XhsUUMXU() zEh=gBr5f0X%sdaX)RUUR#d}U* zAy~pVb*`B13 zM2Htd*=XFdyeO^5=hR; z*tP@Z7x~m%uiaJ+TjFO%=;kv@APwTKhsdNO``YcqDduf_39{+mcq(a>KvJH| zrRnL6d=E|Be6f@e@Vik0iOS8_%ky7n*YB4fY?0!F#RnujbVn>coV~XXdOAuVKT75k zE}NZI1YBZ&2(Qxq5KzSa@RMh^6Z&=lJv>SvTif1p%{{DBYm_YfMj%6g9v&r-jcC!{ zf|Qu?b~a46+yZO0I0$7IhwWEVwrjcn0(A^Y$=v=Y(mr^Q#2z4lL~h*ya_d$qAh8Gg z32$t>KG)GfcpFFiok%)I%!!06fp$(P0|RmsX9FYcBfO!2OlrvGAn|}05mF4-(b}mL z%8l(5gfrD0DnQ>6=bxq^T&v`2HIVKmR-E!q(K1yCoC>ttQjKfd_02VqC~OxAsSL_~ z_Km+!yeTH))ED!&`k<$%mFDVHQf=|W_*|3x5gUDq-KBE zoD&Ay)HX1&Bqgu`eWfW}Nm-k+p-TQt4QWx`eJfqHqZ`J9M&#V%+CK=*kpt{uh~RX& zf8v@s`%x38nq-7(&f`6iOc7TvCn(B49t8}5+m-ikUOvPSb* z%WCma5qSb6(X7{wArHpiSr}bM-r2|Zr&lwZgn6;JvOg4qzB-;Z)xCHg4k_`vy1Lhc zck`bvPG-wFR2=p&|M=baO-EjTuz&SA>1{rN&TRfpp3uJ0OvTU%fj7$DUytq$8VV)? zAm{g}fo+uFFlP#(tv@UUI|{uS);xI8PWH^jv#86o@eH{m6Z(;;BaQZsdfzSReS=Gj zJ#k@?j~2?2w4ZkHJnhTb``J633(5obK2J}oRAwzML4UTYUs|nf@9B$YqUu;%rwnB2 z{>jz#+w;r4OEfh<{{vk#@b$DQTZVtVckkZ6ub0!y{o}>upKq@hZ;vlOe1Ck|yxf2L z`~K--`Ej~D*%zhC{)5_RuTbCY0y?s{?-!SE&rkNx7CYDYpQxO+bAj*hv31zla`8bP zEH2ymho9w->m8hCNB-NH74uwZRBr@bsofuV$QNS%i$CAI)8*^QY?ca2h7Bn91OAJqo z`ex?*k$s%}A~==qpK#x--<^z}nnG-%5lujA#oeq=-lK2y)MVwP;ewM6mbh029Ym zouYUOLWYj*u5&N!n3&^e?OPxu$r4YI!YEJQ>1Y0`#8W6>T=z+x53M@rop>5SrGyk- z+%jr!qs^rp!qm+extd+#sgYheAn_EK(xJ~;bDT~ER8J}zBo)ZjF-p!Vo{^kT{>6rw zr~G8SM;W35QV+|{JoP7z_RT!a$IR0mVK>LjQ!x!J7&K;{?%t+wfEW60oOy~YN)0<5 zpv=aTrs*xwKaCKc3ISR+jt--lcb9Mn46q^GL9tHt8MLCy#qsRwY9c>6`tx!IKllCg z5+TfI(<@XCKiH)g++mRd1xL}M7oAek3b{-xCXwkdh)68Wt1L#5x4ID3N$GuQ>|r)w zVJ{vbGm$SEt3JwkR;3ZlH$5MvFd?CnHCVfjbP7YrAuYX)ky=_v`X@nI(>zTT#!}Z{ z{V*idu~jK!ca6{7UBkHq4A+{9r9{q<!B}(e$?y_H(+LT<|ioV|MA6DZlCQ+9&;EFI zyga|W?xa$~e%3_}Hj?PvCLBme<^d#j*iz`X^oVuv@UYl6`f=EU76gWN^x(J@#VI<; zgOM7W5pHd((H6A@uUL zlT5w!5G{&eOfo$=TrL+MZ6UmJ(-m&P>)Ixn=59kSR6(iloBvaTEyVwo(B>O{b#`cFW zoc4z>7WRj*MEXMw1<3kZldG2R2^t+$8QM^x-~cF7#=okCKr|A4$?7=D;~YU~QBfv0 zsNZIFnP#$Yl4%uc`z2~C_~g!Zu-!a*I2uVy%vl_R&_~$=WsVGd(V>yy!S<|DHRn;7 z5eB-ce7tV39c!pVAtul|gjdh@%f3b0(ouBSa-*LJ?doe-BCIG9dEU>j!g+hNO5-NOwcK*eNfp&f}5@_dN zn4=6qLf$~TH%{I+&|Zvz_L~-HZ#Dw-<|^taVQ7FNDh&NAYv;#x8TuK{OuV5g3n8kODAE{6hw+qFC z)=ChA7YZ-{GL(9zXy1!am>;Bhk_2u6NSG!LieNiE86iUKlv1Ktpco^bkRY5mtporo z1MzN|_!@C|>N&cv#lUoQvvXgC{e#f_3A|(fA~==qpRAr$dl(Acdfkl0NsPrb=o=si zX5!A1LfJgaE8OT|MT(ZEPDUFyN+&3gUw`{LH#bOx^V%DF3>ur zXwBwxnLITX)8LW&ucerVi6e3 zXz;M?EJr@pzpqdiV|KP&&aSTBF3(T*9=?3?=fj!JI*gJr^l$;KT*Xy zL=6mi^uK9p7d?FM`Hyd(ys*yc92jTYD5VQJWXn$ji@(xOGmaVPr==6n=BNP{$7Nli z;v;jdIzJ6%fLKouM%imW1BZ!jt7Q_SM|7I8zCOgaU|uwJAl7ZYBfYmZ+%Vg(80FBP zSq|N!0RZ)V5s7hnu7Ne^{sCK#?n73u%&=?Au9eu;Tj&BsP|3*h6zIe!LfayBahpC6 z@oq<9^WoFIyVU(3>k77*M=q12<@CZ)Qjt^Q!xYM$3qI^;oxeQ_o6i@r{tfYwh6tPK zrXTc^XyMM*=Q1FPW3N|k65FnWqu9va4O|-Y5ImQS?t|xQSGxC-ou1q%QT|FL%Ko*_ z7A19C?FDI}`ZZo5I)d$8I$k}!j)UH0j}AAT!+G%hM|f!8eiP{DF6230-7(Thz22BZ z>0=!2_si&fbn!FzWl07>2b4@`L0+#Ys{!3{oFhI45ftQgZOd{4o@$J2sBtRMd%mw! zhX7~9_=+e((Zr<Bt@h7=4yLvSS^!4_3&a;UWkG(WeG2d>|vNQsnQh5*^$At++-* z98)D+9Fy)hZNrT(eo1+MQzBZnJ>)Hp(@A}BH)$$*-Q*?0p=f+WaiExTDN@zCFHr*! zQZaheHl#L~7Ed#DLu%7kwQM=!qv*Jg=vco%xkxNW5gw)-V2*S9;vhkxuF5)PTAJG^ zIhKHOHAHEm&S_i6ZVU}>TzVbMxgUc--05S49T>zo zdLRZVCvlY(z>s-@suoECL}Qb09Y>r@P)h@|0^1y?QJpBArWb=i=F3%2U#!~LBTy!K z;}U6MC{&$5o(`xK6>O6sAi|zPvZ^`|P)s2A%^_d{ai7*HTh1K@2%c&P2IyJ@C?ezo-96wFH876s&;Z_z)bwzjgBYsmNK&;-!%jwDa?Be=M54C%P<9|&NE6d1`XWU{y zo;@-^S_>Vm-&EIwOto?JKvQiyh9Og}9YpkdO|win?jci+$yLIzIxv<% zbh25{!#}R&0c?P=Am><+vnlb;Lv_~5WGJH->3~zTR^_$x> z16jaCiGuCva<{vyo_d#V8j~q)->@^Xd+d@h6??2c9oxQP6WIlH!D^-XRRYk3-Z3!1 z3|$zjBpI%(Buaog#-iOp7sCc%sB5UyMZ`TLb(FFcxC0nxU~yC=Mf`ye6v1{64}Cla zzhoX;ln4YD<$nUdk+F}C%_>SyvbC%df4u(MBv|$#0k1wX3?e05Sk)ki+2r(gYS9Se zU4ktr38lFJgfSjJkxogmA(|Wba#LUOVK)fO&Jtx3xFHPmwV|B4y5z-a+{M+$pk(F2 zkaCTVR%t@PG9_E8kZaI18NAu36H_5; zo@`!oF>#gJMRH#SS^)XJvt_O1R)BaRT*Fm` zOm(-X$9`(dQfH_7f0M9j-N{HH8Y{4C=0hLb_z;{7Z1d1&N#4v;apjX2zJ9T~SRJ89 z1oNXT@c(87dcVvr)2Rg7UCm9e8brAXnO<^<;n;Ii(cD8%5$QP43C}3 zC|xdVb&z_T#YG2m!*%0>nPXsP5#wPtAYp7^=yr&0w3XybA@-buW2>u*iD-?Bw#x)6bq?ei@5yhB?dw61!_0B;ry!NGuPE zV$qqp5QB)YAI9`JNEG>D0{#ssF+V7D0YYsGDn)!NLy0-!V1*ly6Xo)jKCLPxXogM0 zh-eYCY`dUjayGW=XZi&kY#D2~!-0(dU0l)MFPi@?+#kf&&%?kZjB%IJ|C8}mbzh8w zn54-tkgH8I^`cm;yofr>^8K>e&z4r03k|^_b)GHOg)_iafYjD<5sP9rDOSYCdT<27 zMPVT0;8z~VZPF`U`9)A!78Qou7UcrpRuby5`V&(0{4r%orDg`HwUb^to4n`cA1B)L zIiBpedaa)nhLneAuhrQV7YTJgK3a7n3+_M77IydP$xo-x))yx@E-slLe+%8YDs9ro z7xnC_bkt#Zc|x*gl+O>_JE;kc3kN*1N#m)_$@+1n3mQ5$9G!w6TL#CH*fJ`KHCZou z<{Y0Xy+Cn;#zmNvI0~GE?nMNgyB90pMVQ#!ClP_=UPR=%dl4{dxaghBmC!4q+fID{ zxLy$*XY#jCQY$9yp{Q1I_~!Ia9-XhwpPa)u^XmGg8v6iYa;x(_b?L@m7`bbe zt_i$z@M-Z}0BK+4-lR{I$oc_rw(-Y=6f}-d*Dg z5H6)FK=lDKj1bdL$sh})N{D#;9?Qe^oT30_aD$_XC_1VmxE~=8!sIR$ppeS5I6#g| zRxJ>~h^!}=+cBFIyEr%~&ODynQq2j_w@|EBjC$U!DZ9@Ms*Src9$Db1Hfloduw0o* zeqT$Q@xJoMq`(Z`2V#Rd%i-ESRquaz==d7^s*M~%Cob%hzhtqGf27A^8@z?Jh~(cI zng}Wy=(uTbj#NZ7@vxo&h$9MpNCktf6$%eMuVY;(p!W-%NhKg4Q(demYMBdW-He4; zBg%v2fuScB%ounN3+!{yK0U@7b#)Mttb&YG@RANOvci&U8I^28taEwY4t7&k$Yb}&Toz@a0asvy+h5CtPvNNItP2i7 zFq;psba#U{(*a9+fY#b|hVtVrO35uz#>ykgIbf+(s!&N?MC%IJ$QAqpU_og%G)6=M z8UBlE09~ALGG0MO-HwviDSt1o7CHSF8QQs)!4bgXCi9J^t~bX9TF`hzyGwxtr`YIBH=W07;Q~Q zwXN0HYgM6BU_$5LcMtmRU<>U%RCPr&52WORW!EH4uup^bBG=Yvi(Zk`s9_6w_)5!#8j<9kwhFs7tj8E%hgPbJXI|jVwrrnj_ppXz7{aniiy6Kghr3<7XQ5_X8Pc0LF%Za@T0?vZWhPKd;i1R}%7nq>cgtgz$7$jw04 zSiBfr@`45qhtVt`;aLz9>*QTlupgE#l6q zyjd(86x>!unGIse94T`=H)VUrP1(F#z(T50@D#p}@BSjTb3HaZ(1>qh|8Hb;U*cq> zqcAp~_bFOzEE}4cJLbk}b7QrO*JzNscJpe|W4PX<{FV>N>caxeCgkc+IVg|N?)bK_ z^DqLz2sRswc(}8HY8%t#kSdB;QYPVxXG;+mW)=>pVl%c4SWIecoaEvQS-pSy?B2_Z z*O%_0#hvfh$Nn3SUOs=}x%2p(G(0ub7sv8wuV5gh;?6}=szi%2hz4{uTH`mvSB?6`@G#hJ+#YiVMwED=91%yJy zXbY29)Cf1`n~wUHt($f*9D~LtH~2t{lymmPYzn;klO1T+@opz7c7~)*#fahLVf6 zPU!xGuI6ejc0{K)D`PUqHixU7D#f>Gl*5@7Hxbt*oOT8J1QkuT6fAWY=3cBmY#=}~{En)P6;pNjP;vA&HPrqsvukNVuK{@R1bKOWxy;qdy9 zSF~SQxkYM|-oCgv`+X1qhLd6)19!9vY*0vlfT$-T`XLGv71x&ggHxg@)sk8z3F}Uh znU^*&*IDkA$B4om`?Y;_Q0fpdu(ci>d=AZQsw>w<<0H9U?8(gCwY zLrG?(nwzneJbl_=LCCTpr`lYE$IiXD%RKEM1R4pBLo+tCVMCNn)fVW9g)W}_6L(mH zE(NiPPXU0$1$V8f#}?X^$sT^)-f{(GPh_wSdkSk(D4Jygh7mQ~*~L`fbRG&fbm24V zQAcG3oryA-y_q;$qOGH9rNe~hSK5;~UO-^HjEq-I zH^E9gBqgZ2Oj}urnd~W_(LV#{m%-Kulg=uMeH<}!Rm)%cSK5-AT)ZG;u21}6YwZ3a zwm+CKFmli~#yVTY|C{k$stQfcuh5dIMW>(oe7<~ z*QC*>(W+5x%8fJOJcnfs@^+9X9FLu$oQ5ij(FK@!3gGZErAFxsUv55MpRO-fCvl?GRj9fsD~`PN zq9xo!ki@$_dL+laa&sB;Ez6kCaPRZK$3DW)Sdy8{h~XLTtxrz&ez^5{0(6{?g}lgo zhI>ejRHC|5#BFHoz&%~X~by>U1;EJL=C@n#pbkdZ#4lX1ktoTES007}3l{#1yy}uaF~b26{tv zBo{<<#wWH&T@~t~AJYu=jnbNQJhB+p1Fqt)QBn5Y7WeC#qWG2K?!LsihTRyb%K zmkT^ZVf)b#H|-Z2)E%v%%=!eDJ(3Yt?w-cuvi-$J1K04fQ+ z#4UgH1dBvu;qsnQKKg>KY~L5y{!L!Q;^g&y&k<0MB5BnU4NO_61rV%=wxHeH;#5?K zbk~cXaRjO0rJJs^MMS>g_vAwvHi?+Vcx>X1OoTg0@SX$x z26N;zcj8E7Jm1-Rb1HscC`PXfomY*DmKTtV$91_eX&Ay0c4QyAJC%Y$`X*G&Aqagp$g>f zEo)*v6{@K=y8c-VRn&+W1FmQngeuxXC&K+br~*}98Zg$j!Z)RqMIS@k7FD$9FMwKo z1$vY%QSU{srcAKQGMUHM7iE>#?V79tlT>v9o&~s87L+ogX0j711EOgUAX|_$iG?cZ zV|!@ixh#tNOS#__gST${S~?Zz47$R8LUlvzJ{aP1N*{3(AFaWXsZ2psTDmz^?eVPw z$$%EL3jCf{C@=tlBN12_hetv8>I||v-TLf8qtP37do=EV2HWr0K!bcMWCP1Y9{p&~ z{Ckj9QEr~D@o8{N67-QZ<3!RP-kKzoo2qCCTm@j%B|tL4IOIgg4vcRF{V=OZl4(hc zH1&;}GAkB&g(UwRwE+VV0?MC)wk_~OAyvRRr}7H9l7oS0n<2*rWe6G+)NhcZ4+%P8 zI*&!kiyQ^0L6%`?d(~Bma`tsr7Ilg02r1a{t8mYO9N?)lG(A|IzFD2@ouLoxirUGd zP%vx)A=EhjbQm-iJoTnWjXA76WrcDI$mU*9RXn}|3@t)^EYV!CB+ChEbn~YM+fxDK zOiECg_xq2%SCYh5%#^-o5tl!u2t9>`y1VlJQ-_=M?d$ayRt z!L+Pv;bnbZjq2h{CkzM-eFX9b(M>J`wGy>Jk+hG^==*kC({)8qbaO`M;3m~Y$1+&d z%)w#6Y=hfo5%yUhA3;nVy$I|tGkEMELS7u8#h*zTCU~<9v;7_Yc0_ zhY1aR?`<%ot5CcKam^=&|J%EFarR0XA8vh~XC&|OcY4KJpKFr_{Tg`rsG!3f=20G!hsir0hRf>|r9< zX#G5zVHScAIT*Y705&Q7L{knWXs$GV$ra+GIY;^y!chDQ!9-GD*`BWa85S zcHDeAVl&6-pmva-klN&CziA(fyA70IC~@I1c{8K5Q&Zs862A%%(6q5j!sh*p$Cn6A zf~Jm6h^!wy%1uhPq0*K`b!h7cA9;0l`qD;UQl4_+=uo>RE=(;JCjRdA=;$ z%%yx*aZ;#D7?@TXHE3`8b zr-fG<&VO`T=-<2Dv;?V>J z9hW={(p`+`6@=2Z+XOKjq?9(LDQqZogf^fQKS7~goy5hJXT0AJQ9>E^zxOMp5t{Oq z)QFzblf=PMC59cJ5ta~|QyQfSNQ4BlclDAem4f%g=S1cpFQuf@Bq>EV1jn@E*d}dp ziJs$xwmM7Ygs%jz%ri z(%LQYJ_Dubl&Ao_mr&A_mJtX@n+h2MXo#exZZ2>zZAMG4iR4W6`iZ~?Oo9zRM!N=a)cDaEI>)xJX`%=9UR4s8;5kT#&` zl!8`{q_wlmLGjN-rUUO5PudWOX-Hqb9OipJt)%s{Xhjz=qa+^hw9;0PHg6&)N89ND zDWwq@drHV8g)}8jYUE=DrFH<;5#M~jkE~!wET*ksgMBre;*n`lhZpY> zrZFdJB#%!E(O7;`Nz+0i(}ZaSO|UMlo88xhtN7-_)pOLdI=x(@6aWSQ2mk;8AprJLZByl8008>-0ss~O004Grb7^lcaA9XhW{qS0|L5rl!SW@PQlq}yb42W!!xP|}*07~{u_`lzi zRsG0%bfE!4mPA8Z&)SXZdUaLh$;^{kKm5<{??=54#dJ2DjGt}!eQ&E*j4vja!}0aA zt-JZvlWeQ^KmYjln;%XF*TwV6cwUU>vmRa=&z^1F%;&e?KYcp8xGCNbX8rfWi|J%G zxtjMcChwn4uC9g`#nTT%RQ)vY{NU;P!En4K-~E1Sygj)s#;EsdGJQXo&-#<;by>yU zg?&Hs^EAo*Jc-hH>#0)aS5YSF=W&n+iRa~6>IGrK zOZ_suoZpCIem~8^D2d`EOuaNo177T>Vt9Qs7v+L}5XVUtdtNAN%z3$^$wl2aub<_9 z8U{h;XK|Q?F+TLcvn|o$e|deSv+_OJU%&4MX%+>s=f_@@V_xx=?11sw;E!T@T)cl* zOywAOU(SA?FY3$T_{Mz0(F?P@YyPO;<{Qqbw7=3}R?T)6PO0|F!EiJ>m`sc7@uir{ z@2;*cJP*4Sqd5C%|9Jn!>)ls-+pk{yxQzv{uJ>IcA4IVwlAKvoOa9sS-EK#qI#Tlgm z|FhMjop~mPqm7*27R||VUu5*xR`5+RoewVtqutT)8t2TLXImcc1$FzybZ|MuQL;ZC zyc-pACPkss;(`tp+P%Bt-)7j)uO=Vq!D%tyE3O82qxtFZUv#=u`}}ZBhZ`y?8)M7k zDc-FscvZ~s;xEJb%~|pLe3=TKmi@v>)^zYSPPwLcvU2REa^*JNdovi_$*u)`FG~_X zlKUOUh?wrA$oS$T2f7ipl$8KK%nwq})_A4_JG2 z>YYvGV{-*K*PXHecs=IFch07Aoz zm($Tt3V@oH)dme(=063xyeh8nN31Gs?QWV;t6+U)qyAn;XDXsyH)_U0h1r06xkHR z)xl)@!c!K&LNM80&(hz%E0}B-rurIkg2~Ky`0F;9<&vrU0z|c>y;j;+#JN%EWbOUd^ewd^%6O!>V3UV@G(wQVpP5a}^w@-d7 zu3_v{tUU}kKJogne8LzR=CE3NX_iVzAS=*+&G(L!ic|#TXJH=2SpanDM`;L^4n5JN z0Ea)Q9TrvCDeE325>{&O$Poze6ZJh*?By_4V|K!_jg#G1+b6(2r#D40???a9oaD3q z+hE7`_}4%MN1_dR>Y3(V8pj&ipwCf`B8q&Nle38MdXUK}S6hhHQTXL3)W^U2>L}1c zGX_(ZDE;{hh_{O!TntHT#B_FvWCXa55Xd~Xkq zCzr}jqGc-{tQv<$kajK_BgqCDvl|(r~i7mOxfR2Ho->^^2U|Tz*uH z!Vy%$cM>2qoq|$&Ir%6pwr$;_lU+5@Vht&O6rE2s$?AiU+xzff`|wosVsD!pip%{o znN~Jr%_^um2Fh*k$0?~ziK2RP-HmT|FUMKqXNo69Xy&gbck|+O{zqxC^_3Fn7tMkw zvkx;ZDQm(qRf#T=AB~EmIABhHD`0SNS(O*rP!Hf*6HPuJjE3)~Lq6Tiz8YO+*}HfA zM>ZVA7_d7V4#st@%1(Mdd4D@9em}jK4sYjmk=^NVFru1uxyek=4+(phuZXJ z!gOUO<#{O99u^$rsvl+WQU(yL^+Z~Lj17gWk~ZX@n6;9V86S=lKTESX%9~aiKxi7F zAS*Q^6zoO|eV=-nC4HZM0{KgJB@Y%IU!*x`ssfapw1J z?V2yR=7@S~zTuoM`zyAYGb`rct5f$4k@|35nvF1S1nr|8uOCDwX9`N8pV@KGoSkYF zZfSlWPiQ6W7K^k8=_rjdVThJmWdLnzou;f>);fi}B4HZwu0AGD^g`EZ^)2s<1xSRE ze~L@P^^N8EU{;QWAJ-=nuzLW(lwnh&r*QGh@(McR7C;5KCXjWKTmX?=&B)%n(d+SL zF&$yQna%tRLX`cN)A@7=tamfLGvC^q+`$D^mzdmL-jT^X7Ok+zCPR&JuAjfUZ2tzap1v57cRY_7Oy#Xj!Gosln#o)iPdi` zK&kDT(7+~D$40U1>EuonrfysRsI~k)@1dHWcJUuIs)|DphCu@B zZJdIdN>ceVDqyz0m?}-Jr#dB8wS}R-adk|eKX!_M2*FRG zoH@k10^HdY3H{Op?6x{a>uOy@b-# zs5LDL9HTQs?;$;_d1dEjFuOVbFc?WKN})@0mCaDm+LW%Is&z@-O{iP8$Ea{Y@m7H2TjU@r`3x=3kpEm z!o)PaW?f|iaP%54{3>b=`jU1J=4=*#&41sD*Fc@|gS?IX(u`AfUiMb!-uQmfR)OK` z(>WtrWMLamh3aWI7~i>T+0bYD)y7WK)JyzOiSXe5=4M0tUK_M@A8OeYsbwq!A(9k38B{ZSGu8c;Oh-s%q}B`dDva4FD=_ zLqFZ%Q`YwS20XEol~i`dkkS$0I=RQ?t`9SiuknAa++#h`AW}+pf;2R$4f9xv--gQi zK#gSUV;<`(FN_5>b}1O6%GgxF{SwAFTWP+QNjxSvp!vb8M@{@YJ+Yc%IOeJWM-cQ& zb8O%v;3@Cs=YPkw7exsrc91`n8f)}`Dp;ZPq24$7%i zRV(D5XwXQGBn&Wef_+5wXB2{;Ai5suq9neM9WdV0Vq_HhmQ?9nebMwSt^?Y8VZPv+ zKMO8Wbw_h5?XN7JRkLFpfpr>;Ay_vIWRZA;$=6TAKyD!yS2lI}E`QrpO$d@i{9#%V zkvC56DwEb~3}hrqYfDK*F?D8AsyaMOVIFTt%GKull1a*B{GnySp~Hr#{zhZbZ;0w5 z#g^{;w-MECxU2*o3dq*$;$$2N$4sng0X{A`*ri#%?mM7B=MZdM2phW9aF`r|6XyL* z)Vwc*3sLJL#F>MN!@@d%6d8W9U3$Yvx!a{ub zH>HRxuBTNdx$XT|hX;>$49Wu)8{eFR3_rX@c4CAK^(8T$_~oLssHrNl{qm>t!xN(( zYh$QEZH|*MdWdlE{R@dns)wY`--lj7Zn}0?L%x)&K`Xtat0D0EDZ+5QEDo}m{0Mv{ zS1Yj9)ezfCH=3;12XR0^?j%lqWV4dxKZmQq3xxuZVU!8D61IMGMb|LSEKGBPi9~u6 za88ixB=o&pk{X;w`pVUynb4hSPL?&(cWa||HDDC*Bf~$G;4jj`NO!V23g5T;8noxS zD*$cClT7zl$wjf2HrC*7X!RF#b2nfTHn~m+8u#IHQzJ$d^WI|-V|_=$ z$gQgl7JmbzrRHzQ;azBBLsU0G=w;f02_H`5l1TeG!tFdj6Efh#pTYL61CX#%4G=+A zM5H-Fd<$~?CVs9u9UU$S#pS3P&wUR$fD(X9Na|&d^(bJy7S~q)z@XRn9Q75ym z8XBk2UI807EOVOBzX>G#vRLMZ;AJaY!gyo(b1r-1=(kvXA$4@CFYsF%WT~ybTA6mB z!Zn@R>Ko#&8@e|adpbsNI4-+lBhmm0Li52@hY-#bGi?J*DCc9hY->6tf;FnzCv9m< zmbUsz7<_N5udOc{q;pw)6);}mf4tzDKMM|YTYY8L1+!O+XSMAeyMk>U%*N`wvHEVT zzSY)l!VeUS-p1<7Ma;(P`w*?ZigZv+W?ihlI*70c!45*n*(}FGZ-t`e%#h}+%VzcM z02SmAbgQo-zyBr>{|mADI+mUC{TEq%9raYAq4T$2$?EG|Lr$yj%VKzaBZ#>R@1X{J zxV(mX2dv+{+5GUNWb`&E*0}W-23{j&ww@-N%21~4l4`tny!*V@WU{VJ#O1%V@PR2W zcbSqK25I-b*0?&W(z#3%aP^}t?;8DPjrO%$gY$kE%gbdw-1_HP*|3_jMLCAz3|Ap0 z(o(3w^G0caH5fVF=yIYgOu`J8RtwAG=dcDN2bhODy>nz!LRuw72vjSdGf50pc`@fV z@8d#1pRNQ4;TQjip=wOlP-V;3hFbOcea*pYZH>`ceXh6Cn1l0v5(pkRGEfCb4PzTg z%k6`{`g{TJmuu}LNwwKh>#DPiENEjLCgQM-xZBZ0yt(A?OS2G{`yW(xu;vrRY|9NJ z>b^%lMYwgC0jQIOcw+Zi!jeKBnqV)c(L?a@tvtBSi~(`yAs&R zR*-2c4v|z8SIQ$9YTb&{1`ILK>cGZ5fZ|6h9>H(j@kp4!=o=&mqyy78LkNu!J{rQP z@kreF{2)d$tQ6T<>zjDB(j1Q@Dcx3_N60bj0SA0GJc3MXG44*rJsq%XSLsN!e%}v| zU?SlWz*Wmgk&EV;y+po@ryz!%ZO4 z7Zr$9?tgfsknyMeO2c z-Wn)aY(F+QVn|!Ib}Kl7Y{)riVh9a};+(QrCnp#IENBNKql1XsX5y+H)N@pbfc4R>4>m{#nq*I;)`0qXNmYZAGO3&70D*V2W9`AUM zm75+U;&@xyY|5>kf=UO~DpIb3w_%fj?t};-DIz6nV<&K;vgs6t&xTDm9E=)~+lWIZ z?s*!&GBdkO&ehv1MkL1|a7k9vLx>LZAz10=Lnv70L!9{LLmblPL!7SWLx|+&p>-^4 zg)X>F1ZD)B_8^c&xO1hM$4=126uUO$;sK7wej@H%h&ViI#%JNuVJ0*#9UxFUV5!J- zMxl0?!l4*|huqg;giCXLxIkdj%pw>~Xi6&AM-5L*S;I;-uq5nP=~rdSBgLMo#88Wj zNHH#8L3&r**uxuDd0()1v8=8V=ZFT4^sGu+*C-aT+?02TS`mW%-fTKsUo=3+4?@3h z>xKD(YyK=m0yN>AO8YB|XVvT&2X37PV~FNWTGziOtt&t9_s4Wc^QDy9d}Fober0lF z_hLSrjAy0DKnOHk+k3^;;BGV*5t_}(a5(-joDI=V*$i8Bjb-}Fh@qB83|)>8B2xs8 z+0EUha37RkU9l1{rc-^L3wtKuf-P60@yBoClJ#SuO{q z5cH8|+!QnAf#|Hu#-wu8r49l2(^mqj6oYKEvRUhQ;=BE8hj(8Dlghd5l<)6mQaS5c z`rBI|DYrDqZLriDax$s*B|YjWJRgqd#q?@$QGlr zf*)n(Y*c7c4V&ux&EejkPoE+eV{a7nZi>O>R7=39ylDPM3*G8+I=NlQvkHK{755~4 zc|n900Gqa8^ItTt<$tBvkkyy2LADQbQ0m8^JSFgjdFmB!HOTgHq-8l$k>#-L!Q<2t zvVv@k<*5E}xSq~BYGiV03E`@LyQ+51a3W}=s+8#B)sHdN8O)}eA?R-FLN$ds*Jj_e+d#q3<} z)|hgXHQ%h+0ZwVU_xz-HHJW^M-6lx)1?x5+I(pljRJF}VFSMl12bb#xxO5mKoLp74nz9=Nfg=Ob+cU)PPz!tZCf4>w>CWdU44ahuIYUs)=Hj+-ZtUl!Fs zuEEKOE5J~z_!J$akt)SXuUNJ1`by7q7l$$jbj+~JZlg>3D>~5_0OZ4LR8+X?{(9TVcUQ#~ z{_w*`K%GWHSMZ=tB8II4Uj@2~4aQ)??rb<1*Qsa~`JyBvtBd^IvehzM&l2_@_YP8| zKWji3lS~7UDNQVAMTG{e$9=ioo$cB{mg^vNR%QcHuF9(AT8+YW(#eJ!$icvTt6z+S z$k_xaLc5Mfq8{f2E92z#m}7L-v-}V{**Yu`f~^jHt_*l~GMUbM|2dlf_wj#T&;R?| zZ%Dm=(mTC-*Sp0pPN0U9ubo#Ez}?vf*(|&wy?8r#;{ds_B@mq(lpnw%aGMcCB`}00 z*C{a5$Z&yi9O z4s;VVa6yjBm%jQLuOvCrfn~&JfTvKjp|X3C)u}nPX8k&9N_+0$LTjG@IGRSXmR4%M z5llxO=vQHqdcu=yYa&tyQc-~d^?57ZNE-$+gIk87)>TuQ7PO&baYZyY1TP`+VNY6IgXX$8qNbTF9~*JJ6X`wqsw^xc)1QLSjB5>&dx7jkDp zxjlWD6+!aBEg%eOaW6RAmqD2OX_|r!$I5o%1JNv)w=^?pxP)mdy2`S5@AzEnl7rVf z8IKF$6)kOM7B?0*p_QhEex%$b87K@UDfrpE$b$}tIiHuk;gs7cUQT*gG4Jn21FcXA zBgA;@g-FhoXqmRGz0mI6eDb1@c0;8fFgm=ym5QvT4ad~cZm8}OWd=uV;D+%-V*l?& zLef7w4E{NN(N^eX#j0FL$0}#1Wp%-!&@|HkN|T5LQdEU?8hcaLj&NEFwc{E-Bn8*t zs&~W|Lxh3!tsD|Ho`Il)PKrw(h^>^DmtdGU#_!{l!PN^f8M)we5mQ_hV|E54VNSgW zOt3gaNHZ~j*~z5Z7GUKPK4Rn&MDnpH5}ToeO$wVEIaU3D0!-121XL^9q!gKP70_SN z4dxv1H*KaY2w#45Q{6IO$i{_3@z{+(+cwQM|G&MZ~Ug1&W9I+(e7w?T{(f+&#JA= zx&*XVx#)ud#rE;dHyQwLE?f)jMH|jFY=5Q0ayEO$5w?3cOlUqp8j(15KAkCyEod1y zsc%Hu&bTpIXJ|K;FofE&b<0d)+6`OS;Cnd_4({D9hj5k7;}Va~ zL73J>q<#^x4TzF?dZMHE*<}AGW}Nzy!r8NG%74zlh04* zvNqnn{G~oGl#w>SwHyp&k;Sns`q|d>!Dw*J4tB@)TiF2a*dNL=U1R`v)KjS%omXfl z`}a~S-7tUidzp`m`QUOeAAknOGoDpN=r})o*%Nm0-m{n6!?EB7_HJ+fkk1}}`we~{ z1?R&n_A^*|HFc0m%+BY7>+9n3*&oI1>3AZ^d*6QJw6sSS?KAJ9eQJf4)jr|RknB7p z#vt92FZx>hG;N>^kZ=%>;2#eje{l~4F3RPVG31Wq(+F+FC4qj7P-kRB4E|Y~1P-By6N@Z^MPZ0RQ zCcY#nSQcC@2jLb|FFH2WFe^q`4Ntn(s3PH5^{xJR{V1~xvyyU_!=_w`&Q`5h(s9N( zE3;xrM`hJWMW&Y&x!wH6aR%>!l3m+%i_Nfu~yiXC2K30zTW4u{ysD=Qni9!5~(hw+n-Zt&-&RtPWhM1B;s_(A55BFuIfW z5nSnBdI2Sm6}sg*`KwsnHgXv}O zPl54Dh{xJtF|_om6Vicm+D@J&Q`QpXS-p5W%(IhcgMNn4rx-@QG>DN-PT(j_-aE{F z78KHe77*cc59yh~=m9|m3Hn6kow>74>a6yon>p(vi*u6YafBqoUPM<611xHT2cd%(>rS9LO?uI2BA}@3v1xWH7z~qX+X{uRc;vL_Jlm#IV4z-3KDxVQT57)#8g8}MVdjAH>MJe;u7l}&Tw|MdV&QJG@iGuy3Aieb?>-qzS9o`0HWAT9<%^GF1t*0vlFe zxE3Va*no)o;^atFx*lFp&43-pqJn1Ea2~dA713r1T^@oNkyyYx=0muf%!fID*kCAj@-fr$ zQuzd22djb$Sp%*7g$@#=0-`Io%OPCFhINS)=OApxYgc8JP?Mu@%0Jnz^;@m%_1~~z zziu|HV}B^ibdibOQP0vVw3CT_sg>?DvDa)^&V_|Z`}VdxRltT7q=_D}CSdF)ngs0T z&yE=l_88BdW^f#$4l_6`sLPnawc_pCrQHlp_nHB~c{uS9fJ#>b!w{-r_gc%e8)UW8 zjkf9aL3Uy{+BFA-oqV~^V2L}n)2mcipQQ+o4xPWYHYeRYd+phih9w1}^zmBuz6Bi|UV9gOkO;3+Mg294Fdu@CPw85vyn?BE3{ zk?hOo9yPDS4&G|VZpJAc~d~7G-xwdMI{4C5H+a<6=vAtBy)?hT+~S9`Cv4BHyx@y z8%?I-qkUIqz+hI=wm4E%jZ&h0R=0yQ)ZR%gs1P;MCniWMgzG%uyu$^Fd~_9rcYfSW zN)6a)O+^lrOY`9;R}Q}{4}uC=QiX}>TwA(IWpN?oHSlY^1NabaaIdvcJILeuXX8Rh zkP|A%aJP>SG=?;V5A#MVe%uNMCXtuWg=CZD{eYY5z<5#`}trH zNJ+cUg`meaNFV+R9t0^As(*KhMCX4_@JysaD+j> zZZT3gVfY^-RfW}ZwJe;F$GLOKD~1nNf2)yEKO8Ol^uEpVGrr5I>@^M(JlXL?xKUTR&#tzd{--OLqWN@ce2lm)=+F+aYup6b}@{Ovng@0MCa zPV3$7^P?&@>W8PYqzS?(Jjmd20QfEjVOpVOL0HyD7BT=Fr2Y#0FjADoWkDF|i(U|? zu&Tvid*E7Wj_91Yfnhoh7VzCYA9>Gk4PzerV8#kz{&_H%y)PzisVB{Of>(chr-u;C~yz> z8kT~(rZb1>EwmFultLXySEqhAJ7%L4cf|7`?dQ}p$@|Ig;G<@4U;-T%W?6Wc$&I;Z=H9?KW0E?IW3 zOWCR$H32-eaw0Y2S+3qIRMXqk=iM(z zvfnJE*4A9Zq(0YM0h_BFN!s_}S_=J~tet)$z0K-KLQfq@bwiT&To21$Ky+J68|$FF zwNlo)QQj~ME8LJ|F-&ekzqbz$whvFm)a-5ZOEXHqHJJ)y3+~hKR8FYMSt$2^ij9d} z59-CcoU5Sk`&B4!1O+yvHivJMVdYNhggllgtq0B|Kgf|uBT8^P4D;0dDvfa3wRYOq z+i==8oHpx4p9)Sv^60g4+K8>Cxl?h!)Ix8nUZx$_akaI^bpc)MnYelT|U; zvIbhIsw(QSNP~N~%CTFe=2+2DDN&q5Fnta!P)id}auf!9W8-xwb1RcOD1zU2eS7hQYW>Jvbc{Z@_9^a<#t+jU2)PXM}O2Ci!i#WBobF_&>e+M!YFknjlvNdN)e7fL-4*a!!*=ZKtQQx;GmuvoS9t<2`hYI90(Aw`1mEr9YWNzO zf5nG$uoW&hIRmsp?I@X#wDz$0ao-OSk&iq;G4>Py7#nPjjyro;lxsHrm<|5n2H{WPLkl)uA?n3l~Q$kzA_E$PAXR~K2>TwN+ z$KOUGbZ6}pEMys@luDs=-l{801|))a4w6&41^r%y5yk!zx|BV&G@vz$;yDW*oP4#UT=0{KQd2cgw;`bx;hy(;jB^m~+JiLQG++z9!Mu7&*en_@I8MD!9pLI5z53-rYgjvyd- zgIXPae&o2x+w}Mu#oLV;R+pb|+@WOA$!a~(hXFfClPn9!rv)~>rEsGnIz4vUkGjK- znLeICZ-h@WjuP-yag|dUs#JRpe|;jIV+z_=bx1YiX^Z!cYml&sCBnNIB4=(Erg36T z|1BDp$G?3dRmWD4+MQ?GbKQBye1G@=WI@B+CteWdf$Y^`teXkBvyF&SuPG%P-O~R>2x|^Jt+JvZ#bpY}3GF z1rmCc@I}$d%S6*;#?Ua-vP3?`GKDicqa4zd0n4S_D$dzy<|Tzm6#*WJZZNue21d|E(loum_H-X1U@f4|0B1$~OEE7p7kJUh- z1`MD!e3TGb8MB-+Ao7!ofdL;ua_O5!{fQ}60D#oSqeh?8Mj)BUDhz#WPfN1F9rVSc#5G!biL4(|n^91+A2N5jD zN_6W68hriGfEGfQMc|)B;G9Mvzbc=2HE4iJedwhiApmKoIJ{F!rKC7(0;?M|fbXdl z8j#59jtunD%K`%gcSd;{B{}X>fT(u^1F}wpNf>}u3Q{s0^)^Y_m?vlsS7#L4fkBA4 z+ptW|@s|Muh~5y1R? z$2OJ$L~;!?>xLvMW2Rcn92zoedp~GKTM|1U(f1hHtie zprHt&7n#7bgdmYwCjknRSCG6xt!Y|k=i$SA%n9HJ{pA;LYR?T8QoWd%63ouqNr zV4?v)ZSdd|p&{3ya#Hh^zda@Qk zqCW2oNTjJmgKuhG4IojU>uoFpNLIAawBe9!gG2O%*Mc^d#v$+z`e_QAAwLXt98&I@ zzl*vACks`YYZAfj6M5g)==PPx1^myLkJ zx@*$nvB|f3XMfxl&$fh$u+<}9skoF@zz9;@n*Sp9OZi_Jt`Vzzz5oX$T_Ne?y306? zaFcZs!Y;+T*+7V}ACRL3h9(3vBX*3JYOq7xS1w>tH6Lau@%z;sEnro^KBK@i&e#b0 zxkPi-y)HtUiw2E+Uz3ZvyD$r=9l?yj_#k_rzqmWT9u=ln{9rV^Ej{0Su=JR%iE2Jy z6|>>LisQ+pr6!@EgR=d6G`#q&Y>2w^^U40pv;DVczZ|~Ye$3mT?|Cwy>qW+_I5YNp zN^$z&OB5%r!V<-)GcTrt%V9B|?~ez#80FI5se|34(|x03E57>AJIs|>w{Hg1;b1a?rYic zxV4oRbF()cTsJ?Kthrak=y>v>IGaEjL7qdNSjDoG*)mp~(Wr>Ge9uqm|v?u@;ls zYNj19$)p}5`V$vH zgKcM1{Itqyi@Nq)Z=_aWX&~TN!aWrN_adtcmrE!mcRMn^fOiNQ0*C|fIAqFJUPg&* z(FW1mZdUECO6bOzy$)k`2rrppuGN)DxoINACWpAgQ$ckP*_ush62cHS4AWCEqB7+q8U=UUyr77RI4I{Z>770-gQTRD-w8UWx zMwMd0DOVTy_EiCCL9V6}Nxtb4iX0EdcY{%Ha$8IV&_-4RoXc&#{l%r*fe0=Zwy2;1 zl*=IX<0OSFA$Jeo9yJ01+b^JtGLiQS`zTiFYz^`V`jmwXocJKg;84qzLx`)E$UGPN zh+e?HMUJ8f)-e*N~qWS3^Nk21poAd-53;+zA} zVouE$n$Oks4gTdQBqJvu4_KeLTn3C9-V9UHp5F|nxJ#&*V%1f_y?h0-NbvI7R@Pk| z`ty1x<1sEDBAs+8ia5xVpnaz~Ql#X7SWq>a*-^9}#zAjU+n`v};`! zbyLsPg=Des{Y_ed}(NR`eQ1X`HTE0zvF4AiP#uQy8bC7?)v?%RC5hKNmycy2w?SKbWAi>G1j* zf~5E6*;b3v+Me?fUEuF;l-5@-e%$tU@b~dfy!JpfY}(5A0zNt{R%&7{O=qn)2#6?1 zda4kOP_#JGn(_&6GIxi}_Lzg#4R$()ik@Z+$&emJqgvUc1GRRO$Yeaon{QPLgPZc-I`ZEwM&S<&G zKvaJj^;__4GWE)PqH1u1@%7=J_{zoj$?H>+Lk{%Hlz}C*4S5R2xbhO$-DQM&kN}Vg zykAB8C`FdK6lM!ZL_x6Ug*LXShhdvSo`%?isRPa%GO{Q(5xEeUx2uy%L&%uaSi}p0 zIDj;sND^*aT?Xp4taZbw)+ElaQg6`yaXQvuy=m7-^lbPz^dS(b{Ie~KMxqyARwE%b z4RZo|Gx9ozW#EHm1gSL=IMyhYMSw2^kBSW!G!O(PEAU!tu1cA&zSbe$%KR5^l>>>v z5c+1T$GNE3Bngo36lQPH9r(y>9p{Kd9!GW8k-Og>^2&7)sLk*%!L|my8Tx{9d~vTB z%^-OmvIssa(CGO>Y;=SWsc9*66<0^Xc2sH~Dr+)|?aWN=xz3ubH4O1BgA|s-1UB31 zTD!Hhv5bBqKL!gG>E=soQ)}WjU!2RSR!hf6RLTu{s01@LGMHvK&Cm-G2B5bP~S~Wnf9HUg4*%S3a$W6Lxkgn8Oq`?ELUG6>X3-TqkUuDbp z9E=XIlBh1ZHL5pANe==k_B3vq^l^FS3hK=Qb&4M*IL9b}jT~yY%3IuRX=$*NdSh2$ zYLyIty;+%xggZrkr;8hv5Cz%BOqz?V&$V9hd@vfmn-2N0#a2?OY@I`pAi$D9+qTVV zyQgh)+O}=mwr$(CZQHi3?frY$!|uJJP8FG18JX{i)9;m&Lw>Sjm;x>7d8Z}^_#A~x zGdIh`CuBF<554L)YvRy&422$&w`-iKs*)TJ)Zp7tBo|;Ad9XA7>2pgm2~`Xf79gJw zx5`r9|1r5S&)95@dUR2FHdkzLmf-ecF0|m>62KZ`8`BZJBtwL&_GQu8OT=-Mgo6q%18MR`mcK zXhdpj&DG_XDLX6PONB}y)W+CzVeS~srND3`K#_wI5+UsSE4V>aOW}?yDjbDfYu3C+ zsWut|U0=wF)L4>TvPz!lj~v{UYu^0$S(Ef?&~kfe z1CPhkPDN|g&guSszzUzk{?}-Y~~@7rnZE zPfq@bsAc@E@m|CBxZpDvwze4mi9jRDw3ZFVqg_$bR3?;^N%L5$etp&{r3U;j7TH6p z*DXUBU9pj2#p5EJY^I&v6`}esBM(FrqvJ?(Daj0mn@SgMOMAy^u+hWEDUj#)*14>7~m$3ZEXN=+qfwx z;xl87@Hwv@^FUe{%djG#=K3iz3*l|Nt)qcy3>5&qxNJUMPJj~7Py}%Hs#?7y=XB5o zfY8naR&YL zkO0-SzQTT$mK_Mn-?k|$P!`+kZ8se>k^SwSm%=q}osmdkmG&OXtF3b8Pj=wY36FaJ zc!RZsFh0KS7TS#w2j7bMweluZjRPBZo zf~Mf>oLA z`hX@_vC#l|_(wC87ION>k~c-G)9~{V0|AZmZquVamtTS%36ZmXB}ywDs3D7jv6B)g zj{oOJt6(e^5qH6!R8yKd<#*SXFusqj%?Ms`_&uuNi%Nij>?}V&YbQxGKMk}mt{u7I zHKltYfd{^(P^m!#qQ6DiC}-fasf5x*&)X!{X%s$X<`~Mxf{t24m&yg-9{L~r8aDh- z|0)ODTWAjLv2=G5DFhI9UC=%wQ6@;{=h7!i)_oWr&%}+afQ+C=%6S#kz;qtVX#~jn zR*-hTAH-|M)Eprpy|iP@NH)+qd!kL@HyKKlHE(p`nV2f&xuoD1Y-L zr+cX*Y>O=2(YnilU&DQD0`MR6SYln%{98FFZrMgFsmE^h@6TK8VH0akNu13#T*c#QZ0r<> zFq@9k?@^*@T@Y%nrG(TeVeN&-)nb-X${kB7)AIXbgMxrbwn;lO7EOMcb+nE-Evv~L zY5m@=P1|^+jtijzymuZF;v#iRb1;fKOxYZS$4-YlqD(iFCK<(Wf=fCo4h}e@mRW&f zHR*ELJd|((QpPk0_|>jm z8P+A_>t`EuIrB*DW#?_sbK8c@a(Acsd8c-hSaTpOnDW?7H^EVQJ^L~LQM}2iKQUQ= zI4kNo^mZez>Umut_m_aE(@T-}f>dHDY_1EuKS}IkiE28ca?Q`SD4-`-i=z?{Wa-I2 zs%{BS*q!Ml1PQPD{q}MWr3~?EC4}BUfNDJzo@E$oflV-`e=fjqkfoOZgEthLLT((_ z)X{&9&5ZLJqu}Zhe}98O^NCCYQr>CsBp4U9-rjy^krlH+gMU-;WsB)56zf~y5%^+wABwDy-P$1=@A9av~5vf$8Sg7gTb3G!g>h9eA(C4iEk=SnJP$;@vlzGGpq@efu{cqo$toc>8!ukF3!k$Z68G zBhGur>}i*&(wjr$n^B*8MVaPva?5-Id z6kfF&c*5hx@N&6jCT{26IS3uu9AFLvuhW!4!`=Fo%qdxdK&z z4#gtd=k@=Q&mCrn_fe=Tuc8)dP)p{<;4me-+1?7pf_wCN zk8?0gd7(@2Z5|OT99B28(N}DpZuFLtjYvbBuvBh zAXJ=#b_Ew#E8rlPf|_pJk$VL}L?3^Dq>vk`oaE43k;&8V-Ezp3E99(5e|gW%bB^hy^*!dAD9 zm4P;}_U*?GHh9)kExK)q%eW=4cKKV6qhARgu~u+Jmz%3H;O->OuH$u<5&Tmdd!H^m zXEOsf--2L+OUhexDuCJl4B14W6cFusEK-0&3kC40`()Ja2HJ|==0mI#fW0nLs2q=cCUYR1+z*et>8YpbK$>d@2oR?iCM z1w&-R+4JnjvFDD9(4))1=4Brr7JmA2DAC%#CaCl4gcRn5M9f{HXuq3wH(9T5pEF?1 z9%mi-ExDfd5D!@NO?UFNDZf41RiZu&aTp3=PD+lHQD<6Jv#PL9Q27_P4mUOMWmb<2 zB`f!x+Y^s|l|h%@HeX(k7751Oi4X~z+=nRVyR8Vi%T(d?&94QzQ^MZWXt$TDu=>x} zE1+TCT6350rx=>M=vI zNamxf;mEyBOH-&1Ww8sm_*RQGwVt+}f<7p`v-BaNK8T$U{jcRnB1v4p4PC1K=RSP1 zGn}a2xHB^VJM@FcqJYKl8Mwp;a9in_sALb|6|CvZF3nV_e@*WflBm`pJfV;t5e)O8B*Y)31Cg=p50J7H*Fz*tVafXq1=i~*DAgYP&OoeTX zX%H$#4z?e%`s#)Y<-0rEIp8CjEdk;(c&F7Vf#|MNv<_9Uz<`2l5B^)&`Vk8^AyG97 zKirU#%U(>^ol+Rm@!~eQ`&P|({`zE)GJ#L!?+ZeWu#KDsxJ+Rw5GfWqi)dkSa zDaObtPABI$GiYDJ3L68A#OqZS&Eb-jrUtb6VXVb!nC=MC*MESOaXoaFwlSG3v#uRe z0@vNUO0Egr-wQEGp3|s8oL^2YJ38C32;)R1MN&)v&}V#lG`D+*1>C?*H_m_f%eiR38bSgYJK0+M(H_m|w$RYtL}_Q%`I#KjE$#j%^Ij0S0X zxFxiSF`t7VbY``S;?wTe)BDjUe%K{BcG&c6(qHvzwCXaCe zW2uCxZIy)6u@kY0(Lq+sT!k8xB;E=2Ad zpASh5HF}>+3NVsy@aywjK%Pnj&Bttbb7Tpk30L!kNT;BT%dHTS55XCmP^&fiH-Qj~ zq(XDp58}m`i+Qk!>@HUxxt}m|n`f$M>E9CTtTIeQ|k>G7CgYyY4)v!#Jnvvffe_Ar>{^2y+>GLDm#o?!>VqZHL@zAFe=$Y zSSp#1k=RPgNT&K?TIny5IZ z&y?%t7+fgHAnTV{n;xX{=D3A-9jSDWmxFL*y5M6QcD6ycvGm*(7-fpb`NlSf{YqTCE&Jo11BT6T8&wC%X z_a*4Iy&<0Ps(v)ej<6u;X{kN;uR82d4jj;1xnOq>M(*!*a>=e$u)7zttqOym4g55B zID*FQlYn0hI*cd7T=I&P)fuLI(R7CO($`w*mnyI4c>3OaHOkVLEpKLA{yssdQlH`n z&VbQVCnc$sj6$Z!mY)LxtDxDaylvNUtJ+bf&)Y*l$n0zUrMP|-|FMMJ~U^JwW$ zK@K|l&!=|>mzH(P#Q_O+h=0j^QA~>L@*0Y+a$!@At`EwLJ>LefYD1qoTBWGfcCsfr zRA!H-9y9%))E(x_gpGLJ?zOklIyq%jX(h#E;MGRs56j9HcP--vC=44u3&(1JIQ$xK zjcm^*cC~Sp$yS_KrQWB!)h1NdyQSl$qoMm2laY<3qw1-Kd)*Fo&Cs!5M5*1B0y+-^ zy5M!I>3K7Fvo>+EAkl&+N17tLeMvwj;6L5txdZjbJWlX**I8U?HaA#U=zKPbM;!H2 zju}6nOHHG9&Q$H@jDw>k)PJC@lhB8!^y*YN@-iq6nN(!Vm4-%R4;9V!Qmr<60pyez z^Q5Tbj0;j$2pT-WR@6B&#{|8opcBd>0BQ`%YkVcbN_qbx(><@cc0-}TY9K8GjFS9b zlvbcvo!*Ha801X}$|_w$OAdXM`Cn=T!q62{COUQ-;ko@h)<*ays3dyWJKD2GelRC_y+#q(;uD8)$2=#BTBcgvC!eo*E+TXPd%j#Mmrh4V=%dCp%_A4qdA9e0;UQbR-@cG=)efmT-U+mkgf4u73g^Yck zHck(b#>}R8RZ{5aS~9kZ-;};YwPE}KYq-z!9h)|zuJh}N*M?1s5$`6po{sPT&WBqb z7`nB)de!}nVPDrn?b6KZo&+KQzn<2HAOA^J<8>r6Jj}?j_ zt*2_hmt0WDj$j9gk})vPN*CmTd73AS% z`8~S5+%a!DIwuplgt4J;)OKL^xXz4%1eQn3JlfadygWd3!( zlks$4{mKjE3EcW(*nvpU34D$g{JpBK_~Zg|9$qt_XAM|>P&pal4p(6qy=jAzC11*! z)n5V^Kvrv}%t)8uXAa63AqGq-=3Og7AQysverzxZ5<#Tl@Onztkm)4Bk9qEXG_M<; zuSIW<0@dJ5q?PGzgoeU4NXiWLtKy*RYq!d{I44{B?!@ZrjYV(dlsE-s}vnx2{?3 z6$t!#n|jk{Ro9AgKAD)is5m;sCi>&g@+;D5{)Rgp0)-1{7*hnXHmXgh z2nk@8@K&BR90=atoTAE(=GUwIyJ$Que3^xH4!Eh)PZeA+%+VEY#+z$3K$YG90=LY} z#&-*l)RzCCnrBQBV>%I&-b?ZQMaLeqB8o53|C;ly{_bkd5Q#y+^v@cSyOw6Ka4k@m zdU~M0=bq8A$06}gASUwqpXzuvS_~7CYJ$xiSE?X$5m&wRpSu;JQvS&dg+BVb3yP7) zoL-y!G^V~0dzlRBQKY);(2B9MUcA6~$Q6`t5>`$aG9$2$u}n<6R=0umL*49HvgB@J z@l<9LWCVaQRDgINoN*dnnc82cs;RJFGQnMe51*o>lTBmHxEwNw?=^paf!kQSlKmRO zId|8f81@T_X9pSUs7`m{59Szvi{4J-7|c7T`QDI`cBlaOyg*_nP5@p+`-9k7!9v^SNxsP0 zsc=)8(llvkIt(m<9c9;aAZ@NVP3#t2(_L7u$RLMOh{yjY`k!gfAV{v}_ zm1Q;Cvlv)W)$>g88LDlG_AYv8d8U@wHfDa;Br}J7zZZ2AlohJ7PTW%p&DY1!!tB^-Gh=RYqYos}=N$`{9I{raJX2>Oo1E`%C_= zddA)%?5)Y(I#OZN1F5nHZl*5cvwgJr@uCs=k48@AfPd`4Sr^vlr+l`oicrcBBzzd6 zR2RVvv(Su%V&Z!z&HIEtEBBJ2pwWuy4nLv5K%tXybKa`s?}=4H`pUj22{yi*UuvdO zK7yp1+N?^d9*!e)ASGJ;OCnWUpsw0O)T?5)>e2R+;6k%T!u7Y1K^$(h4qL}s=ttTZ z1_uG$f+|j_*cFj8Y4D|Hr@FYG@W4K@Zs~4kQt!Izq zxBa>?f*&@UJa=9=00rt1wUQ{LQh7%~B&#hzo!jK@Z>WzF(qsx-j#XAvA{MJkGzcP| zf2IUx)oRJ~C%ph$CoWIi@hMdO**o zPDKUk>W@9GqN8ksaO5BBg_FG63`ZqK`c?p~}O? z!=W&7_^Cef$3xk4Z~Yr@K+JCZC||CgmvhHUd!su`cqz;OrPlmWOq-47f5Z1^hv>}UK!SD-fiLPW3E8-D_N-LLL=7LtGmkmqY zQD{vvA-5ocDsA0l1E!s@3p+e3T?*ah4J7oHC!+PaFl_PU5>C&es863U5j#Jv=@pGb zCK>q0Xh?T+*giD^FjRWQS_NHLok>Jhgh4^(MCgG)7TqNLQHf6^{GqAn6ZM4whZFfq zo)T(!m%j}Mg+4xqah$#9kDGQoqWXljnG1Ki|JJ8oDmnMsnO+(x2;S&PQ`o{WsQwo? zDsEd=lw#5M*Si8|pWBtUoRc{zb5+*Lv!1bbs~bFRF@!On44+x4?pDmo(fLFU%`6(q zLAB5gei4N`3|JUgb}UE{!dSb#O?d$uWGqL;h^+U`99{w$03C3)2z9D&r1F9^5REh( zddklqi)3UKl%7o-zxId-tgJV!0m--P6ysh89xsq6%tH}7#tvR8t4<2W-O5b`#m#gk zqr^>7lIBlogX>`^MenztcwpukdPaZgmxw^p3tL{eNFbiik^}DX?{hT3$BW>4H>!yw z>}|yvpXQh^JQU~^nFRf>B1|T90y)AW;Ha-2oXZi;>^-w?v^WHPAyG7eAtnNNoP&T6 z*2gw15nRJmh9d$D_$xt3H3{Sb3Ud*BY=B%k_~@N7Avl$Ny9)LhZnr^V zkPsNftFe4PoPT!OtcMtv7~KsFdmhl6UwMCIUftW=nl`O`RFnpV?plX+8dM&)tH4li zT?(%v!wFCrA>#?|${OjTOR z{$%M_Lg6f*x(72Um9H!x-%XrQ`e(ZpP)rDA2tKX-*?q}94#&y%|5sSXPePlxCNDdR zRdU(12kM_mI?tEy$sPSVdT5e$AI9028EB9V%1mUGg&%MMLLPXS8ma>(b2i(o0pRy? zeHXI2ofW>J3gf%WD})SOyQ$Cf*}LH$w?4+a8`7DIZ{CQp`6oP-rb6ZKIXE+5r*J_K zPec;=bYv!fr$2rWf_p{*f_OCfS|n)FrCx*XV_%;XU!a;!VC`JXX_Vw^`9mPz zJP++UOSCAjzFsEykwK>fzBZx&nA8$XHYnS}ewp1>YR#r_Nz3h+3EsNRKjVdVLApvw z2veU{kFFhVBn`L)1R@lQMBg-K=Zri}dD=JhI^_je0@rU{b?>0Xa z);6y=oN}EHrI_Q2Z5g^wk1}{2qV>|9hKMFh^%&ZJV<|UHwts3U?z+Mi>%Z`{jNN4{ zxkEA76;_i^0)R5 ziS}vi_Jz=rjfLx?5y8}AHE6Qe(WIW`&4DbE8_kz;TB4QvFM*^OaRUYP4P}f_{CS(#B7y`nb z|CB4c3Ja;rXg-K~AdO+bpM`amwyY?O#3KmIbCwqd(UuKYQTO!m-$m~mq>qZKyrznW zH4;9#%H&1IFK-8)^__0!p_ToRT+PXOUfa4pyd*NYhfpGm{w~+9$Vr^iYhCbCh-zOUaYYgwrnOWfx44Pffg+m(H?go z_oR+nJ*MUtKI%^4fz`9pNrcgP0M#Op z|6dI8_kY9?X1`*H`u~U_cE=D?7P+9#z3!Th!Dzx655gEl3k|2pz(82UH3I;E1Dow$ zdwLYKx(t_t!63w$V9n65wX~L+j>i%tzaDvWs)v825SPYGSzK%PAKS_`sW2rb1!F@A zRWA<@qHD@GKHqO&F1)y~wBaQSW1Xp!Htw!w_78>^_B=h`cXtOFdq#H5t!Fw>!3}3q zkDlB3(lvN8Gc%$C`*$IT<=!~1-nXyj^y!vkElX!@=OcQFu4(9nc9xXi8>g1uYDw_%Oiu}H6Tt94iN!{5Cp9iQS5~|knO$Cw z4t9PrZekiAMHpI|(-SZFZeF}H^{dbi^iQ2klEm_U?@T)$eU$DDxGY~n!g{GgYOx0k zcQTk@YB!~xg3qW;9&Tu$b`PN9*6yOuxpoDdB8aY({$sEX3Zfq0?hlrV(NVuvc1&;w?ME^AxeRVEK3XE|=g z$r|Q{gr8ub!3FK#oqrCO`W{YZw5@nQe2c!Fe{9`{hf(oc$1Oez?p%F1sAx$|j!Hfe zdM0+V#!wyaCmIG!Y@Bs0c@c`TrAHRl0e9p4!VrJ6h;NZdKf5A?A9;mB|L(m~Z6z^_Sk(>B)lG ziH&amYw>vif5J}F#G0;@lw|RC)EM%xcmCy?i&8GFBT7gZG(i#2IO3UVvF^p2 z!$apQybUoX75rox+?SwW?YhO_xbNx7gPj>=>zXixV)^tOZnq@X)lYE!gipK>!&dSX zA9f}>>e}^Zu-o^H8rh69QZ8TDbs+)VHQx%APRqN^e zj+acKcYWmkWaLP$5I`hT4Ha#$9RrP(^$UP^O*g+P5*KIwnx+1?K`x#Q#$G6rZiqRH zcSbKU78mvIjR1)9t_@(AAzZ0Q#o!4b1>?C7=pzbSoIPgEj-C)-8Z!{;I6Cu136&tUP1p;gX2 zVEhg91-b%(ML><-{H~j>b4FkNo;A6IEZGtO0i(Z)W_wm+);vhA0PGiC#Eb{ocJtz|;aJ5IhF z9|-YDTSfI)S>w`dF=9%#$i3b09B$no+jK*F&r~pfLQWGRSw)&fF*uCIn^2juJmmHPOb|ch|eMfY$hkU$Q}-P zEYICP*M*-xp9AYiUC?Vw( zC^PsIJ`_4V6vrjH>cST#4RdeLeH8&;A*RsqGleMS&^J@XD@RoOKEGGXs6qT(2-VK= zRwkPGhOkgqAQzgOah4bTUCLFrd__UA1$ajqG>lcyQ>2RlD>~a+&JMD;Jj}=UB)kJZpqqE3w{_H9(ezgNtc#o{aDik=GjvOM#zDA)~@Hz@0y~k zO+2(R2BKmjfCNU!V%*DQTQ)iVRF(}YH~6^iA&?|;qV{~9rABj$iT^Gf`|Z^qqdeHc zBvnG&MNeymp!{kg|ECq;X;m>Doz0?1*j$7ZE?!Y@9|_#OERC%x61QO%k2#g(Dj*O# z0B2D@lTU!*00@vu8_!TX&WB`OCHxp^>D_c=?#7?$G>>ST}OIk3N# zHfwJ1=Iw1(p9zUYffrs8xS%wz$?+@JaIVePtoIVJVBwCg!(*md+<=o#8~+;j^2VlR zGSgKZn*p{53?6SEo;zQIQpR4#YjzM0PBv{gcqpMhnchpH57aRG^>Tf1pYeQ4QbGoU zH4s<$(glb3qUaF6(y7i<33cIP_yfzrJd)WkB95kVJ(jPiGNgBdq}iC1ctO~G&oteSD*!tLWf zdh>X32Yb_AQmAJT*9Jxt=4ZtT>M(9E*ULdSoM1D&BeO|4l7Vd7N@O7fF%($=Mf1Ox zi6i}X^e_9X;F^U)Y|n`-#B~0+-0{Yb?x?^J?fLPmPBaeT!r zLBFLZ>vBs&b7kp}e3r~v$Q>`H3uc9#wSl0hG^+K!oE_912%v%H3Zb=CKumS+|9Qs0 zUm?h{&H?@lt_V^@3%Ws_Br?dHlY?QNG3cVb8;W@lbXs4wXN(o>r9H*h`zsOdIH8kDjOY zqk&sy<7e^@kvn7xFyq<0@Z=r)yUSF1<9>!_*&78%2# zWb_b0nNZ^>jUj!wdt4P5?4tF*be*9>HGC-cOgFEX5HUIK2s|*=GQ9lqRD-+W;J{zth*K)waRhcOF?=_w+Ihfqq7XzmaW~|!;t6B>zNvv0$UR@o z);H3AJwG{QdOnh50v#)iV#4G^M(0BT4-jgiHWS`UVnuMjM*h^{TO{Le2#~s0azA1Q3e~2##=mUx9In zgA&^lR@Dk5Ez+TS3ZQxmrIVNq^5l_M|`A6K|T ztLc}0m>$%ye8|E8yF*#*7ed1XSn+uxO*-dJYjbh%C(&t-MH)6kYOv(5agtAC8=VX| zYY@}x!orWKd%+Jm7PkmaH1!PbN-|blm&?IQLW4fbu=8TZ8)_Kkq)hZl17g1yoypBp z%X9I~-uPBxYIjfTB6Jt|@$Dz=U-46TN!mw4mQ;-P?suH!3Sa)7U^%Zrk-H&Xd%Ic{ zZ#4$D$M;nbMzKtgJnocyCEWffKr;EBW&o1u**rh~;HAd%@+tX1g}w|ZK1Kc6w>eTu z8rr=OA|Z=?MNo!9(fT~J+qkyelJ{X(>4BRn(;TGicO1?Xv*Cqw809bP^i)-1M8{vmHI>2BNY9;-){_*a9RFjtpJNN=ZROR)m>N^iN_R zBQn>(jCx(f+M}16YWkrDwAX`7XR^!#Uin#4&DUBY1+P|Ebl*Vrv1h4F`7-E{Ufyz1 zqMGuB#5?^##4Q-zb6jdj*42cCme@b!h)ign`}0?>gD8b40>4B}7YG5vLH_w%wjgVA zAiADBIOBJ;Bfjn`k>Y54_R$Nk8mtH0kL1pJSH-7n%g2Tu2{!hmL+r21v1Qx{_M7|# zrdupKFCCtBPp7ZT5C=Awi|eg^I?>h;i?=rFz9($dYM#uSdMFh-WGE+A^H1!!hzNq@ zC@dXPJ$WUY1fS3Hcc!B_bLMVf<##0WPGC?*R2f`2ttsTpmS}6#-(A6}jnY>gVj58U zRdPx=zf;R^^s?7zck{Vfx9gtz@E@derwRZK#V-!tK*g9Kk?rn!h4S&H{eMeu`mRo1 z?(D5?l|CqYq4HEF)=%l_Gv<{RXg@Q|E@eL{Gd5+_f;Y__o=46@S~I$Sg~tD;S}JZu zQt0rP`yk|!Ww!-CS>q+@?IRJC@XQutkr<{w*+ldMjx@VJVdR)(`#3v1Dby%iM^f%* zv-ELIe`}v29;dG_MsZ85x+w5ce+xYg{8kU40#MLHls(JN%Lg|`Ps`_* zH%XSCT|%#n&da#s#+o^hYSH8_bS7=p6sRgWptsF_E#yE8ZnQg59V^3I7X3(Y8nAql z9UfstA*D@1Q;&Qc`PD9vvAv zw8g^eja>ehWGAg(oP5GWa(KVdk!arIXoy<-OF_isbj*8&DGU!Z_1+ducF#oz8Ro~a z4-_dyr=_HUYy%3tX2e&C2hVkMtp`39Cd47`1VFI|i+Rgb8oBzi^2RHCD|d~B%f8%? z{!?fKfCH@n0+Gyiw48leY$Kawln9A~-*!49PUx7Ysc04F~hQKZnjBBcY02nI>YUQ{~o&@x#+4+`Q5cYjMQWsK{( zv_c!J9$IXvijQz!1C=G-EnoC~7}%Qz?>5?Ki`lPv9FI+cvM8S0?y3%Tob|>O>rbL&yAj$cH-^8tTs?qrR zJfR~EAKl0i1zXU(fjNa3cSDfjN105Jku>idHD$>p3TI>}Jl#7PAmm3E92V$gYJKQg zmSRxh=WF>qe_u_kd3+wRp);}0nJ^_?t>)J1YyK>tX$?N&y$KS*L^tnRb4sqrUj}Og zyVA94n*E$N2oB)}CtlI;nIVppzU7B$agLpMZJ7(b8?QV39(qsEx)+|uXt@7*~KXRE-9IbDm}YHJpSG( zzHsy}AT_Ez+a9Hvxm4lr*bJHwAyqqJI;+q_3Q_t}5%`3T#SBX>yA~otsP29p4ZLns zl98!#YYs)9J49APe@eQs^291X^p%|8U+`QOVsZ||dhD=?@U*><08~3*Pc-n+?g^+S z3{cD#ZrMJ5yzoadTkygW-vA@yBR2f=fg;$!rdIPc!GC&TZpp@JM;0x{X;M4+yLj%) zWgNAiP`^LhS4@Ju45MUOaT~+C()I1Qi*^MQrn8Q*qIwcX`FO2lO^CjQxi#A4S zN(g!g{Rbx>t<|g8f-1^CX-V?@A%K+~CHmb(${#Dk^iMY!r zIwRGFYjtlWPp1wyze2S()>D#1cTGK5b~R&u(3jwpq1zW}CI_`mJ_ZHt*2*hK9()@W z&)UyD^KU%fLIfPlt#?1<9rYm{2`^=hd(H`6AHUBg#wlTlCqz_ot5jLLlX z=Qd?wBpEEpmUF+A6cAg7wXQ#@J%FIpkh{kg-2k9Cu3oK*2hY6-nCkkx3<|waTH>K< zM`03^_Z2>vpqo*QR%6GYG|VWrzKc(O@cUfIeME@&(+Kyy{JZh;7I`{Xhh+ji;~in* z(dV!y?j=A;=ThYTy!M4tWY9tL2$aOAL|h3dTe8*I<4+cH)t5+`z>RZqY5a$AO;md^ z&Any7cF@^T*b`wgzn&EZW&qfv{;Vt5W=+2GW;%X{ zmM3Jx-^O@0Tg^5A8_+W8F_gA_Jta^6*gSc4HK}xT=`Y>Eeo=nZnnr7IufejBKOALH zGwZgYFv-S9rBF@jOk#+c@gtmAQIK79vYneETW<5K%PZ8>K`V%uhzDRUY(9Rg-fh&_ z+{~O7IpMUe-Relg$5EOz%NP!quh~^^uAp`)Q|TdE9F=H?eT&crP*u8U2kS!jaN?6`{hms^WHagqf1MIB3~}?#qE9 zZRB25Wdg?Jo0MpywSX24w`F5-I8;+WX`1KQu}y&0gaUAsg(u(d9kW__jC70(tjj5u@r(aLdcG6H6juV3fh3rRX$1`R;S}^)7lgu0~rKYVaC3tD07*&8pMvdJ!>n(19?!!(0~8)KV^6Y!}|R zCR_J>zLL0%+eNJ_+4Ft0#~9zQji!v33dCuNsO9xmqLjP%mhqYZL)_p>2*f5 za+#E8$#%;@S4&X(yCNMh;lGF`NCN>q$6-*vQOINfOX{>kCa_|4;I*-w?p`JQ@b0ef8x` zsBGXsCbC??c{5Zc=mQ&vxLKZgVh;NEUF99KvlB?rp&?t0=3WFbY&47#yn{CmP|21H zBa|?OSB=crEp{LfK?iB%--v%0d&gjH@obmV6q%rOS(q8e--sB$K{$<W#`R`{`(7J zWD9sr+$El@vGU1H58NzKm}DVk60UcMe6|1fjDI_4vim)$0wf% zvXPiI5{rUHR6$tezW~QTIKN75-P>6YVzE*u?Sz$<6g&B1#XKAGg*eJ6L0T#gB{%rN z{`SFf8ML(r*X1^PtFqrsXEKEA;g0u^Z5xS04Gh|aU@-8=eK8$bggw^xjXT*ZtCDnvLH;Ph<+T+fG&fVN zOdrApx5{})c@mW0Vztu!GC}*qt#RB z;rHoBpp{Z0-`cg$^eqa|qvxUdEA$s!<7Xg@(1dd+&95vTRsF*j52^BwCZRXc)SGDP z(SM5HM)OM{oFQ84L*robVRSQkSE>3q=vqcsJuZnu%O+=MF&+ztv@gPjtm@WfI&72QeYLfD7(LVrwD>NpBsyfI9LL zv1YBq=zK6N;5@a^#Y;rgmQGf!M;9Eh z{wRMcJ+Y{>)-jy5^t_GCVXJlRI(>7n_vhos$idhf2ffQ;a5j@sx2(M5Uo5^~XS3;b z7pJd$t9SDG8d$qjT(^2+?n`B^gxF#ew*MwZw)(e}NVx`P`yk@*Ck8$a6CcSCDRgaM zHtws5!^{goD28xN%Gj*{Elfm+QFU&_5iYjFWj?ev0uDV~tqPVg4n#aE{95eE@uB5c zupP!kd}ali7b0!ZEnG#DB1vGJc`^LP98i0Aa?!|pqCD-7N7wQkX8EAS&vK2kpI;R> zKoo~HL%^PurmnqrCqh~Kqp1nQ!?cn7$BRa&C9u4ML?h{hHBuXTM z;<4gl&X*{De0lb9iQ@EF=kXarERc`d-+giXjD6U@-lN0i`g=2g55I8OF8fn1GlHKM zksKuL4`Gy;AT+A#U-}fU&(4=qF-X-^ktOtUDrVC3`|;)Uqw)92;M(+iIg)#jN$tbx z9A3mah)JsU3*CTs4r5n@F7}8ZY%|gXleFC&S zV_UoG*)1B2$+_IEF?N)-bJp$vr##(zdel1~Pd~a{ivyfcD(@o>--W!7T4+h$CqasG zT*rr-$OGRG@PBm8wgM@DsDU@BxT7r(ao-p%)e0oPPlbT+N+x@g$s;bfkZ+h2q!b0n zojd~7g#3Nt7Ft{@Q%*()dBo*dC>f)A<0+KU;BzrMEUw;x^cB}&vCkF7&6?jaG$zrkN)xsx6LMOX|9qli-Zm(-34W3oh`oqIhq;6WO& zP%`@2ZrFvjbT%Ho)#h`yYXUv4W64>W3DmeMtCwrt3r$Fo9?OPX2tdHK)d5Ew=_gaj zbeO&LjyeaJ^^?}4_t9C;@?Gr2KB!=kUm&24l?IoNrn7nPzsB?bKK!qX`F}3}N|osg zhls(6Cjcj9gG?QY+rblssEszC_K|-WH%=lYk{1LC(lV13QV+EQL>WrAq7rGOkVLBV z)xnhoxG`C()ScazVTD|q&reica45eA8G8(0P9-UE0jSmX6PaCCWUEH zw4r*n1j;=FZ6ucR#Nom0YhZS*Ej9i?xKkraf(TJqaLY!&EIkTs9?SuMV)X}7`-3fD z8aZAY@dsMa&RYC|Fgq6ir|W82i+IKhmIN7DU2tZwYozWSC}U|;7g(3f$xC7DPpBT^CMOUj7fP?tw?vUgYP^Lf6MB~33Yh< z>&d~(lkHc}f8GWyvs3-|;m)I&yAUcT?jRL^)!L4K+1-1#P0sP17q1WZ4)*uA4IIk@ z>cJ@9shF3C_(P{soJdPBFA6oFoYRC~Q3}%YhqLu$S-mM{^U-iH-W`uFY6}B-OlcVN z0#F*I$G3-DF!ZU{UvMp2a@5wM5=}VQulbe5OIiOg3LKrCA*cSGr&yNvP(dD;7$N&X zT%-2&=KCk|)hqv~n^0_JZwG(*HX<^gos@*rQQ!`?cf;_s^2VA#>g2MxD$d%kd9(Y1 z8|QBS<%^pb+!(AzN7Eaf%M#q!F}AWSVLsD-r*e734&WhO>=ak;euODnr?n>JK z#e+J%y4G`xE%#b8q>tE0zZgs|4))4XD<+R#A9HA<@+%FU*`e(wjluc3*d^_R(Y?LJNsldm>BjM=Y$sz>bG2Ml!V(Xwyn*{*1%SVNT1R*y7I|JnaIsz z+blNe5oAD3MEb70*r>C_N{ekpthxBS-w4rrB1DZgG^$nqU5&R6wZ2uWI%P@KSt^6} zURym^ZI+U4Z%b(Iq%xdcpn$4FUA0OjyP$Jzq_-+FNjQa-ofm5#dzzm1U~;xUn%&HG z%??jq6zAp#uUbbTN@X2i3p%Q->JexH{^;l89L5j5o#X4>a}mZWJersboxzp99>Aa9 zkH>}K)8y~aJj>c>`|?R68dyW^GmHEYhF~b!<#*be-X9MxhAH3}K zu7#og$;<80qzn@1U0;5dzdii^J3Jo;r=xT781DK_41p+db2=X&Ys1--&&AE-$&@rE z)^stvx<0)e++6ma^y-fghA8pV_QiPmZZJMA%bbsj@!1V3tV;=}MJ$v0W%`2nxhh|m z7U7}`d<@_%sF^16E~W{k(2}N!te=9(O#?4TklYoSSMjAyq+Dy7Q0&)?mTOsFEDj_J zfv;f{dBTU1SuhB-i+d!z47XJw7fGDvNyLek>98@JfSW~>F|v?U)rK-3>q6TEPAo4% zNO6K(URjP#iQ{+$(*$M7E#IP(%k8L{zCthW8b2aN>ix`Fa(yVxuTYk}b5AHuZX8mj zGXg@H9aoit;#m6%h7yYngb3h!Nb1W=GLtxrqcY(a&IfSghlk}JsOG^T(v~oqSI(G* z1=k2)I0R9*1Y{o4n0g}^C(eOOcptjfC=;usdaLhYedg3hnW3AtXf+%*Y@jR2sKRNM1Y77&EO>hsFe9J z98gN9oqC0|Sf(oi#6_-UA{IE3rbwkv;iLlrGVd=1tK>4DuAd=5HLNn(E{y;saJ)SP zG<}Q$Zm6e(Dv{)8=r2$VsE!~x0P1ORV?d}9fV+Al9mdu6l3CIjd$R$7Pqt*F@Hfpp zQf9ww(qrIk`MZKZwn&)-Rq+@l(`pK^!)ENTH=NBHVW8ujaaLx8fsV@R6U7Vz*-0YX zHG-WD4EzX3*GjMa=TAhzTp0#B79Lvwi(sImo~7r0B^c;jLrxet7!Hf;d2!Y|pCR#F zZ;p%@0z}CKA6J9<@UqA6d@9Mnol(vCF+f=UONHvT1xhPt>>N8iP}?5| zv$NixCCaNn9%+Z<@DQ&q0CjN#>I|_!g3hwRyAH+eK#v;i8!|2LBUc6@hGH;tA;MmA z6HIUbJ_D#|VG9EPau4^Ti2Iv7{7vMvcMctLMh+XTCnr&FfLgvn{2HXh0i3g%o>5KMY$KnO6 z;AnR($O2IN3D$n`%n!&FT-10DJwVva+4Q6PvvlhAj>I0-`yRYqj5r2nQ=lrDy5FmN zY-(ZHDfDQQDj10XnQvKRj#V!5HG-o11Q)FUZ|MLk%fhsxJ(J#`31MQ*lMvt8N;_M| z@F`?MQEik$zSdlj_AHH4y6{b?&`o))kPNp@B)iU||8?J?G0JfYM z)Yq{2l@7~U|1yrDjcd7jiKxT((B6t`(2@X(fM3N@;XwRCRNIPdF+4^g^I#Tq6Ck5zl;W_~({mCKm$34XF3y5)$ zrj;&fV!A<2+O*0J#qIi~kr?;=KC*Ho@H2()LJH=HOnXfWHOSpe&?@k_MeSZ6zOVuK z@W>PSn9xXB`#0dl;X;f1?ZUu=t1mcuV=p8z0Z$7+ZqzeR!rEGDICHcE$|3cTYz@3Q%o=5nS~k9Ai+9KcfsR`N@j@k< zG=CZ~R=22mJ-oP;)oy!Q#$(8-J$<`>^6KFE^JlNNk1va2-iNRD<_{&Ht-{jK)B zk9>iChIDt7$NI9oxQKdaVhI3Gh1Ap&cGV}WkV>udIOF&h=f7R#wcOCtclruF0^vL9 z2sOM$YUc!*8*$qh0xEEY10DAo&-24vF?#HcW>sC~0rzs+g9YpA{dhoYLZPZMM}luPQfJF(QGh_z zEDGSyZP>51C@6*Oh>xnHjYR=+JqJ=jRyHrkm4Q6@pabfWzPAt$F{eJFol-D>VH9?c zdUE)@rZFwXHgv zGcTh?qtu(o%PhmR_D3zMCL8EhMZ*H?$Z}__|#92Wl19p%MCCLo~w&tm{Mq27#8>8ii%4s zQve-goM-Xk%fc`aDzL&PB5^%HQ_e38B90yU=n_;%;Q~0-JWr4tKFx4ZW@o<+GhnzS z3NwF;j;Y!~a~-DjvIV4SL6|;%WW$g*oI`1TW$~!$Jy{8Zwfw<7yESP6Y+6i^+5Q^8qY<^a@;UOd-IiD{4NPx>%=eJ7MJM( zRhHqAVX9lS`#2})KyPkXFyuRecx_CVw{JQu0Un?}MSZ^p%8SiSVjZ|6lE8&ZoWUyZg0?|z)&hG{u8nz1Dw5kVdUH^#sW8BifZ3dNNW8@NC5puh#&n&2w43`AeHt`y$Suqv_;FzulDpupE<#?m@D3a#336k;G=#oWoJLuTl(OUg+X);9 zOORGJ_YYi`PQQUeHSR>dxgzYzmJl~zA@e0=5Hve#t*9;_6{V!Dk8|pE-z)(Ir*qkC zoP7Na`>Hi)0%*atfX#1oSj+lnby&#NOT<=n4_Q`h{v=1JY#71_5+u0nZ@n}tF@$g8 z+q8SgHdjt;uAKN-5zz#q)mZQj0mNn1gc_!~qy%GTNds126OMWe(%{yuQtZ}6G&;MW z8y754OA${M7UUTU4DK>UB)_tEM!o2$Rc902_SXz~ z+oL@A@@w4b3DY60t+aEL29YPT>3iH`vM`8E3PMAB24WsewLou1Z-9Mb3u`CBdvEF2N zt3bJs6-0kiQ`E^5Vm&4Sx$z^!ujO%y^ox{pqO=3q@BzYIvn=B|$WgXE*t|Z%Ea;!w ztC|R_nk?bW1MH{N8#{`OM=0Lm+yjC`eEP@T9zDo#hVoBk61@J%P8N^9dh)up(*$rv!L~&@$qz4YH&ZS2nM-B{l?02Ppm98MNNf$6Cttqg7@idm(}7XHcRcE zEVUNt5+Ow0F8=CKyu{wt$?s2U2X6SmG!fE()u7h_nB2NudRWvtwhO5^J7F^Exhks} z2H6WItWq^BvhFrEy(-0Im3oLMtupoG{k%us3EbH-U1Zhkyw5tUP$7g*q^H}-6gvFd zCtK8JwZcl*xdg*K^2j$yu8}`D-)`=Kdf43UF1RwD%d*$QHBe8)T~ObDSB!6pGQvsx zbUhgUj*NEY182Yxs3fZm5D__c5<@ovYQ^nz1|89c&JZ^|@rx1+T3JhLgm55$wJ;1| z*UM7D!RVnkt(NGt;wcYRBOYNt9$^K+ZWQB^P+T07#=a7H^zTQ~UdF60T#e+Z%q$i? zszibqOOW6*5L}9vgbJDU%QcSL3ky9pEz%!B}fY_^) zg0Ab5l%l#r?-KVRrRU;rx$j62jVVo($L+D@0@|I;rXO{QhjFw#kvvh2arib;VrM9& zM79qMSTSMdw-e?j1d?9MFiM%uX=2)96SUCdLKM`T=5G zaI+rvE*qAOk>ws3S^CaRQmG!|jI^RHlXlUeQiGUmT4_~JWB3YWn*)&GShZPIOn^iw zz9b+?kyslkfqj@(LgXWifJE1AZm3@RDFbJQNOyTqSVx5#~f-lvUwCS7H7)!~g_^5Dc)Y z*UasK0a?r<>lL7B!kh}hbM+r70I+kkD=bE3&;up26(OD zs!bp}Db_Wzg4g%9^)e3l6SXCRPGtCU;^E+gUpw7c6r5CC1$>qgc+}8R>)|Sb4Oh{a zM86?d!66AiD{w!ZY&#@@AAii&#eIe(F)sMQ<<~yk*;sCQ4U&kwD&QO0z-%DNeSsuF zB*v7l@*it!m)lCzCtO!Bq}Ly z0z<-b0F4A=n8+v^Me;IKA`K`|jiDzSG0Yc&CDeo>;n0^yCDepcUu33?4M8RPSQ2zh z>5A3>0xJk?A#`yyh(NDR7^^hok#dYfQl9ZAw@rD*YkS+don>IjikyjD4a9EJWPM9* zXhw7MC7gsZ!5b6G9Q$A5ZURf7;)8GljYk)+3QMZ}^LMeKkl_(gD1h;tNFuO=7*XKr zPRDQqOYR3OVOL2S7eeWhyM!4IcmQuZbttp5Rz-Csq_xO-Rw`t zqv7vWLjofFdi3n&$+Nd7za6~Xen{I8^LaFwBhFu5LTFReXwNT$O z!{(IVvaptzOqaD5a_F~KZOz@UbR3!Wj(+~7cRq%9q5EoS5jbd9CyNRy9hI0~UTOh$IeE zkp`Xs!TU>eM>$*$1VD~)R54{yN+t{ZKl#4i`bRy&> z1y%^-2&TOhvqZDeR;nkPwN~+j+XRpX@D)C^PK{A(>MAeG+XqhnN;#W}AdJq$UlSyf zQb0-!tVVc72m^RIld$O-Fce;Br>pB5bmm0-L@p?T3>Q+DPA{~X)ig!@+FOSvxT+U- z7~<{$IME<4in#C_dS{C;nF>T2ummFp!s!N4D>3fnhGQ3&XxF;*lg2p*&hIj4c&-)T z7}Db*&Ys~Vjt8NUstR^>f;#8jQMsC)8Qi+fp;ztkB!nhZ!hJT;U3} zDX8?zFK#Clw=zXBQVPLeEP}i<4Gz_6F_a#q5!gKIl_@0A4_)RPsWN`QbcG{SWaUu>lb#azmsw@zP7pqf~;{;HFeUS0)0eQ>$?-eIy(VVBSMuH>>^hLZ5scNeeL zoAY3dz+I54S>W@kNbg8c-3@|jX-G(5Zvwv$5>>QFWii@G*vqRi z*w}co1sV9p15I=dU^BZs+#8tdUXZPozn3~1@lYF-P{b*5HSIB^l@s&ulYmp=?mpBh zDJF=jQ!-;56Y@rb*_f?ULQ_~0Wg)Govw`mm?%jGNP*)K06x~?gB(b5Y#+7(sMJrw? z3n8$Gd2zctH5#DUUia1IKxc*A3kgdEGOOGwQ@YdAAhjXTE(!Ie#F|V<3c^Pbe=umCly#%uRDK3B+Drps;V! z$jnXdZJZ(AiUocm1+!mptus8wBj`9oEY8-V7_(O#0(A%B0%u7rlA>byu=w!epG`)pZGRs zXNz+sR}~htxuJtiO&*oxB@yqhDZQK>^V)oAka}4%cB*B?)k^dXOndxVr%Pe!1~@{E zm+|@P{OslCOuia1lPbT0bJtg;Tn70&`Dqpfn*fXa!?S2op6at`bgcM~FcN-5mezR- z8d}Cs+_U+V>wGXl?y|VFEnaKJms#Tf_~D~3^(4iINDT`%tyscC!cUZ-yY)aF$+8e> zuQ7m{i_IvMFf8UXGQkOCf%{6E==vER_L?NfkHu8ROc_kY{{H>q(>JTr^}%L+vfivO zj@JYa9XeM6tsc8~1FbY1Jw&G?gP!Fsmju*O6>n1rE1^}b>Da-_$BHDr6Se5EHM2VB zCR!tR6A+5Uv3oy_kgCvNwR@G!PNI$WE60euU#to-Hv(2^9cij_KYAC!Tnx|=(2c)==*fKJ;4e>sd2{2N z@B@_bo2Y?CJ+QlkiffF#tHHtCdbrpJtDf%{=Op}JpB=BxA8n4iQ=<3wkn892sKRRg zgm*$oJJL(x*L=t20bR1w@)AEatba z;B)6r5zx_*u|%NJWC$&a#hieST#Xdw&>VnRvs$}gDr0EFM`nm2(r}#Q$&@izRDG{u zb7%N(%6HQyfcz44v|vJtDW(@M&wN|ukntKC)3DL>*G}Oqh^bq@&0d3dU<`o>TW#In z>GUN@!>(bsA&_K|A1<9Jh&e&)Xwg_<2Cw5Dek-`Z_wsKAJZbn5d|wVo)bJztru-vf z(@dlC=~=-#RM!7C&@KK;XJw zfw|hQ#%8;+)M9`R;OLu=z&Cp1aYGn0wrE9fLL!Zt!;GD*UY+W@h^U8AF#Slc^>~BqvsiZi-8!OOpn{;Sq0(PXC)k?%I%xW&GjG8um61U$J6USZy|^X zcSO?QLbq%t=NknJG9Nnoyof51wUbBY!Opt!vt#}-RNyhD7B2TbCA z2J>FE@h+e2woq5vHhb2=wjWZ>)3_17!9lg&Beg-obb@NplCTN_R;C_7JUj2cW}Trz zy(Jnd)YPO|!1p%JkWk?cF+V4`gg42M#P^kdp%t3Zw6ozoO!KW$%O3zi&9Sv@G(ki0XT4-+ zaU6q&&198iYK>RMpkW)b3HI4g-J7g&2>F&Z)C+ll}}wn~J@7aU8?qTz?yeIOuAoYX9vALD%X zEfS>c(A1id#5K>U#RLranFZ|v=%i*5jWoOeCJ0caW_4Wn4WVDMoT$_+IcX(sGtZE$ z8xpfp;-HC&sATkx|IuT~t`J zMOJ>eT|ieACUt}1Vn4@sw5knbYF03D+6lpqsaa!c*0)FZ`&2E84{l7&YO=KJNSC`j z1^h{CB;wgr${@L6LO=QxKUobl>VYHI=<)!40&HDeM?IXM@zna*>ORNRtWWwpv-))o z$S8APYY2J_O3fl$$4kxPw=SNiP0ez4ihzzjsadKFOk)nk0f;rL#TgX)zgIP;u? zWKvHoHGE9X8dI}MpB@gsjhxgKU^uV-kJtnM$3p(tI?Mu$2uL)hX33z10#xEDm9HfP z9Q!O9^;!{Im0XA+URGz6Or})%@hsmyi$ze~r#z2;kJ0ngV5B6REnZ*3| zf*g~2!`PggmSrQ6+rnugS;`4>Mbff%3aIrSsSOb7v@Aq+#l!P%tg|Urrnk89JBItg z687e{6fYT!6Y8_cIHBI+jx!`q*qv3?8Sv|!m$g$Lu0Vxlr=XR?Bf2R~r7V`&r%XW~ z)f^`E5;5jwRk>L#k}VxnTjMb=Ys||k5$lfbK_}wu;wmc_TBf*IJK^PJkzLXT^8#4V z$FUAj$qf|5j@R?DzU%5Q=IRa(|9DP|Q2PNQ^dl4()8U8?d_ znU`fAA{|_oB>I^?7Ui_97uXhdk(ZStH8#s@tM=&a8eg8h?zK>~HV_MdjmUZhK?4Ci^yHCrSm0}EAA=cKk zEYoVwjfR@vy3kb<4Rv?w##9nj0+TFUMedTsDx-!;8~GG9N{K5qm{O)!pic>+eg{Go zCnv{f5|tQ7=rqi8g&Q6iK-7s1?|S* zq$y_k0E3g?K6%_zDFV&-8W;s)jAm;WMC!47H(2TnNoMJcjxn%LrdNUpFFEVGhEC~X zS!zWQ0NxesEsWBpw^r_Bh;|MNcVk))LPQGZdP|%lquU?d zxsnWB5@u+KiqmK7Kh6+}tTQ6n&2{ySNb)pBw(PxP%jzYl$w;ezEP8ujH5zD6ZrefiV+dh-jIhyG*6%c_EhHxEf718SbPLf0}S zE(FuHR0K#xeD&dwBuyR5y|(gY(U2sNsG`aOC5dykb|JzZyLThP?g~b_SipPHE|li& zN}IJ=_Ywm)lwB5&N`gPPtVZmn$c)H-n!tz^&eVZCiQ4*A0l7dx-L;uEx(mv!=%X42 zMYU}i#pN9zL~&+*b!?dbicYieA;V`JS2ldo^`*>ijgh+k<$U*$kh|oG+-AXIG%LFJ zty%2r@71oY@h?>^ND{$>@7CG6gyWNMV<<1z|!cpN8QSK!=tA&)v-=k61M8^PMeY(gp%8t^6o1`bL& zS}oehXrrBE$eGg76Xi_>%v!WT)A@Ok(tV2zpNvizst{6{0s4K@H=A)yM=;b@1uBti ze=?b-nE{$BL4DC(}hiTb& z=J3X6-GdO(6_Q~vDn8q=&yAc+DEOLBzMUHzwZN|&qOULgWn;C8ID82XY z-c9a!`Clw;jcBS^`N!_wO^wR~`CJrL)u@}?sJpjZrbU=w+=FOXmYWdS&zgl0fUahWI2TpVOY8S(IKDjvEtgo(qwB5*ld_(h# zgNI*#{LvP_kyW>|o~9sP+vP#}*$nR9-M*xJQb2Eu)l=h3&X#mAm}dDjwIvM>zHM-N zl1-*~c5~A{9cgLP-<80!vv-Tpqy6KZjm6PZWj_UOCtR3QUrROs%_9)w$xORO#%}9=ay3$lQ=&Nt62vp;Ea8wTt}fLq37%}|X3HuW@g$X3ZZ6V`?&~fV zFJ?`Di@DzN!%TajvK}X!a8)x?(?r9wylUIFw~^Yk1v5A3h{D64(R-MdC<6Gk1v#{^ zXF5z%IEgt#gN_0?j=Ek6*Qd`nXQ!vta%??x(SZKh>-GA2!XxeMB=6)3*x4)2utS1? zGn*afUsNSKJ3fo_k9T(ULnB^y0`Z{D-XeW+abo_t^n)Zbric7{a5#5b<&_iY(Pne` zb89#11(@g9u|@jz^=HJanG!`L8EE)C5Y#;C^9}@lSsuUtZ2ETvrTof@&$i=c|dIIr?=-T z`Q@=wW#}S1J^$rRjeg8chtKrr?e*oCYs==Si-oBC>5WA^fiWVF?k@Q#oyciRoE>9> zWIQQsgt^lN?Z(wBu3sHrcqfeVod&GsG@-nb)1qiHo$Al2nOmNnY({Aj&!5VVkFI~O zS8vt_PmTZ#P`V8ssX1zX?1mwoJ9VQEc~33+SWYP6T=2ZGV^}jKf!xB58~{LvtrYTk zIbV`KCzi(+Ze$bF%G9<<<&>#PsS$W$I+>Fghb+vL>?3dwjhn>zByJ#W&venK^O|V$ zZoZ`+RJQ2^!LnBmvn-F>k;)eBO|w_#UwN{Ib+~6fxmpt=nQII*EC%16v$at74o$m- zZ=QSS6(&ive%Gfc_vsY;kftU4q#e5{4GdQmddB_{vH2m)L2U^cBR*Ba$84W9{*_6% z+3$9?aPZImxxPMr{Xxs>Z8PbOOa1xk{0yHTMIy4xi;MNKVfEXrG=Zi%a~NXZva*uy$$VPRw*rALwlc!eH6%K& zv}Tq27qk`CS06oBh%^b=9cKlp@1e0WwJ{U-YsU?~s!nth=Y^t=SKX#OkAI8N^VExR z|6A-y3=Mf!9y4SboBru^eX-uG&bQDz5EM>Y0LY~eoN{)$EjR_0vY^s(YMOD{wq5lj zkC{t>lHxW}?6}=9zGFD0k_GQ0#gc+ZCPwjezKn}_s@K1k2m>2{Fbd@_gQf;u#H$2hpsBmR9My}PT|GD5wFpS;(s z-3awAOxfZ{?bQJ8ca^a>LcR6*xtaexXu{g>8=>B7gH)6!An8Pz$+XL}@}S=4@-0b$ zTc93@&4qe|VLMZ`ni#3O)9paLY(juEBiVwCCF*EYO!M~z^$?Aju7c8hbOJfdmWN61 zq{bKvEyAp6GF{KS6TA-p0B(-;cd%Yc@>f?@ehu~9eTE{vY?2g3K{9?q(si1vQtT!hZplt2*?KX{ z5g(+mJ51^&lVw7N?h>uRv`BPjorvD1G;KhUu9zmv#hjS1q6lRu3`xQ%8FSkH_j?m_5jT0ljXybB>Zj4gSN7=1{3NsZ$?8Si-b8fqw&Mn8rTpAQ ziR=f-@$Ovf8+S0ncACL&zE^tTpc&**kbe<%r&Uqu!N1N|7Y8S2 z=Wvr}7pEV8^nCU5e0_j2(XStWbZ~I+(~5NOga0Z2JO8u%J5PT+J30LR$x-<$lKkZ2 z>Ro<)wYhwActCmd&#TR=ga4WxU#(A8Z_lsAsmx9c^k4pC&KsvjbFg3qm;D0O)Rb#> zA?F^ucY|n212@nxol$?2rBruND_UkZ20&N?3mq_>a;dG$T2GS}6PmKNVu8XVjiDBK zG6yo32Q;OqFKj953k5p_+~x#L5h?=Lz;lcsBia+VTiv2q2^`CPDa@qih58h8CgpC| z*DhfrhxDq0l|`+yNm`_ftXSq#P<*9Z?v|S7)Bo4nldFl4l6JPZlGUQ9)p-*J9PnKB zHd0&EnojUSa$5GzrWtBe_654*EK_|)t>(A0#Z?z?%3_`SvBj->8^)F1RH%lh+N-XX zm(x)o>(teDR_5|BI?mvEAEI)$WwFg(@ggC!hoI);XZhWC1#TAwO8St9qp_o82doQi z@s76`WSQFcnJEt}rSRI)8O;vx$r}@VS5&HR1;(4{`{ic!>TG>+{h_17PCU1z9#+o4 z@Z4Kgipibu&i50TzSrtkO&e$l^dV!9Q%!#3*PAmW5ngZJ`jg@4@-18%+I@D9%eSYm{hgk!uc6+q-mH#o z07H4%cV((c>U5lHFVDZbc(vY~*UFOWmH+);U0a%oG)^^xxz-n-e)r6n+uupxq-8&c z>0ShVp9*BQ*aMMGF+gXy&hh91AlG`uahMt%Ryw9OO4#A|^|=SR@bo!ZO=;J6;kl;b zjwADK=O9;Yn`N@vC}{ielMh4C)?+-p*%Aoy`BOA@n&A^PFr*A>?K0DSE=vR!y98~I zt>$e>jtOzwXV1U+5rMcDwfwCSvNO`QTcUwv%DDvDf`q!R7+^z?xjPLc$dUybPFa%j zM;{ZybJE{y2r?6wA$yL{&tk^EOwoptXINCZDl^s@5?18N1Vcv9mj*0T=&OXXf?13p zTNY(n9~yN;?Pi$`Je4DQ?M*I^o9l)3L-KZ>O_4iW!ouy$k7=qVcriY`vE?%S17c55 zd~x-sqNy7HVTKRUOij;QkxWhap*nZt5sG(KEylTV6V(xXOE-gflT5g~O@}d)Z!^_R zyq#g35;=O-%_Wwg{#cC~xw{quj;xoX064ZgX@d7E#pP!0PHJQ=-HVZm7^#TAeeu;7 z-#>bKe~(kG4f+ZLB)sck$+iJxR@u^a4ejZ@yKpmre5^YV#V*ld<>ys9+%fPJJ(=$s z5dsP!TnAj(n}{MkJ8tkz$(ZGbo=>qe5fOYco!J>OP1c_Qw4`?Y95M0K1d!+PUw-sF zHQMj}?tA&ggXU1wEq=OQZ7#^LJ$QWd8L6(}G+UcrODuqp!sKdm{Nq=TK0mBIW8-Un z_ASnG{ey8U{S@5t7cbfN+zf9{cmL(4>>%^Nj@3K;mRw2C;l@wg?r=Lh4RyGe6Y?mh z(`8E88V;>W4rx5c9ARA2R>;Pk=NSr&%9EDv;%vGgYd2v!PV)td^dgcY9BxulG7?eq zIjuz($!tcSP_d)-4B3emsZ&;^DLXHFR;`DfIVoc^QiHc`CBnB~ zesxA=Al$r5Kzuj%FTS!W=qv{4jNZEFCtwfia8MAQR4aOP zTp#|^S}%l=`kc)70pcgCEn$5Z9*h%r9Pt2m_L^#vX$;?fqLNxZl<;lj15uNHo1Ypv z&+sYQ%TbM^J$J50J=LxNt`6EBTwSlO*AJ@_Pvh8bjoHovE@U6YlZCU>P#1DOnc-!@ zL8Lg4^uv?RT;oC#vt8!Y6i_CDFIg;=%O`=j;xcsqJ>fz^9L(pY6DUG)6htnRN2(FG zX0)nKo8^exVzvv@aFl|RX;#dNvX*g&nub`+wz?P}{x^@!FophQ_y@$EpjedauS2^s z{=*C((&M@MS0+7~UU%bp++)nvd8%W~_Vl$W#j9ttlqWGA8xvaMm`plEnBJ2Lh5<3# zAXFFVb6|9%bMa6HSDe2S-MR~NV2!Z2F#458hYf+-;PPF45Ydx`z-{bg^=z^?5nU5q zdoMVcyW~{ujMIa2ct6n%5P_I@Y65ISjlCyuk9Xe-H1;@8`=p|n@#*Z~?1DOm6UrDm zqZ?IR;00po9^Dl#)objf%` z^#iFcdnwMHN?hg%3CzOB2vrfD<{bTvrFlSf%C3}4TyczirZqT{!@427vt^5_h|cyj zZ(?sFHL4=qQEFO$Pji}`)Bc-@8yaV>@2FK3$@P|)s)*wW?RA{}$coImL_zw>itsc> zSrH;-==FGAX=Sie=V`jyD0caH^7#IfXJyjX(ftR9P4?Ek3bkEs%3Q*G4-Oqobm@BX zi6i1!t_mbN=SiVkn%iA1^pUH1}#o4JFGRnJcA)^tZLoOMy0&2Sm&>;;D4A1l|10 z@DGSR!GJOG&~$y5)x!)Q(&M@MSLUGU?RPVx=`{Q1xk~Oet8a^|q;RAL_`zF z(RA7Ec({UMIvLTl(T=)RG~K;0C?L`YO}i!}u$nb!ddFddXgadCNADqsrXwe-&1Qq9 zyI1cKO_v>>|8}|g&!h9RQ_Dw}j$`D>bh5J&1^Y7~q0g_zE@I-T2_Vnozx?QV2EY5h zXuAI#ilXVYMbkU!FY2?lTlTC*=As(G91+U`kSgVwxK$SWK47jQx-gXO;ncCFGU(&rPEiaY=!o zNqt_JR$oRHyfCc@<>@ri3l<`jBgRr~@d%c-qZr8qWC&YYcLzS&1B7F(CdOEzKnHcp zNZEem!-F%x8C3=W!tdTcAU18q;#n_x+HLV?aQKiOli9yA>9$1gMlgwYvQV??2x!Z$ zSu);})Z3;#pr!~KS!ePhl!}sRbv4Q;bw85&6B}ie8kbtR;3~e*%(8bb9)7Yu;jiSP zn(Mr009oAiZ=)|`b+E!Yqm1~&PnwD3O!!f;ULfaDM*QI?PgfVGPmapeEk{P&IGIL~ zP-bX1LD=m}&e(@TE=uE6i%qq*v_c?n>G6E%;$wp0?3E*GS-_}|qR1z4{?2>^mqG|Q zRyQ5i=g;CI1<`x(NwoOMYP4J5giD2p`;G8~JIC%v6|=KV29(hgs31^ei>{ z{|Tudq<<{3sx;3l2wUhvEm3-=x5P`&oXl?X;q7gtw)8BS%*d3V@`=wW-k93g?Txe4 zcO**B^p;rZS({c9ycK<-7rlqrPu1C?t7fcs)!DM^3|?%&EwwTt#rMo!ae~C`F*dVa zA7A&aI;+Rl*`vGJb!BwgGk_op-XKDacB z8qFfbViDXVri$^h1#!xekdb)bBk>F{no5Ebs=bGVJVDfGO_exd&b-V;+zzH9g;6F} z>J&y1pWT|o>F(2wW#p|kSf-eebUB}seMucXHSb~3;9^qDXGNM(7ga3MWU2OQu?wb8 zi3Y2fwhBY%vy7%Y$&~8V8J%?0N)ij*(Hh&>x;}B}WRZ{qI!~8V1g$FUG6tg8XGU+w zHeyC_i(FUvSBJ|2K1p{vq61~+x^x?X=xPc$qiXXr3%z{*h}g^xJOVco?M>qFF+Jw9 ze`y+4$L>ckV()z{`t#ST&FUEO6T#O_h5D1ocOBM9XN(N1!YBhIo*l2wZOOK(PHn=N z(O`MaM2wN)u0YvM+DeafHenvF(m6Z zmsgKYu!QzR{z)F6uTHPppCj+D(|L;fIX+ky@I}p2c^-d`M$a>RiuRhk2sB^DwKaS+LmOp45x%mW^xyWY49NLl4 zmU5{i8NEQccZt3QxZm)zVopmqjQ^a|9VXAxJkjip^5baPI;F;r5$A@(Ri3iOy+$q= z(r8AmGkTs`v7JkvSrR#&E*Enu`FON=e$E2!a+M0|n0agE3hZ|@xjlzR(1_V9^DlI< z)<>z$M4t!Zcr`s92uH}*NX;dZPq6qYbAs0sc!aK3gUf7s+b$6vLMpHG82xscE?G*r z0vdtom2?fHy_$4U%Iwi!2mvDk0P^aDeH!32h)g+WqV$8kkyTb8j$sk{$V*eJtQep( zMDKi+fwx&>f!N0r9X5n$`b^b&U~feb7a1Mcw=6`$B8x`kU3FT-E;_Nk_}))Vn#6bZ z#N?p<(;_IT*KG0NT{FDXeacv9pG8c)ZF%i;VZZu6`POOj?D^kI_0xwBt@`N}6V2LG zo9JIIRzIB`9~@s^T$E7|TBAiw_13++O}2b=jm0h|v|&ro-K6_@K}$AEZ940gvfND> zec;u$t3Cww94V+dr!I_cT$)+=%ikh`oEFBKAW@iSg}ETP#MhHj8-+S@)Hi}$Ob9Sh z&twAehLJDIA-2U^MFd%gN#haZVG-BfgEYb8C5Z(X>?MKV_V|M$zM z-+ghvjh!B%-}-!Wc6z$r9R6c<{?BhV>y!0neQ~^23B4R(zc8{8^u^!cq%P=E)Khz1 zCFruK?Z+37pMU%0%P+t9_I@K8+Zo<%Z!&&{MKK15D)jUK&F(?%3XT}h_x!I8VV?7?9Hf38o@|1Oe5HMzSpDmxv^w8hIPs!%eMa`Ym@&Y zuCD(h=EwgLYv=z+hLQgxD|-85ivom)Fc|Mu@I}tK8i>>r)7l>rvCK}LP{zl8A?quA z>>k6kx99<#zr^ClypV2S6XPI!VB_pP5ZI*D#Aju0+#CRcu6W4mH^3&JalB(j{$}tPyoF z%_7w~WG&oqwrs&Pnh!D$e{Ul-zy?Vp6i}&k=d&VP0_mpEI7^+)_abW~=AA7+WDnH) zX<*Kramf8ZlpU&xL=ejUc4&7(;vpv zxTd1beH42XWS^OK6vzvw#nQ+IPZjwpfzPMNAetS@y-;AtXJ34(I9SEsL4eR!Tm13a z*m~x`66x}IvxH9$jprN}aEks~XqDm^xwK6u9*~TVCl@)w$>b3k){vZNI&&<8?rad* zNi%%Mpj$uMvG#=D3#n6!jWClL6A%xDzdk(k(Qove zKC{VObRnO2&H$`yaC-z$|FXW?LKgx@J5hB?x@31~&x6(8?n7}kD2n#L5JX6B($Xl7 zs~3|w(n6%Cn(W66@!gOCXmPbu83HL;?r)8xv(YUZ5g|daE?qq5goDTxrATHlyfz8E zJ!(j#>A~e9)i<4&vi`Jr;_%SD3V@yRBu&6-PHwunOu3L*_+O%@HeN!G}4sb72 zbg{v<=45mz%o74xgf^$vp|}CR)}YyZ0*IZ?@&q(H%?Q|*w{?Rv55cb%It%8m19J8S zt$8Qxje%CjNiw^NSgU|^&W_Jszxr7LVLEv&UY>;Jg`tXcnAk%>V!1JUi!{Z>iTPKa zw#*c>-^*9e{{H;Q*U#^_qMV0wr=d?d%^qzwmp_+NO>w9^(vB?_OIGwn`=ubcHO1#v zY1wvHYpL5W1dxWeo$H+TASzSpy1=qs_%^}O42a@pC(Gr_m-1Cbcr32W1aHW+s93D$ z4?hvVm7!FbY9^i?YiWkkkx3JWrI2fYcxrS_qU}kZ^L*)yEO#0a&mBNKlPS`AbiaeI znwkWanVR+j=MJC%v6hre(lKYAn&vOdnIfmFx#io*0ABf1`DOm~FXjI`cya__K-4;d zNanbCow-IHAaVB|h)0s;g!&ir_(=LFD7BHFZ0*xDJRf*un$S^)1ObzM6z)pc;t`gt zri`@AF;alU-MR&lfSE{oqJ zUI|A4-&;gtpUyl%R3IhUk-Uji!MPTa;F`^H!loG?ze%JN;BlK%^a!SQ>8k+`y9M1iHX937JvRZh}W4JKe&PC@OI_ZUH5x;+9YVAp_c;NVdiJ z|J$OF?OJLB)g4kB9!)Zb$;}Pz!Q>*5JP=2an8eel4n^(qLZgUT6SZ@;{4mo|JArD7 z3I4gsQbtu}iEXe~=HZB4M{03Vc}GA&nkE8ScnOsuOXPE zB5VTLPo23-Ttt=ERbEP87G~s7OhuV~nFBZ!pfZjLESs)%a4`vm@_arf#05IhY`|T_ zB-J8=*}yZ-ct9|a;t{uygzis7{cv@t=w~sLJB^U!!-pj0Jec9j=A_vo1hGU|+nWdF z*EfhI!Sq2LAS;2I41J7)6dZ`ev6Bqk3z0y>M-T~HhaeJX_koB6k&W4s7(qr&6{$zz zni_#fpd1&)JR^{@D3Ypqnd4aA1|s1LH@WB(@lYIARcJMNRkwsl;8qc9AzOWl+*z6h zvlIj(@#RWFh-8s;Arfax6p@fR!HP#3HknH%rDLxnHHd^eqDvhqOQ1kJVzSA^mn9KN zRkxSH=a@dvVz0d*5}r)I0MCd>-c?km3{N;+6CFfSeLl$y8je_oA`R~>A|Yghp8)AR z%~&Djm(U;*C}zq{AW{kQn$Jt_G$N8afk>#7K{Ar4J3yQsNdaU!9FZgxF5nr0b3r*o z+~u^X=2kLs&17FdQZ#_1ZotZ5-7utcr*7~ev(X#cbumGyk6=Mw&^vcgC~z(?hbRvc zrlo}$IL@kVo;r_603l~F$&DW{C+{T53&&Jl9yb?e_Jr)5)#t53B;}Ct9#a{gJ`5S! zYmiy6$i_17L)vRPeMzvUJe>&U+vPr4B6 zuhu^zKHtK}=tS4x)bj_D{8_KtG4J`y&Fa>6m`PUt z>MKbyx}KYOBA?%uHdw8}GMzx~xc#8o+(2bN1?Veem%|W!2~A z;LYXcI-c6Qj7iEP@7fK`d`Wq4_im6TA3}qL$fKYzjIwVsKnf@}8xC@&mqKd<2GQG@ zn?PPz8mG0Y#Qv-x0Kw%Fa8+p<4Y%{cMw?zJh@ot3Bo${%&`X+KF2q&{*O!x8wB+2U zHoA4rKs3%JD5Av#-I^r`l+Ygnz*Q)zO`2ubx^TXYwZv-ZMVQJ|(VHwWc+kY8yx67e z2Ip>QpnPKTG6{kqc4RWfY~;udfH{SILet&Z5|xb~Uhvmy$8Q;DKU7rT;8vALZmwFftC)LRMJ3 z%XS!3woE*XGG=N@5}`j30YWihfF+E5cO=Zz1r3OVxw{XO)oMRX1%uIeRBqEu7 zIZ_4E4X&3PiF6peS^N5S&&ei89zpz*V4NGt^KuLqG~{y~4Qq7*oGo#k05Xn^922jgsxf1F$-#fWS86}-0&@1E4zU|U> zV~y~8F3wMn@ZOejdcUL>N0QOxj^ z+zz5?Gc<$=L4^{TtIuG;MpK8!Zt5!-gSB@cSSwdzi*w7j4nE3Ec@#x*i!>|0?qPV^ zLwg>cW*itVy!O zyU@u=+FnqUGRvpS8R2*eWeW>f$`NC+TuSHZ`9QLpY1xI;h&2^E#B!}=t=zXs@M0X6 zQQG40W%vigo}eJz>$R96q7O5CNRQ|0UzzkIdfkmjgx%TTcNTfT#6^tNzTT3 zR3E!|FCq|n_FsPVJoQ4{``rhIl!4yf=V_mmk4fLWsnksV^w)pWVEy3i;_7;Z;`aej z@8_$R=jDI-_2Z8Y4i0`=5vw@(pYp%+Kg+-GpB$C{n+ANSrRa@U>+1t^$|pw$e>}hb z^NT;8UjNzb{Azu&dV78?Pvq=6wY&b8YcQj13Aw}Ic2dzZlX};miuQKzhSTy*v~W6^ zkQj_;RBm*js9p-uA`(}_`lDw{8O#(v!s1(JrD)+Hen(Exx=DgWcL)Kg-;|1;;rjrx zQOPH;OuO~aok{>%`Q$?yxg&xFuMdt#>_0QYwdEKt6}{|~taY};sc7xtn9qN212s%^ zYK#LF#Q2!xv(mpHd_#_cMjI$!>MgN={1WvrBQnGw8Jg+A`_X3;f&VSz?1iT0<=l{_ z<|;eR;Q1jgH#;_SHxpw20r_fCAb3QWih2o&S*35R76UU)oqc-|xyGcAa{Rv&nAi#I z21nh?xz~DxGZQ#A^HkydI9v=_;xJ7(ota8RGEU&4N#k#Zi9xc69=}m}cWFYC*42e@ z8k*4EsTP=)RYG%H zbF?dmY)xR={^LpKlXP`%-a3l)Z6}h!U zMRZ|M#%3lSA0R zA3eel?vLML9k18F9-UnsuQspFE=~^{n(^s+wYlJzgG02pf3{oyt9itlJ}ggiaKAmv z1GvMUEFv69ghd2*cVTS5aL0&ysolHLc92CxXqEg0wC14r$Z18kH8438>Ml^5%g9Ec z9y{EWrNxf;E{Kqkvq0RRQ&YWTVQ=q*)@VMfv(Z(nljxEQA&1pe9FYS}Caw`63EZc| zmOEs#BE>f|u2&9)NOpqF1@M?XhY4%5SzRV9(IwNmjAsYVt@lU`hsflhScpEJsN2xq ztZL`!-cdf&`ME8J=xm8`hy^(xOcS^n6v`|)y|;1p!y$GufNfH5i5u<3i@pO*$I#ix zA--?@KJB8AQ@m)xA}S?Gy3OrkU}U0==)Ove9Vx`W{-s93pI`p;=6wC{XUChfH`h(h z!lTXE>O4eA38;UU0ObBCL^Jh}QX@l;iAS`L+Jg2u2Edr_NrbGhAj>T3H+hC8y%1?4 zBW6b7PCH8LnE6mGMj&DUJ7JD-di$dsckh89C(WpfNogl%3^Vr3CPg{kPXlrilE;MFg!WcPe;EC9>!ZaX!sVb4@fkDS#pFm>Z1;;w8=TJ#_2H zJ0>@tq)KY9jrPwrXk(iA<%~$XQqxm_;A-fI5^q>vG@VVz$Ry35r-EKhVK33O&zaNx zvenUbwnS9xl5~Q0U)eu^Oe#p=^2+u$QiE(#8f_8_VkA;{(IK}XWp|u>+KFuRmMF3j z>8w><{NBdd3$o$ubi_7$Bb#a089ee;L`R+P&+OWkuFAS*XUBKd8cAI0o}-r}LL-%c zPC~-6!2+Xdd?oHE1dtJt$$hJGg%HZp$GSwbT~#kQJeQB>u(ZK^R% zzb=>&ZcMO@y;#g@*=?o?il$SVvB;aZX4^~%l%4%9u2RhltJ&{jr*??;6%50yym2S!of>%51y$>yx3^O_LX`l$4SIY1#l>gb zAX8v$YMRDV5@b3->Ckv<3Vb0XaWWC~h8PBN62_+Dg@0PrLegihdeqE9%yPt7b&OF| zqj@vTA94VQ!pwQPVA~rwI{YJI&oICjGDh@qh7alSWc@4C)MW6n zItO{sYkF+{m2W`cnNX`oO*}fl4AENtxu^M%RU&Uv@gf%Z_r9cM02Vq~pYT_{WM^8v zb1YODsPIx!U7U)B39!{!`!PV#FZQiP$CV4cHEA8|XrG(#O>q2VHFUL4&tK`q(iFk1 z=F_j$K*yM_%uDD*b21j|&j8;vdoLmY>HL=;J-azYq9ASJB>jA+ANRVapM2$Eq=JL;<&+nH&(CoMLSfM+nFpn5W@mVwkt{UNq+I zaXZpn-R0WkZ9uLGyG4cibWQN}FHPQ~Sd#?WQ{vP0bhwq`VEGz9bkrfhXfNikM!S*O z9tE9=$Y-s2lmTFO*I|96H3@~!lc>cyn}C_Jl=xciUzy+8EjOqYJetDT#wzm@tnNhhH1+td6CoVdp1RahML8Y zk1Gi``ikU)2?2K0!3OtkE$DQDbQ**ga*3pvF@_B*HnH4oI@Lt#_oUy*i53ZITXa68 z6SL9g4&A3Tu#7!az7h77_F@Q06Gx_#QPpwH4Q@`IW@c@An6t$VqKbq!pZGMN^xj5l z!ot}ESs{9v@y6+&O82z#sk!4UmpUvg66^F9w+fhX>>A~}3!(ejd7Qn3g?T$S3=4Dp z9cM5Wo($Xd>BXxuXHG_YTa<0~ifh%FJ;E{Lz*$l&d1Jhg>OY$2jK!7P(~;}->hkCK zWtm0Sx(BwBWb%G!J2s0#LR-MalC{CaOKR&Wod>ZP%vmiF_MfYLc?fT8J!~s6lc=y# zXKc0^Zd9tiOE|X^JndF9OO+ym>tr3HSwZN#jq3%dsu1?{?68>Vb_q7NmQ1$=`9Ii4 z`lYpFw1_9vD!z!d-9VCV7?^Q(9|&f0N@W)aJk03txyZ>?3t4%IW~R_ei)@jnrg}cK zvjj6Vdj%$uUAA1LCWcq;|C@jrZjZ~rB9j3F-mtLB2~6A&%;Xg1@hr`~0%p>x585`< z-+~#(SjWMP!xi>1{=G+PzzmHxBGr@c%Gp2nq}vnPbhBvQEwkTh!_km#zSnHw zPHt+V^&gv^uSL39!by_kb`h6T|1}9B>vqIpbkcD6F19D#fRK99O*37eF4X!_kZ$eE zT7!V-A`@H=8rr<=t$tx4N0HXOuhlU}ztxoA(j2aJCWhmZ~`)t1t?j%z@{U zioRh)CAcw;C1=0Q*RSRu-p$vFAdvk#yKNhEb4Z>MiPIbWXoMk03`x zIBk@pKpjHdP#J1cl+(Zk=5?iB!QUL^9xhe#VUU;_*t3zci0igE7zPL3fM$FD!|%IV zE_Dj3D*ObSJ6rj3L9dlBPH7jEs2F~0Y+Gb8&o@#h&cm0L?UUrs0$83&983;D$Rs=0;Sv7?u z(RAzb;yE(XnKv;}n#x7X}&y45fi#$YuiEwXi-!sLQ}m9(ko2rcNo<78I6w-Uy~ z^?LQIj*j5S2(L2m4Tm9YrHqk{0)R5+{BITrv)*oN^6w5ILKo}zI3IS~pci%&9L2Zat z1DrxK;$}YQVCibMMoA7pS+niuww-~nEz3!sUClqtH8ySHaGr6gVNuGFf=0#=lV^fM zt|nf(wI?gzA|vxyksx3k;1yI5YYZru-QET<^n zrQ3_01)ru8;>vz%!DE}0qj!rp%k%kq0ReEk`Qew)H>aERHA>B2t?%5cj#hU#_S3Xj z-CbU}jZWqpNYm}j?7gR7HMwW&+0rv~8H}FrE`6D*x?R>x>2opDC$r_{@sS$ZeEIy% zDG6%?zq0K>QjoYtd0Gpi^b1rVtSw4g%dBJ?R1-o#)+khp0nbF49&repzvb(7g&CFp z_WJ{{VJ#H#@Q-iyLj)a>8X`*NYio3fvMI#6GHr<#pI!-Kjul6<&n6KXJ znoxA>cnmq!H;U{?@M^p4NUY{vcO+xPT`ALx0O;YMCG3h)O^xBlKzdcgg(JzD&r%x{ z0>2e9c>zP~P;k^7rsdRZBR*yfxz0{rJq{91_#T{t5)wrA=-9Ir5PFx-;@c6-4k}H7 zlP;m8rr0_&!By?F^s0Paa1V~BC^Cd3bppkiZ33dWhDAmtv7qeWHdDRZp-5#Bir==r z35Gn;(+uIxMA}GHex;(kG|GGHr^{v~b*q6ns`hnC$yQslpI)5(c>MC^cRxO@vy@^A zw%Du~5JUPnj({xBBiqS%?$QTsA5^Ol4p#tvY+(2b(YqqOMEL512LdJ2P>z_brhDA$ zAl)F&&|uBtuuJC80^PRPY1vzGwE{yl#=Z^44LPb&qNR#3v^KLzdmaC7rgjUFnKH)- zgbi)4XxrwDZZ;WFe)!MTwt~Gkv18z$fBG`6J@|SO235(3adG#gOx z2_%VUE1(wOQWt!=Ab`F2X1P-hqA}`@=b*7r`blX98!9`{>mWlJ%~+-dO7B@Atsj=p zZ)TVCz4iQJzMi90CzwOnx)9PzXdXsdL+&&%&foH20QV-M!C+@W=Lvk!P={oX;RwMr z063M%Q_A(8fmU$vPzqRREDDB$r;s-j-keA~%Y&nd!Rof{$LzrNr(E$!2tsj2i!uUL zIf0a+){wML?BG38WtsYSBl=ZXiQVBrQafnFSZ!^S=A4_-w6|m%R7Cr`y;iD7pKDoNYnM&u1_7U>enKEZvJhuH>eb8g? z-TM0ca{i}3UM%30YXKtIBUC`7i9V++Bq8__Y!m?9R8FX7jPr8EK)?in zIiwGOK{SIoP>Kw$9~{nu>jG{doman}Twx3*IL4|&QkYfE(*k3P@G6c>Q1QdDXMOqaS&KZA2&41tiP5YXVWEvabjF$uIY^TuM#t%e#* z)bPXmzPwk|E7SX?+$&;Y#`JA1ZZ`aIB+h?Lg7CSICh4-U%xXRuBr`4sk^U+2DEuw{uk$es*Cp|CDXUjlYSuvjIJ)M;vqpFB z*M~7w zje=tUVy}^d^Dm3dUzdNm-2Al#mto%(q2d5XLI}+l9B4HUV>Ld0gVm;R{p7$jh`Sua z3x>M^981Mnn?C{Fko3F+TZ*&8VCT4+ty>%0iuB=x-zK2hOC&Q_@tvlVPr}S8_y<@? z5vLRUH!^B&h&e@oV&_Q&-Q)l#OhI=cCVzmqL`?#=QC%imfla9mWzrps;*)@yR^q+& zWJp4kyaWvkG!9?kMCFGyZ`0{+9OMe+H&L!o`X;@@l6Pb25lZ=u-A@;;a0}8enKm5v zwBchaZU|ou?GT|N04lLt6l9j(ooE}vR06^lVf1sk^F&*&33rK*#O9a(_>?Ysle-No zLQ<>uU_>U@b(%HA_PGARwQeXv&l)x!Rqjo#ji3yv6I@qc=#lEv2T|u%$sk~k;B+dH zRFQ)Db*OR>gZeozba+C$-sd*3Q~HrbJq;-Zxk--36JjNxhc`l|kIj03 z%vUhL5R{*)*h=sFa=}C+S~yPai=uO{h>4jWOT;D4e@%k$xsRqVsx?i@cvA64ADcz_ z^}gnnV*A*vuj=#n@Wi^u;;{*JKZGSQAKq(Fe@xn=n2RS2(aOU$AD%UuvdW_U`Y>ip zX+>}51CFtq)kvcrg<}WVh;4|Gf*g5Fl{?Vr1dDO<`?zC_-lzKzKSpfU7I9f9J=|b2 zPoNc2a|WG53C+VeEh#PwyqXEJu`E(!TM4!y)Tqc%&|yR;`FxDMv8z^G7Lp+GPCf}K zR|j3FxU4DF3k;5$8{uuZIV&zJjpb_MvK*Ex9GB&SXpb4d4(ladkZiXhbzp?wV-Gssw4em508AF8c!!T0rKu_5-hL4IdtW|`L%aXZ} z_0~iiTc#8Gz^sa56Y(r?ijmoOlhf4}oqQa9I)~*(?Q{>%8pQ}*j2p+SvrEaYggpcR<)JII=a)NIIrkEDO2sVvUQ0<&lm z(P~r-kPd4^(QV9cX@D03vk?4-%)MhIcF%D)4-&%r61d7BA^RH}2{nj|o@PA2;Zr2v zKu(XUnzjQZx9z73;({at!?q{rO@vuA1wG7qR4}U#%<2QP`oJv2*cw0TL%3l(bHWwx z!VM9_Kld7#CF`3vy*x-{mVz^OvWgt&D}=8aLey>)MpYbFHVjsdWEH4ajNGC*GNEJs z_{<74B~32_0vtuzhhbT>I6cK0CU8=!%TGv%Ucea&0Kl?PQ68%S@@^OVNa8NfC=&T) z_K|-^rZH4xv9EuSELI;WoUCU=xidD6;r(nF(Vm~|`AG!SZOw~vt5g|xoUisID601E z!flcg*cQ84?KaTH9m&Y;97@c^(DRdv1sz%3X09Bk6QzrYr|&{;g{bcdUWO1h^7)>u z=3$f+q$7to?T>6;D3^d5LJ?w4fTkSI6IAe{Q*C4IGzhw&EGHj)9K4fHPe&q8Ie#E) z9NYpj>LK~4Am~WsTc1t}_;*n0QD^yV^X)K_PMHbf7e^z+R#2?j)T$&cK%lhCOze&4tUtb} zOByh%70!-IzLWm=qOL^XPuu~^8{H9r2?Z!xZ`~1Bjd?^Z<;{2Y@}!7S-El;=ZS%B?39h?~J(?J2e|)iV zF2&}oRwakkR>*A&d?_>H+ppC@9p7E9Z*TW*;FZ#;<)dj75Mtio5TCCJC?$kL5}Jo` zh~G7pAC#yWUl#cQo|8eQH~yA)rhy})1Ilfb)0CljcRAHbl&sdqbRaAW6@rmfY=B^N z)CbkgKZ!4@KrmWPMH7*83^7SwXWFh#s2px=ltLfCQvt^v`Mb!G@z2AP|9g4z=DVjR z?0VlgEcX97Tm1WVQ{hO|-DROvn0Q1;!5@UOe+Wo}i0pQ2<7XNjj3;B1BtmfjWNg#( zV)>T_s61|5<+lev<@-&&KKaeRa>-qy8?DCh{8T)yD3SXg**L1|^!L`!efXCkp`j=$ z^SYGI2zjVzp87bfw~s18mR|N5JgCTOh$YFkPXYf@R+d95nIST(BspMST>oc&{_W~q z2mXk3b)Q+Zc<*res1BFu0J?dK)SQU79isHF@q~B|1G(E1si3yjNz3c3s@ z#T5A{z3!GT)jGfdLMrkc^*QO-d!5J^`?xGB-h}!s2`N?iCT4^=y}=XT}v@|0S)G>^>Gp&+)OaUGZm_ zu_2>95-Q?XexrLx7KSd!v-Cij2w4#r-QaF0Ic(Xj8 zuNUA=-EMyPCG^edW_|td`Q~bU=U#QRx_h^nH!W6omsf71llf*dU*F!$-h290(|xv{ zEj>e*_^o|XS08Q`^Z%T_U!!(mmlw`1Yo_$MnCX+*^78md4Q;-B{^nFd8{19TrSHXR zt!|5m*^sb7(0WmO(uT_gYFUhDe0(*|>+aZ=YKT4Tl_j?ExOO%X{l?`8J^) zg+)i;%j4of^cD`%BZ#7`i0ywpThA`nvzsd>Z@ez~-&gDZ9!lhC#z4A~Py6xm{KfV9 zcH^22d~-6t@PF~+_2rf4o6{Q#3R1Uvj_o8jqo+6D%r91Jj|DotIlO>JW`O{(rISDNnRq5C#rPY1R2KRdQlf>_-=n&9-RpM&%7wmA)sO4 zY#E~xFtHCMyAP2D&o%cUuIGEw)EHsDDaAFDA)GPAa6Bm{U~wxN#Tu^axiTKkWHQGY zV`t!E$}k9oX=5r;6`89ijK+U6HDa3q$wT!=2G%#hNZxFSV+fFO2BrbjEik#zTJBS;gIPmweZdId)_M~K$v z>;LF_t?#DY9pA6^AXFdj7Bj1nzFDl%*>5*B$Rh0QztiE}X7zHeU6W#?4X^u$8x5?~ zq{+)8bN4yzgMt7p9tZ0P0@9iA*H^zgScf29bGIhWT??6xs}e8QR5slhaOEXt$_AJ) z$_0c%2#$nXg+T}(mH{EzK{1#@MNDvbC70D78|+aW;JczIhXvW>K`FAs7|h|IT4sV7 zqHwodtQ|)VJufrAT%PZrym@tW{Nlw^b8v&!d3|QX^Xc%5XZYb#?^?YFt2Xj-Jv+ai zFE>u{iP}>2yiCO~S|A1%oI~KAm+5(#o|n-Fk$YZ7(K!LfSkKE`|F=Th2n-QFz7uFY z1=v6afe}QR=FXyK@GaXTeop(5uKUtzqY;f15jzmpf%3I-f)wAqyZ$ki)2#k;| z5pRE7PD*hYfk-dq#>YvSlJb3*$|H|cS;FOFMf^&#JGHDsuqXo_f|qqj`vd!@nhPyiirhYtb2D}S`^>Jze z`bs#E^C_mZP)Qf48OvHm zs2NSs+c*hN=}+C% z?DcR&6T16sRb7HeYkKz8ayfs$u{tADo7!2a`u21NPRVqpC(7Fy+0w+$P>O5Ek%`V~ z@L(nq0%_(^m=&=4S%-Wl7Q03sK=05JCsHND zX>eBC(1N|C4y}_!>V1F*LvGbu+eGfT*i2K?7M|m8Im)5qhhoRmQ=aKg4=^Anvl98=? zYn#a3Hiz(#@S(MIHVVmOBC4Z|s~zSs1hi6n>x5V-Kf8Bjr}ic!vSlJke7&6|uC-ji z<2LcnLmD!1Xb6XAudQWhqtIGQ9GW`PldK_wy(O+S*<0Jt)O>j?cIwcCK(=)j(juvy zbrO+LsJGO46uq?#ZAa-J3w7Mm8CBibWvPvY@V70sU~h@@D0^!g+K%!#78bj8XrYCj zs6|v}!sLY=bXO#bzwE7LB5}UKFC&R>tq=>lZ6b>uUz_Z$WoRhbyVKAnVy(3fZO4@@ zdutoojyg-l+oua;sJBFcRAC6oy-h+Axm9m16B%?Ms1;vRCn}wskAfQD9AP>>pvpd8 zeVp2vz*(&V8G^@&N^63*o{m^2AzPE!+f*#JmbVrZkwm4XGUz4?WKfSJ3M7uDc9_VZ zLf)#kmWiB(Brd8Qy|oN2Xll}i1}eM5TSGc&v$XX|!kSYK>JF7jJAHw1 zUr%BWNV(Zz3`hXnc?^TBlS;~%xTTIk`c~SM9{1!V_aMg5B39hPk=lWDG_($(yC|U` zR+kseeHp1^kcgpWk|tXWVbW=FZy~DE!nD1GZH6GtO3NHkbZQL-JTKu(6KM10>)9nr znk_dtLwfu5{{sL3|NjF3P)h>@6aWSQ2mk;8ApreLJ&S>X008UB0{|8P004Grb7^lc zaA9X+9iV_T;xAnttLruJ`0_FdT1*d%vG* zcTeuKG1|SJOz#HsS${IUDVo@yTt3{PTQuTCPo~*uFvr8rZin}?ytVIV_k+u9ck6zd z&9dol+18IgoZb%Zvsv-~y`z1MY^!(n{vKC7e;8h6TfO7qI6IxcAK{Z=t9LjYjq1P7 zvOnh4UqAlvEE|n_FLt+H4#($Py*ImCzTXcMH%{WvNqsL(f~_agRd4gFT&EwWj^`v{ z7&)#R$NZ{ahFA03{IaAUxJl$Ei5n%M@1`NY?5Avab34zkbNJ`SlgsL9g1(2xaMQp^ z0xt^F7{l4!$~*Wkr!O@pZWE7(7mdqA2kLcu%XgJ;vtAF!MR%QWX5>I-!0x|cZ(M$K@vMb;`m-Dtw^k5F;A}B zcbzzlgCK}K-*F>8qHecfCw04p1jnbiTl}rwi@{w+yY~Hf04>Ng->G%XQadukWT9nV;YuCv+p@9pb5TVd%Sk zKL~vfs@97jH^mda+1~$H`=S5;VBgSdBkQ7n?(Y~sf9pSz29ozu$S}X} z`K}*Aex-5Z`B6TOjr2mVL5w7Z?UA^im!=*TLTKnBN#%hA#XywL-v;tP(1jRA(ucJ6 zoFMdIw0Mq>C5+c04?}6|yk#H9gZJ6=B)hxFriGGXCIV*iY&yKTDZUen_TBm6*{h@H z&ktVJuj$}7ScB(>FeaoofdRC*A5Y$JKiL_FlWBG{zRDl&Z{bCHaV2J0**kRU(_dR$ zczS#FyVPcoCh^6!ae>2}`GwhgeaD4W$bPUbA!1&hHQoGdGMb2KwvFs<)yoK)`?KtwvIQwH>$)T|CyIvam*honLVIkSR;zF^C zygt^bkG&S8fsYO0A3RREtirXm1akU`Fa2QaG>#Q_GU?;sf#e_4{NNrgaU2-kk@REl zj|(5C?}pNk*yhR#$z}Sh$-`WD8To>8`S>yEWLD;v9Q-k_FJOB9+3jFDxP(%GMj(?^ zwT;;u53ZBs;=(laQ`p^Nv-OoR!&4BGj7_N8g#0NgsaQM)EaO^I>K3~YR9Gl+7(moU zSS*me(n1kSMDiQAAD53~8? zuCTD+$J4(c2OF+ouD)Vd@rkSC8SE+;9njjT69nXV@VTq(sTk%%3Qs-_63B1(+We|o zjCuV4ax4lXIO1M_|K*o4ndSGLz;(R{djkd+x$JBWa5?3|G6OE)C9scN&-XBTEc?7e z)o14-3SPVCgpm)y8zv4Aiu^X&2`v3(RV7OkAOyNtW#(s5#Gbf8tU2>j=r`Er&tM43 z;$NRi^DT>KRe!L>Q>xsfSrCqM0h>0TeWr(BJ%76G?6`5XlPH>2u`3+M4_)!{*zKo3 z?d>0Iv%YqYU!UwB9UgAiDxJP9wYlL_@4H9%kyf2%eK3y(a6&dovh42t5Q-DDfcCUr*qvN9&&$rJG&hh?^p8s^VeRiAC0Cvz>zGWW$ zw{vo`#K$CO*i-Fx`S9PysluC<}Ev@CA zkO1D6T?}TW(ZFQ!%gL;;B-n@a+^1N>;(AG5wwsbt^zL7bUXQP`=?J?=@8)Nq^5$<& z=hNY@+5C3;px?DWdBBdSu9!UB-0Bw{XLA^pIc%mtg{#!9qEUh(qe|Fc+`l_Tk8a`7(H& z9F$&R-gP(dzIF+Z#y1Gv&U(L%2IFtPIU7KM^?Kia^XIqU^m;u6gD-D;-{=3vpS|9j zqy79303#GUWZ#r-CMk+pHt(H0+dDmbclzSx?%O9X5W+6JQ1Z%py(?i;vfHnwlY4ag zAN)uC;D$z5HLP4!9q~t`wApKIae1=?%ilrmzTiJSP2=lkeIV8Io%zc4fauq*{i%>tHrkD>uIFMXRTflThR z;aVRd_tXLI@468NDtuvOpxkA{Ee?Y7URZS!rKa)nH~|oRz=FO@kbvt%!iSNe??6V^ z-2z>gKYm-7<>l66Q34%Hpcl4*KI#~Oht~!+KX9?Z$1x!7dQdrTMCRIMHE$XX<+$r6F@h= z(1awcrCAax8<)5a0-z~)Nfhe^JYRQG8?(ByZd8QW_60Co;jtt%7lO9xMa@c&542W# z&<0T)BLL<3aT+EP&{`?GpbxM%P7zs-BNwr0>8e`GxqV!MZ)3EW_)#i_sTgYO^iwD@ zBC;UL3s^RlW|%80T#05VeuoIP3qcHvpbc(|uv8qn(6Uf*;9Wto=J%}t+%j}9_mTg0 z?a^=E9D@>1PJcc-LIj);NicJEivJ$%JPu{KuK<&Sn10pPzCd77mRZ8j(cZ4nisDkP z;k_!hONr~{FHB#Q2D1)#*w?4|9_vzV8Vt9r+KngY)#W_OH-qUgCvE0K%eM`~CqH?_ zw*ydjw-#fx9e`T!gg-j~RYA2%te$J$4nXa&dLj}TLNg5FUmB~gZBC{SRs&G&I!S`k zSO+;RK}S$qXmYPYu$`S!jzY6-neAY4fmTLQODV%A|7d)5IGoPr zxtSoj{rKiMyEcFE%6vxR^q%~sys5{4lO?b6{%MADCgMw}pVRxjYvNl~XB0{U(0~S) zUp;*ojWWZdiO&&1$y?+7i*G8KDm_8{UBhl7?+kIt{5hMtJ{%2hSoqHJ{#L}Tts6vI zr`&1P*Qm9fa)R40(N@5f}eyzBsIo77KCnya8BI?F<@zFlX5Qw`cu>SotL%WqK6cIU@5m_~IfWJvBd2scDCtB8 zh2g8?4W-iTD0dYbSYcQ$<;E+B(FWakt9>Ck7K^=j-3&F@M8dgPy<6Rhw;G7nA(;Fl zli{lv6JiKf3((>LuI#}Z-};LFr1e!vb7uD^t?iUTp!IyUawnIXcdIvfoDHU9B;M#9 z?mq?n$V`MHYErLnfJ*sxIS`<| zT|tghgtNG#gs_zNid}>Es^4K-ljb|D%hP;|b)`0qg<}EQGBpn8iwOfSfA9y49C6|Y zC>RnC)P;W2Jr+ zqtFc`Hek`O(RoKY+2qO1C8_Ta;XZIKSM>L95j zINC)LsTG_u?nIcMMfV7*Ni#o%enag-sF;XwCiOd*3!zUX+mYpa#4r}ms@@IvAX*JZ z^I^MaqB5&pG?DTvbkW2vnuv&4=UZ*Wx9XyaT{IDC-dI?$axq%)6xeMIG)5M<{-DuB zgUMECPpbpWX{mzu)yj-2y#kY^*rr?EiMJYv)*+Z2BsrQW3}3~V5JRw9fFHtzHv$41 ziY7`HuQX@NMH8iVN;A0ie6?~YmzsC0LwW4?hDg^xy+(5U#%N*@N4%QNmy07(Xz<%_ zo=FE!5Z(H&U20zph=<1s62fBF3nvQ7FGOC0eHM+Gkq!{63B$ zrvcInrwA1y>Ak`CsoXL0VUe8=@y8TLH<26Abam~E;RLQ9;pi<=2_n6Khs5Y2(DHFI zD!2)<(;+2Uh{6NN>WWaT9*yGeT7F7-xr_>4fM6-2r8qf@u98UfA?FE*%^4NskL?*1 z6jm~gV*`Cu85OW5A|H8dBc!Ky0B4x89W*3VkUzHOQ;@L`eGGC_=Vi(U`D3+*4P;Y@ zo3bIa%cjsJQ#d(TE15#IO|g$aP>@*iJiJ_OPZUZCQs8Mwz|1kQPdk|ci=(v4nBPNJW91yEt-!>Nrt>{;HOxZs9bhqHv*lH3|~4AuYzbm5Y|d zx?Pw6$dClGPJ~pw392Ws!B%!cgtYz891f<_4Uj%U+QhYe;Pp{L0m+R(`ay~&r1|HK zF@P3HpCYiH9F%Mzy(BMZ8I=WIjW-z~D>2NJP*^i9ux?m2p%;5=ccS&60SZycOx?C$CAlFK{ z)HsQ$TWZ}>+r&~+B6#Ie3t6#^ZmD%kZ4*naftL_C zs;z-LDK5_1Z%8bw$9E0E#&x^ZL-H5`j{?i_O6w3fFew-p*0y9)q#mVaqg6xwEP}W4 zIZ)$UUztRzhT^q{H!wQ&`C8(Yx0o+OmmJU&OQ%IU^-Sp$3!dP#%p*gIRZxNzwOeQN z^0Pd*j4fA9QMBvN@&;lfQF@y4Z#wW-<*uP5p#=}M{GLcU|51v4mERF7g;=QN-^4NL z{aGB0+(zN`cf;#FV#UMa5B}?5<%F zqZSGZM<;=io>5aRK=Z-bPNa=S{#LMMai5?^x2Ccx)xS_(EGLKSB!K80;Yv88?z?x934w7)<{BZ6FtjK_5c)U=dMObJWp^knPiRO;p(x z``7@LwULY3j>?J$?+Syh#bqsbDsp0h2PgB^IQ6UEp;$~PScM`_5XDsuu`iU$>II?i zp^Rfp+(;#{nAl$Vyo6zlnvdYTBYn0!FCCM$VzOeUa2z9WkrM#3874@jMk`3NcKPui z-D);zIJO4M7Xr?4?4Z&e&U7Rmihk9-*o>@Z9xJ$(8jm$;wi>F!YZ#woS~S}Ve5jSJ zKs?qIluu-Mrws57IU8^g0;(2S;*r@ZLV7xhU|t&sy3xxfP9k5%XekWQp&NuC8}m(W zVO+N7vHD2Uho{8}lo&K|VPgFCJXZNB1e;bd!`A1qs#9q&g3yU&R%O4iG@Pn?#DaH0 zcji-S98AY!?Rcz}vVJodJ&0N>9gnr+vBFF2(sIvp@v!NG^bL4BSN9#<-ofo30B$cC zUmX7ImRh&eHnG$iq#6f2yQS7GwM{HFC5Tu`wT{Qiy!Vb=xDj$;gH-Eytld)Ev|FG^ zwHlRVA$LYyXqA1T1r{VBt*re`P$Mi5l(k##3bmj!Sli;ZZi}``I8Dr)*SDZ`qFdv8 z`g*Am3+JmPHDX~q^}JiD5w8mZfle9#hAkK1kt+Gz4d$1(z3&Td1Ygm7w_5FIipx8o9RoAoloAiMAPn0d)7Xx};=tQ5-_^pZ)#)l{r!j(VKR~E7Lshmh#_bPY zF3Gaf)-%RC)n`i+;B4a6E>{{Shm_g~G3jmdXXk)HISGrO$YOZ>obwrXcaQg;+sZw- z(8{H%em@@E4KI6_lkqsaoKL3x@=IfiOx)N$MP}Gfyacqu1Oau*>S&a@Ts?as6<`m+ zJ&QxnC^~DA4%S8DAnlV2E(H>CLLdl<@-cXY&=06h5rI36QBu-4!-|t7K3#`r$73Vo zWeOIY>6WM2P07%V`mje}z624nOJdlPg_B<=)(>nPWfGZDQW5;ZK8TRW+~!3{-33O4 zn7Mbq>>dB}`SI(6?V9DbgSOA6!<(CIy7S9m^y|xMcAZVL@nt5}g{^3PdjB*PYqY!! znNL^_@6Hd;UL8Gue(-9$frM8NFE><*(bu<~-exG88Mz&aZ@q++Vq1i%=fR%F_GC*@ zw&%*-;K6#2k$q8O3$^0#9S;A#bK|I%zXu}cXsf{Jb&eR{m<1btKd3G zE-v_X-K734)Cr%eQZba1REP|C5tz?L)uLN3CV|P`NQ-VPisy%Bo*JZKv#u$=H0m0B z*Iw74L=3F$An?5enIdCfY-+{uu5?X+tJBm2@ee6MBd{d-`z&n)N!L`O8U}tWbI>ud z(zhxJojDbc!HU9TA{(QRT*|2W3VjOsSm~^{kA*Ckge!M2(3i3f%&LQH8{8AcA&A^= z6h~nS$4~UCOb*jFG?}tLO;0IP%-ujv$6OM?mCscIxTLF4j5op&g2bnc&mi?}td~mD zVI&wY5^qK>)$H|zicm%(Q`RdgyDNXLj-=9gNCXaLjtBlK4>wR{+(&oe<=8ZZ3SS)c$<(0?!4w zM`ib52KdrZkix!M-e|(9fC#nK@t@=36q{5!zIY?5R?m@T)hyJa1n;w%)k()LDGaBI zyHCXgn~nuGUet`Hb0d+1>59r^R$^sAd1N94$dQhzrt*x^wA7Xps^LIR;Vc}mR3Ptx zWZ5&r$m>VI#!;RP^%C(fUqaov973HN2qNGL9>fWDh|qd@hc+x7ZwS_2fRyeC5df5c zZh%q{51`#Qc%MyAvbzfat-Uuidu#%P<0AH}`B@ZABW@52#rzcd4Yv6+i)!msnr~S= ztNMd+sorWZisEk$3FzAVgM=u)(h`Ws)Q@v?IBJRdGbtIUFcnTtxE5BW)oRW$3p-To zm+wgM<+j#$JFlKU-F9~HE1wEoM&FiXD~?*P#Oqu2S?BLZ0%cgtzZlHKl~S?gmy=m8 zR-_MU1gDpSqGd_qw40pLbm?eU)xwjUXsJY9HKmWGnP#=-9)5lNdQY|$C{)4~=4y-X z8fA`9X9~)PIU2Mryf8FujBc?#+}!Hh$I|;`vw=S8zl+3;H;Mxu9XxeQQP8%r10x>r`6y=t=la(C0e+X)**0B z(W0%=Run_fU2is8i(BxCP)t>PL3?-u-&3EjC0==p`7(6Lw)wE7Ns3h!B@?)2nKG^@;nbtS&AZ#d?6$m^@SFVN@&m#i!AZl; z?0rv^(frFCb0JFV41WtYjyY;SvQ@134AHYBQ%l?d>0Ivl!jZ@#~ZQ zqr=1PN|S#_-wI^r(T?F$@4H9%;S04x>4fiIAfp!T`0O+0>RORqt0AJ>QmuBaP>8E{ ztJ_j`fn*(nZXDPfD@D%=TAf+FpM*7KeOc0^ksv7f!}^3-+gW~%_yVCVBU%m$9e$GmlM>Zkxr1yw;tl4s^mR!Rd z)I*4MY!gyKS$^g|>?_fHk8M#l4u)HF_VF;m{mGY+{hTck`&*DS(qdSr)p`?Je2spM zwuogjSqGu3)^jSk;uryBIjy^_fJF@v;P)*tpTiFk7 z8mi|?gJWJ2(((7v{2%}P`_23xHd>DdrP0WTMt;j?8^VzHTfMy5n&9{ogtkBl{XS*o zc7rHR=zywG$X60xXZ*04F5gNm-JL!T#Nb@452FD^>XGv_S0NSE`CL$)&n5p-67>?P zTBcktU|h@6-^&y9N0bT|93+}D;3SG-l0|>X*lc?`2dDG_5P7%_$BL2VTy$Y)8GA*- zY?Wo~$sRZ3OPgQ7MtZ6E(taNlELeg#)SMEXKA$V4i$o@VmkG>W`Exacc}16QH4M3} zDoOf+J~ly@ZlpV70L4kD+^`hOnH@F~r-tx^9bI~Ly0n9o0oXx)1j15CX9hX?#PLJ* z97KRk$B8lkxLgRNBjUO zo7w9x?H@&ui!)QDuKHS;(h~8^I#Z1{n{NB5>Rx0~FQ>XiFBapf8HOP$W1}$@TJRX% zmQt@?(v%9Z9$`wkt`p)|1+q+-m{Px0&#%d% z4Jq<*@PLlkfoAU%hprXz#I32Em%rR$=dcfG1@Kmc5;Kt>dWiqQVzXNzl{qC_4sX{q zr{vboTlP|!Qz0Ec!-003QpXWI-!~eDa^;uW){#G7&z_RoYTL&q?5Re3sHHvCSc*kM z`q&{ZWj)fREHO>_uN&^&$KJ4q$e2;ahHVuXw>g~q!uZQ8~ucve@ z<;`F^91!s@hr{wCuU}|bjE?M>5AA#^XW5o}!tPMf*LSF>hF91oUDi@8VH}&_lQ1LJ zftG4e$X#7e8^qh%{c?k&jl8!GLJwFj7nIcu4mM)dZeuTNWri5VKh->sN8_u*;dD0F zkJjOv2@lAD*$LQ@iqOb z9x?Z?g#)k?DhVxQ&r)D!9yq(8*@UP7%rz8uDcQo0`~+EUAQ2dB(JJcuiDtrNmf%vL zB<2qAOd7+}&ci5@bs;tt%^J39gfyc_HjKo!5aMjszFJVS@5Cq&p1L4bBkLp7j3gBc zo0?`yvUWK&&tx`39)qC`niCiozAq;tF5ZW(GOA8$ZT}=+%|HH-|6lKDAIUYKdmA+~ z3E?ILQdi9C)l2GSFT#pKw9bzM=n^EHGO1dwmjRl`A#g+o`87i$W208gSgvaXV-?km ztkYoTqnraYv%nSGYi2x%g66l%B?`g?rMpDpzzDS|M5oP~nWi$rdLg71i8#T&V_Q?! z%s#G*vD-13$X3L!t28sUhP>Id*?kDpg3BjIhLwV!^hLqjS<){D1y2XP0cohhm4g0cpQ?*R8@7p5s2=I)rcSuU$L!JHHq4fl9qZk zWh|yA46*f)?cRkQYn1-b#zW>$VICPR2bATM0=w;rWDfjr73YYeb+v5H*wJ6h#`Yy z1i>3p!6@CWt#mC+3v5l#L3&S@I6{f(>JOu9!IH;JJ6O*S5{8R7*#}p)&_pRp5TU{a z%0$GzadNmH44V(;3MMjuBb-;?!eq+Y%X7^9ZRK;7Z^U$U8wR)1TE#e0K399GG(DDu z5)Gzhp^U_nM^dVKPyW17*SoYOk(d}#3OQa;J}h5M8|h;iZ7;wL=9dd5Ic91t2OYD} zaDS)wk$n%)Zg~ub>wOOd1Ru$lxolSSez7MZwvf~oY5e)6U$EYX)B{5T6b2mam8Pdq zGhk-GG{@m!IGZ>^(s|(`AVN+SC8h_U6TqXusb~qESVQl_Kn0m3L~#}9enX>xZe^X7 z8)7XKKO9&|f0Lb>hx~Ilnxsel7lHQ0U*^(`I8g-17p!HF58*aS#$c`1LzJj+oCwU~ z1WDo@>8e_t!?c8~MvVo`D9)BEWssO_OmHyHdx1X(gaX~lWFna=0XQA#HeO5=$9Ppj z3FLj+Sv?REF&@{4^&cPyy8wyBGpIN2jc=UetJ9k-T3)&_&-yL4x!ApVk_=`w~5l{r}pLZ$7d zagEM+mBDtM<#w?C&gwO54b|>Hd)d1lO@6mVVc|a&&ShD*z;^(%HbK0N7d0ch9K5bf zy`)dxH@r<89D`HL*K4he{65M^0QSv`wV>J_zp7S7I&+1=9Fi{5PT{i)WnCn55WDOt zpHIp%?oYI%197jyo^PTQ_PE2g{7CvJKs@fSuSD}bwnf=xPg$$xGJ#{FPE(}{Yq82q zfn_1uLIIldC>5(h(!8t#jU6Vmgf8F=t7YwextK1rlQsE}br2@4F3VK&@-0W9NoqD? zixsHbZ3dmM57aur(m2V;v!+{HoJgtt5Dm}H*-Pyksu#9qFI4$bP8Q}%Nh(W0kMjbz zYql9$T;6Ow@DW$mL)u4qzZjqo$`hwPYPFltXNw)dR#Pd{xj;uzBPt4vN>c%jiU_Q? zLYV)$d?|Uj7RLr%92f-9ZN!{8U8`jnnZeX4VInB=jN>pd(DYDrp#ZOP^~LJwttWqO zIpFJRb{x(BMtZ4WY8OZY;0OQ`2*l&Co@pe01K_TFZh*qYR%z2|&O?RY8~rAS%n%U29t)1aS$+W~lsfge)EsTwmJ&K4K`SG8qTR z@`^l2GEVRq(pg#Vy%7~JFIbJ{C|OQxbQ{^sB1C*pu@OXR%6(6| zvxJ2D(mqm^hy=_LY$+u@@6N2g+1>ivWkSCu^KpqYtLB%hLjct(Bx;WIT8*}y1T*L> z(qP2OFoV80&9^LGr}|K2yR*$`+C~UI`8=+#XE-e-xYNioAfiF_#Q#{8Dta>*J!CnE z5C1Yn8b5GD!vy(fVz?`H-N%!?^KELfzn@(X9!3RDwDauX==kWx^KFV6{d92j{HL>R z)Hx*oZKqrpkN(>^IoWYVo1} zUEw~gx~_(rR6|WEXH_mXZYQj?*p}N4-Er>jIQO`R-N_)`R%dKVi`%9~CF`Dbph;DM z-L^6p*6x>YFww8o6ta#%hm5UlmAIW4gVofrsK)Lr_g2$^>M!{$w{>?&tJDtoTiaQB zg|@=`ms;r>++QBkCRCw1$qjx$alo5A#-kTKQ7>-y#rAM4D%#!Oz89Z8`t}=qKl0uU zui4iluQwcn!gP%?z;wmz-F$F!lU?n;&t^}?6O@-LtJF~k+2!4RUdyhx+oO_p+c%@h z#bER<|NMHGjjm>Bq%3%+Ttpui*OYA%M=h^*_w6?}rUMlT(U=Z!rx!CFl#7pG_Q& z!*J;CydGWd-YpN3Fz53Nbmvic6bE|2I1iEOzyVj4yYs~hv)CLnNo1@ zNXktafzb8gcn#)#FO9j-Vv9{wU6vVEVrkx)#7&!SO%|#}%1F9j9fHY1wX`Mce_bN8 z(OOo^$`)!J^k=*AxkhX?7#;eYSznbjXLftZ+RoDRWq5SX77$Bksd=~BOGkwi^6R_&VHj{GEvNlsdVxwET)%8D;2}%-A+MCw4Og59Ht#VnKb+;r&EX(r~v6(EdN}97pHj|~D zrRQrUo5?!wR-0*nVy^e|?5cM?MUsNv{QZ4K=0~&Dl$$g=!xBNtZJ5$}Vg^lbn@pOQ zZ;tl4nbZ68YC5^!=^@eO?}O=8??1UoR9Hmc*SFJEZ7m?gY6}aepugVC=qr`dHj z&BlN^xpBx+GUzxS&Egtu*9=#`k32Ux;2Op*QYH9;^DMJyeOFGzP?iK#K3CbN=%dgX z#x=8%K2&m&#A~Z(JU2tc(6mGh%?#E0HCgX>JBI7QS|tI+&P3q{)D@=^smwv*K8Cs_ zm70L97_M^qM2V&cGl^8@N6FaK2%s!FoXe(4?6rnTYP1Y=tIQy>m+PfAwRIY&52dfU z{xDse)Ll*ER4!^(a(qOxl0!0HFGhY&$_~pHS*_ea;{<~a@GI5zLRtfURjt$jS3-?I zqv13MlKaY4mzck*2i4d_awioh3InRpi#9UH6<)|J{c7TK0+Gn}uQ$q8yXGVhRm zOtlnYsnjq=$K>2vhRNBH>pC81F3AVuo1^{Qa94PowSB@uSPUflSmZk0tT4#q(#o?* zRLR(51ItN$+&mHl3A=u>Hm2in_Qpy@RQQG3F&8#q(Xa7ctqjBwOr_GryHY8;1zGPi zD3zj^-P&?7;E?(fU1Fc<7JQp7In1`gO1DCv6}_(HsgaMOQ0?;AZ;yh%fFmi|3p{i8 zgmLUn@VS-L2CL2jPbSU&nhBm%E^qeS_`KDgTS#ZzD2b;B2#*RvvR6>bliGt*pr+Jx zb!|1{1Sx8+BuMp$d~-+>Cm^EM=p^OmMHX@7GpBR`h$+Xy92O0z->PL;WV;&qk-UJ? z55y?E?HWN?Zd0?Qmc;{@v%9ln`7V;5qwEP)QAtzuBj&~q!<7}WRj9AI?zS1K+(<7q zXc_g9Z5~K2((xnjVo^V)hC^%MZl}foZBT0?R4L|Yjg~h7P&NXL^*F5nW!M3fYXOwS z)}(R)fP6d&JK}CT+mmIHZ4Mx<08mN{m5&KfGApu`d0bkSc@po~cTrIUC8i>YSS(Z{ zn=~QF6j--Ap$}N9VXBrtqOU4E8RBf{rpTF~TI2?F7ZH37-GvBUjP5EIHQNZ>eW`7P z7zB1IBo+Zi@nJ0RZP#cUG$j7>kYpW2s!{$15mBU<-2kzRlDor*L8T+;D#3DCi0!JJ z*bVWz43A;~BGreGNG7H61rba7dL)De(eWC){2_Zg+i7^?`7Usnu9C z9Nf92-`t91sa;Gp!o-2;@_7|{m=n;i+RPVNe49ZkpFBr@%m!#ZkS5mWX=M zl9q@E0}C}2P{NE-b9l0^u&gEG_mO^{Y5;_B5)uHy%^Ir$ZHP0weI&92%ZCVA9=sW0 zQ+zB_#Plq>3a=+kIhH3$ymHY>wunA|2D!EgTcq7cRx(DqV|i2-;iFQ_g9P;ogET5) zv^+LjELV%(*tm`H5s&3bJ5EwJ3?9$|EJE40+KV5;#<1*7>kxFUX_lUf#9BeyeQH*Q z^;Jo8X16k|?UVw$^?d!sRz`U&k0&7zMDsaSFli#2|0$T}zl{dt|5VIy@uB!zW1SP_ z{GI!+cU7u`cfHBQ|7Dl+?WeEudiRg&hQzh|?XNxd4cERpI%I9uhx^P_c8iS#dC(js zaxLW^3{fKW@@Bh>+mSkYVtx6EBe6IFV$B2@COo=v8O43hpNX$5rNFGT= zY?nV@kJv7^Ri|#2KUe$MMBJz`?$a`E6m@ZNW+4 z#4l|XHzHO1QK;+8^jj)2gxCenPb1k&9Q)u}OOqpv0)1K}K6V!vsscj|Gu3FT>By3* z;(%4N#cF*YqL&)HF@Q19uPPW*e1wGk)5&EvoArHsB9$dP8O)IUX?Kf1Y^Co#6p%$Y z5tZVRF9k)HrNCmfvA}pp11zx+*^Qh)x~A6dfHXO+s43hdQ-P%E;eiR2{}zg9#zKrm zrShjM$9uF8MwgQL&8AW^Yj4-cMv`T_%+y3U(O_#zu$8tT%{MRrP+gnm8?4K;X&|yW z*=8(XF^?bMZ^G>9bXrqKkGb8fQCt_}upY93Iw8pI zew<<^i&BI&51rB4YcS8oaL+N`LR@3k6DnutM==uNfulgrzed{?=IRB=VH!jLsgVOE zz)AYNWf?sVWZd)I-#NX_vUy+m{H-tZ>Ci|0^dU+kIl$sU`YBeyM*7$U=Gusv*00IN z)yFJ!cfCQ|QA$R1E`B~+2SfR^Da#$%X61qY627G{uCcNLma1_F(1=bDj zA?g}>C_xyfN$LnkRXl-KA7Jo4o1SEM7buU`1CT2{Oow%UxU@Cqm#eF;Y7GK1kYB5m z&$A?9NSak&k!BTVU7Y4y7Ozu%DBNgoH=3^)?1n~!l<1PyoRdtdQy*8@OqaB#!@9Zs z_iS=^Kg#|%y_^p3=T)JN$C5rfZcJKJf}Y$)=$2X+R{81R-Eca* zaU$hlgYU~E@-m>Ie69vGct9pZ9X-qhW#A7GHWP1PBfV6i8DytdmIOo0cyI+wWvaiJ zBJ||QDCZ`^BYsnOLkW+FDPXzUzx=33d`-Xkf?SYk!jVH`KvXKUP~8*3u|Fd_d%W)jX+WxNy-2;vsVUnMr@$@!5b8I>ST!lCU zr6WKR^-D1%1|Y%^fB-<*l8*2Fd#bCKtaZAvkRs857ILP$s;j!H^3BXQGn=Gs*6g(N zvcS?xrPC7sLNX(UM5=Lj){H;tF?SUoB?vZLXuW2+)-S{o6=~&ru@SIKJ69n;L3!MK z`S6}AebskfD!O0T;^bT4?V%28k|K+2oLVPr*`v)`y+VRP zQ`{2843i+C1`70yTFUnqx5{N>?45q{7Tf+@dFykkJK*K<5 zvGb(F%qjdu76XdPkfEuV8Q!=~=J94o<&>+E#e|udK?0r31M!l6zocjjsiP=^B6s@` znb%iljiRo2y?DK^y#qqjZ9luey<7eL>I49>Oj9T*EWMfG<+21|y*x|mO=HY93qpR1 z0#Hv6WGl*Sr!(@MCR1bUbP|wcq_c#Lql)YCU%4mlssvP%H%D_I>9~gogxeJqfhrhyfJ$mzJy0cGOjHmg2X>+q zK2}#;N}`gc3DW_=YleAuAyK{5(h@Ce>sj#dD&x zA=wf1UQMQ{Fs2K?T55g1MlD4zCs8m5h3f9+dTB+p8VS+*=)MH*XRHigA9HggO_X+O zer`ulcLLrU?QhMN*;X5+y{3;L@Ib#Lq0(yJBkv=i+gdmwbpJebzeA1?V%PG0TiFW3 z7w*2@|JSqA^VQ+We#OT46R56yt+iIGO{E+DusS+?ds#;(Op|Obe>hNdNqLVAM&11Q z^!W5#_i`h;u@-(>{hO8;ImmDz&+i~MNGTa@DuJIaHb9NR8Zax#RjP8WJK~K=go0gR z0}3gkN0>IEyNnH#T+5(7!+lN(N;cM(7UNgJ21@>wkXb1z2_M||MhH!)Rl7=NQDU>xu%`7PJVB;YI`qn+4YmT=r=)`OC9DR3b}LnK#g3b zP(gLXLOR9%IiIhwTO*iJ$O{~&lqa1}^+9fls@svwMEilJ*aG=%UI)a>~C-I7&yer7%1kWH@)pOFJs~B+K8$lJ8!lJjQ7}-~g<(=ipyXEo5>DlUhd3kht zGNI&CSSqi-IxLj4sfZeA2^?vOpLL;Z&scWL#Pn>oSXmWWTQCpAT2nS|o*GHZIw_n@ ziUopVP6~NsHkZryAk5w%@97*`L{ebrt!&WpLdm)!?Sg7*%CpTfC`%eNR6P>7l@|;8 ziB5wW{Bc0lc>(DrXlxb zkQrp53W*gnh_myBVNVS<*lEw1UvSPDXSnc;7zA>vkzGz z^P~hcAbkt~d;?l{9?U&6YTY;OfTkQOAeziq63ALwcfIs6vrtefg&HB8v0U11a@Iv= z{ID{Eo^|dtu%1d#LzzpIjtsYDO?a^&MGM=S(-&H_{Nc!o^(VnU=Mm_e{R1DAV$^s9 zeFs=Cqryj!phiy8Oa=AmX=-i7O;oRhdRK|+T^nn@d--dvNv*x~@$y3JT$PI63ZT;q zP2-TOu*x;~W?8pvD12>zvl2jN4kR%PP3up_K;A!UAg|u?T6$ypn?f0dnU?@O#BrqI z9TySMRm_l)STHj9k@A|mY!92~OiYpk8j3_2Y~;Mu&{%yGgwn1Spnx4&5OGjIIw@hm z``?F++1gGzbnFCFcKIipP)W7338@TcMnV8Y;4~PuKhIQ=jg8BP!s!_Rxxh(6ZXrrc ztP97;u`4N63J4AnQAK{l;53Rb*Qg|v{5vPMpt`*EM!nKOi?1HY_ba5WdTCeZsaob1 zEI=v?r81*Y3fXIJk%Cw6U=jQjz~3gA#tg||3&80d2qK3ifw7uIq z6zQIl@r#Hm;$#T(sOHXeUlmh7-NgDlOgB+ak^$1G3W1BPE~0QFeGCEEece(Pt`2y^ z82qPCHxZQ68x9Ep9qX-9%s36Pof+Ddg}GbbKYBR%QB4VUgP@!2jil1vzOuWF=|CtM3^ zDj(pC;5jImM9;}Yf}Z>auBkD)HofS?~yuTQ=_TOO`9&Q}Mk^VP|l6`n1YK}az7xI8SFQ)x4A4PDukyn-3~s>IJ!B2nZt zbOtVUgyUF(RiGk9urrCt>U7?O%+W;X=6J6;=yME1;xZv}qt}@dl?_6=<%(I6krvgVv ztN9Ww?0&WN@=gk)nHa(xFUp(MPzFK`=H+Bf` z)Vs!ubuY`kBeu{y2$83Xt~viLJ(i#QWahcvX9D`>C>-EqyK=_fD;?&-F*YdoRBUn# zRZDab^xj;#F`qoJ&o0mUsMSLM=~6F?=pbB|PvEGs)|l?tJvYTO+G6QCf_LyZpB^8R zK<@~%)7JT$u$wG8fCgvu>Abmis}5sw7Xn7TCog=6>QQxz=SiG9j5p~Wp?saU^w{=m z^`VW8*XKw3honY2IHu5340{xAx@3|hgb_!RFWI>$f-{6;u!R}v9Df>aM(C>K=_Ws^ z6d$c5AnB2%DGK}`PyZQ#iMUzkWJ0D6gMnGZk&kz*fEY_O+=4_Zuv!cri+Rw4!-;Ff z=#Kp$p_Xr7oIz3^9AJ0_hyj5gr0=@|&JYs0TAzQKPG6IW$rj%V08BR_wr$R>gfo`( z2N2`Dv~Rx^>HnVCJSNC6-&gJUv<^*uN3$=zZ_2$Rw#>w7+Z;e9$ndN<|2?tA7aWX< zGGgNqXa82kq`9bR7i4?iU0ps|9W37+>wKqOlHBc+Uyd%0sH0x{6vUHvCm{`dySgCV z{|`r(Z`D~OcKg}}I-N;8;CB}-gQ#u1o7L{|zF!`{s~_#tujrRzI<(wU-pLanX%GsF zEuQXWdx%%kDW(BG>_h7`77M4@CVe(xv|ksnVL~#_Y;)U08}3v{Q+Fc^LS}(RaXSf%^?25jGJ30ydFqU+?Vrkg17-Fz!=Y z0&fWsHomK+pn^RM#}kVx7h}ekeS8o<2t`>i%4Jm{D`>~DK}(@_6?e!So&}>OGzcRy zPKIi#;?9M%u5M*JFjCX1VLMX>x-fe7(z;@>#8rM6cpp@3P-zg91gKYvIiTE1Bhn<_ zus)A_WDIkjI>2tEk0BnJ!LF5lt{G-wbj@5nTNm|`tKAEy4NA}q-A3wa5>w0Vif%`G z1?$T0h`JsdN|xQ?&%V=;=Q1(1#fBQ}n87#G{Aj1Br7Db$8OZ)ZJ=gNSS`v^kAw!Y~ zP*pP2-nt-6adDmE(st4ei@&cEsP<4c;8~ELYsPV@F0zrAgC@c*8C5Paf*4o;LlA>? z`B21QaV4|~-&%bgR3PXGYEPj=pmOB+_C~i2EvHwV@auy>gxddGQ6=CWcp=Vpu|Yu+ zNkNq`+)+}xGl%4w33vnd!B>CT{ zA`b_r7Rw&$gVK;fV!6lVVX@qgDPc$nQywQ7Vd_+G023QmNkMFT8wJV{E)3UAC9X38 zuj>LEnGz#Y!d*bZR@oT+I&*tf%+q1<_;2a4{462fDrt>z(7R@>n?|NYO0vO*`czMj zp-%}Xz{r%)ODnqUZADQyqk<;-klgLFl8u+;uQOGOt+HZ zUjHB-5Q8M43jKu#eO*V0MUv1C&A3~kr7xH+jUOWLgG%Q|;esm+K@kOybzClv;H6qE`h;LKS+BLKGL%nIwr&!8n5@ zQIbXot=@*~j!!1Sl0a^RA%hB52pLB_kiouuC}e;oq3Ybs70raire5jaZo-5tVKXvx z7ODtLMp}tMGznI$I{H5dNHAy;kgR+UeqD4>Kv7k7K&dhfo-*qSN}7b4M3p#L)!&`v z1jq{`Q7fSpO(G+08tuSGB_XPhCQ$}5N|>M9VzU#?>PaZ}M-sYefgwT9iY5NdwmQ*l zes1?ML^R(pO`;rW5>Kx~TdcQ=&L~kP{Uq|3QKEABjWSu4QYNeX&1IB;Qz*_yty2I7 z4W5Lqb+=X|ucJwzI@+!ZRh=^=jayfReNk8jO9aw_U=`Gvlh7%htBRoH+m{12Wv_8q zi)oSi`5o|892~rXxLQd>!4hk-Iv{>GEuF)ny^&Guz`cKr>a^l-EhA84wyp!e?RDmH zta3-(J?6hB<|#A7(;N?nJ|9S8?u#d`$MZ2cD@N1*@$m-ntlD!mDD*D21Pm&H&HIpt zbRUmdv@zE#9`_?OE4o?8G5?+|@WjFn5-?< z9DV)U?PvX>B2juH+B-T_2ru}wckA*na**TgkONVXWK3VoNahCHUDZtJ%(&L+Z32nu zoKQcgrc_^D8|xqq{v?N#eS8pI-Z1!6R9-%iHR$WA^cehS1QDWzCv!4r0ubF;1yd1z z)tchX&tnGvE2!Z;duh!H82rf_LYfa^GYE`?S1eYFrSS)%A`A0#TjMvZ3K)n=N=C7` z7Rs~iPMX~+U2}cR z4c_HvoruUOi7fl&9vlNO#sG|JpY~fWS`#{wGFcJ!llI)y}=Oig8n`-Yp00zY|5|Tkq$-P!0 z{o3w~o!zhZwqNeuZ`>M9vg*w*3p2rz<=j}7>ep`VV|H$QzdF}BagUD{xFWtf{r9Y2sP2=QP^8ar`a+R0mg8fL4^$b*Huuwxce7fHH02-0Y3*X)M#$)+jKF5vyIplU+bBkWQ{ixH6LN^ps`wjOWuw7av7^mEZgyjCwpABac+TT% z_v>@8MdVX-3=((_kaf)%9CRec8+ldVP@DYle0dhCH~rP=`EOgMSnft)7mMCL*?)F) zexXg3M%FXFd9gb1fAMV>?I@-G?wN8yR%`CdWMYtncF!KI4o=VYmqtIkXIlrV(z-J6 z8((k+<%Go^aBrB>yC2u_p`J50Q&1cc2<|m{pICy z!zvb7U!u;y?UTdv)y2h6x^%!#XC&9%c=+=3&!TD>q%xamM&YHkdRby$G*B_iCmES- z=Lw$Q83l%Pt*7QKw1~K%4j{#)sWV5^4xDg9k&-AFo-=wICASu2<~0jH3Fwz$PbqL%RF$aI5!FL4D;N@9S~Ne(?ovOx!Xr1KmyNXpTxEl0 z(nufQ>+;^vY;fyEY2LScM{JD=snsl8lVs*DH~%f`SDyP|rlJ)ajQ~5N4)rnWP`4cq zA6qMF4hcot89c&XKxoiRIXLKPg=;ocvY+8m@iVNMVUnE6tRM1sdq{Hjee2Mnbk&Uo@FeZo<~cs7sx6 zP+ir*Pd{wE_@C!5zI%F~7`K{0wb^m0Hh)+i|MQ!+FsJeSA}CIQPKwe(Oq-Y3*YzY` zqv@9TmQJwqpVuGTst1Hov9tS)bb!zLxRE!)H_c7&FR9Ct2#P{%p0? zw~rfOyv>qOKwS5hDqT`97>6;(laG0auO3j>ZA?NfaXaNs-R1V|QL$5yatcm_>4N_k z=rV#%(<@c}v!j2n_8*__YvYHZy8$JXjJmxT@vBJRkUv^08HVYk!7zk*XOzIJ>Pw^b zQCX%nH+tlv3tW6>wwUIw8@!0~G*vFljH)P=#nT?5BR0bvFv{jNGO=;ACR50SiRT__f2JxaBZuI=Q(dU8SaU_S zUy!Ksef8^=oot>TDrH8S-X^SzykxSvpNdue&0gs4DkDa9^Pk?#)vxbD>CW^|j)h(H z4b8H(rbSPudq?b*dhn5{WP9GO|DN?P+I=z;qVyR~z&|X&{~ulnA3)SSShXdst;uUG z%3wju3D_*m_N4UcNJ7~h(SGGYsU=Nxr$yUxwb^J} zrmh#?Nw%~REBcD+Y;<~!VaCWuF{k&_4YrW)QNhc<^f~MJ~_~E ze)Cj;sVFNf2zLuKl+$dw^oBPiNxGTO zH*vE^L$RO(tTLy8k2w@;L=7Wq7*Rv#{S>;eZS@{e!>=g9uT-E#>r9f;-nFRQVbpN> z?&M^397PRXcG{ig$-Cw8#_8GWe0h0vdNN6pNSfO9SBG%~!s{P@Zx(jTC`dDW5h>V! z5CWKWx)8FsO5^5I#di}OfZL)VeHuw}y+9(L%!(W?2LT6jC|WwzPScq1SP-^Nv;n|` zr0Lv2+B(sk^fRZEBquvCyaPzKL>*`bUxi!<)6NT8f#s0GH7Jcol78o0bv7lafT(k# z7YgmDugL`lm0|1T@K|$gh(an#;?xyxDi^syps;CNX2{E^i8>(k!OF}R zgoAhhqhMGV@6m61y(@BRZu|(6L9>BHWaw{izkK{sZQ4%%vjYU%kh%2{!lx9B z)J&RTPk;Gqy*McE_E+b}O%$!cwfy>*&^H9U9{qE5`S$#syAPh6zI%PVYOgqbclg%5=*8+1 z1IfkN@{MPERR+kt^W}+Wmu+1fEl-BLHxamg#oOB58;o}koNAI3G^FqGR1>JKT}b0n zQvvI@ky_!E-k%dQ>zy}rqz{_vL_4A3wl&<%!jMl0o1orIT22Akz#1PiyLP|w*w^EY z;=AY=wMa3wgWp0gI%1Ev>1n4B3bkH9J*Ki-6%MQMo8=p% z@y6w^XDh4+Z*=Ygg+PDbdEER}k@PqIy!`p>Z`FS`?tlK-$?4_B_BT6^H~#PcHttt{ z5a0jT&f~4!y`Oepe)Hf#(}q%d{}Z)O%v-O9Cx$OU3l9+~dt4s2P)M3+YoJIL1Qp~& z22cZnAXSwjJ`(abQ>UNG9)N`uZpq5tJzBJk>=YFqnpVL3`FQ$AY!f?UUge2;w~7m=h$$H*NfNtg>S)HSpmZr?!Mjs zm%*=PNtdq=d~K?;+>C%xMWe+Jualgby#ipw1Bn_=+t2QA?^b`mR>WPsFA~bq5x8Xr zGihUzUNr4~U~Oa2EFf4L5lvj%ZTvf$WZsM@tb*+tfeOM?OX8*?3Wr&8gdHlJ-7SKA z1Uzm16XLVhbL*9%x;5<^etEjV z2K@Qm@lqOlU)3w}PktnRP2yLo$L?yiU$ zjU!Y})wJZ@C-WTHK;=|53qkDXqIp*!3msDSLb6xN+>;%OqDo{aXnTpkt4KKKqQyH6 zK?8Gi807>n3S%2N)E3)h)K*HeoN#uMIPs6c&uD4zWffIP)O&`7oG?}+>r!{5r4tpx z$OV*X0e_o^pRjIclPSIsqEB$Wlw57BBGaTjO_0BouBAL2P8iL;uR3&cXs0!=8mT0xVg&imNg)NtjXOW%)@?UtK#Wk%_M2dWOLB>NZ;;5we?dk%_*{#XWzx)02_?;Fe=>&XE z3T;xLpgeKfRIqMmrxFr7Td(e`CMQo;2g`TI_1fNi{B--p_RHt@_ny82zimJNYVSTS z7NxIlHYn=BU#2@dn~A1OMWoC7a9yo~42{n~8m&HYLvDCV8VEGHr*6bO74R8IaX&7# z(LMFm)19Z^Z@sv0ySD1IleJHb?|9f}un~kHBi_7K7o7D#8rS_Jv zdDI_%|NOI!pOp)G816ErVBixNrt5|!OvQiGk5wZlmF_(&Bdfm z9%_9aOi-%ELDHaEkzl;V7`*Vzmep3@K5T2OVdA&Eqycv)@8eqTQl30;zhmAMPDg7w z-f4Gfy5BtR3vVWCp(*6w+c1!E{!Z~y-_Mn zbcmP}yeKU9By$qkJkuK z)6bGki2$0CgOoxgW~Zieg({Tz$rdGvL~)pDE=M1iRf1@yPzj+#w(JLR(SD#RUb?4oeRXAa9n%bw^?Gz6X9md^}gAK0GLKbhq0j729nMwn1X!* zZZ^0H&E03!Ffbw7F({krIZ(uOEVm`^I&1^3CLjBJR?G{kh(KJ(bn_p=29gmyo>BE~ z4pR#rh1Yr`!B3}K&3ZDk({cj!8_qhJCMa=|YW3Q*PS{#~vbp@jGv4NPd z+_iyNt+kdG>vOx8&IUs90XXcaQ*ce@R7myNCyWQ~%oE1PF$)NaR93)hVOhXhzNsE= z!2ZE31?(67=;CGQb_Xht<`13rR%=iiCvaxeTa_dg>^xFFNL^eiTVjh&+1WF!vhvcq zWd9(#rhu%QU}i2Bx{zoPAGp}eKBuV;DkoASDNN49rKjnpFET+C7qD+f-*vI zbr%>cs2(FsB!=w+p8>&76l&q^V1k#|nOb^2!|<#EFgX}=Wemdj+{5-U!;F&>!lS6| zQxeOn+pcZ<@I8wq>*aQY2yHxt_5rvzbojuXKaVP6+dk~6^xv|6R^11a;=k8moCAHd zeOR+P+CE0xhjvFpbr!aXUJ*Wx5h8M|7(!08U)fQ7wh#Nj_M9Hq?N-VijcEu?_8jZ= zR*4S5XZwh>W$W^hv(nbV1!?0CV*7}^Dr3&p**+re44$u^wvPex9<_bcMPCP)KFD?( zw0(3$5z~YLp`@!8v`1Tf@9TlURoH?cna;jvp@kiNDOFCpTCRfO!{w$Oloi>76x2Dm=Ob3 z@v4ymigy$P-uaNN8})z9!aLCb1AQ=Hj{~R0fLH9+hXEr;X>az4!8DfC5ohHe z9|J~Sl`&`QFkqye!SmG@1NNWyCWy72eZ z@NRE){`1kv@=~P+ z1;Xgof;Vzkt18hM?gff>Pf2Aau9D~j_HROnJ2q$hJVgk+L2o6q3iyuxW-0!P2ztXD zh-DEM6TK=ps5abM_%@wX)g4M|I8UkMtU~(LeSZ8lCOKwmM3$Ocso))>zE?$)->_1I?=Sf@9yDh1+ZPcr#^=#PLk;md;z|z6tihlNa)7o7Nxc^hDMKsfFO&Vhm8ulVpR@%gBCczqf#5GjBoH-W;QL#`IEsFhwNp1fQw`V2FfV%E#6 zI*A3l2SyJBPZ9*-eTUv7uM-BF_=Qq9Dd#`wykL$}7(g}NGhtESK0kc2IU>d+sC91U z)Vq~DHjdfop!%tHtUxy3K7VvSEsD+h-)MEK*SY$&Tex*>6qtG!P_)ad_Z`ut<~a>* zbmS~g|2;hxpgS599(h--b<_X8J9_iaT~+Yl`{nsjRVk)Aiz^C(gePy8=frTfNrIZ1 zJF%)}g(NVZ^ zJwA%&%{3jz)@9^v4F*F^f4v9lmAAJ!&CSec9OIis2bDCpG~(QyOy?HkWqQXb-)Ns% zYaU|2bK5W0!4!|qkM@BEXQ$_v8%Z>V8n70`6DnnQe>`DdeFZ!L-3ZQWUXs`qSRoNW zd*EY?Nf#xCjhsLb$~YNTmgpfb(8-7=?nRs|Bc54^e7yXTRLQ1Po5>IG2@%d+I z+(0pJ0Y$^GQ67#UjtE{9fe)h22Dm`4@+6-4m>E19Z8cHsy$L_KobvQ2bE!Yjs;|jiNhp-o0_0vVv_}#{M4lDz~@w{)>V(O z+{u7gm2nv=5b;z+LcwmOf?UB8;fnP1fL~j|-`V|oZ~Nt5bxKQ9!RD7m)LgJE)vw*! zt(XhmlT9Vye`roiqGO+To*F5tI+>i-5X*n(xZzy5W`xW{#$yiQ}@X%i-l*A zTKDudSZq}mgo`ex@$TxfPDWf6Z0nMjY@hscba6x$D{X|X7GK?ICm`FT$UhuizExV1 zI6Brg;1zVkGcL0}KB@TiZg%fjjZaE3%OBw&Y>tI7iSmskQNFz#kkOO3TiCrS+_@;h zbHFyR+9$`I)5_DW-(VINH_^^_-!u zpB*m`MIqM6`wOae(v9n`)9CgvmZ@yVU82!;nuE9Z3hhO>Qxd!PN_T9pGVkWj>TkGnFdw`Db5OkrfYLpZ}yRv?&JKv#z?|qi?HEe^Pb-9;)v@|4jXc%hf1eSZ%{? zw5bwbXUK9Jx@Ne-1vPC!;!MIt7Xk0@e6k=yVM_8t_;3p{SIRXm;cYQZxQa@5vl;nV zjIC%IYtxx3Cq$Ak;uEMRBipl(Y%wk9kn9N%Tysr<>!WbdKR*yA=po7TQRDhUYFwU| zYiS%>MQaWQqO|2}^(GtPj@XhE(ylpn9F5Cfo&I~)FI0Cdmd4d%IHSt-$)pVH#HEdg zT7*DBo}X9yoe9QMUE(7wKrZzy{yeH&fW8VV_ITYtD>51NrQs3=aN>*Q$>H{ss=ZrP zxgv*Ex3WFTj~jHYa`>A-!E?g)IrF3ax?mPW7?wEEwp>6PZL5(i%^0ArnRrKxSNA*W zT%*qQ@Luf%iER&KnXc2h25htSOSG@jHDIk@MeCAEmZ^b3k$I$bvHn`uJX(DK%_OLR zc{11tMGb1Ld^Q$%-7N4LN?2I;_aLxwL%`BJ*B~Mu$6*yv$`udMYoBH<9w-n&`!Z$wB$6(#?`;qk>dj zt?@4J{brZKZ8K8ET+|#;6OV_I++qt7M^VA_x^1^v_E-Q#Sy1AhD2fFRQ0*w}o?1Mr z7(nEyxj~B{75qjD`3#gtZ`J#cvYE_XPpX>N1g;yb0)mVZZw|6&FGjd-dGgV!5qL?dIZ4e5& znvYNV3vWo%4NBRJqCiSt&q;GwMeo@9iHq6(sz3T`6|DV_zgPdGj?}|@a}Z2z()OVx zgiy%3-Q)71;3`)lkc7X4I+2stpYFE>SMy1Nb-GAqIQ^*uMI4jR*c$E509VPP&I(*k zrzL@b)eRb4G5N-~c5NoZG`^r}$)W_YU~7j3rDbOe(ti9>1Ca-Er$|F2eKluwG)u7w zuYiS90AV(pr)5Eemv6LH$1O{X7%vK|P!pbPcB!#%1yYJejdiFe2T(~DgqmtANwQTa zDr=G?o1g!fnf#^}{>&$e0){^zA&N{@ys8FxBYg}3LK@HuAauQ~!ojLc4o~dkO)Fd; zsNd>!i6A)1aML{G&7$TaH=9%A7rkEFO##SZFRLW3Hh^h&K5CNQ4D9{1C@n8mb+%QK zyb9Z@jBd$oO8Y?-lmv@-Kp1;FJM|$2mq4B_jcgES1cwDAMj=1$s;sW2j{IuGfhQ`< zF5Fck;Nu zWW*e{fC73flwP0QX?Lb8P1eceQjvtylrSWG!1Fq_K`TpNX<7Qpkfnw!Hl(&G)7OY} zOC*#3e}O`;1m={7(t5pRsxc*UFCi{PazP0wsx0ECr%V>BQEtzxh~$)H)1U#I^K5)= z;;`i9%t-A2s+Gy+=Ruk5f1Nkd#|MPIwK@dJYF8=+Nz$#NIm)l3Iva8XTe3htjW9OP>+5UIXebdWjSL?mrLD_{OKFTb zCACD!i-r)>!oZSVt(3;nOwF4))g;NrwosWuM4311rf!Eq+$2Cp)m6Z>PKkwFht_-u zSxp8x<&;>IRPEsp-qGHytk$*yOY#+_bZyH5nV;JQdxWI7^W8C{gu&PK_HLxJPJ_>g zaKaO!=8RKYn-8omN^?9dS};Ekq6If#++!s$+v*UB`MKT45VXK*R(_LL&-T9Ee*XOF zxA*H}O+i-#bJW8XQjQgZcCH5->RmhIprR5xxvs?-?S~DZTLQ$X{AFF*9ZDl?&@83O z93XSV+;BRY#$?m-n^3N1#t(-uXV*7->Q&kHX!&3RgUws(ka6ZO5+i4YU&2!jO|F}} zY5+0ZzU@q@%G=nk=NK`_(yVKc53zsPU_j1v(+MsL1v5%G>HG;Nyk}_)aaACME%rSo z=`hS{==aV=VQ?kAGQg$Ul&*3nPAR^P?v56N$3z@lEvU-K4w;f}c8X01D}t^w-fWxh zz;c5uL}P|!!;Xv)*Gba_BsX7BY}Ml!@m!_d`r^T68!h*RGQ3xxre8eZN50EHB>&#` zaxIK*3eQLYT(caxA+{ntHaqT!E>8a~J=Uo^6cf1j7|lq(AL;kva2%Rxuh|rxw`e`( zdwJoBS0oUyayA(Pw+bF4!K}XC5FHXrDR;j35m>5uyfNarJ;RMd`|;@?hkhT<&R{?* z;RAHSZfnTliYL@-A*RtpHg*)A>R`ltrT3$nHEeoF|z5{pn8h$mMb`-nLL4+htAoJTE2-N8A1tG0vN9hV8@PKR z@W!}K1xvx)nlC!za*e~HC0*!+8e=J<7VBw|!ay_JQC%;T)PQqMN)?h%rY6a6OKba+ zsj>^tN<6E?Z&6kQ@vD=WW0VLETq2y>$KfInVby4!NICb*98$MR;gV~PtgRpov8e;1 zA|wXB9fI$y%Ln4S1+@5*pa#nO5*L`%K57g3NPGvMC{aXVerhs;b#Zsp^S)Hq*X+tB>3qN!kP+n4kZcn#O*KGUYh5o^T^w z41t>=)PS$sPLWLcLF1X(J3 zJDCe0f2JfF2yiC_$bwH4?O7WEd_=K7`Gl1D#l_2(wRNBx~7W_GPOksV1DD}*Awka;H#q;p&6~%-Y;)Iw@ z;4xV*avpzCIWobAVceO8yU8E%4Qd91EvY6thW00aT9=Q9s)%D z02NOjhzK1cIw|jLWb1FvQ5G{vUPv?`$u=f-s&}8-dkMk626E^X&}kaA40hlRBainG z?r9!i`P8h@*+@fqV$IUIF=uzyBsHO487?9;#)MNG&yUZpu_aOYyIgw*Pc*MwEqY+;M*b4z`A@WG=S#_DKrD{5G6bJyOCOGkdwL@ ziwYqjh$`%3-oVgXfCdCSs)P@mCk19VJc@Y7ZW$WD=LE{+CH6?F-1_H5LIZ~{s-Dpj zfTnTcRbCq{=H}-==BCl2>P0WOY@%RF!g0w91BmFExRG8uxWGs-uaX*OP7W=Lhu;c( z3_&_$l)lGKFYVKJ<;$}|U@DXHttfJJVheC5Vb z*h^BYv-YMEExmVH%%I5|bRykhVT{urk4Q%1fCbhM)3j0Jm@5cl+yf9m zaQ`6V&5TryFt(*cKViIRg>e@O;2bFcw~*@&}iQ40Pih(NF+Q5Q6#vocor)uFiK>KfkV%5gII6sZ#i# z#d7+{YNs2dKG2+mO0U*G&%&A=^bsWL6Le0uGkTyjVz!m z6TLg-TP(+5?uxh_Ao{9|Ig{gV$?yB;r)QfR)C2q1@_c{eKkCB$)xq-J@ug@=T|`I!;a}BmtYXum%N^dJwS3BF z>mZ$T(NIXogwJra;7-WN!$^t^p)<6YE~jV{29yjh2bLErk6njJ5~YO`SW1#7MMhc} zI+p++?A!Y()&-(P= z6J4sI!APjwZ#f$}I7D$~*MIP7^89p46L=7$(VG@E!Xyqp%NEJv$IFULJhtaGg0vZOVSFIGhg^YQe z5>f@Rf@01p7q_rk2<8EvD6X5%R6a?fa!s;U%N8V4IGMSw+wu^OLSK$SH@wP^5(w{DOheQL$3Ak$>q9g<05ciY zPl{ryBmSBbSQ<0L%AaM_6shwGH<$JzDVTIZgShyS(g_LB$7#%-RSsms9H{}8nl(t? z>Ifxk0txImFU0=8%N&Lhe>)W<5EuC@Gn0|l;Iva1JObW_qB)SsSPk0_vK`@$##Gnu z!<88xt;A&%E;wp}lDYZv;XPLjtMB%HJzG7zSM88{8#~JjjQbDo`GxLmLOCKLVj-VV zYq8W!a?4=YN?k=>fImm5Cba$qc4MD~v$HL-77I!`DP~)tBsD9xx}oLyy~nx`APcqx z;SzJU1+G)ylS{eV98^s<^MI(9au-a3YZe$(MZebGc8kepR#EB*^XBI^Dc_XZ27g4q zf*a|jllfFf&8db`mE|FjNlQJm50l{ds)^P#KMn?Ks)fY*VJFUsVIeO`^*U~#hangs zAk62%Vo&|RK#=D631I<&Vg#LJ``P{N-RkextCgv@s;clq${I5Rs{{z$7G)XbIKpsa z2~pPzAbcx4+sUJjr!~&_& zNz2YV?`pBZl7*^NP%GmauWt7tRO|E8H@K)L`ZKRglVfQW$%G69JRw{+T$`wB#;Ry# zS%Tyv@c_l25lg5yhV7%1VHpXsal#T|rwTvkhAUS1Y>69y!%bt0DKA3RR}vE_{a~=a z=2M<)hEhlUAT1DkIBZEyF{MsWjw2fRMeQr4hK&x})zRVG%O-&b=Y@)g?0yp!c zHoizP8g|&KQ~UY(a{p*`a{2T`u_N|%fAS3v@alROfCaeBQ_lP9-8&*{)-!(7rRy$V z|1E1*vin#jsEUn6Fnc~h>fZln?^=4Bh=K4KB>qEgEmA3Y^bw@C5&|Jr;w3dm+^VW1 zEmGPd(hL8dZ|rqEvujVryD16xLsjGH?TasGsj!wAVjd4{`MNRLKA7{j(>awq5cq|fBcinC zMZD`aFCy>Kyolxn&5Kx{(!7Y8K=a}&eldpVj22d)E~~dC;1RM3{P^co3g7=VnegDu zjtP(P?mn^`|&>j1)NS_D4D=b$5K1TvIO~^yQ`|1JtSrhcBTo zXsr_P5Y2#4uJ5B=394Y|e~qIqv70yHTv!HwQ0F7d;jk3^0F`U(3P92b%_LBh=ZS!2 z4!toJLCXa9NFJ8BEC$rB*NXvwsMKtD}G1LIID_dgdWN0o%c-+J$U-rcz4V(~skRX%*ANauLVn`iornEKxT zb#hnTN=yGIcmBpGb&K7vcw?K~RbTMWRQ)**6ue4=f}dR?x!)5&Fr^X5pFic#*%UyO zAhS`F!vRksj`v@yaCCxloHG$bYYuGm&~mxt44DWLI66IU#@kL1g*G;Ns1ak59+Sig z9GxDxhBT179z@$OCu&N9Tl8n62q}v|kPlCToO$2W9NR4}SdOhzF@oe5jt)i|=Ymd_ zDI6TL7YASQ=*5iLqiEI07?dRopWU_KHjaMawAgEdZBh>?6kU$48eN3Xg^xZK_D^Sf z*U{BSr^Qlb5<|x@8Tmq$;ljZS1O|sO6prpzOxdQCHfxtXx_{v{a)wSO3d0LGZE`lW zJkAJ|a{?x3BXM*o&AI^-Md)R2rKnt!u_~Mmu9HDs{E`!6@@5iARXlV#>Hst>u^%}k zlMF~Cog}7^jp{?al#}9MlIo$|bLR*$iQQ0WkIp)p&khs` zBvl%4icK2GMiLkj=V%2<-2_}9sU-2(tz5uml&Awa@oF8r3$fFAH_9QIG|3&XXVh69 zn+oQ&K+>7V0!aa6(%F#+h*cmSu?is53kjCjnI#-em(#jrL&9BVUCJ4}7Dy`dhB=F8 znx#D<;wljJ7i(|u++j{u3D1#a}PSsb!RCZ&}~>MYTbO&v(W<3tHp zfsh~V0wnyOZd$WQHr@#&og^n;ZM_9H5t(_HbF?O%b(=nos)WPj(z9gaU4bffk~^7! zP$wz-Vqv1_upr*gCKye#B#~5-4&8tWxwDakyQ0qOs+2{tktC3GlDdf97*7)^(s6QP zXL1$e0)leEkPQfTMT%C;5e!y)3+{H+EscZDyPR#XktEFB3X~~W9Ztbu%+pEA zIVF=Oxx=V!ydySum?U9Wb>7jw$|RDz9Cd~t;>4Y&8$sIWNs4FCZD z{{sL}O9KQH00saE0000X0NeG<$=DwN04(bO02TlM0Cs6}X>TuZVP|D?FK}UJWid4_ zcx`O#UD3 z729Yvd3~m-rfbDn$%x9;R+rb;##BjPrWJi|W!;L!N}q83L4D;Gf zwhNQS{@A+*E7n0X_sheBQPM?6Cea!GlszDOZO5o-Aos&OpErA|9{UzBiP_w@;1EY| zGfMM#H?u(-Axi$Mp?sSoNc}8RMR8>WJsx%ZPJvtcE{`wtuFWoFsu@P-URh*WjiDE9E;>Ssf+iw zOE4qu0d|TGkK@>4O1gs~?8lO_VkPt4dbhZW4^qDlic}E+BELyw;`t+!<~6&}oj~#= zW@2XMEdc~V+y3sw&dXTA(G;SN4Pi&0ysxKQg0AF81kC19ZQqEKM;d)y=8CdHGGX7? z{cS{S-rW|$F9e+{0^6@{#fyVEuN&d7gFD^kY`#zTALvtx@2{R?T0A+?m?0mxu~{oC z&!>RjWkj;OV`qOTM2fD-v=6Q>7PxZ8>(~vB4er5gNI#~lOv@tQ$F+O9;{F-(RR0I# zZB6d=4_DgTvsRDgy~suW9pcZvZdZn5rAO!l`plAfhz?vxM!v*()!A8k?r7fE`h`9t z7Z00PA$h2m;%wkS^EeOx#A2Ta`d~zE>gyXfpoct_>dycnSxc|vaC-)n#X7nIr{muc) zUcr`r^Ay8gpHB_8yDg6voOoI(qjcB!)>F(T-Te5Mj%+PDau!#nI6V!R zvjD_aEP5}Z1ux0MqFFd6wwFLxpiG~ex?#D63nRD-I*PuPHV@HuYUcXXt;v#=(E1qz zCf&-!b2{=qs){cJoQSU!0Ff&s;qGx(9slHv=x)X$uh*bay%*LqAGr-h@B3MCL*_Aq zT-LOGM|ZHS?ezJ;9Rj?4t;wmRfczacLUcJ0VA1kT0RiuJ`VqHBgO zPQLCj54e=px~ullg*iuj2{UqNl-JJ1ftF5GP-#)~I3Tm?I3;dO7bz=ZBC2m`j*_HFw1yLw#mvE=c`nT&RnFOqH481fHetgGfw5y+Q;6* z%8u@%GTUX{#Xy~xmI`n{`>!!|{9shjI55e2STzaqH~RguKbqQoYh+>02)npezPk_C zF-pTWDuLp6%AfjB-z5x1gsox_!DM}@9h<9u`740WzvW-Sb!^{jjisjTEA)OM(`uOZ z{M3YW)HRJhe8>01%9kSl2$^rL!7?fFLVP{jE)B9LqJO0Ozu{{H3q3K3>FGX&n_@$D)2^U zsK~mpM$N>6gBUK(wAi4*I;;-GkdDR`hZ?=Nu56HlsJ%Hkb=+H+hsQFHhXEUg@$;EE z2s6z)vJGGRRh!nT4tHcYi`16!LaY0pFJqOGQzq)~(qV$HF^gOBa%{VnF*SI#3B*dp z>>A5h=s%Ks1fqs9wjg@-cIEN2>G}P$o&RP@2eISOY<-Q7kJmk5tGgzkMoXtdQ|qZZ zr6O{~3m5M2VZSf&2jgOqRq`vUBA@r`{S>;6m2c7%zs}#=$eH&l#GLyNJNtz$js3eW zc@V4N?+~)D0ghZUM%O#(?5EO2y+u`flETZeg@ah z!egH#bFL4KuwcE57pGBEJvllOj@662^@4^_5_EB^vG_l>4DQ}2#P?bC+HsiXJ1qUO zyZvlY;_tMHCMO0E_<9lI`B83G3{2wkVx<0LAh}0EU*`{GAhjA>G_whcTU~Z1s6GhZ zlB*rrz>*i`G6T2bYizZ#Yc#`gpM0?AJ4^H7cD;G#JCA%;7!F4)>H@K1mNr4upo+lu zkLBHSIajOp+=D!Pwt_)Tas2&;uNhH?0H5y!RzM5HB*lWQ6X-a1oLIY?ZNZNo2$-^CbQrf*-jNfV2$8TO;K6;CJpsxN#7eK07hz8Bwk>~~ zWT6UtGlW^7UInB^VR-wR5asBt`4!d0?tFSa5AGMvsWoM49~UBD5S>yExlJnuz!>y8 zTIO
+EcDwXzK*$sJT?3)6jijag(*&~&oUko^ySw23w@zDP!-jknQdQd;?-q^V~ zHt5dNWb%4D4<0k37sMZMsMFsl7%VU3jkD=0mugNXILCFU+HxWRLE=BnX>FgnXGI0Kw{@ZXiQO5JcSkPl zMLqYqznl*q^O9ysaYB<8aX6csE5}wubYrg&WJzB=Zf#a2FU}mVKa~>$o4_u9KXGWu zck^EW^%|C6j$!veuRtS)Kjp6_uJXi5ISko~BoSy)j2w_{gknTcsw(De`9kaYUvHr( z>q=MI(uApm=3t^jhFZjDcM372>DIL!%vEbhA)!SwK3J!dPSKTq2grig>p*H#10b+8 zsbqQe@@Y~0$nZq?3IpkWDf+j|7S8>~$P?0_1A-|GQvg$jj4@>dZ}cKM_j#{A+Uv%e zoEJ=uCJ9@&@S*x#`i%*`Ix{laMp>l-;?}+B3&Hb@r4i*qn-5i`Y{;^=X3oeLixK$i zC1d#yjsLA&fu|9gIDwN?F1m=^qED#|^)`p{UbtyYoD7lkaKZQRh-evpy0x z06B4O)g!yN^sElJk;ha7y8N>Z*$$)F!Ou)v5`z4?t4DgTB|yxYr<5stYMj1rEuDtxMS z7Np#9yxY^hMPB}?(l~wD%iD{Er5aS-YZTp$HIyj|()&{glMctjKk)LV_9K%ULLCn| zWuEwKAiEBUB?tOD!E#Ic{KE~73ERU9^PvZgse^CYeo_@ogHe9A?sp}J(GHvN)@sOI zs_b@DikC3YULe!`JJ#o101)@CObJ|n{&f*bjz1zJ`%R5`%-;DRtugi#sn0Q(9d}9# z@}d8aC;A{$2E^bTNL%S^lvE(_(~H92<&Oa$Vse(9%o6^vj3{=Kgt)sVd%44dx!u?= zF)&PQTX3m&1$JWJf2|>e84GW~T^245XdX62e#>cO5GI&>%X zJUYFW2{koIC}|NNWR_3p5jR5`tN{Q!ZyM|6IL#)_z(in^w|GaJYEi)L{QfDSO%LQK zz_tng&SDeZSH6_MthQ+jVVbm$+lx&)WgJfkwww2d+hGn($U8c7N;FvE&ABOGxL(7_&n==HD#O ztYdF{FKid&AU=SMqBAoT9TAzdzM6W+;Z~HwgG~PyDQjVlzA;Q(3CZaeFh#W$=r$C+ zQ>dbmqS*1r=Tea9h1CjN6@h}Vt^)8LK{yv5(R)d>rv1|9J?V1GxA8rs%j{JYOUqtC z&Ep4$I}bwv!uXpbb3cToni#DysyktuMEsNBcYL-UwRdhxDM7Qm7X+!IO-TtTy}vH0 z6&~qxTPs2BYG}hY`mBq;k{3sPac7E9TUBdrH+_N^t^H~VrM#oEMoaTi+U#F3&zgEN zC2h3ch+iRx8pnvsuTwzP@X~{(_b;#{iBS(_i^HE*B$#&_^Y7#fQ zwAYO%NO;-Vfx9H9!k~hlOd|Xdn#0o52-ldYSKp~cIedN zF$Pzi9A(M^Rw2&)wtr2>wot6XRj)kY>$QFTDy1=Z;(C{b*D)lMiS%58(qJT^9$_ct z(w~$fa=~TbAfcduBI2`ajE}s@LJ`1l+n{ok$psrymlKIDatMI|#I?TG{tZ3~f3w zy#kyXd;Sjj{zBBrBJAMxb-XvwG=NxnIc=L*2;T$ft9JdBE62smALhN|@Gb#1=lZqx+L7^v! z#%lAr_k_9KJ#1zf<1~()1Rk;h!z*i4x?I@W^bU*F#b>5_?|_?ED-L#TdJhZ2?>Uqc z4n98Vvh4iUlp53y`pT7v%|E>N_vhlqQBQ>&8S_xN3a+(=p+y|EOJ^9p%4r>;M;g>J zrEdi9Fx3ApZaTGS@d1J`^DA^ttZ?btJ(uR*0UNo_l=t+OpZ)&5%_m4?VcwiG#iY_q z-J7%lRYXpNg%C)qag0)?z8Dnrk6ufHR(zarIcXn(z>!Iz%f`sDdp{;ljUI>2WvqfA zBL$_COMDNVfPk&bz1DtxB~17Q^)h`_Xu{6gnGjY(p+6eh4SZ5DF^kciwCA$`*AdeX zWxQqlNVSs+Lv+vbW4&)+l2b&0zWH6MUG=Kw+snoWXGxhZ!UTtY$2?IWR*h{Ozsg!d zyg0^gCto{~Q+1H0qHD>oW4iR5pX;nY793uFZU{ITh-zU2D4$MV2=sM=>7%g`Nu3Ak z*6kGnUEq=DN;>`2dp3|x)5{kAdvN+Y+!><&uqY7OQfEQ$?`j@4^Q;a!qZ(s@zIrA5 z+$unk`NC~1W9m?rWj^~){o8%=AavGY8SmuZKP7>O{l06;rwh|CE9p0|K{07G<#ba78!XM!jeUOpUXF{x? zsz3*tAUD>r2Ek&umCRP?+hk|A>OM~pdq&)$btnPNQr&(otu83I3f&;P{-a@%o2EceYCeJ$C%c3evl#pmYZINl?CM3YVa52qf+11OW)Atif(? zP=5nG=BQvXKAZGx*mt9>A{oL<6nD}m-PKPxEOqeb-#i6)gU~`&^MOx+Ys-Z0C00HQ zV`3KN-ZWMg|x@2sm(x|K2oVdm`8{jAV) zZ0Ns`s)7ll>L^Q+xGmRU;1LXPs6<1Q@JiHK`J?2fabUmoE}D50%V$&nSUvx%{eAMEx6rB;zg<$-yd|2j?+m@#}ARH!Z8-?=)0>iN4pkQzcT?& zF6|>Lsnga=d2!J4dAZb-L!Z03Y^dAwAtfKCT(Gi<#l`<@j5#FjO(I&qbXy+T`r&YIlODsokf+KBX;2* zeWscXy_QJZ9_Lxm<0=`u_a5hx1-7)fbiGu21tA|#UWhv~$4j(Pd|HMg#M59}d60Hs z;lnZgT1bkat!6h?=WJP`J1i{DKP)TIHE{047 zFbFTbugT#`tIkg{6)A2>%z-?V#eokjv!P5e2cO1mgA=)mgh6oAsg#I5u2si zarU3iTg9M#f2JH8cj8nnx&zYx4jy#$ZbMd{R*)$kxZahk_4yBO)i0R+9jGHE?P16M zTX$#taN@0gGUv_7F8QdLtaO4GZ_^8RN7a0=NHwED)7Y=YYr;ym*x?j0oRKP%t5z8r zAvJ6&MG@2GNs~TD{2oZuv7W}#Pi#Z)GluDV{w-i7w_^+7NKei0DzFhRy+PL^e9H@S zUiYrH6Q+BvTM?XVW^m^HD^qvw_Lo8G37B?6^SDQMoAAseVRu6xY7b4Se)RxT0AO#U zzCKddbqe7z*Xs-4RmGV*Nc3bx0q!eH68ph3aYgyj9~?etk7{KH{Z4a0Q8#Znazq)B zTJf)@(>G?mSV8byFV=Y!|?`}t%1_pIH0l@&2lweI+ND009T5We^$6*oJZ=TyijUZU8 zzdVsrmhw%ND)b(`8(*A26x5`)>W?ul@qJ#C*Tyok;7C&^gvziRyM)5isc_l!8% z@fc=@nB4pWruIHJC`$b_up?1rs|*kM8in5@npJ$EOe1>M%T_>RCmEVX zB<|IKVZg-QAE;j}z5UYchm7!IY1eM(@z6Mgo0HvR{x{M)l$Fnl^UcNvOzCowuRsDe z&TCQ1%NvhsWFXJolO{Obdt`rHYV{@=BqGpLYa_1u5sYl0_^`0qMZi_;cQD}g4bXaT za69^wM>K`eMc}lq7zb;T9lQu1s(8B**UTSKWY(7LqHA+aEy%%tK82}ZAs9gBKWbSi z?lsVX;3%Am58x1ofhz?B^7cZxCx)y!qB2f66n^=> zKqJ#ODc^&~N??#6)+LHc+o{n1l94gFe1Sw4cL+j*>LL)I1R@t7FR9tG8~Cyb5^{MS z4ghqvKpKTa66ua$3j!Ht6t!sBhxP|g$pRPw4lCl|Q*>Iewa{GF*zBqrJQbLs&&As60QyfUUX&lgDLy(^9^Pl| zvF2IGV`*z6y(wy#;?LRgAspJ7h+wLdX_$0=ei9929>`y}!!{U}GcX`{+i=a_t(cD+yD+GX(NYQ(mMtP17EwA74Z(EY!|W z&ity7YTR#-@MFyY()1sr2I9k@dbrbKKpdpn^=1`!4#_`Dp7@R*ErjX^tp^nZ->*1qNzO2fpjvf= z%_?&-^5%S30x9P5mqvQY=_p1sv1w|xO>&|X)B-5DTh6l0fKR2XWpgoWt+Iv_z?eH+ z#>|fp3rXg>&0{uEU0>NDA@B*PR!SvuBt`$Kv5_3mE%bpQfjJkR0<|qC9umjBCumnu zKq*HXmA{&{6DUgHRi7AnE+4Mp;w8~87it8YsHd9tgO+MQkP%G+yjC!4}MlyBnXi- zCN!1SbIY~y&gSs4h6ISw!Hu||2$|U5Q*zeoT!PU}tyeL~W#haC)MR_{sM5(r*|5Vv zGIY=`+Ed6VKNfxmNJHf02jS~?y8H@dpT3z=S+Qz-KllRvue<=SNJ7g`5I{gp-+ln> z|Lz5_)^~I=c5tNsk0;~5b^vv2$5k<;&&~AD&`9pen!wg-;$5Efuu38*tNcAR>v~ zV9e25q%Yg22<8_Z=v6FO3D0LaA%S^gftdG~KqbKyp^BW`Q>^oWS=WpiUTJn)6)R45 zm!KE!8y{1~Y&Wb8sZ+8=lf9Fc5&{mSvuGLN5+a$?N|Fa}Sptbq7voJMdm*Y=<#6;~ zpM`8TY+9{YcCiyQOgt-(14`~KSwOerc{Bx!p`s;aV@5eaMY$p`N4bh>OB%=HXa6Hb z1}!R-|wZ5DmOZ|a&2k++*TGsRB532^;yAE_7?%qGK-qHw# zjn-}eahAI31d~SLeeZ6(Of=htTfnaknq3#3mqXt%DjS~y>{cIxotHR8+VLyFcYXx~ zIh(s?&bmj-h}I|`beV0pyNIB7hk)~6#q>S_hJmNx3r&*GND~t*U!hW$bRe!~d7I-$ z@|hyU;c2$RP>n$TR{Bv)%~+#9_C7DJ%J^$|OLxJ(Z{P2EW1`ma)J_f8ZZ^*D7M%T} zs)2!ZKM#of9&lg5zAf5Xu)j+tVXn3I;IJW5muxRV>$BfF!-T8X;<=MdQXHLU2;+y{6W+v)_}xDq z=hJ2BtuJ6~9NXD)sl zeTz4#$`0_)HZxy;`MJ92*8JHmhpnfrw9G2PuIZ>xl=hPo3wx>R#N}3-52x%eXyD0S z2-So^iefeBXwI9|vVOGEuY~OL6;JPe=2jx>4kfoIrn$T6nZD0VP=q)_Fz%E%O#is^ z;!jkCTR;tz?+1zwrPu)SuVF;1xsk-RKp<4Y3Vwr&`SEOj;f7{Y4i%AUgG7E`@35UO z@$s&wGcwB7(nojNj1jqCR#tZl&qw&X$AK4*^l#9M`*kEUyqp{0Xh)5Q=I95S$lj#cjuDM>8_AyO6bb2Okc_Jx!v0$3md>}J$Q%%OMqP6T<>|%0)z%ndD`>5Ytuj+RTIbqo?zMDYu4I+567wl=uA#Dnjky8JQ$zAk5L__d3G^C@ znNGZURJB9alo?ugf3Uk2mKiIU{3#P_$Pp&0*Uv78D}xf4;ds{@r0YV`)jq2)E&bm> zl*3nOxL4aCDDKP@NWRXPtRE%dTo#mq+|HO3h&f{AtDB(IGb|D*M4EC{f z8O0;LMo!lTZfd=Dkea#KUnn~&w_vfQ+!9Y) zYy9_Vo-rbImKapJgdP%e(?lnZnkgbdx4lFs1?!0;14N5K>$XA|9CDan z5HGa;s`#`HgRylo^qO(y9{UJuLAfPFvf+rzG!d5vI6-%4`3w<(* z3P`#I0)jq<_qF`gQJD9gJ`*D z+vIXPk6E5{`Tihv6tMJ>Hsx2DA>}PoSmN1Qw~Vnn!v@eJ@_*X#-_+q1A%r`k9bxC$ z*qihbO(k+GPrL((>J*DC%Sno{weBWLkY&O@#=pi;wOE+7<%;tZJvY@zv=k{DCXFg5q1B0-+aFzk$tp zWwR36zC^Vcs3yYN!FGSexCKd zT(aP8D01n7+kMy-W3|cC09N~y^CJUQ%K_=wbtXWAYAZU+m@&f+F=>KNah3EBu7br$ zz9tE37M$ETYjarerFu_A|6;sBB%4k$5x1PZ#aEL6WJjCwB}?r9e!jlU>;ae0^S4r5u&x( zCh4l+2nqZ2P<9n#VdJ-~>fyNdeh#KnK5o|Y6YwXiaAyIn?=L~j$hBBt$xyr|$fhFy zx>wPaWi4j@EE%mBCV?2Nm0dxkB-GILY5KH4AlF9zoq z3S5FSFh%Rqi8s&Rx2nXGj<(8S5cCIdFIIaDoTdebFHY!+;lAWs-E+Mm8Kh5M=3zWR z-E$`yaEBZA7jyb0UTq6&UM;^PuI;KZuAMaT_d2F!Rf6&qP7tyq$!LQ!JXw5^N0a8B zGZH9~BPNc_rMlxT=e3U+D}FK(B8SW8T<)l=DD^%Tgpq}2pfrh1{p2L8_w#Ch=6g(# zzVcnu|EkROSldmIbWY}|XtL~sb{D5ZMsqI15dsOO#zY}wrtRd=iBk-VIiRdjXCiB| z5Ak+?3s*~~uZrs#dla?1v|EKumLtjx+r5g^_*i?mrvERA;878)Uh3OT`{#dAHZ%W6 z*{tcfJBZ>VxA20vDytmiDtJuSpq{mRD4l%674pz4-PB+JG$2$UQMdH#^!;hh5qX@b zW`f+AI@$5Nd$hQ{q@?8S%r@kSpZBl90fDi5P-4^fdM?i+?XRa)Z&+wI*fc8}l^gnc zz5HMphD~~~Q_L$IMwgeqZEB;5xYw>v50!3!aj-@Y#-68pEfEY` zwaCcFGk%ib_2Jimpc;K?cG$G7h$)XEREp!8xYjPG##9Ip8!&{kg~Q?w-&J$ND+m>G zdruST+8{PKM4V&b`bf0t($`Gcs`E(T^~JV9Cwm#th z*CxLC`ik08Cs~(Wy-LPkh>It?f4VCI7bG5CZ81lp=uY1_Kc=6frXc#hCxCoB6+0?; zZov1hf&W%!I@`fAt&ZKqQH+z@;})@q)u`{6@PgT0tALwOfGF)7l(olGG{_Q-1Rq^4 zRwCq+`!%?9R8kUnwz^HAr|$sbNg@k%az|@H*!kY(Qr~zmSR5g{#mGB!qhA7FVEp?# z#Wz%uMaDGyx`|RE(g%?eN)5cX0v^}dbPSdmB}no>iVsJ9!)@)rFh9FEa3p(h!{?9qMrA6z$ zC+n#h?IB2f44XPRVafx2Q^mP6niTjPyjHP9p-h4s;E_zaSZv1mkL#U)mr2d%KhN=h zg%ppIVHgg`RDSs#Yz+7xTlrRlMY2dLql{YQFcp&^g^ix$iIt@uQOGz*l|n#kZIrU_ z#|-R=4Y+c*>wIkYr;5S7+vpn+u6lZiC~ z{h@86j=*5wejqHm60(UR8|BkUuvDn{KHw#tGe*t*I*>G*xrcOdQbgRk0JFcs#=`;a zX6NR|dK~jRW-9S`wgapV3T;i57&;oMm>moZ?cH0s`!@y0M}b z!_nhb1-voVM4RC=4(8abpt0*$C2O2T1T7eiow2t0Q`oL&7FZnsI9apyL|q}+ zf%(y;M_`@S#PHc>BYF1vCAkkyfh-itjzq+$!$gW^ZybdroWj8!>V^}xAVBk|5cPO- z>z(C25oT^unfuAZ|5;MAq!grDI!vG}1u0_U91b08f=*>KKt`S{yjAZwep&1jE-wB4 zKxSY!>E@4B^z=cacAiX@Wder>mtYyS;!%DdB7gi*8F5e#G>Jzp3TF^Lp|KMp)6-8% zbI@NbKi)bp8wWox?$V&NNKBpcX>Ytyv@=hqr(9JGwJm)_*&W!d z3%H9b16xpmAlHsRrlWD*82MT<(j#um;B!9GN{SaU$;HhiCBs8s0gwm%I1F25gN(cn zAG+IpGrDf)SyAK~F5*@0)>~sFcLO^%QFg&s`d=`iho=(c{t+Fd(A-J0r+5bwS?c5I z*OM+uM#`Q`qx5-O?D-MZ`|euZA5E=N?a*~$I@q%VGZjOD)h64`@EfcjD4e81a&$7= z=iBomx$F%|WNtjKa6es!6*?Pl_0fGf!?cQmxV?q{$fBd-kkb zbWXd42QF?pRXS`oSCcQ#zn5j2txO)qa_15cnvccVUjEI<+g85t3u_ZnIt-Byv_ZF2 z%@^f86xD2FNlXnRRoBTzw!8-+O(;Ij?|iZ^&;{-?Y8{F+@d@J0g*1Ui7zJ8XH7LDb zup*(I30nr}JSB+WYVw`^K)pEcUYkY`(rn|MJ5ML4urHyHdtd)$0g_ks659#}1SE>^ zKR=1E{9AyieitBYXg*gmUx8fN>U|hihU2ujb%t=HGN6UqMtw?yj4+S=O&xU-Lfju$ z3j;{uab(`7(jOpolP{AO+~T(!3N{!}Bt%F=gL7$^zZSYiZ03^$Iv;UG~C_X77oWDp*1VIXEaqG; zV>oITIp*-?fM3>XjhgVytB&ix7XCIv#LT&zdrW*}dTjS{KqOYVpcggcwCImqu&lw? zZ)>&RQm={5mo!%Q0E<;AbgLg145VI4luEfgV0&7f4;$L@bA4&5crz{< zmKXVh+oQM3Ta-e3K%Rqk4}OmlRXGo=L>=>{ewlw@UmE$dQz+g`S-)0Ejq)U;hvyYF zX6Dw<*F{!=&5|NW}%|;_n_ZblN9fpWwjQ`W}QJFZ8sVCd(DKq2wZBNjEM3; zn^fAauiT&OEs*{S|6p#ho$**O1j|)yZ(X)z@q2L6S~6(uiB3ufD*fs}YOfymRV{aJ zw!FVsT^=VWMbfR?gD_hr1vma(*PvvPt1$V%LN>082q=2hh)J)?-PH@|Yp zHfiewH{ykIKs|9~^wA{jS%l$pViVpSn}&o@FlhqXVb?7AaXQir8v7n(Fg>)6a$A#K z!H1pjSTODVwqhfHf+(_$a&3DfYPC{;iW1aA-l^No69*V`2ktvT<`{^>iNSFI4-Ilq zG1Z^`1HRudZCzN41q^@G5vxWxRMu(GnrqwiIK2`kK^paIFm`Dzi(&AgcupGo3#Nb+ z)qO`fors~(bd&2bJxS2sb^HFj13C0g-|KB_7e^FNN&o?o);Bu5%}zCuCPu&QuqJ>~Qo|8@LRmpXoeqr21SRa7fyNygoCdi7s2HHS)@ag>y z+=KmKoH3YL5LS@%J>BI{L6R?iic!N*cM8br)uo0x%&xIYhau1KbV9X$uJL;nOX z0ozNS+_#k}-QzU#i;Ac!_zNB!q3MfL1e!Fh&eUmbK_(mrwZCd0%gYlrYa=JNsUK`Y z`2HQacAkGz5A}X1V^iUESr-61Vp6xXfECJ1x*LDAd+6DJ1P+~MyPMp@ zpLU$^HET;P{DHyq2KiqpFGdz4d|Tg4i2SX-5Pd)Y$DT!92V<-M*17l(NS^|NW}zxFZy8=5hT=~`nTCQ?dN!^7z zJ(01yj7vG>1G?)pM)PG3c_sD7scN<;k1mI>dq!-kAfj5K)~+uFxB{4pQ2HKAllCPd z?{jIb@qw&E9oshLy1DUYBH^>GsqTjnw}4FR}m27w6xz`hQ?E zH(}9F^xv_`u*yuSGqDW@q+EbXFl9>YLB`N*G+*I0O(!K}{)5e2;9Fm+Za+A4D;6A! zVqiBz|4i^m_t4434mcP(u2_4&A4HXe-SO4c<5kOYZ>X6|IG8F3cAB(gwbTqlK{Kgg zZ}+!F2|&v;ktpTz+<^KG&aZ)EA zM;u+ZK<_YQ0u=*PaWmzZttr74>eRQRGKyW(O$qm^>AtF|b8R-);%rLu)zBEQz~83f zUGRzf{~e)!i;4e35mFG9d-&gz&%a&M|34<5+L?sv?{^5GuFfvq@*fK<*}h(VR(EN! z`F}w^|L>TY?f*<>en>P3`roq;`~MBj+}Jn!p#8ILT@jh;(wk@MU@^D%T8-TU_h(5K z-UN(JyT9cOpk*l5Ig(L-=F+WSbiMaZrbR{y2JWI@LEwsGlEV`YHMg7U6=g7FkU`Ab z^?hr@%m=q|IE)qjie5!MnFKCk03zk z|BsFRpGbm#u{rm)RKitldVKnQz<*4t44Tv9utm>&lhq4>cM3jaShMHlFf#4;D@a7L zH#~9F*Ee^eo!(N{qXKs%l@UG}o8)4%{mEK8w{a2i>+S8q=$bE+ez5*zkJk0r3cl`S z<=l%0;ML*W-r<&J1^@Z9wdHfy7dd16=)|UNGIwC$7g`)Sq^UY~Fu_mAtC6K`yi_o7 z?cv3iOKXBTwzG2nI&;2j;FZGb!7sKH%QN&qpdC9nM|*S5f3!MRpJ^50LvM0-HsipU zH9;Rq_h@3;yo803%`sEp&W25UQx{orGx6i z=*?GPAMd!8<+YbnHo&9f!GMo@3?owiI|3a6Q_dE1Y{mITd8V{gc5~_^6gMgbv6hvC zfHno2d{2MAWW|WdEv95>j$JcwsUb^nA3PkDQ!qos9>tb7LE|H#879OY=hX+V*bF(S zcK-C}=H-^!_7L8CNlu8uDS-3_FnyH$krqu~og(TQLm2c6r^si!^*E`SJ0rWc*|CrE zLFgUAAJ={PBydRc#n0C@B>ywbP22Xkr4|!H5^10cwZ#1U3*d{^nNTaAEbXqb! zj=8z5)aWaeqilj-)%TEAw%`6#P5z9k&md>2iP&Y8MsxDrbc94sEID$>dUqF2-l?VA zx5!B5p&su6nqR)_{F}ay7EcG8k7K5CO#p^v&Qgw{_PUj=XqFs&-~HRTO>O_kQvAG z-PBUR^=`i5>fVVjEA2^LsH-@ny8gw%i!I~)*I;TMON;-DKljLFn8EbI`ovdbx3M?p zTQncXNQ&pmz_Cjm5B8T}VL?ZKsL~&;D{}Q2#I+Z3x2Dr=sa+q0s))IKCE9VZI7d&~ zgAg<0?H`?M#>cU%AG{Xdmns%6vr3Ip+lpyeBq0@L8f@Orry?a5g1+;w1x*?{8b_t+ z!NV&~)JA%E0tw@Cgtk4)@;+?x!J`-!N)uJ^-u8kwvXimS7a7p+MG}pdHCkp!eQm<( zkf{x#XJ{O%W;3Zg!Fr{uP|H>WHG|$B!0KNPd~L1NO_Cd_gr;}=Xa{4nYxPzx*|bN5 zdZAQFAqHoXJKWjfu@9{EHpvYp9faP1zaLII^nDeM7Su7nN}MU2&%YP;23iFu@_q5= z)6PB0Vb#F)!&sng7dDMJ(pcBWq1?M8gWt>fxyAL=`_?n_mp^B0Mpt$${q0nKZM@hx zE^Xbx+S6U6_5HJ+Jc6_$W0dcJwA*vVxxL?G;9f~PMTzZGuI<mR=PjTQssWdsgt^)pSZB%B{Y+&kz`qd;vEN$=lQVmIT3Fro09_H z4+9tWnGj=2-6=LC=<`YJxGr8Xb^Kg=ZDX19+$fHn$cy6y^UEI-FR+ef&hRd}*{oVK z*ar=7p+8_-KSqtpi&z^$NM*)FQkK&E$m&(qUre#gt)2`smKD0)nS!D#kQ>t2Pl7loBmu#O_NV7>9zMw~;iQx-2ux6UNMtF3uhCEa*umSy;`Kxa z>bL3Mk<=0Axz5m!;e!la_&-w*{}!T*LJm2{MehzK>EV&$4WiUETQP$|cZ)zpA;X23 zY7!JSzoN{21Yni+`N)49gBE6A75S; zE?`LMSh%-u4o+VlK6|$Rvf7{h_wez*IXIr3OQ#8M-{Nuae;OXwWV7&H`tDq;t#S$# z>8rWn$<_I9k|!44{rH`c(Gx+H9iQ;w^B?R%TfZlJq1*;2ZsXy>_TfqX_ue-5#umqY zHqSjSaMUXPHST>cZ>i^a2?$LXrd)#&EyWRHx07@o5U*ImA>?}7%h~M`PNLE~=1Tey z-isC`Z?g}FDM|DBd0|e_4l-77ktFZlaRQ-o1=eq)!QpuJzA`xIqK@RH%ot$7zuGjwc-=#;by1;D(6< zpbZ)li{z`wS&=(TgUCrDH%VhW;tC$xLa7FOP8|>68`udVU(m0$m1_B2j9ii5J2?3b zZ=nz{JYZWtgMfi2tV?Nr#I~wUGvO@0)nqin-NRi}u+qJRyZA$rf1}6^z+uOa6ovqT2ISK^o;*_E$#KI)oBpWvM{N^FtpRn#ZFv1r>yO$dj#>|e zkai!;>JrCUk4{f4r9O&Dhwf?Ma;;bnakBtU{SRiE*jLu7`&UeUeN*r* z((wH@nxAi%-B({`lLaD;%U2@MGc^}K6vGOyfdJv zoxiF^`6{mp7Y4w;F@&f1&J@CfuiA(3L_^m_v~Q3iNY_aap2Jb-e&|R!3d(tMd@l+{i|$WqLi1C$jJ{g1$E;u+^he)z;0iJzVet z`zpj^Z;11R2+KGMT@S%dOlmB70Oxf|h>xK)=XGI(;2MPcB)%W`Ucnn%hpSqnRh2L8 z!Kxl&my#5B2Wd1AXD+yq5@o|VUH4d3*Bgdml0*ptJX5TuVCyoA2xJaXG9me6JEJo! z{|3gW7@g}6UBq4lv5$z`6ndnI3HkCg%3#%4{@fO=D$N2{K@$m-zTUJPV*`vXXkt_? z8J*wsycYMw!akLgc%K7S#Z3)Uqaj&kiPHWv<&!Xb4+ zL_#A#*Fos1p>@sXTuRjJ`(UCja>Bcw;Gv&^%Ym^)QHT@^NLhuqHfo}4aycKGP!uDf zfrkuR(CPT~WLXvT6=a91<~G3)5x@u)c48#6pj;VpD{=a)eRZMug6p#k1q~{RLh0K+ z))V+v841K8^^r|2h9N}Gsm3)Kjp+E2{M}Rd->L*rc0EQXPwbY7E2gfs#71lcKB)+N zS$!kLb!j5&O>LTqIoHZ+l_eR1#`z(l_g&~0B0+JKCh zWYuw86zd2i5+sGt#|hNC(DfaZ=s>TWR0*0|x*O0JEP){^b;MCDXmCE9mZ>i<*&#Ln zDJv<-0rGBy$ghAj206!BI~jrDRTQ4bZ=Crh^am`9XW)}+Dgt#K&5x{HQvJ0!XKOVT zjbQhg2vm{iUS=Zb3vcA9nkfXFdxU%BLyvs;(vc4)E?RI3Nhe;7e9+_q1D~?-?lz-x z>Bb^GwCPIs3e&Gk!%J=pe1+Hx)=>#Jq!rW7q(uU1RYLx)wj zf*$r?9v%!(s_*Pqa?7tw8J;&64*U{`kbCnhM?6H^ytlW@5*W=^2%aQkjNGt8h_QFG40q z*g-H0-Huet$3AkH!Lv@<2eN!c@E}q1Pi`4P;mrtG7t22p^|D5-TU%0RhCE8MLs@c?w6BKawWAqnlK#&Fha&I%3HG2ai7dWZbJDH zT~rvw1ppu(%h1U2bWua6O>7y(4a=R|Dbo9rB^()|Q4+Ko!)6r%M6B8Fk9*pIxT~!@ z5_b<%NKzzBcDx`&EmosRTq5qW$;dz_YwC`yn3S$Ac7Y9oyVONR)=uP|zyoD2=sh9w6S4i(xi5O3iFS)I2~jY-oVk>q3c=xml(JlAsp^oP{~{}F|#2eE2axF<^f ze;2ZT6PGI;?rNK6-o_bt<#6}BT+{JA$jd2UPFxh?EKQ-OY}z#|3x+Za8&8!3I-!g=HAeSbqoG`V6Ra|#}~$}e85#6cjDF%?NR_ya^kM3qX@t+9eJtMcTi{-n%maSAYoS@jv8`y+JUE+gF%^ws_wM%I-ToSB#)kwX zi~#6CMKBo3LC;V*=lD3klE=GmwqGr>`EXB^7Zpc)=js08(c$xF+o$_)pd=nX`|)%e zi6_WQvQyre2mf%6k9UfaNvbBnf_I-@q4w?B5><=@o~kTaTiQ=^P+L*{(V?IGy_jnH z^4XJZQTFixr)9hpU~?i~+l$q8k}IT_>bK;Xq=YVIxrmZ+Q0<9(`UPRtDYb!gtT!m< zHfCxr(H`prOg=rCGe~`v+bbqOb=YKX!{%z)qc0-z+qzNGn%RAz)_yv#m%%!~{cm?z zce@i*)ImP--;Sra%on3GL^HQ@hUN}V$rUO>#B)FApC^dNz8RhUidu6g$GcC3JG63v zs{g24zkPkU_t&FGR84u}4X(1$`CK?m)km8C&R^?}Rh6k!o)qv*JD*qX%0)k7#r0pb zuEk$Gw`(Q-xh`b37&QtVL{T9!LlW64`Ol4p0XZa4Cki2o(5g8xtYsKyh`8qv7Lpuq z2}3Mz#sn89$9Te~4#kDM8* z*gZPgmtO2Yx0rB#{9cdd;}MlF&mYaz&%5zy-4yT{Nq9*(pU-HKidA7c&+{4Wr0MgM ztJ!bH&!?js)93k0?#)M+^_K+^UR4H<4q9M)Z9nPtp0ge%U*;T`5u)ewPFeyc4JYNL*(hzJH%BJqH>!JCV`68yZ@yvQE{8$d=^@Qv6*s2RI( zH81jp0kjT3NMkT9nCztk$#@YWC}ITl!U2wOCDbS5y>U*2b_j}!Vgj}xf(#Zkb|!|B z*@WxPaFL)GBFr2BvA`?f2%CC&;gsi;imcL6BLef}*UPD(z267t^qaqfVpSlDBV$4c zX9F`-{nNgD=~+U*reTDwLmXN2+9>ZO9w={&X;t(GY}-l8uRmeiS@RRCH(4KL^$r)$ zFdnyiM(l4 z2Q{%^FG#9*{dS`B+Tc{b-s{oiws_Igg$%;fR;%~L5?I)C2lf0SyTFg> zATnK?@~Vi!ycHue&XnoL9kg%rRhDL1uw>SMUTjU*zjf-wHo254THKZTJe)q>Qis(! zw)LV~`_ehGwJ&)JXe!fKHd)K@c$Uqsv*r8)I1j=V$Q)wOJM~Fg*H)S&k;>DV=w6?o zwV$pNG`u;NGsISRStpIlznIOJgTGCd|2qEL(OenTqa!@QYfP)orjMj7`qg1Z;WQZ+8#uBpPA#s~nEM^})vs@s`SXSsxr?l_$~?oASMyROz&6t=G)gYI1f=u1 zRihv`AseI?nhnMQD88C;0IX#tOaWMasZq0Fz$e5716Y=!%p>mJQDXFKc_0Fe*+FVJ zq-&u>$%fIazj=4eXncI~)9K;!(`|BUV&I+P zzXv-HLpg7yVrv-1^sDxE^5gE_{x&r&hTb`Pb-Z_YaIl>ZNp-8gqi@T^A%;)A?;hZX zU-3_5yl4o0FR77i+c52|ZW5@^pmA6A2Q0_YAoA9V_~13U)zy<}eq{9_)!&Oto#qp& zJk!?5{}mcQus-lHAz?`g!R7Xk`vGqt#kS8Tu=V1Uld--QQAO<~^8R$K`Y^Rv!mO4| zK5Zql3L(o%*d$4d^{<_CA+l=Hgn<^XJ1Q56#HjAj!k4Tw&@ElozDlMjreNhf7Ww$j zU>XyBIh>v!jOPm>r%PTEd~=jtn7?>AzPvJgb8=JpXdmKO@N0Z>^CUw~{ZgM`LiEYa z?ghchh#5;S@Z)U$$L?f&S^DkSGf!?Olg#h};`5WG=#7srzNvDvNCVa1RR-{fk}5+6 z!WXke{+>-kA52D8JC0wUTT)t-DpSIh^LW?7`q@_t{`I92=#pgeMxH=n|1Fp{BP71gIV_s6UcpWH>f` zM+1$|nUkC0s|6SvQd0G`D1TL;tOx((W3hoCEYUZjI2HA0m6>3~R%Iq1?ueB#liH}G z%!CRQ2%mvig2egAdW%3fCJl9$nPh2NvXWb5CTUQayUV&L770G!&N7pDY;BoIeitE# z>5@jZXetGVaEq7MAK)^Rx_;&-tV?Nr#I~wUGqK4`#>wFIn7^WuD`&SAFm^Jwzxi+OgD&9muQCi!_-R#5Y*uhDmf3Pk84LMjjdLU|Hn z;571CDv&)nJ6)9>$CW={4;Co*)pm?czycd#ef2iw8|>vvqh+eYD)g|xbzp(0RFdCJ zMA#6Wkbp$oE~{Qi+%m4fwL%3RjDOG0pO*2ZlKmQD60yvev)g61k4O;mO69&FW_Ii# zFa{S%^6nj{8G<_-1<^(WN@w7kwn)4ZnSo8~Qkj8dGc{RAf!0y#8S20{4{E-q`r~4) zR{fPm%}kEZ2yCk&&Zs<%vN0YYYe*=>4^GY70DPnLpfS?;AlO+Xj4frMny0yNy->AO z2v9&$BONO5jH#=-_lvC~*HInhRzTWNgjz>nz$tRa<;P~F>TmYH-$C_f__O@jf8Rsj zaQVM)j@xT+P?TqdyPvep6>@KQmtlluhDN* z$P*QS3lJRWTpj(_qu;iWwdk61CBU561c#c;=7MbH*@pzKJ#Q=2jHdKM%MV3x=;|Rx z3j=%wxR88U2~k2glasSi(X+&7Du@a67VcUD-$V$c@piy6p16Z%aTDGbzDh+bBDDj9&-v~nB`7mS2dWamxR z&U6c$y|eOIi%ICB5u2}Nr|xWmBgt0<*!BRp$6Nm$066RQkdCR{=xObz^9eO*Q{2FI zhm&qKdR7oP4uFG^u|Ub?5V+jyJ0Q0&%#Y;fg(dUxF~hzc<8zx~l4=%M43jXMHEC)l z!=%!@S!d(xR_kp35E0CX>rE(axQB>1MkLDJs+Bhl>SkzNi_Fk+V;6a4BZ|0#K9>re z>vI?;5t~NEl29R=Dj*pRs$8H}b?+9INmMdHQDJDS$ODG5t3qtI+xW`D6snESlS7SG z+9)?NN^PwShN#fwAvrFpY#>fjSSxEgXl=3EVdhqgjTH|RY}I&t*64W?mdQrTVm;4X z$brrP@mRA=dX2foHHBYK2v%M&#n0W`kP@Ov@pA}gjo%rwjS!WVv+E#|kpHBmKy0|f z^e{^~%3g@c{D%kIhbQ^pYgs16)kMC77}}X!i;HXQ>XlUDa_?Z7l#HOC(=y3~$X2p( zDOh?a!+;cr$f{0xp-{eo*}lY$Td`<;Ff)=9Sy!r2@G%McGPjGRq>?R0vD$P&el(jd z*lClbP~}|rl}63-0iRJVAE0)Ghw2dy&Q~5c%+HubE?_6ImIvHn3K+c$Gc4-=B>=(m z&Y0ay1^!7c2-#Sc!qOZj0a9(iCFrz`XI23gLh8ALQCBuX$}dAj!@x0gn_{(W>T7@^ z8y~Q(p!o^wVwxYZt!v{{xXjdQHX2iO&geIvIAbk509<6X-myo1BR4;O)o#AR$ZzH- z`{MEW9XxrhvZlF6Ld1Vc0p?>^o~)-?;tGs=YvvEZsdc(%xPpQ~+QUFo4Yh)(ZHKUtwkCK4uzz7i_=ij#cD(bD@h&*C^m5oXx`s%TJULJ9XNNY+X zEhlm(S>#JfRNLV2W(4w!=lI<-vwFi2$^1j4FG^h3L4{xLnk`PNg=O4L#HhSp-w_oQ zd4G1AT$o6fFIhrf++4Skg{i@AUSb67rziM^D zB(&*zSw%|!8kbqVzSGrv?U5dtx!=3&Fng@C*SQC3_Jzd-h`SqQy zo-=;l)5U9)e0`^@r(K`tbQ!Hn%<9+5XSc3-PY)<f8* zw~KPYVs%%gD)ALq6s;RoD~_m(VYet+`{}%1pV*>Urr_Y|z(i2#k_xpo1(tFg)}JE6 z^!;Qs{R{Z2Gm*KB^57Lwq@n@7!{24zjw;5K!cO11|EUWzz8%co{a<#r+-v z8)XfUGNpC1b(RZrwZ}L@s#*AAQx{3FW26BwIW;YIT7wC(_J5+vA_1(BI2)xFQy5qU zlIwFI%0L8BhKmXc1u@Lgrde`}q>997fha?jF@#7VS{Tv2D0wMxSTfcN=%bpfl;7~nW6-AS9QjN!{Oad%FxGE_VDcNe^vUb;$M}| z)iE}JGHXVOwM3cuef;bGS}3#fx+0Z+=mvRN&yr=DP-ZCM0uqLDH=)$D70Qgb_-Bc5 ztw}*$fr@>WOJTfLcj+efkUt;*RgD}ML@kowHop|MZ)A*Q*~1m^U5_K%D2`0yam;sV z-4E4KVu%d}7g2n+6bZY^WvjMnmI=7RP{{-ykl=wA5IaL`u$M@{uUZyBXfRG8YC$Dl zfYKR|i+(8YXe|P$@dXmfj(HlD@E7S&%UCBlFt}~KOeIE0@U%NX$TUP$9~b=kW5osI z;2FeAPXCR&syoeX$I(23?PQvtupZK;iExp#&0OwrP%u)-SZadyQNevwFyuHP{cuk7 z)B9{bF@A%@_~T!*<<&~Nck*E~86`4aK=hi9c zR#Y`6*v#a_95kVb%~lm28Sd!Lz|7LA%on~73I1;l36{bprBiA*Tz9x+s}E=^yY=dB zYI0-+!nOzq&aKk9Df{u9@>4sVQj*3R2Ap6ybYDXTA78vgF zmeCIDfnEiOyhVf{j2MYY@U1m3KQ9V_e0mXz8GEUSbyUP&F7qOU7G1|7V)sxPFz>sy z4HgwPtAu|DJdTkuigloVYfm_spe!PSdVvLwBi9Rzv*aSNR^4;885X*$pT;mcM}Q}$ zZc&`L4q9a~u(d6(eab;(19TB-i+ohDkYa;j7+s_9%H-;KL)fk;-Z@GVSZf4>kxj0? zY+8Ne7baKb^Iv>992sL23=yZs_Z=s|89+))lt-br-^du7Fu69GOzUmRC8>tMUaUf& za&R4!YjHPy4~Yd3NiNw@xysG%BwQ(op+~)OE^wo5O?n)J5PJNli~(YTOldR1gG}qj zHRiEHC}*A@SB1#o8CmZRlE~#h_VGBa0hyCu)ksxaS(HCrM#XN~oftH_8Tw?AE17)W z3Pe##&q}7ya-VuUXqh2S{n0XY}dnhdOm^dXi%VMzE*sriU1&)j2cwma-oTpIGvb+K-i5e(mV z6N35_m-o+@UD`FdDAXUdcavAs^Cs^|?>G4ZvZ*~6poOq3N&(o(~Y*!_s9t=thJc0Ppj-^>03{WBJF_nq>_M2?7K!5Bx>A^d;USJs3kg<>HP9gBq5ce*||#B z65h@=x`&{@NeCM$aP%+{!wX_E$mZi()=_phc#e!BFI^hS=c-FXOfL5ZxFJ;@i4j=< zBaegfrLDTWS zi7)6k-}x0e=^WWL2GdA&>+2hVY=^*AqZ}@0i=wHZx%EwO5*QT8C&`XPD-Qwjk^d^p zxfM@dFH4%bE@h4eXg_wPJ8*9;_;BoUC_W6){IrOr%gbdn3L3gtT_4sc2@$9h$(gW< z{m_{Nj;SjB8Z7mxl^27+G{MhJyjW@8kryXOHxyGD7+BH~K^6)Fxnf4FnK6=jQ>rnz zkB}VQM=dl1>#mtGJSl4+8)SAIz!T#mY6`I`V9Wv-(4HMLpQ_l<2AqS!k3Ast36Ow< zXg|D(iQH&TY5e{WY4hYYY!2eyiVq31(GsBOU`h)(#>w)EPG*A1#CkN%p!M zk3_Ekg7_}{<7tTCKngx)r?ujdD&=m)BmKH&qt5ioFHN98`mQ}FFh+XH$fa5sz{^1? z1!snO)5!jyiy~?ZE#d11zceCdJ}Ucj;{f%N1+o5A+z&E1(vuhA*2>Z_?uVreaW4Tp zj6shQ@s6^h=tgF3RQcSljYdFmLU{m;GsFeY_iH1gRGb$RLBO4l&^s#JjO^^DF+zDJ zWpuVHf38MO*L+*4{IS6?x^Vpls2}#DL8(>Pf7A0})AL$zALFLV(fZPBfNVr5W-K34 z9*KLtq|`OCd>_zT32E~c^L#?>60is?UpD&`ET-o9KHFS zjHGCYwd<-E6VG=dABqWzJNYPyWGb=(<7vV33wA};>=t@4Rj;?$sKIT!=*3F&j(Rar zZOB@U^Ar$l9QhGe$pykj9ly0GOtD&q~@ z7%$ww&3kT)`o0zuH3_}!#460VxC(`dT4Fk1BQsX%g_825;>D;uRGX-K_51bXtyU}7 zl@qt>RCQy5Nwnj{ASKP5*aO+`z=_r79XT=ON`c>x%(hez4+6(3Rt!WtY-8|{I3c8I zL+hFulRT3a7UAx(cZ!7?8IoWdhj|JHs=`>@o*gqypqqsnDP)2K)kH&7EfwmpqDV0v zUC%pX;Gwb#TSdWn^5?6RbcCZ&EFv=86j6K%7nOT!J4z)C&`i7tbwx-B^^vNH6KYA# zhV32_9K?zsls!XTIC2wfZB%p>MY%0U?>Vx}k=d=l)prtXxs4?wVw7$Jq7qP3PqzJj zjVxKL4jfaV8<7uyV)OVtsCcsHIkB6137N#93Gz1gTR#qfX~`isDEKT#S+1oYhln0> z)7Xy@qQn=w*bwN)60gXQ^lHDc50$py%EzPW?PxNX-DLC8ay*+N@E9bji7Bsc-OQ6= zPp`9%RbUPerL}+xa^Z=k7&&?AxVsq9t}w{Jo8 zWjq#%JrHyrhj5yD_>JV+xEy^2uc(9jj|zx@2BbbM9L)OphzR=vxGIGSIYALmPxiiY z_b>V&6Ehi|Vz`}B!CS@a{9STA?M^Qz$UXo`55N_;fRW1t;czkhyL|6ec9RQyrIJf< z6}dR^M~SXdY^uRI{hA~st`!000C~p4x)YfJH*J2PxHLmVHIiC{Rlsk9MFd0CN1*K( z_S0Yx^)L!Q7=YM8Op1VKLs%!|hNijjgMdJ3%XApH`RUbYjx@{JyvR05m5=!CVF7S@ zc}Mm7^L}U3De^Mons-ZM2~Fk7w_*)0y~~Cn0(xz@E12AuS=tY3p0z12c;7W*6I!T+pr~*$Er_(YHb8 z3~Xt;Z$m4+&}I|SYN$Qn43CSpa9 zofXwL0i%nIM3!sdSjoVLV2ErD5hNqAlxt+T;bwF!0BjHN_V{?Igxo2Rde-Q>*0xDv z1#l#GAyYxXk+h6j+lEaF3C#h$fvE<$lO&U<71`F;xJbl%zbu{HH+O0Ph9JDHL2r zjZ502NO=(Pfx=`zxMjBSWNDAG)F3TCbcYD2*aFC+h%c?I3I7FIYS@ga0M(ypn?7h`_}+5BOFveoLEncZV1^ zwSeh^lL8Wol1FgRz!G7aCTG3k4zsEn4fYvXoTi1O2nk3CU|`H$&n078!@xsLZ3K_t zwIorcmU02_CH*Fb>WVcGqPgt0@?$f=MESfKV1gHcaG&HR|xt1=7Z=Uq8Dleko9Q@UyJ}=DMYT7(EV`(KTCz9%Jz;o zUnN7=e2m$2?`WWtoCcLlgUW9nYQVwaKxqpRsYVB=(Nd+G>r|d$VE)7xK)I*_6xa$y z4Cal*AoUD2PCayyufW2VaYzcb{L}Q|&FC^4%(IJZo=wj(__P$O6_gWDE^7aHt3~r> zw(N1UeC^bVhr}OHmL~w0z)bl)l=!iSywH>$04lO8Ir!@9hc$)W6RZaAXoN7!6}A|| zwYF3EsRM2b(|X_rWavusOPP;o%Vh{UV0uoxg7)C(LpDFouHO}w80oxyLMPS1Y@S_C z;hKUq==+QOC!Z}Ny)U0V*@n|_r}$3}JXaiD?*jT&dpP-VcW-~2K`wTVULEfp9vp1v z!@S--+WwBd&HXmtJ=igP>V5YBKm42sdi^GU^M<8Y$Qq~ct)H+RG_!%kdgjcJv^akH zTx7a#JbTJRZL<`1@UL~tSjW=#-ierZRaph$w(qq>zS#f`>8IA{%*YQE+GRK&YfnOV z_t+;<@?J5pPB+;*s%&W;#@l(G`;*D|W;s3^O?KyJrLXNHE^@gpyNxsld~@%}B*Hz( zA2BSY_JnjCjWgui*=Eh|@Y?FOnxu`vyZQM166ujHCP;s2l|3L`6tc&Q`RpuPEQWAz zG#dgM8(HKGBX%Yn0v+N)llPFyLjknOVuqwCGNpi1e-si7A+->61}O(5pNmBDVP^nF z)J=h9Kol@%Su&Z2lLv}vh&%=!yi`T$ixyG_Koy8A2DAnVC-0Mf5&+T ztB1&L>BnH!k!@KIR*Cz6k32}9W~(=C?;4AVX-3>gpDP8cJ! zzA!g}r+CL&80mboyJznf!c76s4(CgziDxtCpxZYRrJkE`)*htv1t#TbRj z1S#e3P!m9O@G@IKX83u$ydo0BF8Rt1YE_B7;HyQ28LB$3SG0b;*Q3d8@oHaxtv)KF z12**Hv{q(~i^pW6R{nyLH(Ab3z1OFbKcYeQ0_abn!G`m`?y$N50honMs?EpMFa^%4 zOHIYnS9!_B6cBAIa}qXLl|i#J-e~Z!BZ;hQEA12SN1vdzpRN;Zg(K>+PDVlINiN7h zgn2QWFaI`K{%iWT%jLhU5)Dk&%}x%Aoax}?P@6YPIcCTZYTUz;2dN~5F9JC;_~|Sc zT$Xlxpln0Y?5+=VTm6%k+}w0Oog_y{`8COejT~Q3NfH71 z4ljsg=#@ld*ew{i3IAN-`e33mz~ykY@E_CaVl-J;R`5+L#^dxyxLV+CDSz;+PO*Ad z3y}NlXb90KKNKKe6kX;b{3T8EGtnr9=rS9sl1Pk8a#&Y`xCmYaL=>FG0oaxgQcJ*^ z$Y61@;O<$(0vv!YVu8}Ut5~35AB>*GS}Z`CB;ZEii$RQ$hqP{{v&zK+3H#s)i~na4 z3nc7AWPERaD{FF7f-lUWDb3J_X6ysj5Fu^=QKBTJ6Ua$pYt}4dAB?pt13AM*mH9&X zyqPuAZbFI)f#rmk*O*vK>8tG+U0CyG!4G)huRpuS$K=SxO%dP+6t3lD*UXXA9{eDz zKyn5!7(z$~{&-ohORen-20tKQe|~^Ev1FNP@bkwwGQ&OqNk)xSV~56(hwguD+By|o zDA=+A%%Dc>z!YY~KB&!`+45T02T*VdF+rkX3Zj$)TqQoN_z#&V#e`qo@xzOk@(&G5(Z^lKshO?(U z)MFnwn5kGCiFz~peuMqpzS7ptOd6Vs+cKOF!57vTS2s}GJ1beQ7}$F3RkqZ|2HzAF z+6h$T%a4|#myRl{x$yYp9teH&a6(^Wb+gnH(CST$mgba=Q_t*!8T?&P;gU^y{ zkO=-VU{v%GY$wdX3{?3-Ic(-9tmn>T<-#bkbYYnbBl$h{A+K4!^K}34=-x0!gu66Ii+mN*^%Tu@ zXYp@Hum>d4GEI~7tGhTjWY|Ly?;?BhRd+#&NKihv;T|+ulNk0!MyZ`EEpQKkQmM1V zJt&`Boh!0w&M=R=jnT!qB1C3Z9rNTWLv`9_FG)Mx16HB;vaAzgD&rpH%!yn^uJ37u zdyt~`OJS*uHRy2qhr~f3eeD4;pdodEO#wc@ zHP4iAVP?Y7lYtK+5vVGOYi^ci-~&PBn&kotD&UNsdsqx|f!e&QT!4ZiO*}3B}rw<{6c`WzCdu(H(o_B~ngb=+F0&mr{gm?|qm|DC^(Kz)8|Fs^G|tMP7E$)b5&6 zqPOMuwtVm@Ta4(}Ys-Imc+e_xk@R7UrVguO7foGh-Yg~jfA-F`H*PCQ!`~O!|6ojj zu>;thX0yp=V>SUiv7I&6&Ui*j;(S`P<+db5ZVBzy%w!k)-}gB@ywth&p;{U{4sA>_ zkw_k%3#;C$daEkv!Cw>wS%{Q6pUqSeDmOvKgbVaIA*XGLAqg_|TrFXP;lgiqzRNgV^?)@QI`JZUS8R6-Q!9w&{X{0awNi z6PPKAeeQz!^SGOUVjg6Ys*0(8SA_Yj)G>y*2|_OXpuL~y!XHx?UmoNyFkJW)50%!7 z-(N7g@JD~a{m!{g!BCO9sDjNHwn6A24QS1`vRwG6E@2pVqZlrHdjE7|+$eQXH7#mz zfhR|T8k9j?kZ^ez7ld;pma~E!B^;;BA{%og z?t2^+V|_^b0C@l^`XhSg{I?_=Ki9t@qd#e+_c2Fe%#mpM2EJ0Z))~{PXv~qYtI&)& z65rIhv0F+isp62)2!*FMq_SQ^UWhJ2VP6!%7{2_7oM<$4NocV}dsSMU8W^jG7zJ%c z0U&hRqASG0;8OGmqntp_S!6TLmj+37;8DkUk0D7Nzr^CSNJOIH^<+`jZ*l&z_)E{%@uwb8+L zOEgI{jV4vbs`yDB+!5PRzg`xq+_Smnv1E*Q>OFddaEnrUYwt9nj(vwO2M7_ zU6!Kx%ov@OXG#LcmxGM+NY%LYwkuzqsdj@2U<=k03PUS99k+nAF<<^5Ss2FlAPUx|LYB=Q&Z~!68P8XsS@t@29`L#3DpXtjS{MAx~OOsZREUn{DGX5ciPO;J&|Thq@x)` z#vU}xcovD0C6WHA;-pJxAwtZs@98X=(G447z?25$@;Vt-hCsTU7Ubj8qI^E1g+|Q- zsK<-b2j~{GP(3bb%S|sw-7?~a^Z_F>F}fLIZU%e1>fQ2>NY@Z=c*7A_q(e-PVi; zl0?s%|CZRX3(UhLGeo8$qVS&+S>aR7Z;b4OVVc_3d?xr++RSz2ndj^z9OdY!uAYp2 zM$t#PY=zjP+V4eAz?I2ju6op-k1r1N4Y>-jl{MxlA%#1?*kcCIFNvryXq_CM!qd|& zU8C=jGC+&)tcIE5;B`woh3p%L42`+zDQN(h)vxRA%k}#=wCJq^*2XWLu6NTMf}{MC z-uCXKQh$Bg$!gda<~_xFRDakuTXqN6u>wSX^L@|S=4-i0Ch zBak{q{4@yB)E*y3kq0B2Wc2)jbjo?Fs5Tjzi#!PN=?Iy35TP$3ONBy8qUh;QbULA( zXpv{tGQ+1w4GnKXv6k}jDH?)Tyi9=3HwW!wFyu6NQHL19l4oBI9@o{6(K8gCgHM4Z zocVSRj#Lu!jcn`D39|d-4DqTo%=6c9ScIt6509SL$g_j$H`Jg(pP7W=JlfFR3hcsV zmMt;m7W9jwg^xS}##Y4jxT_h&(zc&?`Zjpp-A6gxzn`856=Ls_7qf>>UmcyTuGSEk z)xYjNfAaNxm6OLe&{g(gz)8iNa_1%jfo<88x3aLHPl$i`)9T`vSKIZw^>)2ESsPQ3r3xn{ zr*}$YWF-HhXf*Eu&+h9Ma0J*IUYhLCimVN}32Jeh#40jcYn=o7VfW2iiMLQZpqPb< z1@>!IzlrtPgVAPTd- zID$YTOKtG% zy|iD(y_bHw`k+_TXs-O~@`IK_^1!NBJvv#n8zg@vf>Rm!YVwa*g%)Q#d2{i@=IwfW z!KS&x{N?YV-yH3>=fA9XXWMJ{t|yn*Z!Xr|6_?kiXYNHW);p*LAFfs>o^k8-J>IT1 zo~b|naK73M*{b(f7wdn5OV6)%H@vM|(nqFYz1o~Ue^O6vy?OM*k=lg_d=*B<0EOGrB@iZtbN6r^eH66G=N@XzBqMH)$R5&4dY3}zNSzuaa_U;AXCYtTNbyQr-x2}!5 zy9IZL;K73v+ylX#;O_2DaCZ&v?!kh)LlWExuD5sg*(V8`{J!tr|L!=qGe&m&|O|5)KWrN43Vd3_kXYieL6dp(O=3r=GPNk+< zb+9OMVXDDBw`!VX>p*Op);A79YdRbH`B8OjJ*W<8@P3T486%}N)8z!;0nZ1ZTl(gN zJsI$V6TAX!@rzR|^~C0Tp|N+^_a0vFzx1yXZ*oK=}_C2cqS+)t@;QHmvF6Gb2(VyKe{ zL4=tKE4(#dBI}ojEKe|fd5s=XvTZ*hfKF+v7>Q-n<|OH|qhe)oCj_E|(JjKtSWf11 zY+l3K(>I}^XF{Jgx6q8+*6=b=%rdrrZIw*14HZ4q5`?QyYZcS*Jz*Q_72wvnmO>Ky zf#QyDO59tuoCmu#hKA$B@k?@;U?|w}XTw{1RdUaJx4mEPl#g1mh)01FLvV1Awzes-}@%u*#)t^~O5rp&938`A>?P^fJL$-9$2GafZ9 zFjJ6=ak#PL4y98vRtGx-gjX!~q>&v78`e{G1>YR0PJY#tjiEhWSyKYmW?xhKHZte@ zaNuTn_eNL6^`mRZqUbKcX*Z;?&cf_6D}~M^4oQ}0D}f5;?4HQmcsq12z338SM{(@d zg&B|Qs+GrtNG(3u(Nvb|DMXy+gjsR%Qm#H>2?4S>uLi0Cs3?LF{%!Pw!Eq12K!iP? zno8HkN*QbBaW9@ha%Zd)bJcG$d|OZJFI6C98dLaM{4&T`bYy_E3|f~I6B{BrUhQN~U@@yFLdNelbBRRmE4U_cboVB6A6ri>*TAZulslfs`blK4LDS zn!sKSsOB2ahS_ksNG8l;Sot?*z>&b2Jg+t4k|O&%ltj$XP9-5kbqa$@J`$z#o*){!H@x>&RF_1hQF#OC0V9ED6SENwG=u*g` z5IEa8f+3%@pkCHl07Bl3Dd5`tVhPF#l?VrEfa7%L&KMXUgM4eiR;2 zyZfSP@B$^?Ph3w7G3x@9ZOLor-$U zz13vbDxp~0^5LOcpX>@=LVjh8&p+^D{N+|V+4@zZH*WCGME=VuHv9_n&?PG)-d3nm*?6LJKF5IXV(XM zkd{iKF5cI^OOws0 z99XH@b6OP?2pOMVRh}s%fs<#h=gtmtT^TcWsOdWQqwt42zubm0JSm#Pk;dCOnn^gC zZ9XV3EXm(&?$bGxX1<}r{c!hD)_7byJ70Xq&imBg)P+)TXX|sY z=zKwU%e6n>9_*jSA+bdzo9-@AV#7^&k`TSMmLg7wTgl>ye}Wdp&XQ8H;xwgGfO2>c z&eWrj$v!}Z65LJ_1r@ysxO5KW^3|9^tZ5BpA_g$I+xqzYq9>MByrEzy`;nVgQA`70 z>8Q-81H6o7@<#ET@g*b@?&kpocGy@#O)*BBbUxSPyTXT~*CqIw6?y@!+ z7k11&YRs9glRmx(5}JNbMZ-|l*`br)I?ZI!xtate6=vnuVok})U@xs!ZizKVWH8~$9TW5Mn!gg^=mw?Gg5lWy@^UphDuISilq);sa_ngKX5bz z0BKg+>A4PN?5T63^fY!`nK^wI$pzht&~#-O%XFm~Rxh_wyt{30ZEm;|ol@`g5p6*S z`hawH>`Vg~%*VR-N)zeGL&FftuxJ`+nBTfMgV_Y~pANW5OZLIx0#a0o%O`E^BZWA4 z(A~g4%1Wro0>RuBmOXOhg4}!@-rkbkK%2>6gU6(`Crq1cJ^vt0tt!h*n=wuh9>5$6 zKf5{&96k$%>>WoD8IN)|S*%;1Y!L#<-E1q)@84fY&ib|TtXGvqnDUJ-@QYcO@}0ne zy*r)Blg_*A(MIgSoqpIBo=SWd3tE92MTJQkTN=G3AIa=~L3)3d1fd3k?o3 z1jg`L6E25E`uT0cA;}W9U;#A`XZ~sMb6gDDiGpj%T-K)xxj4d)O@{9Qm!6m*uTwxl zfPEQ$8$M%nPfd3Uo=V^B(pj9u=Ie6#|ZeNI|`&q+%vm-@e(pxQ9O#Q|8-ndVsg4J}Cbsx=MnNR!HHjp?h+&Ky%rX!9sh4_i+Ej?@~gzMaT6n`pU%-^0q z_{_7+kgIP|+fH`<4VcAFIg;OgN+a1FdeoGUxwP$5gL|_u3XB-4^%WQAisQn|hZEh) zf?)y~N6!$Po;iOu(thF{rx&CZ3^)kT72?Gp=2`~YGC6FTh|p1vLLG{u{9M2#r;vid zkx|-yV4!}HEJoR;HF3%$q+@Nu?aJE=q<400uN1zBPNB>%_GA^{!@hdY--6+U$KT)7 zdjkc%08uN)$}0%MH7h`Kl3~Rdt2jo#X-y}GxGgiifsgW~&j)M>0i{9OvWh?Osy}V0 zn^9n-?h-FnpA!vxb1p2RBepM2eHvyLpMFgslk__pThDuCUzpK=vkLF`AWoh&z!2}o zEKv}J@6YrJI5m{GPo2`l_0&IW>linwI6jU>)?m&@iR6Qe37ctDwe(iWziVnG0-Agv zIq)9o=R8u6tFa#&ff-7ouRa(Qms_U+(O>`8O`#++Zub};x1)QQXumm1l60NqJ2}<0 zI!M`C?IsXt=k_gk9hs&`V)f0Y_sZDJX!jithY$Ao$DQ30c{C+gW+VKW>ZZ?bds`P+ z^=q_786bDfEJmD`SPhf@8)1PGSn8ag=Q&v8F}5Cd3m)Lf$R*vEqLaMZK+Ngz_ ztnTDW*Y=pH4}=PY)C2sAHojPH1jR7zs8tGR?9+O-d&C>CD28HFHVQucI)S_b7yhfa zexKAFnxZi6HdT-L&}{E-Ah}(qE@U1r_#v-`gvHb&7aqRYsNa&eHAvQZd^eF7dqHDZ z=5JBuNGRBWffu;$(Bt!igj3N92HL04B z;GE0cPo{$kiF7TT*BdOOgX9}hfd%jA3CZbj%7HSegx8crFAb%5DhVxumrwNgxvL$F z7!7uSH*?UVgLA7oA6cT^k!T*$mH_2-9~#^J+ld#x><<+r<8T{8bhe^?Nz6!fqU1!cFocqSb0bbhyyP*S#3L--(Me+!6eCU8z)U_ z*-02~W$*OPWd*rY`W-_oguHc)IxNaiVOtJqzD1RVVqm0TwRszDI~KEBdKpIuhAbKd zkpO>=saX51m5|gChN}pjv%`}xs}Br_t*;UU4%CxHt_?JO85qI%)>K^J<+mkjcKO!G z`wy-pHiP-*R!?3=!#kiPW07A~;TgedOR`d5Ez?F2J?DOUNh7eMBtZcc; zWa8B~jm<56@7k#5H!D(gT}-GjEril>KeIy#g9#S%9|REG zk&{{`Y%O*~#lpav2p8egv|P=wRDQJqt;i=&QSlh86*xq;W5)r-JGzzoNHR1qTNsaG zV2|&2tuHX>A(ErHL^X+mDogvWyxN-)TN>2Vm0!KbTATYb6k*iog24~kkeO+liZW=U zABaE{L0F9{X&HItSXm&IsjKkEix7+z(Mf}K2Y9eb&#K88%GzYwecZo(9o_*~h3pT< z%~I{Cp|t%%%(Bn2LappJhQ8^jOujbSo@%i&V|golVew#5S!_gp)}5x$CDysS`XNX| z7~_?a;nomiL^5$Ca_`{qPT&6I>dT#C=ph%B%S-56i{&`q zij@QH_A!QH}12*0d)aGa2$P ztQz9$bbu*sr7N~>Zy9}lnd`gAsXMFBp%y)d9%0`-V9IS| zS?AUTdLSHn;O2Vp^(~{zMVI)Y<0U4w_eU-Hh(V(J?G0|MV)rUuzB-lLCeJr_57%1OvHje^8Mxq zUFgD2_o%I_(v805!!|GuKZZ_$^E|%-HrUW9Vk<;7fnNC1FZ)Jc50S@60RM;`o4h71gLY;~PPQVR`&^?z9r)5Sq(g z&y145N#JVjZnVeAakUGJ;8=K4F(%I>ukKGLK8VVtA|4d!@V5?-SU=jp&JMWsY>QR@M zEr-(;hv;aC?MV-Q)*@*sAi7fr_rA(i^sLZ1%GX@$Rl$g-Oc$`->aY+MmEu|Tia7c_pU;C(U7){K~ zBu7aWxdXS>)EXR|-7rdDj3MB?TCdYZX=a^cwCs~yp)cQXOc+`!3#%)1FWH{!JD@Ao z*`d$34Ydwwv5kF|TxEJ73Fl<#s`p~=f@%Y-N)_81+>|v)Og9*mp186cKTHhf?%FHt z8l~>l)H~m>MWPrGk+qns24XSl$kz3ph+=4>2@xTi$IuTF_vcB=5$}{$&fHbBs9Vm> zkwK%@Uq2YhA5XpXYkwTpxUbEy+IsL z931iqa`B?!W`Jj!in>o$m#r-3ro>;6sdRO>++l4_av{V_h;%%;ofqx~SA?{hl6c4L zg$pADLaZG@F!9Jh2_#8Oj2#fnB)txFab^?p-jSjRuXk&@0!(IRo#FC;GGTaOGSX~# zkiHNpkBt3U^b&zPDKW=F@l@hX`0nW&Zd&04Q38jAPp)=r$k9EFapCQ{fqAVCUgcS> z8`Wpg-{gdR;+C$&G|Sj#OF~@}RQ3)ekPXEHNf)S?AS%K#3WwU;+8=iri3bY4d;mAw zE|1TIe+L|t@WI~?QphNv?$snw%rzK3p&fr=7@8*`x`2qDix6{QmY4ysQvlddrs#~{ z_=OHgls;-PSBap|7&28w4z6bXtat)v^hElGU75fi52AhZd?9NN%9Lg(78xT5~A z5LukexH|CON+tPZA~J;GJJQP%n4n4mO~uW$Bh0gVn^xeg18@7cr%N?*M!W+%d8J zj^`{TXZZqeb9Vk>p>=Oy<2)tQ-m!P%d?(3uJoG#Qt{PFL1a-9GT$jL71$T6cz>`DE zJYav(Rrt*-uK9GW0}Chh`rOE{IE<{;^UZNYQB&W~IpmcqQI*Yt1YhMy$gH_dFMH*x zv-^v^@?%Ucaj2s2* z{hULh+SL4HPs1h<7{ONyAG3$xxEC$Z!3I(0lJaoaZuaa_ggRumuh*l9aRwhFY=9H* zDOBJ?w@WM^>hBRZwmlzqH|j4R8eBZj3vLK5H9c;xwy)YVJXSSx-j6?qHo?cIEq2i5 zfLUf)qg;6=q|@@#a8aj4YpK4mhVA%@Cb@8!eGEri*bNKFI#{$5btLpM*XYF*3cSv86VYR4_7X!81@(U0 zQ(@lVQZ&rTEb`GY%F5>PQN0`NW;BlG_ka`bBzbYB>Ab1R6BOIPE3t`9aRN<#-ll>K z!n*+ivWAz1M9P-coS&9HevD;}i~CHl*c)X~G1(t}&H%=p#zo@%H`!AKVRtk~oGX%ls#od`YnfG*pZx z2J{#VLId%em>^pTnV2gadgCjBnfzsEtis8W5$^!#}pJ(tFGX?F4yy*&u z@!fC^D6K>Lv*z#`iHfUm;pJYrwyPwV&-grCLi)rsU1&W%9`Y<|ww3eMmLD-pvG;gV zOMO3gr}LWfY=3L+$WeTCaAIIvWV3;V_ z4EM@zx~SasoJXcFfn$Fs_Jx#(i#Idw#Tgd`Shx$C=Oj+33-BzU*Wd9OI4O2h3* zbjIx!9v4C*UqtSqTVAN#nlRx6{~W z@=SWw&P#V=6=}9Oke250kmMZ&+(CtT$K>ZCN!Q!C8rt>yg;{5-lOoI>@1X5WLWh5- z;rqlfzC&BwQ8m4l!vjeq&b#)3Z@UHiYtI%5>Co}LoYa~8sJiib3|pZEW`;`zA{Wdc z@j(IFyXG+rGWViQjuRPHr|sQgGsLv~jmEBmvXJNo2kJv-TPBsQct;Z9*)mNMc%Lx6 zgfr}Ui4$W(jA*$zu#n4k#(ueMBZ7 zc28$a`pSeRTxDJ_kXv)zN-b17K)Q?922xo|WO+~I_bA=Reo0YW#7?)ofB-#Ed)3Bu zL{FC1?8CroEn#4snM;Kpq842z-Yc**f=AirP`ot@Y-W^td}R#5LuS-9umS2Y3=ed_%pb=v096mLM%9voFIEmx5BAD4hGEfJg9gQ?Qm?%i}IS#5@ zsRNEBJ>7H`0XY84uYP@^+2n&nBq!;?HeyYE0iZ2vP0BIp%zBx*U$qfxj1EjY9TdD( zCWV_(@d?CPdCt=VNykmO_Oe&ytq2`Y+DtJp9j{c%l?$eFR`zF7mIEyx02P=2T}31^ zU}7mj~ngS!d<{&hlXINwtut9$l)dR%q7^4VGxvEor`nYffmX%nV;JPG@wL3%g}tVlb1-{2bqrtl%ZjIIdS46 zVZ6d?6fIbQ=2#}w`#9-;+g-2TKb`yDH-V56Qnv*m=lp};DBubwcrp6HNK@z)v4$kL zz;!_NG#w13W7%}`&tNpXl8KVRM_~p$xCPAc<4#MBe%};3O_Eg=ZzQDx>{Bmk?=3ni zOk*Y~iPAp7M}4QuzAHHRC`}Y7nZ?E7P$8B3toN2Q58 z_jprQNzcaGYF0XHHI8*;>a>c5*_`~apt?G9Y_6wq#>b_8zrOzeSxD_aIEv|~qbC06 zR8p%)XzZQ`sOJO7e^k*gW#(dr0X6vGypDWZeaBlL#6_`lq%D0lMK6Iq$)QeOK@P>9 z9~8WX9KvedASKN@`oMqNt&-Y{PUD|Si&Z3`ed-KlQgiI=vfAR4xjC`6nL5@54~=mD zeewa+Xx1qxUsjBfEZV=Q_B0<2P+^rM36}gY)i%7$`mLx^YI_5+Qo*_O^eWso<{N7~ z+NrM<;MPs(Y>zs9Yi9Xw786^AW!{L|h2xTiUs!RJ4I8+0s|hz+g)WU9VpPD++gsJ= zS9oGl;x;y;?+gr_3q1EtPbBWy0lokC*z;?3u>T;MUrNmVUpe^vAC?FEwf^3J@Xr6_ z@^Yk;FIEQt(nc7_`)aU(U|t%$oVwmdnjTz`EADMlwWyO$6@sW97W(q;3h^$^J{4 zEFm_=*<{C{eM6Xd`$4okTWPX6YLg^Jb;n9nommzw)pYE!^2A*Z9Ll~*Q2QnWrbj(M z@y0K9Wea&;Dh!ZNHRdz2v8>eSI&;i&teNMnJ(qJP^GL_FE$-DV?)}#1BM*qr1ybV+ zu;CqmAK(Gg6d~aC`Du#d=ivKvmU5Vg=a>htqT2xt(lgBc3LfeA?O>1CUz+MrBRJ%- z0M_xgM7_V4w5C%MDHfDTGjRMyylZRc_zVWD`ZVXQ4?Btlgbp7!?>_J6Y#UC$>TofM z-W)%`{hUXzcIP?#S%kh0jc}3{*d*l#3+J^@>8tiRk52q3mc|)@GGV<0#JQ{+{aBMW zO{L9YRP3vVsg5t3?NgrfQG+B!5rU(R_i zFBO@>h}5dG>$$e6z?1s;IRGZ7*Nx>RW<$6Fnw#7 zqtSKe?H-7PEtUM21%yg|w5o5}(gWJXh{%e0|^@sx? z?hu;n5Ued$qO`H-Tx8))_ulgFSjL#l9b07OhX&{T_X|H#YXY@exuv>9?2SiQS5Dzi zrI^n9@-IsiM_`*vDlwpls=>W*@FyOLpf*ktc-6Gtnm&clWCWg@tCA`3&PzY^#oiDT znXxn5<<)}Tgj^*}Q@eMg4ust7{OxT=c*~lIn?KBQ#CNq~gUGC-l`r-}CouQea@-M8 zFuooF!$;|A@I5%AvHKO@UIm(IR$#pDi(vEwI~*q~B$$h|eAUc|;G>NxWZh!m8S$#( zH9JgK$7R&{nB_|UUVT=tu#trz`*=?(8pBJYPX)Jr5v^|W9Ui5uxcX(dPS7;2$vMFS zwjd$a@J0jvYPKtD14SB-*OZI~O(S492FGXDYfaC))kFW2`j^hgPp%o#UX_S}SJ3Gy;2>Xj#ZJ$xs zsRlziBxN8@aZLz?b|`WCcFy#zJTXIN_$eh~BjjT8#s}6osUg~1Zog-&WPi267$yP! zRM5-Bh5dQZ#@fwJF)R}o-LgtJwyRk@epC*6*v*AyWZbCZl}rShICI1%r8J~q$fnnY z3v;k&bf#Dplhf=P0!ktw9qba5#3|7yyi#mNk60$vXc>YQQp|K&8|J9~)wS*AMG`v= zP*MW|dN{$Wl66zBlbng}0+S{~4mw_ts95)g4!E!m;}c{c793!j`$qd&2lv*1Jg;I= z1dG)(r6liy;}V|a7cU+GH+Yxz*V^k0%z~8v>pgh+vS=$OjFD(ne>e)cmjRYCA@a9dOg1SapTyKrN2@hnr6e-7X+ zXBL7AEQ4S?_okFUYV3D$xoMM3PIzkbplp}nO1j!WXB3fRN#oEP3 zJT!^*Z5oNmh1lLMxzaXKx!};hSdm{~5!VXk+#_$;gu!*xab)KNvLKaW2T@npg#9&) zR`UxxzB1KJ=P08ik_DLK=UHDb%wkaGi%34x$ikI^Yv%`Yj9 zx1LMWH)*VNNYBx#<_4pLOXKg7@;`M(mKuYiwnZd6d5!-S3S5C`S8AG-+U1>-Af^4O z6R`h8k}WHqEaBtz*6QPZxu>FDvzH^wnt_5m^pTMq7f+}uPNKk(Wh#x~m}~>*@Sqy^ zmr*MT&F;329h~ieW{3GbY1mr;Iw<540Jokzy&q$CBg`zO51B&yZSc;ca4@u zCJpL-=}ro+FG!%{VO95fxnozF5{E!i^+RLgSR^;SJHwVHEsXkK<^6AwuEwtYvV_Ff5tzDj{3^!B<vSoP5DzXyu;F25KGl}TW^K%`{&DvCb> zyLFQsa8@m98L$b9zkhtU8y3LBfL~23&?TjO+QCq+2HE&|f@&K$&usesgj;*c9dk4X zq`Al{Wms;oviSD9LO^bu(sJMAJo6SDCwv+9?F(KCR5avoNZm=Y+>w*B4R7*d08h-P zW*Q#hLw5e>I0-4#^>kc_1PME`aNv%X?Bhy212wdB4D~!RQ{1cQFP6`Qq2p^cw_n8* zH}hRu5I6gDC^^&`6LzIpY6`shLW&(fDy}$`=Oy$CAqy4J@ZwvMxu8?G7Zbb-f#-dq zNkl(O7ilmig)ds&5rklRoM-URyj1{3g-2(K^S0C5saPpkooI9`HvXoP`?-q_Z6;sQ zQ;->c1N$H#SFQsOK&cT2wgO@QM!`a3tcYVtHfMI?I7gx4^4Bm0Xbp8hX*$&XGQ)&Z z`XGun747fW#MihBu(C*T^x_9_%ECTuv*E;@AHVhSvl0R2rY~l~o%)0;Bs)6BQ4D6< zwer@sqXQrFgcnH!YN_aohWj(7HkUA0Db%tlk*ZiT2V%~iux2bTwPBohluE5V1(k>s(jZ6O zE%A4cl>6Y~^`W zIh0TZJ;=}w)tT@7y-rx>tHMs#-`}Y@iN#;j`7~s`joN|e;sD(ERCb(ox+DBf9|#$J zB?%OmOrgP%k@~yE$_f(pi9V&;XW6tYal8#RQAuQ`ugZpsB_ui0)zU?D$q9z<&GX+= zWvdeP_>dhK!}2NW-M;+9{FY`{C&Wy8!8~znS{XNPS#es^oc)ci9*z`cE~L(SX;tcS z1t>ZlHAA&EICV<1e`(zW=lgZjk_IlI3ogazrmRD)I6(*0dm=QtEgJmX+L7LPF?40- zc?1x3sZUUF3QJLMqU&}I#Lck4)Qy!S@qEa_(H7Oapq1LN;TIy7*ciaP0vy7}gpp(Q`fC2$8Z!C?*JHJ^$ixZ!18mgTNaAyu(4jg_9f!h1El8b%}om2s9K`E`*z zwE_a0@77|bKE6v!CCofay&v%}+9aVh6i83!mEMfb(rKYe4fqVjS;fiQq4%LnQCNWr z&(QXrD$m#HvR$24S1n>-c4G22rvqP#!o$)>LezmTz;lnEEX&5yqB>10HL&59%^jd& z^0BV+yuAh)gBMm%T6@PP)qt~K#HNuCp4T1GmdjB`VP;6jEh%OYh z4rln$x36H~?%ye#{27RA4HRlmmYP@1oFdE?*IvZv`J6W#f7`92+6`I94KYnjFPWYJ z^|ukSIrH|LEwN)*fDVFMyk5XirHQq#P>;BjlWfSZaKZW1-g)Bf(;kTRLG#M|R`o!; z^2~a6TJ+vxD~W~qYh>yGIobN<7jb;M_st|cx_XO%6aN)_*#Vt1n*H&bMqjQrM$)fr zCCV=%iw84@Gfr|?JF#bJlFKD^*LJMl9N<WsV^UaZle6m~-4>rdnPrh~pP4$s5tD+tBb7iK$P+O}@fV`mFfGO@_sfeZiGL zY&+VPack%2>l^p(6)4Sb&0n_pU92cFsG=>oLAh0fX}}DVg^V}e2`B@L*uXNBRS7&`f2$wEwesTTmI0<7X_z3JG&mIdVHYjIgH#9z8w^l9 z;pqAb7Eco%OyhucbUHwGvP-Ahqipx$!RhL_`~~9Zl#_Ao*XgFiqsTy#RR?Zvg|AuD z5flXOK3V5|p5XVYk{`Ny)^i=~6_{exQZ^`@nQZ>jg z(7&ia>8xH~Vq`aspsaJ$q7!o~2>L102%SPo2{k17TxDKcT85sks zOB(Ix?=El!Sw<|>8mUzwlsV1cHyeyLFS-p^1x=XFbHb{#3F^-d_ZEbo3N`{ob~-~D z6B-It$x*f&xC$|FM4BSDhSA8YQnxjXN#-)vEJ>bItFI}^O|?MAzRmt7s(pw_>?&$n zOL$LY2c3x?h~QFz<=jYp9f(16c2W*sWRtxQACd0hLU0*dI<2TNWjRjUrGus1ZGhvu z$#8uccw10oYOy~~1O9Q|m~2cNayY<~dj}nn&(ph$sufI87MQeYj9aXSsrkb~ulsHq ztmu%I4xYwX^uj3d?ktiLBmR!4^l>#<(JSl|CaloRlw!)gmWII+T@}sXYz%{Y5+WJl zn%7nDap3Jl$b$x|8cz>S_l6JS?|s=zCLqqH!RIEuTN59VN|+gDoRy9S8M1F^Ls+Fa zP>uLM9Lf^c(1(4XG7avJm0q~u51jED&d7*KlcYXy_2?c3?6W+h=e|vaLwSIfiJ<|S z2Kq(MI#yQyPtHF>I8}LAdXXQgO=b7hM>i0qNXu1n>HJzik z&A1=V;BO#!&U#4B6pP9yUpt46EPU%T05xx{X#T#Dl4R*%c<^Gl3JqOIY*4Mw<{;l6 zD%4qUzCrJu@DUCqMhr|fSV3xZ1S`b^*Qr+f>5b2p5Tyhu2n_kF8KI)PF>8HpBJ6m! zL~KBw8qM(ZA|sJtVNKS4PMnWIH1O z-)ag~arcH?b*>}P>UqCBi^xa1o7va)bj6xbwHgqGNlRctDDga8smLUR58?D>oE|f; zd)s}ebi~qxaL{RRHf|d~rO7_3fTIU4e?!ydO@5qepW}%AH5Lphi?6GQG`*VZSQ=_( z_mT;H;u6MQHA>amoAe&+=IHGH;$NU(Sr>o)<|GlUOo&M6N?-H9&*r1)paVgxJE| z2A?zGgJXNZ2l4P_BMwmu!IlsUvn6?L5ctr?DP%o#^64hWrR<15 zvoCLKRp!G<@c6je<-Le{lr9x+6BP95!|sM3jgvrJI85A#r$3#OM_z`8-aG)TUMF;~I#LG47V%3vC@ zKq&SU&AX;8Sfqfe_7uib%^##0Y0MwQk2kH2>k`gdsMuys=;ZYiV=l7pt>mDHTrF*9 ziNdZ)%RapE9#ta=^bP{UMh13V`uIjjNCc1zYi5VGesTrQCqo|-P1*B4L?L%|Y}j#U z>yiNRQVajE{o&?rm~FNYf(f$#f=Q(iqA0F_E%gzrFA5ZjTHBOC1$flv^QMQGJQl0l zCTA!r;^9(h%OJ_hl>o}xmZ)<01 z{{M!epF`2d4|0}1jA;I=3Hy9eZ6tXxP{3g@ufk_;Z*l>)5? z(%DvBTrEc&rEPH|R!q$IE2I3Xq2_p?;6B4>qSS?VNV2^Q?1-W^GSIXQAhGH|3Hx%} zY(?ed>rbqT^VVkoYCqN#WGJQ=VN&D5E@el$7koI5zIWz}k}yo_aduuJ6|BJaTVWdX z0z=zqP@`7u@&{_Q(=Xf7WmT_p4nD2dUv(B15*vW=q8KHUBG|o&y-}D9xac{%Z8Pd3 z@-z0yf9aX(0g}UsK%!ldy1TtMZIk}74^i!=2Fqwi_b!pw?4%WDcTrjp2$@v!&MC_-;U<0Egt4_`k~!f zqg#(e)E7MGZs!*?<6jh(6zr=zECN46_0EdZ$m``D>4HUhiQ

0S6`g4MQgW55`Kz$iSB2@4oza{}<@lPVI?lS}g+H!14hI2>myjjl}P? z|K>dP%g4wlDG-1`egKEQ_zmHu{14)9Q-1Z%v(|{jmHCMQP-Xy&NPk1Ms{Dic$3=f5 ze{s~aM5xg#`f6~%rw!=b?@kw0drJJ9^oP>}+aGn(*+T3*rC7@p_m`^z$qyNBpR)zO2jhU(dPW-<(a0!3lus^5fn?+6* z9l&>&EQY7{q^ACX^Q@fhGDyMC08!xs^OVDy{|C;qdhVa8-s%GMtL$I>(kXtP!~CqC zogrf7*Z}sVz&*7`z2Xm?XZ0*PA4JLjEN7tl51eQ9oXAUO%?jX1{>vVL`af`<)$^bG zRo3(e&a-+3@8!W62Sj#90O*rB1F!8L&fhc8U(e6FX3j&t7d`4quP3Gy#1p7jMM>*Km{ z3=mMRCfJjXFc$n9@oZT4n)0)Li~|B%a(#*z;spJd70*WWtLPe0dBA!AhVbdUQat(( z;-7i*@7HGoIx-XL?QRJW5b(oOOJ2_YgZcTvJ{!yX7cfJw-R>8VR)eZl_KnPCI+OZz+4C7gq-b?a?dV2!_?G1oFv15w} z^51qmE7QgE&jWLSwI}V~-#HFHeVUr{4C7gy-XICiT>_@DIsoVQ#Y@ebIybZII7V|UUHS*}cjWwBl>hL~vy0Y9MNFcVXKCikf1>?x(zCQ* zvjz!&8U}b5yz(d74`2On(n?nUMEl{gXKBCYd;V_Q@Yxt2q;|qPqe?+|IhaCSIq*}xxYN>0Ac#;sQuG8 zray-OIK5%>Qu2!6*wOaBq~=O}oZwEDBNv@(Ch{W%Pt z=6?RfvB>@j_cIgpsZO4zNdBa$%l$+9C1LVu^QYN$KbvbQ{L}ntuH93{?;C$V2|z$l zT2Mg$+6H{u{P%s9Uz^YA{L=itc3qyf{{8IeudTHWe`)>mT&b)iIAE3p0(uSjR|Pmx L1Z(ownXCT=N02LV literal 0 HcmV?d00001 diff --git a/inc/saiacl.h b/inc/saiacl.h index b998f61706..ece8e6c2d4 100644 --- a/inc/saiacl.h +++ b/inc/saiacl.h @@ -272,7 +272,10 @@ typedef enum _sai_acl_action_type_t SAI_ACL_ACTION_TYPE_SET_ECMP_HASH_ID = 0x00000030, /** Associate with virtual router */ - SAI_ACL_ACTION_TYPE_SET_VRF = 0x00000031 + SAI_ACL_ACTION_TYPE_SET_VRF = 0x00000031, + + /** Set Forwarding class */ + SAI_ACL_ACTION_TYPE_SET_FORWARDING_CLASS = 0x00000034, } sai_acl_action_type_t; @@ -2922,10 +2925,19 @@ typedef enum _sai_acl_entry_attr_t */ SAI_ACL_ENTRY_ATTR_ACTION_SET_VRF = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x31, + /** + * @brief Set Forwarding Class + * + * @type sai_acl_action_data_t sai_uint8_t + * @flags CREATE_AND_SET + * @default disabled + */ + SAI_ACL_ENTRY_ATTR_ACTION_SET_FORWARDING_CLASS = SAI_ACL_ENTRY_ATTR_ACTION_START + 0x34, + /** * @brief End of Rule Actions */ - SAI_ACL_ENTRY_ATTR_ACTION_END = SAI_ACL_ENTRY_ATTR_ACTION_ADD_VLAN_PRI, + SAI_ACL_ENTRY_ATTR_ACTION_END = SAI_ACL_ENTRY_ATTR_ACTION_SET_FORWARDING_CLASS, /** * @brief End of ACL Entry attributes diff --git a/inc/sainexthopgroup.h b/inc/sainexthopgroup.h index 99c5a4aef2..a0782e3c30 100644 --- a/inc/sainexthopgroup.h +++ b/inc/sainexthopgroup.h @@ -53,6 +53,9 @@ typedef enum _sai_next_hop_group_type_t /** Next hop protection group. Contains primary and backup next hops. */ SAI_NEXT_HOP_GROUP_TYPE_PROTECTION, + /** Next hop group is class-based, with members selected by Forwarding class */ + SAI_NEXT_HOP_GROUP_TYPE_CLASS_BASED, + /* Other types of next hop group to be defined in the future, e.g., WCMP */ } sai_next_hop_group_type_t; @@ -151,7 +154,7 @@ typedef enum _sai_next_hop_group_attr_t * @type sai_uint32_t * @flags CREATE_ONLY * @default 0 - * @validonly SAI_NEXT_HOP_GROUP_ATTR_TYPE == SAI_NEXT_HOP_GROUP_TYPE_FINE_GRAIN_ECMP + * @validonly SAI_NEXT_HOP_GROUP_ATTR_TYPE == SAI_NEXT_HOP_GROUP_TYPE_FINE_GRAIN_ECMP or SAI_NEXT_HOP_GROUP_ATTR_TYPE == SAI_NEXT_HOP_GROUP_TYPE_CLASS_BASED * @isresourcetype true */ SAI_NEXT_HOP_GROUP_ATTR_CONFIGURED_SIZE, @@ -169,6 +172,18 @@ typedef enum _sai_next_hop_group_attr_t */ SAI_NEXT_HOP_GROUP_ATTR_REAL_SIZE, + /** + * @brief Next hop group selection map + * + * @type sai_object_id_t + * @flags CREATE_AND_SET + * @objects SAI_OBJECT_TYPE_NEXT_HOP_GROUP_MAP + * @allownull true + * @default SAI_NULL_OBJECT_ID + * @validonly SAI_NEXT_HOP_GROUP_ATTR_TYPE == SAI_NEXT_HOP_GROUP_TYPE_CLASS_BASED + */ + SAI_NEXT_HOP_GROUP_ATTR_SELECTION_MAP, + /** * @brief End of attributes */ @@ -203,7 +218,7 @@ typedef enum _sai_next_hop_group_member_attr_t * * @type sai_object_id_t * @flags MANDATORY_ON_CREATE | CREATE_AND_SET - * @objects SAI_OBJECT_TYPE_NEXT_HOP + * @objects SAI_OBJECT_TYPE_NEXT_HOP, SAI_OBJECT_TYPE_NEXT_HOP_GROUP */ SAI_NEXT_HOP_GROUP_MEMBER_ATTR_NEXT_HOP_ID, @@ -263,7 +278,8 @@ typedef enum _sai_next_hop_group_member_attr_t * * Index specifying the strict member's order. * Allowed value range for is from 0 to SAI_NEXT_HOP_GROUP_ATTR_REAL_SIZE - 1. - * Should only be used if the type of owning group is SAI_NEXT_HOP_GROUP_TYPE_FINE_GRAIN_ECMP. + * Should only be used if the type of owning group is SAI_NEXT_HOP_GROUP_TYPE_FINE_GRAIN_ECMP + * or SAI_NEXT_HOP_GROUP_TYPE_CLASS_BASED. * * @type sai_uint32_t * @flags CREATE_ONLY @@ -311,6 +327,50 @@ typedef enum _sai_next_hop_group_member_attr_t } sai_next_hop_group_member_attr_t; +typedef enum _sai_next_hop_group_map_type_t +{ + /** Next hop group map forwarding-class to index */ + SAI_NEXT_HOP_GROUP_MAP_TYPE_FORWARDING_CLASS_TO_INDEX + +} sai_next_hop_group_map_type_t; + +typedef enum _sai_next_hop_group_map_attr_t +{ + /** + * @brief Start of attributes + */ + SAI_NEXT_HOP_GROUP_MAP_ATTR_START, + + /** + * @brief Next hop group map type + * + * @type sai_next_hop_group_map_type_t + * @flags MANDATORY_ON_CREATE | CREATE_ONLY + */ + SAI_NEXT_HOP_GROUP_MAP_ATTR_TYPE = SAI_NEXT_HOP_GROUP_MAP_ATTR_START, + + /** + * @brief Next hop group entries associated with this map. + * + * @type sai_map_list_t + * @flags CREATE_AND_SET + * @default empty + */ + SAI_NEXT_HOP_GROUP_MAP_ATTR_MAP_TO_VALUE_LIST, + + /** + * @brief End of attributes + */ + SAI_NEXT_HOP_GROUP_MAP_ATTR_END, + + /** Custom range base value */ + SAI_NEXT_HOP_GROUP_MAP_ATTR_CUSTOM_RANGE_START = 0x10000000, + + /** End of custom range base */ + SAI_NEXT_HOP_GROUP_MAP_ATTR_CUSTOM_RANGE_END + +} sai_next_hop_group_map_attr_t; + /** * @brief Create next hop group * @@ -390,7 +450,7 @@ typedef sai_status_t (*sai_remove_next_hop_group_member_fn)( _In_ sai_object_id_t next_hop_group_member_id); /** - * @brief Set Next Hop Group attribute + * @brief Set Next Hop Group member attribute * * @param[in] next_hop_group_member_id Next hop group member ID * @param[in] attr Attribute @@ -402,7 +462,7 @@ typedef sai_status_t (*sai_set_next_hop_group_member_attribute_fn)( _In_ const sai_attribute_t *attr); /** - * @brief Get Next Hop Group attribute + * @brief Get Next Hop Group member attribute * * @param[in] next_hop_group_member_id Next hop group member ID * @param[in] attr_count Number of attributes @@ -415,6 +475,58 @@ typedef sai_status_t (*sai_get_next_hop_group_member_attribute_fn)( _In_ uint32_t attr_count, _Inout_ sai_attribute_t *attr_list); +/** + * @brief Create next hop group map + * + * @param[out] next_hop_group_map_id Next hop group map id + * @param[in] switch_id Switch ID + * @param[in] attr_count Number of attributes + * @param[in] attr_list Array of attributes + * + * @return #SAI_STATUS_SUCCESS on success, failure status code on error + */ +typedef sai_status_t (*sai_create_next_hop_group_map_fn)( + _Out_ sai_object_id_t *next_hop_group_map_id, + _In_ sai_object_id_t switch_id, + _In_ uint32_t attr_count, + _In_ const sai_attribute_t *attr_list); + +/** + * @brief Remove next hop group map + * + * @param[in] next_hop_group_map_id Next hop group map ID + * + * @return #SAI_STATUS_SUCCESS on success, failure status code on error + */ +typedef sai_status_t (*sai_remove_next_hop_group_map_fn)( + _In_ sai_object_id_t next_hop_group_map_id); + +/** + * @brief Set Next Hop Group map attribute + * + * @param[in] next_hop_group_map_id Next hop group map ID + * @param[in] attr Attribute + * + * @return #SAI_STATUS_SUCCESS on success, failure status code on error + */ +typedef sai_status_t (*sai_set_next_hop_group_map_attribute_fn)( + _In_ sai_object_id_t next_hop_group_map_id, + _In_ const sai_attribute_t *attr); + +/** + * @brief Get next hop group map attribute + * + * @param[in] next_hop_group_map_id Next hop group map ID + * @param[in] attr_count Number of attributes + * @param[inout] attr_list Array of attributes + * + * @return #SAI_STATUS_SUCCESS on success, failure status code on error + */ +typedef sai_status_t (*sai_get_next_hop_group_map_attribute_fn)( + _In_ sai_object_id_t next_hop_group_map_id, + _In_ uint32_t attr_count, + _Inout_ sai_attribute_t *attr_list); + /** * @brief Next Hop methods table retrieved with sai_api_query() */ @@ -430,6 +542,10 @@ typedef struct _sai_next_hop_group_api_t sai_get_next_hop_group_member_attribute_fn get_next_hop_group_member_attribute; sai_bulk_object_create_fn create_next_hop_group_members; sai_bulk_object_remove_fn remove_next_hop_group_members; + sai_create_next_hop_group_map_fn create_next_hop_group_map; + sai_remove_next_hop_group_map_fn remove_next_hop_group_map; + sai_set_next_hop_group_map_attribute_fn set_next_hop_group_map_attribute; + sai_get_next_hop_group_map_attribute_fn get_next_hop_group_map_attribute; } sai_next_hop_group_api_t; /** diff --git a/inc/saiport.h b/inc/saiport.h index e3e0420c3c..cd0ee73317 100644 --- a/inc/saiport.h +++ b/inc/saiport.h @@ -2004,6 +2004,34 @@ typedef enum _sai_port_attr_t */ SAI_PORT_ATTR_PRIORITY_FLOW_CONTROL_FORWARD, + /** + * @brief Enable DSCP -> Forwarding Class MAP on port + * + * Map id = #SAI_NULL_OBJECT_ID to disable map on port. + * Default no map. + * + * @type sai_object_id_t + * @flags CREATE_AND_SET + * @objects SAI_OBJECT_TYPE_QOS_MAP + * @allownull true + * @default SAI_NULL_OBJECT_ID + */ + SAI_PORT_ATTR_QOS_DSCP_TO_FORWARDING_CLASS_MAP, + + /** + * @brief Enable EXP -> Forwarding Class MAP on port + * + * Map id = #SAI_NULL_OBJECT_ID to disable map on port. + * Default no map. + * + * @type sai_object_id_t + * @flags CREATE_AND_SET + * @objects SAI_OBJECT_TYPE_QOS_MAP + * @allownull true + * @default SAI_NULL_OBJECT_ID + */ + SAI_PORT_ATTR_QOS_MPLS_EXP_TO_FORWARDING_CLASS_MAP, + /** * @brief End of attributes */ diff --git a/inc/saiqosmap.h b/inc/saiqosmap.h index d723f15468..5b5d867e4c 100644 --- a/inc/saiqosmap.h +++ b/inc/saiqosmap.h @@ -77,6 +77,12 @@ typedef enum _sai_qos_map_type_t /** QOS Map to set traffic class and color to EXP */ SAI_QOS_MAP_TYPE_TC_AND_COLOR_TO_MPLS_EXP = 0x0000000c, + /** QOS Map to set DSCP to Forwarding class */ + SAI_QOS_MAP_TYPE_DSCP_TO_FORWARDING_CLASS = 0x0000000d, + + /** QOS Map to set EXP to Forwarding class */ + SAI_QOS_MAP_TYPE_MPLS_EXP_TO_FORWARDING_CLASS = 0x0000000e, + /** Custom range base value */ SAI_QOS_MAP_TYPE_CUSTOM_RANGE_BASE = 0x10000000 diff --git a/inc/saiswitch.h b/inc/saiswitch.h index 362b838b7b..7d84985198 100644 --- a/inc/saiswitch.h +++ b/inc/saiswitch.h @@ -1590,7 +1590,7 @@ typedef enum _sai_switch_attr_t * @brief Enable DSCP -> TC MAP on switch. * * MAP id = #SAI_NULL_OBJECT_ID to disable map on switch. - * To enable/disable trust DSCP, Map ID should be added/removed on port. + * To enable/disable trust DSCP, Map ID should be added/removed on switch. * Default no map. * * @type sai_object_id_t @@ -2616,6 +2616,42 @@ typedef enum _sai_switch_attr_t */ SAI_SWITCH_ATTR_AVAILABLE_MY_MAC_ENTRIES, + /** + * @brief Maximum forwarding classes limit + * + * @type sai_uint8_t + * @flags READ_ONLY + */ + SAI_SWITCH_ATTR_MAX_NUMBER_OF_FORWARDING_CLASSES, + + /** + * @brief Enable DSCP -> Forwarding Class MAP on switch + * + * MAP id = #SAI_NULL_OBJECT_ID to disable map on switch. + * Default no map. + * + * @type sai_object_id_t + * @flags CREATE_AND_SET + * @objects SAI_OBJECT_TYPE_QOS_MAP + * @allownull true + * @default SAI_NULL_OBJECT_ID + */ + SAI_SWITCH_ATTR_QOS_DSCP_TO_FORWARDING_CLASS_MAP, + + /** + * @brief Enable EXP -> Forwarding Class MAP on switch + * + * MAP id = #SAI_NULL_OBJECT_ID to disable map on switch. + * Default no map. + * + * @type sai_object_id_t + * @flags CREATE_AND_SET + * @objects SAI_OBJECT_TYPE_QOS_MAP + * @allownull true + * @default SAI_NULL_OBJECT_ID + */ + SAI_SWITCH_ATTR_QOS_MPLS_EXP_TO_FORWARDING_CLASS_MAP, + /** * @brief End of attributes */ diff --git a/inc/saitypes.h b/inc/saitypes.h index 782a790b8a..beba5e3b44 100644 --- a/inc/saitypes.h +++ b/inc/saitypes.h @@ -280,6 +280,7 @@ typedef enum _sai_object_type_t SAI_OBJECT_TYPE_SWITCH_TUNNEL = 95, SAI_OBJECT_TYPE_MY_SID_ENTRY = 96, SAI_OBJECT_TYPE_MY_MAC = 97, + SAI_OBJECT_TYPE_NEXT_HOP_GROUP_MAP = 98, SAI_OBJECT_TYPE_MAX, /* Must remain in last position */ } sai_object_type_t; @@ -653,6 +654,7 @@ typedef enum _sai_packet_color_t * dot1p/DSCP/MPLS_EXP --> TC * dot1p/DSCP/MPLS_EXP --> Color * dot1p/DSCP/MPLS_EXP --> TC + Color + * DSCP/MPLS_EXP --> FC * TC --> dot1p/DSCP/MPLS_EXP. * TC + color --> dot1p/DSCP/MPLS_EXP. * TC --> Egress Queue. @@ -686,6 +688,9 @@ typedef struct _sai_qos_map_params_t /** MPLS exp value */ sai_uint8_t mpls_exp; + /** Forwarding class */ + sai_uint8_t fc; + } sai_qos_map_params_t; typedef struct _sai_qos_map_t diff --git a/meta/checkheaders.pl b/meta/checkheaders.pl index 16138d279b..592f68733e 100755 --- a/meta/checkheaders.pl +++ b/meta/checkheaders.pl @@ -190,6 +190,8 @@ sub CheckHash next if $key eq "SAI_ACL_TABLE_ATTR_FIELD_END"; next if $key eq "SAI_ACL_ENTRY_ATTR_FIELD_END"; next if $key eq "SAI_ACL_ENTRY_ATTR_ACTION_END"; + next if $key eq "SAI_OBJECT_TYPE_MAX"; + next if $key eq "SAI_API_MAX"; # NOTE: some other attributes/enum with END range could be added } From bfbfa1161b7acb7c86aa6c1b8e4741c5353e817d Mon Sep 17 00:00:00 2001 From: Kamil Cudnik Date: Fri, 27 Aug 2021 19:20:57 +0200 Subject: [PATCH 08/11] [tests] Add missing lib -lzmq to saithrift (#1298) --- test/saithrift/Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/saithrift/Makefile b/test/saithrift/Makefile index 57a5e8193b..cbe6f4823f 100644 --- a/test/saithrift/Makefile +++ b/test/saithrift/Makefile @@ -35,7 +35,7 @@ CTYPESGEN = /usr/local/bin/ctypesgen.py endif LIBS = -lthrift -lpthread ifeq ($(platform),vs) -LIBS += -lsaivs -lsaimeta -lsaimetadata +LIBS += -lsaivs -lsaimeta -lsaimetadata -lzmq else LIBS += -lsai endif From 29ae8aaa757ea97235804e87708ae82c81fa69b2 Mon Sep 17 00:00:00 2001 From: Kamil Cudnik Date: Sat, 28 Aug 2021 14:59:22 +0200 Subject: [PATCH 09/11] [meta] Make sure SAI version components are unsigned integers (#1300) --- meta/Makefile | 2 +- meta/saisanitycheck.c | 12 +++++++++--- 2 files changed, 10 insertions(+), 4 deletions(-) diff --git a/meta/Makefile b/meta/Makefile index 4ddae4ef0b..e71b990147 100644 --- a/meta/Makefile +++ b/meta/Makefile @@ -138,7 +138,7 @@ saidepgraph.svg: saidepgraph.gv .PHONY: clean clean: - rm -f *.o *~ .*~ *.tmp .*.swp .*.swo *.bak sai*.gv sai*.svg *.o.symbols + rm -f *.o *~ .*~ *.tmp .*.swp .*.swo *.bak sai*.gv sai*.svg *.o.symbols doxygen*.db rm -f saimetadata.h saimetadata.c saimetadatatest.c rm -f saisanitycheck saimetadatatest saiserializetest saidepgraphgen rm -rf xml html dist temp diff --git a/meta/saisanitycheck.c b/meta/saisanitycheck.c index eeb0aa68ed..49d3cbb7c3 100644 --- a/meta/saisanitycheck.c +++ b/meta/saisanitycheck.c @@ -5220,9 +5220,15 @@ void check_sai_version() /* SAI_VERSION uses 100 base for each component, so each define must not exceed this value */ - META_ASSERT_TRUE((SAI_MAJOR) >= 0 && (SAI_MAJOR) < 100, "invalid SAI_MAJOR version: %d", (SAI_MAJOR)); - META_ASSERT_TRUE((SAI_MINOR) >= 0 && (SAI_MINOR) < 100, "invalid SAI_MINOR version: %d", (SAI_MINOR)); - META_ASSERT_TRUE((SAI_REVISION) >= 0 && (SAI_REVISION) < 100, "invalid SAI_REVISION version: %d", (SAI_REVISION)); + /* Make sure sai version components are assignable to uint32_t */ + + uint32_t major = SAI_MAJOR; + uint32_t minor = SAI_MINOR; + uint32_t revision = SAI_REVISION; + + META_ASSERT_TRUE((major) < 100, "invalid SAI_MAJOR version: %d", (SAI_MAJOR)); + META_ASSERT_TRUE((minor) < 100, "invalid SAI_MINOR version: %d", (SAI_MINOR)); + META_ASSERT_TRUE((revision) < 100, "invalid SAI_REVISION version: %d", (SAI_REVISION)); } void check_max_conditions_len() From bc8668023dcab718600bb46f2eef57fa6f9c2293 Mon Sep 17 00:00:00 2001 From: Kamil Cudnik Date: Sat, 28 Aug 2021 15:12:44 +0200 Subject: [PATCH 10/11] [meta] Add lower case notification names (#1301) --- meta/parse.pl | 24 ++++++++++++++++++++++++ 1 file changed, 24 insertions(+) diff --git a/meta/parse.pl b/meta/parse.pl index ec41ccdc2f..0c3d331dda 100755 --- a/meta/parse.pl +++ b/meta/parse.pl @@ -3994,6 +3994,28 @@ sub CreateNotificationEnum CreateEnumHelperMethod("sai_switch_notification_type_t"); } +sub CreateNotificationNames +{ + # + # create notification names to have string representation + # + + WriteSectionComment "SAI notifications names"; + + for my $name (sort keys %NOTIFICATIONS) + { + if (not $name =~ /^sai_(\w+)_notification_fn/) + { + LogWarning "notification function '$name' is not ending on _notification_fn"; + next; + } + + $name = uc $1; + + WriteHeader "#define SAI_SWITCH_NOTIFICATION_NAME_$name \"$1\""; + } +} + sub CreateSwitchNotificationAttributesList { # @@ -4605,6 +4627,8 @@ sub CreateDefineMaxConditionsLen CreateNotificationEnum(); +CreateNotificationNames(); + CreateSwitchNotificationAttributesList(); CreateSwitchPointersStruct(); From 170aeb9aa0eda720439f66dacc8e677b771317bb Mon Sep 17 00:00:00 2001 From: Kamil Cudnik Date: Thu, 2 Sep 2021 12:29:13 +0200 Subject: [PATCH 11/11] [meta] Force non space before equal sign and remove zero initializers (#1304) --- inc/saibfd.h | 4 ++-- inc/saiipmcgroup.h | 2 +- inc/saiisolationgroup.h | 2 +- inc/sail2mcgroup.h | 2 +- inc/saimirror.h | 2 +- inc/sainat.h | 4 ++-- inc/sainexthopgroup.h | 2 +- inc/sairpfgroup.h | 2 +- inc/saitam.h | 6 +++--- meta/style.pm | 5 +++++ 10 files changed, 18 insertions(+), 13 deletions(-) diff --git a/inc/saibfd.h b/inc/saibfd.h index ff10f5ae3e..4df055e6b6 100644 --- a/inc/saibfd.h +++ b/inc/saibfd.h @@ -39,7 +39,7 @@ typedef enum _sai_bfd_session_type_t { /** Demand Active Mode */ - SAI_BFD_SESSION_TYPE_DEMAND_ACTIVE = 0, + SAI_BFD_SESSION_TYPE_DEMAND_ACTIVE, /** Demand Passive Mode */ SAI_BFD_SESSION_TYPE_DEMAND_PASSIVE, @@ -58,7 +58,7 @@ typedef enum _sai_bfd_session_type_t typedef enum _sai_bfd_session_offload_type_t { /** No Offload: No offload supported */ - SAI_BFD_SESSION_OFFLOAD_TYPE_NONE = 0, + SAI_BFD_SESSION_OFFLOAD_TYPE_NONE, /** Full Offload: both session establishment and sustenance */ SAI_BFD_SESSION_OFFLOAD_TYPE_FULL, diff --git a/inc/saiipmcgroup.h b/inc/saiipmcgroup.h index 9272f80c56..7cd90705a4 100644 --- a/inc/saiipmcgroup.h +++ b/inc/saiipmcgroup.h @@ -104,7 +104,7 @@ typedef enum _sai_ipmc_group_member_attr_t SAI_IPMC_GROUP_MEMBER_ATTR_END, /** Custom range base value */ - SAI_IPMC_GROUP_MEMBER_ATTR_CUSTOM_RANGE_START = 0x10000000, + SAI_IPMC_GROUP_MEMBER_ATTR_CUSTOM_RANGE_START = 0x10000000, /** End of custom range base */ SAI_IPMC_GROUP_MEMBER_ATTR_CUSTOM_RANGE_END diff --git a/inc/saiisolationgroup.h b/inc/saiisolationgroup.h index 9def541a1d..da30e351d1 100644 --- a/inc/saiisolationgroup.h +++ b/inc/saiisolationgroup.h @@ -122,7 +122,7 @@ typedef enum _sai_isolation_group_member_attr_t SAI_ISOLATION_GROUP_MEMBER_ATTR_END, /** Custom range base value */ - SAI_ISOLATION_GROUP_MEMBER_ATTR_CUSTOM_RANGE_START = 0x10000000, + SAI_ISOLATION_GROUP_MEMBER_ATTR_CUSTOM_RANGE_START = 0x10000000, /** End of custom range base */ SAI_ISOLATION_GROUP_MEMBER_ATTR_CUSTOM_RANGE_END diff --git a/inc/sail2mcgroup.h b/inc/sail2mcgroup.h index 021b25f9a3..d96b6210c3 100644 --- a/inc/sail2mcgroup.h +++ b/inc/sail2mcgroup.h @@ -114,7 +114,7 @@ typedef enum _sai_l2mc_group_member_attr_t SAI_L2MC_GROUP_MEMBER_ATTR_END, /** Custom range base value */ - SAI_L2MC_GROUP_MEMBER_ATTR_CUSTOM_RANGE_START = 0x10000000, + SAI_L2MC_GROUP_MEMBER_ATTR_CUSTOM_RANGE_START = 0x10000000, /** End of custom range base */ SAI_L2MC_GROUP_MEMBER_ATTR_CUSTOM_RANGE_END diff --git a/inc/saimirror.h b/inc/saimirror.h index d3fb10f37f..3901ae477c 100644 --- a/inc/saimirror.h +++ b/inc/saimirror.h @@ -39,7 +39,7 @@ typedef enum _sai_mirror_session_type_t { /** Local SPAN */ - SAI_MIRROR_SESSION_TYPE_LOCAL = 0, + SAI_MIRROR_SESSION_TYPE_LOCAL, /** Remote SPAN */ SAI_MIRROR_SESSION_TYPE_REMOTE, diff --git a/inc/sainat.h b/inc/sainat.h index a05e61ebe5..b2ad864d72 100644 --- a/inc/sainat.h +++ b/inc/sainat.h @@ -72,7 +72,7 @@ typedef enum _sai_nat_entry_attr_t * @flags CREATE_AND_SET * @default SAI_NAT_TYPE_NONE */ - SAI_NAT_ENTRY_ATTR_NAT_TYPE = SAI_NAT_ENTRY_ATTR_START, + SAI_NAT_ENTRY_ATTR_NAT_TYPE = SAI_NAT_ENTRY_ATTR_START, /** * @brief Replace source IPv4 address in packet. @@ -493,7 +493,7 @@ typedef enum _sai_nat_zone_counter_attr_t * @flags CREATE_AND_SET * @default SAI_NAT_TYPE_NONE */ - SAI_NAT_ZONE_COUNTER_ATTR_NAT_TYPE = SAI_NAT_ZONE_COUNTER_ATTR_START, + SAI_NAT_ZONE_COUNTER_ATTR_NAT_TYPE = SAI_NAT_ZONE_COUNTER_ATTR_START, /** * @brief NAT Zone ID diff --git a/inc/sainexthopgroup.h b/inc/sainexthopgroup.h index a0782e3c30..a7179b7431 100644 --- a/inc/sainexthopgroup.h +++ b/inc/sainexthopgroup.h @@ -320,7 +320,7 @@ typedef enum _sai_next_hop_group_member_attr_t SAI_NEXT_HOP_GROUP_MEMBER_ATTR_END, /** Custom range base value */ - SAI_NEXT_HOP_GROUP_MEMBER_ATTR_CUSTOM_RANGE_START = 0x10000000, + SAI_NEXT_HOP_GROUP_MEMBER_ATTR_CUSTOM_RANGE_START = 0x10000000, /** End of custom range base */ SAI_NEXT_HOP_GROUP_MEMBER_ATTR_CUSTOM_RANGE_END diff --git a/inc/sairpfgroup.h b/inc/sairpfgroup.h index 636f26ac93..ec3a6fcd95 100644 --- a/inc/sairpfgroup.h +++ b/inc/sairpfgroup.h @@ -104,7 +104,7 @@ typedef enum _sai_rpf_group_member_attr_t SAI_RPF_GROUP_MEMBER_ATTR_END, /** Custom range base value */ - SAI_RPF_GROUP_MEMBER_ATTR_CUSTOM_RANGE_START = 0x10000000, + SAI_RPF_GROUP_MEMBER_ATTR_CUSTOM_RANGE_START = 0x10000000, /** End of custom range base */ SAI_RPF_GROUP_MEMBER_ATTR_CUSTOM_RANGE_END diff --git a/inc/saitam.h b/inc/saitam.h index d7054b1a36..8d09dfbd22 100644 --- a/inc/saitam.h +++ b/inc/saitam.h @@ -274,7 +274,7 @@ typedef enum _sai_tam_event_threshold_unit_t /** * @brief Event threshold unit nanosecond */ - SAI_TAM_EVENT_THRESHOLD_UNIT_NANOSEC = 0, + SAI_TAM_EVENT_THRESHOLD_UNIT_NANOSEC, /** * @brief Event threshold unit micro second @@ -1168,7 +1168,7 @@ typedef enum _sai_tam_report_type_t typedef enum _sai_tam_report_mode_t { /** Report all events */ - SAI_TAM_REPORT_MODE_ALL = 0, + SAI_TAM_REPORT_MODE_ALL, /** Report in a bulk mode */ SAI_TAM_REPORT_MODE_BULK, @@ -1331,7 +1331,7 @@ typedef enum _sai_tam_reporting_unit_t /** * @brief Report Unit second */ - SAI_TAM_REPORTING_UNIT_SEC = 0, + SAI_TAM_REPORTING_UNIT_SEC, /** * @brief Report unit minute diff --git a/meta/style.pm b/meta/style.pm index 90a631160d..c7d0a1fcdb 100644 --- a/meta/style.pm +++ b/meta/style.pm @@ -1037,6 +1037,11 @@ sub CheckHeadersStyle } } + if ($line =~ /SAI_\w+ \s+=\s+(0x|S)/) + { + LogWarning "too many spaces before '=' $header:$n: $line" + } + if ($line =~ /__/ and not $line =~ /^#.+__SAI\w*_H_|VA_ARGS|BOOL_DEFINED/) { LogWarning "double underscore detected: $header $n: $line";