{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"SpinalHDL","owner":"SpinalHDL","isFork":false,"description":"Scala based HDL","topicNames":["fpga","rtl","scala","vhdl","verilog"],"topicsNotShown":0,"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":21,"issueCount":99,"starsCount":1531,"forksCount":298,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-08T17:58:56.790Z"}},{"type":"Public","name":"NaxRiscv","owner":"SpinalHDL","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":44,"starsCount":227,"forksCount":35,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-25T14:04:58.595Z"}},{"type":"Public","name":"SpinalWorkshop","owner":"SpinalHDL","isFork":false,"description":"Labs to learn SpinalHDL","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":5,"starsCount":127,"forksCount":40,"license":null,"participation":[0,0,1,3,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,4,0,0,0,0,0,0,0,2,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,4,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-19T22:26:48.983Z"}},{"type":"Public","name":"VexiiRiscv","owner":"SpinalHDL","isFork":false,"description":"Like VexRiscv, but, Harder, Better, Faster, Stronger","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":4,"starsCount":42,"forksCount":5,"license":"MIT License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,12,20,50,56,27,25,10,14,30,43,30,30,29,35,22,3,8,25,19,10,10,15,5,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-07T13:38:54.372Z"}},{"type":"Public","name":"SaxonSoc","owner":"SpinalHDL","isFork":false,"description":"SoC based on VexRiscv and ICE40 UP5K","topicNames":["riscv","rtl","soc"],"topicsNotShown":0,"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":15,"starsCount":141,"forksCount":39,"license":"MIT License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,1,0,0,0,0,2,0,0,0,0,1,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-04T08:50:31.072Z"}},{"type":"Public template","name":"SpinalTemplateSbt","owner":"SpinalHDL","isFork":false,"description":"A basic SpinalHDL project","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":4,"issueCount":5,"starsCount":69,"forksCount":58,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,2,0,0,1,0,0,0,0,0,0,0,0,0,0,1,2,0,0,0,0,0,0,0,0,1,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-19T02:42:44.678Z"}},{"type":"Public","name":"SpinalTemplateSbtDependencies","owner":"SpinalHDL","isFork":false,"description":"An SpinalHDL project example which use VexRiscv git as a dependency","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-04T09:11:54.445Z"}},{"type":"Public","name":"SpinalTemplateGradle","owner":"SpinalHDL","isFork":false,"description":"A basic SpinalHDL project, configured with Gradle instead of SBT","topicNames":["spinalhdl"],"topicsNotShown":0,"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":1,"issueCount":1,"starsCount":3,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-07-05T09:58:31.968Z"}},{"type":"Public","name":"SpinalCrypto","owner":"SpinalHDL","isFork":false,"description":"SpinalHDL - Cryptography libraries","topicNames":["cryptography","scala","crypto","fpga","aes","vhdl","md5","sha","rtl","verilog"],"topicsNotShown":4,"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":2,"starsCount":46,"forksCount":19,"license":"MIT License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-10-30T22:30:48.913Z"}},{"type":"Public","name":"SpinalTemplateMill","owner":"SpinalHDL","isFork":true,"description":"A simple SpinalHDL demo project based on Mill","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-06-12T10:00:40.622Z"}},{"type":"Public","name":"SpinalBaseProject-OLD-","owner":"SpinalHDL","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":10,"forksCount":5,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2017-12-18T15:44:54.454Z"}}],"repositoryCount":11,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"mirror","text":"Mirrors"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}