{"payload":{"pageCount":3,"repositories":[{"type":"Public","name":"verilog-axis","owner":"dau-dev","isFork":true,"description":"Verilog AXI stream components for FPGA implementation","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":211,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:34:16.106Z"}},{"type":"Public","name":"litex-acorn-baseboard","owner":"dau-dev","isFork":true,"description":"LiteX development baseboards arround the SQRL Acorn. ","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":8,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:34:12.560Z"}},{"type":"Public","name":"vivado-library","owner":"dau-dev","isFork":true,"description":"","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":340,"license":"MIT License","participation":[0,0,0,0,0,0,2,0,1,0,0,0,0,0,1,3,2,0,2,0,0,0,0,1,0,0,0,0,0,3,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:34:10.938Z"}},{"type":"Public","name":"dma_ip_drivers","owner":"dau-dev","isFork":true,"description":"Xilinx QDMA IP Drivers ","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":397,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,3,1,0,0,0,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1,0,0,0,0,1,0,1,0,0,0,1,1,2,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:34:07.360Z"}},{"type":"Public","name":"hls4ml","owner":"dau-dev","isFork":true,"description":"Machine learning on FPGAs using HLS","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":383,"license":"Apache License 2.0","participation":[6,4,2,10,0,0,14,6,15,8,6,4,0,3,9,8,5,2,11,12,26,1,4,13,18,11,1,1,1,0,5,9,5,8,7,3,2,0,0,2,4,5,26,3,8,0,0,0,1,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:34:05.191Z"}},{"type":"Public","name":"demo-projects","owner":"dau-dev","isFork":true,"description":"Demo projects for various Kintex FPGA boards","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":15,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:34:01.059Z"}},{"type":"Public","name":"verible","owner":"dau-dev","isFork":true,"description":"Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":195,"license":"Other","participation":[2,6,15,12,4,12,4,4,2,0,0,0,9,1,0,2,3,5,0,0,2,0,0,0,14,25,7,4,1,0,1,37,20,18,19,12,19,13,1,6,4,2,12,5,0,5,0,0,9,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:59.516Z"}},{"type":"Public","name":"bender","owner":"dau-dev","isFork":true,"description":"A dependency management tool for hardware projects.","allTopics":[],"primaryLanguage":{"name":"Rust","color":"#dea584"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":30,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:57.220Z"}},{"type":"Public","name":"common_cells","owner":"dau-dev","isFork":true,"description":"Common SystemVerilog components","allTopics":[],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":135,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:55.354Z"}},{"type":"Public","name":"verilator","owner":"dau-dev","isFork":true,"description":"Verilator open-source SystemVerilog simulator and lint system","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":553,"license":"GNU Lesser General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:51.000Z"}},{"type":"Public","name":"prjxray","owner":"dau-dev","isFork":true,"description":"Documenting the Xilinx 7-series bit-stream format.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":150,"license":"ISC License","participation":[2,2,2,1,2,3,2,3,0,0,0,2,0,1,2,3,3,1,2,2,1,0,1,1,3,2,1,4,0,2,2,3,3,0,1,2,1,3,2,3,2,1,2,3,1,4,3,0,2,1,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:48.287Z"}},{"type":"Public","name":"RapidWright","owner":"dau-dev","isFork":true,"description":"Build Customized FPGA Implementations for Vivado","allTopics":[],"primaryLanguage":{"name":"Java","color":"#b07219"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":103,"license":"Other","participation":[6,7,8,14,10,0,4,4,8,2,8,6,8,3,9,5,10,7,2,10,8,9,7,12,3,6,2,2,22,5,2,3,3,0,0,1,0,0,0,4,15,0,0,1,1,0,0,1,1,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:47.419Z"}},{"type":"Public","name":"yosys","owner":"dau-dev","isFork":true,"description":"Yosys Open SYnthesis Suite","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":858,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:45.431Z"}},{"type":"Public","name":"UHDM","owner":"dau-dev","isFork":true,"description":"Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, Visitor and Listener. Used as a compiled interchange format in between SystemVerilog tools. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":38,"license":"Apache License 2.0","participation":[7,5,14,8,9,9,2,3,5,22,6,7,12,20,8,6,6,2,6,7,2,0,0,4,20,6,0,0,4,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:41.760Z"}},{"type":"Public","name":"liteiclink","owner":"dau-dev","isFork":true,"description":"Small footprint and configurable Inter-Chip communication cores","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":21,"license":"Other","participation":[0,1,0,1,0,3,0,0,0,0,0,0,1,0,5,0,0,0,0,2,9,1,1,18,0,8,1,1,0,1,1,2,7,3,2,12,0,0,0,0,0,0,0,0,0,0,1,0,1,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:38.009Z"}},{"type":"Public","name":"liteeth","owner":"dau-dev","isFork":true,"description":"Small footprint and configurable Ethernet core","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":82,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:36.518Z"}},{"type":"Public","name":"cocotb","owner":"dau-dev","isFork":true,"description":"cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":488,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:33.872Z"}},{"type":"Public","name":"amaranth-soc","owner":"dau-dev","isFork":true,"description":"System on Chip toolkit for Amaranth HDL","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":25,"license":"BSD 2-Clause \"Simplified\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:31.202Z"}},{"type":"Public","name":"amaranth","owner":"dau-dev","isFork":true,"description":"A modern hardware definition language and toolchain based on Python","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":168,"license":"BSD 2-Clause \"Simplified\" License","participation":[6,3,2,6,11,0,5,4,6,14,11,3,0,9,5,3,6,4,2,0,2,4,22,7,8,2,0,14,7,12,5,16,15,36,3,25,11,13,19,17,21,41,13,0,5,11,3,6,5,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:28.817Z"}},{"type":"Public","name":"verilog-pcie","owner":"dau-dev","isFork":true,"description":"Verilog PCI express components","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":270,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:24.554Z"}},{"type":"Public","name":"openFPGALoader","owner":"dau-dev","isFork":true,"description":"Universal utility for programming FPGA","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":231,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:19.903Z"}},{"type":"Public","name":"amaranth-boards","owner":"dau-dev","isFork":true,"description":"Board definitions for Amaranth HDL","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":106,"license":"BSD 2-Clause \"Simplified\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:16.510Z"}},{"type":"Public","name":"litex-boards","owner":"dau-dev","isFork":true,"description":"LiteX boards files","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":275,"license":"BSD 2-Clause \"Simplified\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:33:14.633Z"}},{"type":"Public","name":"litex","owner":"dau-dev","isFork":true,"description":"Build your hardware, easily!","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":535,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:32:58.374Z"}},{"type":"Public","name":"PYNQ","owner":"dau-dev","isFork":true,"description":"Python Productivity for ZYNQ","allTopics":[],"primaryLanguage":{"name":"Jupyter Notebook","color":"#DA5B0B"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":805,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:32:54.250Z"}},{"type":"Public","name":"Surelog","owner":"dau-dev","isFork":true,"description":"SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX ","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":67,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:32:52.371Z"}},{"type":"Public","name":"migen","owner":"dau-dev","isFork":true,"description":"A Python toolbox for building complex digital hardware","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":210,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:32:43.752Z"}},{"type":"Public","name":"litesdcard","owner":"dau-dev","isFork":true,"description":"Small footprint and configurable SDCard core","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":33,"license":"Other","participation":[0,0,0,0,6,0,0,0,0,0,0,0,0,1,1,0,0,0,0,2,0,0,0,0,0,2,0,1,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,3,1,3,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:31:43.745Z"}},{"type":"Public","name":"litepcie","owner":"dau-dev","isFork":true,"description":"Small footprint and configurable PCIe core","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":109,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T18:31:34.278Z"}},{"type":"Public","name":"tools","owner":"dau-dev","isFork":false,"description":"Software Tools","allTopics":[],"primaryLanguage":{"name":"Makefile","color":"#427819"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-13T01:37:38.721Z"}}],"repositoryCount":66,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}