{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"Market.Data.Filter","owner":"fpganow","isFork":false,"description":"Filters Normalized Market Data Messages using a WatchList","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-17T02:40:02.851Z"}},{"type":"Public","name":"now_utils","owner":"fpganow","isFork":false,"description":"FPGA Now Utilities and Scripts","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-02T21:32:47.521Z"}},{"type":"Public","name":"cboe_pitch","owner":"fpganow","isFork":false,"description":"Python Parser and Creator of CBOE PITCH Messages","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-26T22:46:03.082Z"}},{"type":"Public","name":"Market.Data.Bats.Parser","owner":"fpganow","isFork":false,"description":"CBOE/BATS Pitch Parser in LabVIEW FPGA","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-22T03:34:14.925Z"}},{"type":"Public","name":"Market.Data.Common","owner":"fpganow","isFork":false,"description":"Set of Utilities / Common vi's for Market Data Related Projects","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"LabVIEW","color":"#fede06"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-22T02:02:37.438Z"}},{"type":"Public","name":"LabVIEW_Fpga","owner":"fpganow","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"VHDL","color":"#adb2cb"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-05T00:14:08.797Z"}},{"type":"Public","name":"xilinx_dpi_bug","owner":"fpganow","isFork":false,"description":"Repo to replicate compile issue with Vivado compilation of DPI on Windows 11","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-12-10T01:06:02.955Z"}},{"type":"Public","name":"cboe_pitch_lv_fpga","owner":"fpganow","isFork":false,"description":"LabVIEW FPGA Implementation of a CBOE (Formerly BATS) Pitch Parser","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"LabVIEW","color":"#fede06"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-20T11:44:07.619Z"}},{"type":"Public","name":"ip_export_uart","owner":"fpganow","isFork":false,"description":"How to use LabVIEW FPGA (via IP Export) to write a UART tranceiver and export to a Vivado design","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-17T01:58:37.037Z"}},{"type":"Public","name":"labview_ip_node","owner":"fpganow","isFork":false,"description":"Examples of Using the LabVIEW IP Integration Node","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"LabVIEW","color":"#fede06"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-05-14T00:47:01.790Z"}},{"type":"Public","name":"OrderBook","owner":"fpganow","isFork":false,"description":"Parse and Normalize BATS Multicast PITCH data using LabVIEW FPGA and the Arty Artix-7 A100T Board","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"VHDL","color":"#adb2cb"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-01-17T00:11:04.052Z"}},{"type":"Public","name":"keccak","owner":"fpganow","isFork":false,"description":"LabVIEW FPGA Implementation of Keccak","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"LabVIEW","color":"#fede06"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-07-10T15:52:33.232Z"}},{"type":"Public","name":"black.box","owner":"fpganow","isFork":false,"description":"Repository to Reproduce Some Black Box Error using LabVIEW FPGA IP Export Utility.","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Tcl","color":"#e4cc98"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-02-19T14:41:10.520Z"}},{"type":"Public","name":"arty_udp","owner":"fpganow","isFork":false,"description":"LabVIEW Fpga Udp/Ip on the Arty Artix-7 FPGA Development Board","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"LabVIEW","color":"#fede06"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-02-16T19:52:30.882Z"}},{"type":"Public","name":"vivado_scm","owner":"fpganow","isFork":false,"description":"How to use Xilinx Vivado and git for source code control","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Tcl","color":"#e4cc98"},"pullRequestCount":0,"issueCount":0,"starsCount":3,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-02-15T19:22:20.903Z"}},{"type":"Public","name":"Blink_LEDS","owner":"fpganow","isFork":false,"description":"Blink LEDs using NI LabVIEW FPGA IP Export Utility on a Zynqberry","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Tcl","color":"#e4cc98"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-09-26T19:02:27.326Z"}},{"type":"Public","name":"IPExport_Simple","owner":"fpganow","isFork":false,"description":"Simple Examples of using NI LabVIEW FPGA IP Export","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"LabVIEW","color":"#fede06"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-08-11T14:50:39.127Z"}},{"type":"Public","name":"FIXParser","owner":"fpganow","isFork":false,"description":"Parse FIX Messages inside an FPGA","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"LabVIEW","color":"#fede06"},"pullRequestCount":0,"issueCount":0,"starsCount":7,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-08-11T01:02:05.837Z"}},{"type":"Public","name":"FIX","owner":"fpganow","isFork":false,"description":"FIX Message Creation and Parsing on an FPGA","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":3,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-07-19T17:09:13.909Z"}},{"type":"Public","name":"Itch","owner":"fpganow","isFork":false,"description":"Create and Parse NASDAQ Itch Messages in Python","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":14,"forksCount":12,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-07-13T21:59:41.574Z"}},{"type":"Public","name":"MicroBlaze_lwIP","owner":"fpganow","isFork":false,"description":"LabVIEW FPGA + MicroBlaze + lwIP","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"LabVIEW","color":"#fede06"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":3,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2019-04-11T11:56:54.748Z"}},{"type":"Public","name":"LabVIEW_Scripts","owner":"fpganow","isFork":false,"description":"Scripts to Change Bitstream Files in lvbitx","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":1,"starsCount":7,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2018-12-31T03:02:57.275Z"}},{"type":"Public","name":"CryptoCurrencies","owner":"fpganow","isFork":false,"description":"LabVIEW FPGA Framework for Implementing Cryptomining Algorithms","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"LabVIEW","color":"#fede06"},"pullRequestCount":0,"issueCount":1,"starsCount":18,"forksCount":12,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2018-07-22T02:01:41.822Z"}},{"type":"Public","name":"lwip","owner":"fpganow","isFork":false,"description":"","topicNames":["fpga","labview","lwip"],"topicsNotShown":0,"allTopics":["fpga","labview","lwip"],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2018-06-06T12:54:33.574Z"}},{"type":"Public","name":"MicroBlaze_UART","owner":"fpganow","isFork":false,"description":"How to use LabVIEW FPGA with a MicroBlaze soft-core processor and to communicate via a UART.","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"LabVIEW","color":"#fede06"},"pullRequestCount":0,"issueCount":0,"starsCount":10,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2018-05-13T19:54:32.642Z"}},{"type":"Public","name":"MarketData","owner":"fpganow","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"LabVIEW","color":"#fede06"},"pullRequestCount":0,"issueCount":0,"starsCount":16,"forksCount":8,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2018-04-12T03:45:27.289Z"}},{"type":"Public","name":"artix7-35t","owner":"fpganow","isFork":false,"description":"Code Samples for the Artix-7 35T Evaluation Board by Digilent","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2018-01-29T19:15:43.390Z"}}],"repositoryCount":27,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}