{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"prflow_REFINE","owner":"icgrp","isFork":false,"description":"REFINE: Runtime Execution Feedback for INcremental Evolution on FPGA Designs (FPGA 2024)","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":0,"license":null,"participation":[0,0,0,0,0,4,3,4,1,4,5,4,2,0,0,0,0,1,0,0,0,0,3,1,1,1,0,0,19,1,3,2,0,0,0,0,0,0,3,1,3,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-02T22:51:13.807Z"}},{"type":"Public","name":"prflow_nested_dfx","owner":"icgrp","isFork":false,"description":"Fast and Flexible FPGA development using Hierarchical Partial Reconfiguration (FPT 2022)","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":11,"forksCount":0,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-21T03:09:07.375Z"}},{"type":"Public","name":"ese532_handouts","owner":"icgrp","isFork":false,"description":"Course handouts for ESE532 at UPenn","allTopics":["fpga","hls","xilinx","system-on-chip"],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":2,"license":null,"participation":[0,0,0,0,0,0,0,0,4,0,14,5,5,3,2,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-19T18:59:50.803Z"}},{"type":"Public","name":"ese532_code","owner":"icgrp","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":16,"license":"MIT License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,2,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-16T22:52:32.681Z"}},{"type":"Public","name":"old_systolic_placer","owner":"icgrp","isFork":true,"description":"Updated systolic placer","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":378,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-08-07T19:05:23.035Z"}},{"type":"Public","name":"asym_bft","owner":"icgrp","isFork":false,"description":"Asymmetry in Butterfly Fat Tree FPGA NoC (FPT 2023)","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-07-13T23:31:55.666Z"}},{"type":"Public","name":"hipr","owner":"icgrp","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":11,"forksCount":1,"license":"MIT License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-02-03T19:52:07.313Z"}},{"type":"Public","name":"rosetta_vitis","owner":"icgrp","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":1,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-11-04T21:39:48.325Z"}},{"type":"Public","name":"pld2022","owner":"icgrp","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":1,"starsCount":14,"forksCount":0,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-10-25T14:54:08.290Z"}},{"type":"Public","name":"doblink","owner":"icgrp","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":1,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-07-29T15:40:07.369Z"}},{"type":"Public","name":"symbiflow-arch-defs","owner":"icgrp","isFork":true,"description":"FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.","allTopics":[],"primaryLanguage":{"name":"Jupyter Notebook","color":"#DA5B0B"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":108,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-12-02T17:25:09.054Z"}},{"type":"Public","name":"bert","owner":"icgrp","isFork":false,"description":"Bitstream Embedded RAM Transfusion","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":1,"starsCount":9,"forksCount":4,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-08-04T23:17:37.332Z"}},{"type":"Public","name":"vtr-verilog-to-routing","owner":"icgrp","isFork":true,"description":"Verilog to Routing -- Open Source CAD Flow for FPGA Research","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":378,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-07-14T10:12:34.586Z"}},{"type":"Public","name":"nestedDFX","owner":"icgrp","isFork":false,"description":"This is repo to show how to use nested DFX on ZCU102 board","allTopics":[],"primaryLanguage":{"name":"Tcl","color":"#e4cc98"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-06-10T17:12:18.682Z"}},{"type":"Public","name":"hydra","owner":"icgrp","isFork":true,"description":"Hydra is a framework for elegantly configuring complex applications","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":606,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-05-27T20:16:30.255Z"}},{"type":"Public","name":"pythondata-cpu-vexriscv-stream","owner":"icgrp","isFork":true,"description":"Python module containing verilog files for vexriscv cpu (for use with LiteX).","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":37,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-05-03T21:28:31.512Z"}},{"type":"Public","name":"estream4fccm2021","owner":"icgrp","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"VHDL","color":"#adb2cb"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":0,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-04-20T03:05:51.002Z"}},{"type":"Public","name":"fpga-tool-perf","owner":"icgrp","isFork":true,"description":"FPGA tool performance profiling","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":28,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-04-13T22:54:39.131Z"}},{"type":"Public","name":"huffmanVivadoProject","owner":"icgrp","isFork":false,"description":"huffmanVivadoProject submodule for bert","allTopics":[],"primaryLanguage":{"name":"VHDL","color":"#adb2cb"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-04-09T19:42:04.142Z"}},{"type":"Public","name":"slurm-gcp","owner":"icgrp","isFork":true,"description":"Slurm on Google Cloud Platform","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":120,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-03-28T00:31:08.046Z"}},{"type":"Public","name":"edalize","owner":"icgrp","isFork":true,"description":"An abstraction library for interfacing EDA tools","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":181,"license":"BSD 2-Clause \"Simplified\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-02-10T01:55:18.195Z"}},{"type":"Public","name":"prjxray","owner":"icgrp","isFork":true,"description":"Documenting the Xilinx 7-series bit-stream format.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":150,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-12-04T00:38:08.705Z"}},{"type":"Public","name":"rosetta","owner":"icgrp","isFork":true,"description":"Rosetta: A Realistic High-level Synthesis Benchmark Suite for Software Programmable FPGAs","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":37,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-03-17T15:10:44.601Z"}}],"repositoryCount":23,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}