{"payload":{"pageCount":2,"repositories":[{"type":"Public","name":"Raptor","owner":"os-fpga","isFork":false,"description":"Raptor end-to-end FPGA Compiler and GUI","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":0,"starsCount":38,"forksCount":16,"license":"Other","participation":[10,17,13,11,15,9,12,14,22,32,22,27,15,23,17,16,22,25,27,15,10,14,6,28,29,13,10,27,38,16,7,9,7,17,54,60,56,16,13,17,22,31,23,17,24,11,19,15,18,23,25,19],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-23T09:31:21.176Z"}},{"type":"Public","name":"Validation","owner":"os-fpga","isFork":false,"description":"Raptor Validation - New clean version of Compiler_Validation repo","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":12,"license":"Other","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,1,0,2,0,0,2,16,28,29,27,18,37,14,14,19,35,29,4,21,43,18,16,68,46],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-23T09:13:12.439Z"}},{"type":"Public","name":"yosys-rs-plugin","owner":"os-fpga","isFork":false,"description":"Rapidsilicon's Yosys Plugin","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":0,"starsCount":0,"forksCount":4,"license":"Other","participation":[16,6,9,0,6,7,9,12,6,11,20,2,5,17,4,4,8,13,4,13,0,8,14,16,19,0,5,15,10,24,10,1,2,17,5,6,4,7,6,9,5,0,8,16,19,9,3,8,12,1,3,7],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-23T08:14:39.876Z"}},{"type":"Public","name":"zephyr_rs","owner":"os-fpga","isFork":true,"description":"Primary Git Repository for the Zephyr Project. Zephyr is a new generation, scalable, optimized, secure RTOS for multiple hardware architectures.","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":3,"issueCount":0,"starsCount":0,"forksCount":6022,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-23T07:31:14.491Z"}},{"type":"Public","name":"IP_Catalog","owner":"os-fpga","isFork":false,"description":"IP Catalog for Raptor.","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":3,"forksCount":7,"license":"Other","participation":[10,1,9,6,10,3,103,9,12,2,6,11,7,9,3,1,19,8,31,32,18,10,10,14,19,37,9,2,7,21,12,0,54,2,3,14,20,48,28,13,15,0,0,4,5,8,1,0,3,12,6,19],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-23T06:48:59.764Z"}},{"type":"Public","name":"rapid_power_estimator","owner":"os-fpga","isFork":false,"description":"Rapid Power Estimator For Raptor","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":"Other","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,9,11,10,7,5,1,3,4,5,9,2,2,2,5,2],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-22T22:27:44.085Z"}},{"type":"Public","name":"FOEDAG","owner":"os-fpga","isFork":false,"description":"Framework Open EDA Gui","topicNames":["os","sw"],"topicsNotShown":0,"allTopics":["os","sw"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":1,"issueCount":14,"starsCount":54,"forksCount":27,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-22T16:42:18.344Z"}},{"type":"Public","name":"FOEDAG_rs","owner":"os-fpga","isFork":false,"description":"Raptor's GUI","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":5,"forksCount":4,"license":"Other","participation":[3,6,10,8,27,7,11,9,3,23,5,15,5,16,13,19,9,21,6,6,14,5,1,1,17,3,6,1,0,5,3,4,3,7,11,16,11,4,2,2,10,10,3,7,6,4,7,5,6,6,6,6],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-22T16:42:14.761Z"}},{"type":"Public","name":"yosys_verific_rs","owner":"os-fpga","isFork":false,"description":"Yosys + (Optional) Verific Integration","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":6,"license":"Other","participation":[15,13,26,19,15,16,6,8,17,28,20,7,8,27,0,15,14,18,7,15,6,6,8,10,12,1,1,4,6,21,20,0,7,14,31,11,10,16,18,29,27,40,28,35,39,19,13,21,20,25,41,39],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-22T16:32:57.345Z"}},{"type":"Public","name":"Jira_Testcase","owner":"os-fpga","isFork":false,"description":"","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":14,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-22T12:32:59.175Z"}},{"type":"Public","name":"ArchBench","owner":"os-fpga","isFork":false,"description":"Architecture file validation testcase - RTL to Bitstream simulation flow","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":1,"license":"Other","participation":[11,10,27,19,7,2,13,8,0,11,14,7,17,2,0,6,2,2,0,0,4,0,0,8,4,11,7,0,14,2,0,0,4,10,11,8,4,7,33,10,9,7,12,16,17,6,2,4,4,6,6,7],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-22T11:55:54.942Z"}},{"type":"Public","name":"Backend","owner":"os-fpga","isFork":false,"description":"Compiler backend from packing to bitstream generation.","topicNames":["routing","packing","sw","placement","timing-analysis","bitstream-generation","fpga-complete-flow"],"topicsNotShown":0,"allTopics":["routing","packing","sw","placement","timing-analysis","bitstream-generation","fpga-complete-flow"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":1,"issueCount":0,"starsCount":3,"forksCount":3,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-20T18:43:26.718Z"}},{"type":"Public","name":"FPGA_PRIMITIVES_MODELS","owner":"os-fpga","isFork":false,"description":"","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":4,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-17T12:28:29.533Z"}},{"type":"Public","name":"device_modeling","owner":"os-fpga","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":5,"license":"Other","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,8,7,0,5,5,3,2,4,6,7,6,2,3,2,0,8,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-14T12:09:03.533Z"}},{"type":"Public","name":"raptor_downloader","owner":"os-fpga","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-10T15:18:09.996Z"}},{"type":"Public","name":"Raptor_Tools","owner":"os-fpga","isFork":false,"description":"","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":3,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-09T10:07:39.983Z"}},{"type":"Public","name":"post_build_artifacts","owner":"os-fpga","isFork":false,"description":"","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-07T16:05:40.757Z"}},{"type":"Public","name":"yosys_rs","owner":"os-fpga","isFork":false,"description":"Raptor's Yosys hard fork. Contains optimizations","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":4,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-07T15:59:27.122Z"}},{"type":"Public","name":"RTL_Benchmark","owner":"os-fpga","isFork":false,"description":"This repository contains the benchmarks.","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":4,"starsCount":3,"forksCount":1,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-29T09:47:49.026Z"}},{"type":"Public","name":"testPR","owner":"os-fpga","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-18T14:33:46.841Z"}},{"type":"Public","name":"litex_reference_designs","owner":"os-fpga","isFork":false,"description":"Litex Reference Designs provides reference designs created out of IP Catalog using Litex integration capabilities.","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-15T06:51:52.790Z"}},{"type":"Public","name":"logic_synthesis-rs","owner":"os-fpga","isFork":false,"description":"","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"CMake","color":"#DA3434"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-16T09:19:23.747Z"}},{"type":"Public","name":"Virtual-FPGA-Lab","owner":"os-fpga","isFork":false,"description":"This repository contains the codebase for Virtual FPGA Lab in Makerchip contributing as a participant in Google Summer of Code 2021, under FOSSi Foundation.","topicNames":["open-source","os","gsoc","tl-verilog","makerchip","virtual-fpga"],"topicsNotShown":0,"allTopics":["open-source","os","gsoc","tl-verilog","makerchip","virtual-fpga"],"primaryLanguage":{"name":"Tcl","color":"#e4cc98"},"pullRequestCount":2,"issueCount":1,"starsCount":118,"forksCount":19,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-31T21:58:42.801Z"}},{"type":"Public","name":"testlic1","owner":"os-fpga","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-24T18:04:28.087Z"}},{"type":"Public","name":"abc-rs","owner":"os-fpga","isFork":false,"description":"","topicNames":["synthesis","sw"],"topicsNotShown":0,"allTopics":["synthesis","sw"],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":2,"starsCount":0,"forksCount":0,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-19T00:48:56.744Z"}},{"type":"Public","name":"axi_i2c_bridge","owner":"os-fpga","isFork":false,"description":"","topicNames":["hw"],"topicsNotShown":0,"allTopics":["hw"],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":1,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-16T11:27:25.487Z"}},{"type":"Public","name":"zephyr-rapidsi-dev","owner":"os-fpga","isFork":false,"description":"","topicNames":["embedded-sw"],"topicsNotShown":0,"allTopics":["embedded-sw"],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":3,"issueCount":0,"starsCount":2,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-16T11:17:11.946Z"}},{"type":"Public","name":"tcl","owner":"os-fpga","isFork":true,"description":"The Tcl Core. (Mirror of core.tcl-lang.org) ","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":180,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-16T11:07:31.136Z"}},{"type":"Public","name":"openocd","owner":"os-fpga","isFork":true,"description":"Official OpenOCD Read-Only Mirror (RapidSilicon Forked)","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":737,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-16T11:00:31.523Z"}},{"type":"Public","name":"LiteX_Reference_Design_rs","owner":"os-fpga","isFork":false,"description":"System Level Design created using LiteX infra, target platform are ARTY A7, basys 3 fpga","topicNames":["sw"],"topicsNotShown":0,"allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":2,"issueCount":0,"starsCount":0,"forksCount":0,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-16T10:59:33.122Z"}}],"repositoryCount":39,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}