{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"vivado-project-template","owner":"starwaredesign","isFork":false,"description":"Template for Xilinx Vivado projects","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Tcl","color":"#e4cc98"},"pullRequestCount":0,"issueCount":1,"starsCount":10,"forksCount":7,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-07-02T18:01:11.494Z"}},{"type":"Public","name":"vivado-docker","owner":"starwaredesign","isFork":true,"description":"Dockerfile with Vivado for CI","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Dockerfile","color":"#384d54"},"pullRequestCount":0,"issueCount":0,"starsCount":10,"forksCount":43,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-07-02T17:36:42.132Z"}},{"type":"Public","name":"ip_repo","owner":"starwaredesign","isFork":false,"description":"Repository for Xilinx Vivado IP cores","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":2,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-05-07T17:57:10.781Z"}},{"type":"Public","name":"vivado-example-prj","owner":"starwaredesign","isFork":false,"description":"Example of vivado project with rebuild script","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Tcl","color":"#e4cc98"},"pullRequestCount":0,"issueCount":0,"starsCount":3,"forksCount":3,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2019-04-19T10:48:49.028Z"}}],"repositoryCount":4,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"mirror","text":"Mirrors"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}