{"payload":{"feedbackUrl":"https://github.com/orgs/community/discussions/53140","repo":{"id":649415806,"defaultBranch":"master","name":"OpenSTA","ownerLogin":"parallaxsw","currentUserCanPush":false,"isFork":false,"isEmpty":false,"createdAt":"2023-06-04T19:14:17.000Z","ownerAvatar":"https://avatars.githubusercontent.com/u/135547548?v=4","public":true,"private":false,"isOrgOwned":false},"refInfo":{"name":"","listCacheKey":"v0:1709483918.0","currentOid":""},"activityList":{"items":[{"before":"6c1322b6ee8c1a9bdec4822b63651bb0b0d9add1","after":"7358e2698e42653198fc44752ca836183899ee69","ref":"refs/heads/master","pushedAt":"2024-06-03T21:10:01.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"unique_lock -> lock_guard\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"unique_lock -> lock_guard"}},{"before":"a9106a190e1fb632d35c688bfb77ebd4d054a8ad","after":"6c1322b6ee8c1a9bdec4822b63651bb0b0d9add1","ref":"refs/heads/master","pushedAt":"2024-06-03T04:15:44.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"write_verilog -include_pwr_gnd\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"write_verilog -include_pwr_gnd"}},{"before":"f24d907bf4e4a34bb9f0591e97d4410c6f3a1842","after":"a9106a190e1fb632d35c688bfb77ebd4d054a8ad","ref":"refs/heads/master","pushedAt":"2024-06-03T04:09:29.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"issue37 get_pins -hierarchical\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"issue37 get_pins -hierarchical"}},{"before":"49c713e493caa01993089a8f82e870b9993b2f83","after":"f24d907bf4e4a34bb9f0591e97d4410c6f3a1842","ref":"refs/heads/master","pushedAt":"2024-06-01T23:21:34.000Z","pushType":"push","commitsCount":4,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"get_* -filter property==non_string_value\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"get_* -filter property==non_string_value"}},{"before":"e5e33ccb0daa7870abbf7599062ab1299282b5b3","after":"49c713e493caa01993089a8f82e870b9993b2f83","ref":"refs/heads/master","pushedAt":"2024-05-30T16:39:35.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"issue34 get_property liberty_cell area\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"issue34 get_property liberty_cell area"}},{"before":"2b0d0f9d23cb43166e4186dfaa8965619f406922","after":"e5e33ccb0daa7870abbf7599062ab1299282b5b3","ref":"refs/heads/master","pushedAt":"2024-05-30T16:16:29.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"issue33 set_assigned_transition output_pin incr dcalc\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"issue33 set_assigned_transition output_pin incr dcalc"}},{"before":"20ae641fcb59a9358fa420e7b0e93081fd7ebf38","after":"2b0d0f9d23cb43166e4186dfaa8965619f406922","ref":"refs/heads/master","pushedAt":"2024-05-28T02:34:34.000Z","pushType":"push","commitsCount":2,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"write_verilog wire dcls respect -include_pwr_gnd\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"write_verilog wire dcls respect -include_pwr_gnd"}},{"before":"73470f403340ad97c434d291ff3c2844c1b89923","after":"20ae641fcb59a9358fa420e7b0e93081fd7ebf38","ref":"refs/heads/master","pushedAt":"2024-05-21T15:46:05.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"report_clock_skew/latency\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"report_clock_skew/latency"}},{"before":"f9618418d9d8c30cb148f9b61db1017d32e49ef0","after":"73470f403340ad97c434d291ff3c2844c1b89923","ref":"refs/heads/master","pushedAt":"2024-05-20T22:48:46.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"reportClkSkew, reportClkLatency use ref for clks\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"reportClkSkew, reportClkLatency use ref for clks"}},{"before":"abacd6c89c6e80b66a53f92cca613187f7a3223b","after":"f9618418d9d8c30cb148f9b61db1017d32e49ef0","ref":"refs/heads/master","pushedAt":"2024-05-17T14:47:29.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"ccs_ceff, ccs_sim allow null drvr_pin, vdd check\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"ccs_ceff, ccs_sim allow null drvr_pin, vdd check"}},{"before":"e448ed3deacac147c2332238832a90111cf3a12e","after":"abacd6c89c6e80b66a53f92cca613187f7a3223b","ref":"refs/heads/master","pushedAt":"2024-05-13T19:21:34.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"set_assigned_delay/check warn if no timing arc\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"set_assigned_delay/check warn if no timing arc"}},{"before":"05e38d730ae8ebe4d676e4c6388f286c2aa08944","after":"e448ed3deacac147c2332238832a90111cf3a12e","ref":"refs/heads/master","pushedAt":"2024-05-12T23:00:28.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"define_corners require at least 1 corner\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"define_corners require at least 1 corner"}},{"before":"986260595f45b7c730c048c2d120deeb5be71da2","after":"05e38d730ae8ebe4d676e4c6388f286c2aa08944","ref":"refs/heads/master","pushedAt":"2024-05-12T01:13:12.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"report_clock_latency -include_internal_latency\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"report_clock_latency -include_internal_latency"}},{"before":"51596f89031c4370609b74fad8ad12d7b4483521","after":"986260595f45b7c730c048c2d120deeb5be71da2","ref":"refs/heads/master","pushedAt":"2024-05-10T01:07:22.000Z","pushType":"push","commitsCount":2,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"report_clock_skew -include_internal_latency\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"report_clock_skew -include_internal_latency"}},{"before":"1160c8083733d088c3ce165f1a72730d1dcb6bcd","after":"51596f89031c4370609b74fad8ad12d7b4483521","ref":"refs/heads/master","pushedAt":"2024-05-08T21:39:31.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"help with_output_to_variable\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"help with_output_to_variable"}},{"before":"fdca0dff7ae32edcecf2008977a084d92dc11190","after":"1160c8083733d088c3ce165f1a72730d1dcb6bcd","ref":"refs/heads/master","pushedAt":"2024-05-08T21:25:45.000Z","pushType":"push","commitsCount":2,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"help report_checks\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"help report_checks"}},{"before":"22453cc8bf88339c203733e3d380f6183e4c69a8","after":"fdca0dff7ae32edcecf2008977a084d92dc11190","ref":"refs/heads/master","pushedAt":"2024-04-24T16:34:38.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"write_verilog use inout for power/ground\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"write_verilog use inout for power/ground"}},{"before":"8ae487c602f5e80ddb9a869339fd747c566e2d66","after":"22453cc8bf88339c203733e3d380f6183e4c69a8","ref":"refs/heads/master","pushedAt":"2024-04-24T15:39:01.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"Sta::netSlack use connectedPinIterator\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"Sta::netSlack use connectedPinIterator"}},{"before":"1b5c4e27450a555bc427ffce6b83171b6c824d7f","after":"8ae487c602f5e80ddb9a869339fd747c566e2d66","ref":"refs/heads/master","pushedAt":"2024-04-23T19:57:13.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"write_timing_model leak\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"write_timing_model leak"}},{"before":"d34cde34cdd8ebc3805c847f207e5dcf00cdc4e9","after":"1b5c4e27450a555bc427ffce6b83171b6c824d7f","ref":"refs/heads/master","pushedAt":"2024-04-23T19:39:14.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"Merge pull request #26 from QuantamHD/fix_memory_leak\n\nFixes memory leak in verilog attribute code.","shortMessageHtmlLink":"Merge pull request #26 from QuantamHD/fix_memory_leak"}},{"before":"e158ded82e32c2e1933f2bd6e645c9d0e0e63383","after":"d34cde34cdd8ebc3805c847f207e5dcf00cdc4e9","ref":"refs/heads/master","pushedAt":"2024-04-21T18:59:28.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"ssta compile errors\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"ssta compile errors"}},{"before":"60d8030a94fc51530035aa1c86bc864481d4be96","after":"e158ded82e32c2e1933f2bd6e645c9d0e0e63383","ref":"refs/heads/master","pushedAt":"2024-04-20T00:27:30.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"CCS sim delay calc\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"CCS sim delay calc"}},{"before":"4146e623d14aa78299de939b0db59cfdf77c593d","after":"60d8030a94fc51530035aa1c86bc864481d4be96","ref":"refs/heads/master","pushedAt":"2024-04-17T18:49:24.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"liberty min_pulse_width timing group support\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"liberty min_pulse_width timing group support"}},{"before":"2182bf27138ee91202b71e63bd79dd6e628f67f5","after":"4146e623d14aa78299de939b0db59cfdf77c593d","ref":"refs/heads/master","pushedAt":"2024-04-14T06:00:04.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"get_* -filter do not require spaces around ||/&&\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"get_* -filter do not require spaces around ||/&&"}},{"before":"b02532aea13b1c1dc027e55546cb0ce8fec40fb0","after":"2182bf27138ee91202b71e63bd79dd6e628f67f5","ref":"refs/heads/master","pushedAt":"2024-04-14T05:22:12.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"delete_net doc\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"delete_net doc"}},{"before":"be82087bdf2e74ab05cd36f5ece6578ac3c3b48a","after":"b02532aea13b1c1dc027e55546cb0ce8fec40fb0","ref":"refs/heads/master","pushedAt":"2024-04-14T04:28:19.000Z","pushType":"push","commitsCount":2,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"ClkLatency use Delay\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"ClkLatency use Delay"}},{"before":"e5774502c95eb7c84276baa60029c02ea801db3c","after":"be82087bdf2e74ab05cd36f5ece6578ac3c3b48a","ref":"refs/heads/master","pushedAt":"2024-04-13T03:21:56.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"write_timing_model ignore set_clock_latency -source\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"write_timing_model ignore set_clock_latency -source"}},{"before":"80598f8390fe9c9540c0ad6cd5010d3d1d712ecd","after":"e5774502c95eb7c84276baa60029c02ea801db3c","ref":"refs/heads/master","pushedAt":"2024-04-04T20:30:56.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"set_load after delete_pin issue21\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"set_load after delete_pin issue21"}},{"before":"b6cdea9566032fa3d5d2dbc09f5857a028458812","after":"80598f8390fe9c9540c0ad6cd5010d3d1d712ecd","ref":"refs/heads/master","pushedAt":"2024-04-04T02:31:13.000Z","pushType":"push","commitsCount":2,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"report_clock_skew after report_checks -through\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"report_clock_skew after report_checks -through"}},{"before":"01f1fc764276803ff477e418c4c25b8f124378f8","after":"b6cdea9566032fa3d5d2dbc09f5857a028458812","ref":"refs/heads/master","pushedAt":"2024-03-29T23:18:37.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jjcherry56","name":"James Cherry","path":"/jjcherry56","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/34749589?s=80&v=4"},"commit":{"message":"verilog dup name\n\nSigned-off-by: James Cherry ","shortMessageHtmlLink":"verilog dup name"}}],"hasNextPage":true,"hasPreviousPage":false,"activityType":"all","actor":null,"timePeriod":"all","sort":"DESC","perPage":30,"cursor":"djE6ks8AAAAEW2DDQgA","startCursor":null,"endCursor":null}},"title":"Activity ยท parallaxsw/OpenSTA"}