From 6ff69593e7f39c9b885ec2a69799548df576321b Mon Sep 17 00:00:00 2001 From: Atharva Patel Date: Sun, 7 Jul 2013 19:24:48 +0530 Subject: [PATCH] issue#1 solved. solved knapsack with basic branch and bound strategy and optimistic objective value consideration. Works for smaller input sizes. --- knapsack.zip | Bin 0 -> 228656 bytes knapsack/.cache | Bin 0 -> 3356 bytes knapsack/.classpath | 7 + knapsack/.idea/.name | 1 + knapsack/.idea/compiler.xml | 23 + .../.idea/copyright/profiles_settings.xml | 5 + knapsack/.idea/encodings.xml | 5 + knapsack/.idea/libraries/scala_compiler.xml | 10 + knapsack/.idea/libraries/scala_library.xml | 17 + knapsack/.idea/misc.xml | 10 + knapsack/.idea/modules.xml | 9 + knapsack/.idea/scopes/scope_settings.xml | 5 + knapsack/.idea/uiDesigner.xml | 125 + knapsack/.idea/vcs.xml | 7 + knapsack/.idea/workspace.xml | 466 + knapsack/.project | 18 + knapsack/Solver.java | 91 + .../knapsack_solution/Solver$$anon$1.class | Bin 0 -> 4980 bytes .../knapsack_solution/Solver$$anonfun$1.class | Bin 0 -> 2291 bytes ...fun$chooseFromTheRemainingThings$1$1.class | Bin 0 -> 1675 bytes ...fun$chooseFromTheRemainingThings$1$2.class | Bin 0 -> 1675 bytes ...fun$chooseFromTheRemainingThings$1$3.class | Bin 0 -> 1675 bytes .../Solver$$anonfun$main$1.class | Bin 0 -> 2480 bytes .../Solver$$anonfun$main$2.class | Bin 0 -> 1424 bytes .../Solver$$anonfun$main$3.class | Bin 0 -> 1367 bytes knapsack/bin/knapsack_solution/Solver$.class | Bin 0 -> 7559 bytes knapsack/bin/knapsack_solution/Solver.class | Bin 0 -> 1827 bytes knapsack/data/ks_10000_0 | 10001 ++++++++++++++++ knapsack/data/ks_1000_0 | 1001 ++ knapsack/data/ks_100_0 | 101 + knapsack/data/ks_100_1 | 101 + knapsack/data/ks_100_2 | 101 + knapsack/data/ks_19_0 | 20 + knapsack/data/ks_200_0 | 213 + knapsack/data/ks_200_1 | 201 + knapsack/data/ks_300_0 | 301 + knapsack/data/ks_30_0 | 31 + knapsack/data/ks_400_0 | 401 + knapsack/data/ks_40_0 | 41 + knapsack/data/ks_45_0 | 46 + knapsack/data/ks_4_0 | 5 + knapsack/data/ks_500_0 | 501 + knapsack/data/ks_50_0 | 51 + knapsack/data/ks_50_1 | 51 + knapsack/data/ks_60_0 | 61 + knapsack/handout.pdf | Bin 0 -> 140380 bytes knapsack/knapsack.iml | 21 + .../knapsack_solution/Solver$$anonfun$1.class | Bin 0 -> 1880 bytes ...fun$chooseFromTheRemainingThings$1$1.class | Bin 0 -> 1468 bytes .../knapsack/knapsack_solution/Solver$.class | Bin 0 -> 4975 bytes .../Solver$ValuePerWeightCompare$2$.class | Bin 0 -> 4839 bytes .../knapsack/knapsack_solution/Solver.class | Bin 0 -> 1326 bytes knapsack/solver.backup.py | 58 + knapsack/solver.py | 44 + knapsack/solver.pyc | Bin 0 -> 1417 bytes knapsack/solverJava.py | 41 + knapsack/src/knapsack_solution/Solver.scala | 131 + knapsack/submit.pyc | Bin 0 -> 8129 bytes knapsack/tmp.data | 213 + 59 files changed, 14535 insertions(+) create mode 100644 knapsack.zip create mode 100644 knapsack/.cache create mode 100644 knapsack/.classpath create mode 100644 knapsack/.idea/.name create mode 100644 knapsack/.idea/compiler.xml create mode 100644 knapsack/.idea/copyright/profiles_settings.xml create mode 100644 knapsack/.idea/encodings.xml create mode 100644 knapsack/.idea/libraries/scala_compiler.xml create mode 100644 knapsack/.idea/libraries/scala_library.xml create mode 100644 knapsack/.idea/misc.xml create mode 100644 knapsack/.idea/modules.xml create mode 100644 knapsack/.idea/scopes/scope_settings.xml create mode 100644 knapsack/.idea/uiDesigner.xml create mode 100644 knapsack/.idea/vcs.xml create mode 100644 knapsack/.idea/workspace.xml create mode 100644 knapsack/.project create mode 100644 knapsack/Solver.java create mode 100644 knapsack/bin/knapsack_solution/Solver$$anon$1.class create mode 100644 knapsack/bin/knapsack_solution/Solver$$anonfun$1.class create mode 100644 knapsack/bin/knapsack_solution/Solver$$anonfun$chooseFromTheRemainingThings$1$1.class create mode 100644 knapsack/bin/knapsack_solution/Solver$$anonfun$chooseFromTheRemainingThings$1$2.class create mode 100644 knapsack/bin/knapsack_solution/Solver$$anonfun$chooseFromTheRemainingThings$1$3.class create mode 100644 knapsack/bin/knapsack_solution/Solver$$anonfun$main$1.class create mode 100644 knapsack/bin/knapsack_solution/Solver$$anonfun$main$2.class create mode 100644 knapsack/bin/knapsack_solution/Solver$$anonfun$main$3.class create mode 100644 knapsack/bin/knapsack_solution/Solver$.class create mode 100644 knapsack/bin/knapsack_solution/Solver.class create mode 100644 knapsack/data/ks_10000_0 create mode 100644 knapsack/data/ks_1000_0 create mode 100644 knapsack/data/ks_100_0 create mode 100644 knapsack/data/ks_100_1 create mode 100644 knapsack/data/ks_100_2 create mode 100644 knapsack/data/ks_19_0 create mode 100644 knapsack/data/ks_200_0 create mode 100644 knapsack/data/ks_200_1 create mode 100644 knapsack/data/ks_300_0 create mode 100644 knapsack/data/ks_30_0 create mode 100644 knapsack/data/ks_400_0 create mode 100644 knapsack/data/ks_40_0 create mode 100644 knapsack/data/ks_45_0 create mode 100644 knapsack/data/ks_4_0 create mode 100644 knapsack/data/ks_500_0 create mode 100644 knapsack/data/ks_50_0 create mode 100644 knapsack/data/ks_50_1 create mode 100644 knapsack/data/ks_60_0 create mode 100644 knapsack/handout.pdf create mode 100644 knapsack/knapsack.iml create mode 100644 knapsack/out/production/knapsack/knapsack_solution/Solver$$anonfun$1.class create mode 100644 knapsack/out/production/knapsack/knapsack_solution/Solver$$anonfun$chooseFromTheRemainingThings$1$1.class create mode 100644 knapsack/out/production/knapsack/knapsack_solution/Solver$.class create mode 100644 knapsack/out/production/knapsack/knapsack_solution/Solver$ValuePerWeightCompare$2$.class create mode 100644 knapsack/out/production/knapsack/knapsack_solution/Solver.class create mode 100644 knapsack/solver.backup.py create mode 100644 knapsack/solver.py create mode 100644 knapsack/solver.pyc create mode 100644 knapsack/solverJava.py create mode 100644 knapsack/src/knapsack_solution/Solver.scala create mode 100644 knapsack/submit.pyc create mode 100644 knapsack/tmp.data diff --git a/knapsack.zip b/knapsack.zip new file mode 100644 index 0000000000000000000000000000000000000000..52b45bfc79bc68abafe106f9e65c262f9487c35f GIT binary patch literal 228656 zcmaI6V~{RP5T*IH&D*wZ+qP}ncHh2j+qP}nwr$(p`|WJZ&hAdcMpXW-KlPlcHZTAH8Gr+@wlj2aHZ-=Tceb~2Gj*bK@KjZS0)Uj} z)GOZJ^(eY}zyN?iUw{CB|8a+}N`K5E17i0nHTX3l)OJbr5KuJ*`M-Zn5;$#wsOdwJ zp1&o54V^5hUSDP>)0vpN-5XCkwtjg#Yk@Ih? zw2}!+4M6rwntlba&DZBa1o8YNeZAaoQ+U$=7ZH9hX#$X%Rfe>k!1{lLQu*#;e|bj$<8 z7UyNc6%WS69DCy%Aq{HR>}XIBKadg zEbTw*Sh0fAQRrCQqib{7N zr^N}Vf%~Uv|W|^t+xI{`;xlkO3{oSs6Q$zHh7A_3w$DIGzp1+Z0^it=sP=;0J&>VDg z@bC`UVB6qHVF-D-W6WVF`RwlitTe8y<9)p`aA+64GKj~x2{eWtUf;tQSM{THUC(%6 zGuehP`ltyWlNt+HL-c0DpAlvuu26u&JoS5IXXGv8l6jnk);7J@c>ROsuoV^d=z&ur zIGJTziP z@{HfUAKNP;jz7>paP(-czVP$k@73dDaf4NLVWFH}80Qn4YtLeu<`hNY#L}&-qwF`d zpKY90_i5H68Cq#hzha1*nL_@DAct_jV+#9qblwX_3Flb!F9M6$w6-ZeAvKknVk;g7 z6m^0($N3GE{aDK)>3%vv&lYaEKJUivX4MA9<$AScUYqaz;tka&3ym(PifuiTyUb?U zWg~C);kQV$)G!=gF4MuZh#qjolTw@awJMqTd!5$HPJ#O?p`1n3^xQ;6fw9TokV!>ox%Ks_+P9bR?iEyY5y@W z{*RMwC;$NE|H+E-|J4Gm{)6RzG{L(6C|Ud8Nr_g~wclVs@jX@Fx<|=_yK4vqN@lZ6 zq*BdnlG_l@gkX2vs~HKmfe0!2dDY!~ms?^J61e(wooQbkG0vd`@m~uMP78jV2Gynx z7DU>~XCt1>O*TUBW!IcJ{gXCW3lgfqP;H294%OQ}I}~1dz0eJ?GC-z?*%POOV@{JC zQ!=Pi&mu=RFv_K52_;U0ZF&Y1M#?3fWR1)vRA2w|9+DKnHz}#d0~IwH#fSct3E;h% zL^Z|NUyILwYB|1G_)ZaQswNLn4he6By@?iT_YVsw@6Jhfyf$7cem28bx7xd6dme!q zni_(DwDb4*#(vz&KW@ySMsSugzJc5SEY_F`ato7u+!lXIY#gc&N{~ur?4`mKb{1tJ z-#g%f(W2LJ8@SyW=QE@VtYYvq+%Xy~yqq_Lr{cD@Ft!fx)Rs`ZyS*ASglIr4=vV#K zq%)lLjSsXsWiBK!-7_!! zQNP|WE8p%xv7A7aw=th1$Rn{GpZ9co1@3%`R!XTwEzpb%G)zrpTKfwgv2p5CcL647 zx(^LWd%~>+X~z7ffXs$6oDZv+0Q#b@ABKdhlq3`87tUu&!^F!Zj?22ya3o{tzd$lo zXC=jzu(X|9uy8U1@+7JZ0#T`1<{U_t#>aOt+?2dAL!e}@4P{@v?_DF58msr0Yi*F~ z;(dt5oH1ka((bZs8*n6!nv+Ix5mPTs=i79ip3QlKU^Z35>|OJ-?F9m~y6A{G?6U|L?|v`jG|;i+Jtk!VCvfCv`XD+MpZr%8MgXm)4Y}sod#COY#$f5uGKkmQ26f z*Th9k&+!73&yV+nX9UI5m0t@wc8bNkU-VBfq3uVf_E=xPwv6F2-uEl>ZY+jMAH|W& z6ApH{p;QWP8GT~v@Bb2P#eafDHloXZfd>Hk@d1E8|4+d>yBgVAy8PGJjsJ&U=U#dg z|IhmWEG^ZVv(DI~$v2o^1VDd_M85MVL?1L_{wVMZNTuxSlDe&x3N8r<2QKqtu+VFBk}Tu zlw|SJd+oaM`+oM`wNCkA(?+=Yy0_Lh;aC0ek-~pQmktZ~p+SPGS6!m5H$8UMwpsV= zCTW@Q>}FD|ji|1GEZdBLlsZTi>OwxqZ7mT|7#Un?f~3+Z56_!O?tm<5V9`2;q^*Gfo)7sU3G!r^I^)Tba2C>23zU3_TD$BQ ztzXjdwudM3U87z=*)Lg_t z4K$L7gB%z+2VGa|5e#W=7eT~95j0igE(Q`OCGlu`^_QTRLP+bx)WRC#R?wLHjj*XD z=rz9`_X~1kvp<$m2%9&vI(xEqdnws+ZU#8eMR+VO^(~7ydWZ zkIf~!0(+1`E0JDEA59|f8$A~Uio86IXw%)IA-Hp0q|sj4(A@Vn&t8*3N#;@Pk5|T7 z-h7@(Q}ukF3<$KUFr&{3f~&Pd9`#dGYKWGRg6Shq1}Q~aNx@=xch8lJ$3(UqKU4@3 zZ%47trlXf`nA{OnC7QfX2;Z~M!7nLBZ75rxmjX$M<2TwVF# zl*L6BXJxpI&WqFr4L3qpyQp_Czs;S$CxBTn!uk-81s*f?71ix@S_STEJJfoY=S82d z9d8WX=2>+*q+Mos6rbDOt*94!MEBHi0nYeTjtT_xaxOAy(W)7T72>k%v&NZSHu0(D zv8RF=5j;o9TM~@zQeK_D60M`{t5TS=N$|reuO);lwsWc#9Wp(bX@pYC454s6`s~ zipuXg!nL2vc(tmb+2A{lZ;>Ru^$mlKDTztwca$LMUSboj3K1Do?}9gP1rQS;t?RUe zWJ0Tj_DpVa`-_*R{rSTGW(v-M35Gj4YWRr`zS+yL_QQ@724#~9WbjZU;Cf6kWBpeK zL^2vl4!5LXMtn{WJ(StUz)ZxRE9mjD^MKO0eL~|0X|Px>%oEWYk=bx*X zW(6~*z-XI9h!?{Wou145C|HATnT3)0wkC=*r%}Ej@Bs^S76m$n z(`b(?ZEF4NOH>m;-)YBmu1*Gt$g(y2SRsd+W~ z&GbU`OO>=`ZZB~zVW#rN?4tGdTn9MX(PXc*k%@a8UL27HOQ&3GIX{_H?WzRY?gn(|E@{)W1RU0=f}`9r%*_s=6(Tzbf{ z*s|lzn2nMas6D=kZyV>#kkft$%LUnPKm57kl{)NKO9xR97t=}4u0K?vKWsf-S2&0j z*(UUEJiGEC^*{Akvj;84S1^smJ9^|%P=&jz9ULN=aVqN-aFvEvk)!2XJqT4(ieRv* z-v|c#&GxlsFQgF9NL4ckCazADkz_+klkLT#P5_uA0F{F=8?eE=bNt`E18Vq%3}gKF zx^Moz(&q`tsHLWtYx}(wc)3Plsz$#BDY+`WGmY3?vd6worsJJW%Sw`?(xih%uwbFOkWjpEkQ_be z+-A3Sp=-r)vs5i!>-)Gmx^8@tM6MtNu|?uik}!lm_T*3Q8rsUVjTOAHONqTK7g;A4 zuECFj7DiT%(Zt^;b{rUh>?q1pChwR@+EL9_0kbTNP%{xjO*hjGrPbJ`ghrPHTk>a$ zGj3U$s@v<(Z^K>BeNo|XII!6tmt+DQ`UID!!-dz5tZXgIbVGV~5(}^!gV)K6# z%dy8jZuAjRX@7Qa9vcRX<@uiM1skjiAcx~LEA6_4?~l4v8)vmhFIjxeswp3!(94<) zbz9^&V3wRG%sSwFlefgb>cc2q(uBzfkC--y`Os~-8jesmT>e?8@p7AE%BY`}1#vJN z=NrRGl5!giX@}`NRVZv`8XYU|Ve!r|G3O|OVD`Tgo9QB-*Y;JFBT&c++bCgkCXo6p zPpNPFOd~Q(fJUwJP;DjpEEOp$sET{WyFt6l=KuA$loU9PX>~w(7zt$cy+Im7tog`UVMWCJs`i$pm`xw9&Dy^?ho6;i zyeL~@MNbHnj$DjI=$=Et;Vbn9PW>RQX+wRw77gp>t%mk@@6fg{6`4t35m^@=$l;wB zoaQ5$v%4znyHbM)Sd0}$p|)>ap4p39ldG*s;=lJqmX|b28w-i#ti!gKJ0A5ORpwrU zmaB2^!Zz~;2U0>G^Mdk(uksMTfiO&!In^7lp3&Np7adVe#|Pc=%<`^2tv)feBUOLa zta>rI*g@ItN4a~dZFfdJg7Xu|rAmfTa~xD)VDb=efX8%=hiy!AtbK$fcJ_$DV;K;Z z*6r(P!YkXPruGwAw13A;wTX@ZW z4_-Cnn_=tST|(E(>_|tP-Tc=2;&;bA{8Bp{`!O^6SaSlsP~!XUMN_z0|MSz3M7SuV zosN5@a=Ajk)Ek&;$9qYal5cg|%g<7G)-CpYr{SW1SY{&7Xx%{E^Arg=en! zEn;G$9aLAzlS>lvsJ3dG@NFG4{*+(*C8VaWYtC!CqnIpQ?( zG1QijEH7--`FpYoo?Q|?`s-IP$pfg9^UzM&v;dsng+eyrT6?RT`{w3gVe(rXg+PWb zoGoG5jcUWK}?zNbv zlA2-iW?d&svygHAFfH)!;gU@K9Q}X<6&q?ODZf+&G!X z0l7HhD(&@VWf6`;-qVW?GrAc>mf2}jb8n1K*cskghcjCuSD<-|Me<_8rDY*Z-tP7I z#?c^LSt;s#oZPjzn^p?EFrM+EF#KS~ z_4*(@pLCekcG(u+v^PBcV<5>a)+$Av(B=1KA*ZU^L2tmP*UsZ?a6S~F`Pf`mItd8w z%U~+c%JcFvwog)ykKf@>(9>n?o8g)oOZN5XSKW((|Ie`cH5-4|*LpWPeQw9^5CVjC zrR{HjA`rjNdmXy(n)iFyx2q%PGlgu9Xv6K6N9Wtg<}iMjuFvK50m3ew9rh(kU4XV# zbpTcMRmBWMW%lx_2)+=Dzle+Tv+O!;N;U^kXZ^%LqCF&`txOvF>nzQftPZ3TGy31H zrC`EekkW~d)Yf?Z5*9}Y;NRdCpX#lCX+KvS05~WbW^UVH+Ok) z4UtnC=i~@$m7X7b$LL$;uqa>V6`d1q*~mpd?J|Gyy8^kkGJMUFyfst@D#I8RnmBnG z7Uz{&ceur=FB?brSGde9dZ#$A0+SKKC&ch-kl0v`V{+fWUG2aOd};J0EcYx@U+2|m zxf0Lk*6_s~%9C>X7uh5Xn3HSXMS5ivnt3w1H=j-c#D#c5P{(s~Gf+KlFGAhIa=|P^ za@M9_AIy@Ad9cP9qdub%*5yz?g+5!W%sw#Ba%f+6jTn4{PB&=L`0S`2tIG7j1DnP| z!<@269nPh%>nGXIt{J`p%uz%c@S0R1smGrI(?#_E(VZ0*`odruiqmO#fBsH&9a4`z z&k>MZ`o&@qte^e&b+( z>=C6&lhUqTHjt(?w3bTOz=5|d;`OJNTJ$TOt&-;# zM;E3{!TQ(DC&dpK*bn!J*t?zE^eguXe{Aj@knm4fq{2@#cCm8uM*4<#vz2Yd$r75C zYlW&cx^**h^I)250ZZc(uX4q5#c@LN?+VrElC+HfgTA*;ZK`$Z55oW3Li?>%I^^I3 z19V7$|KERJ7KU~v_OAbVXcM#lKMx(%EnLS?*V58%yV+v9x%*%J&vVPSyzTF*zRlcb zom4eJP}n7}^sFLrEIMR?d9IN!ahfW=lAi{J0eJ;W3+3UFcV;SMGo3XJm8PMg0rhkH zw*bAd&W+8HBoDoj&4CSxk+Ff9E%4wl$jQl#g|RNsX_seyt)3f8^vQdX+CrJ9^=xDF zhVoyiOwo%7c^>OjsVmBrJX5R{K{-jo{HKmHGwUZoHQ_MdV}}fue!V-Z>+z%MPlu=G z_-62t+{8*=PWumwZ1#k~v5!_(xvKSqbw?%3bKaWMRq`s^aqo7+(uXB;?E^~bj&Z!} z`G(Z>g~iI7(|5Ml{laY6qQ@5E0!^^#S52v3vh7%j+?uB*nh{-jxL(MHD+({$l_=sw zR}ajm4%Idu&2`#cbCebC30&OJjKu6(u3N!v5nPxA2472Inc3=D#qfjP65xus1KIVG zJn=Us+k0R1NOk&eadjdQB`5XQ#=0I{t2~(Z{H6*Yh-6b6k>&6*al)j2+8TfUyN`+ z)bllAOK;+7oCkxn+9D^gYunzJ^ZXIBMP+iEr_4#hhl^2sN&?R>O2p1!{qiNfG?Ebl zAuWuheMZu=2`Qge@Dpu4nZiadTtllOSJ^o(yE3y`r=h371XiIq4!#J5TP8#mCnk}bsbpLR}>32}}Es@NARe(9Z%=nfq zHe+OrQ3agoNNZvn(cbHNe3jkNbP+(DudR5cDuLses^0G>lt_`0;ikV&B^$x(J-LFL zlYP0YdZGB3*C?pk(tWvu&wmLLpc%e$kG;8mCK9Ge!ig12{8SpoSn(t62P1&tG7$#{ zT7Df&tQczy$LdOgST>Sh-xoO>uIDQ|zPW2)xaxA;VB2O6o{4}7j@AqYYL52|xCKg- zV;8~Mf(n3RN`Ka*_xeadeT!ab)n<~$*+6@VrG)_^omsoF>lvEg`B!e1l3(ZfrOqta zOpR;gsf!O;UbGW>ET>2#@^wYo@)nLl7(nSq71#R#SbA+>IhWg!TZni^K{gbAuL-L*x z8s+T-6j^~HdQQ98M6!-u@oaa(ha0#fBgd^uM_{gzeX8j?6ee;P1QVgmJJ7VQ5#{;t zkYo8b zG$9PU2588M_z>s-8@QL#(p@(lM~vMMC`;N#+O}ER@p+Pl1(CKS5Ae2QRFG59-1>GL zmV2E*$E4jYS~-jnQCfG@Vl(5o$&(0&N=wiYq=CntL+4(WAD`qe{)3`Cgon=Ew>_MU_YYjZQb;^JxaR4WzTQ^k>u9JsW-7&67b(i=x%6L` zn5+pFQ08KTL<$`_B9rcjRT$O6DQBaP?ig#OW^4=rEOr;FsZaioP##iDG;%{Yl?hlT zyv-s3=Wq=p2QkU$pJX#U3y+O*96t{aA)(RKq)*@>1VwvT9{SP%sRC5Mh6$pefCyI7 zH(Wp?j({5E7Vb^qyt?v zu!j=BpSOnvBec5m2OHs07pY3PLeZAEzkXwc*391hYf20fwk<@+>McsrbDra1!IQbP zo4x+^rJ#GK%vgVT*k7*imeb0Ny`--n;}f-l%RFvTQ(_e^*nIqzgi1Q0%s2hJ^f?k; z#$so)_K4K7P{xd!Y=*5}!vCQKf+OrMZ)wT--&F&+)N7r9to{HJU-4m~==7zA_DTUl zz`~F7DHz92PQ%h)=bvC*yflvv0KbTS4^fJ6AsX#Jyt(El+T|dw6)bA&#DvaV^V#JJ zrksj_sd%&>FeI^`Unp`787F}{q{bgT|3Tovn&Ke1FX89AnW+Z(@4XHAWclwks8pXS zUv@vly_?(!ioS%IKoosOJFFP*=0U2I{gAvL2#XSL%(CY6rq=9p>};1P1(E>sW?tUz zT;{TPkdpv1^fB>%HQ7zB-Q76OJ|MMM%b7o<44dYbv zZu1|Ju5oTL2LlPxzPX(MMHGVC)}M7}xqT-imTqD_19FoV0GkMa2@uRQif2i7W{`?* zD5XpnW`@JPKju6#Lbi=zQ`T%Kocyi8K=YVbrgN>D+r1fKCHx z_M6KF664nZK}RF=BlX4+62D%5D{Prg%=$F0V1LD?X7$1;o4ewCxu&5R=?wx(V#}A6 z_r_gz#Mtz~nCKpJ$A!Smg29GIRlpY~ZItxMYmg~|;)JSQpYKi=S1_!Aut0ANzaE&B zuw6ZD6_*X9NzpaYTCK*qO8?31E>Jl{R1ZbkI^5bj4AL-1atu&NeZ8}h(IrioxaL&a zzimWI03G$*t^f4d$b(hrj>7v+o=pvN@LB?f+625Eymdk6vh?q9-*iUUdS4LJONn%3 zP{7CXeDUGo!`2x6(~@Aon~9R?7yY`(yD2Fkp_16KQe z-I`{eG@p;7O$1~82lUti9~-`?amV`7Q9hHC&0`*j=mYGb?yus9Crn*Y%+5c2UtezX zertA_1|E;2zBJXP%)cVTa3Xu-_G;q>#L?y{CQ5xQGhj zY7cs!Cp}`zZ!l28!u?P#{woGcR9s~K&Z}Zj!d%yuKrxATN!edrEv8YK7=ZzQ6MGT~ z;d{ybd)5q*RF9dOezF9vV0uwc8+k*p2;+Z=PYRh7UVZ;NY5I%#9eSRJ!TAfb#mMCF zWxrn|COml)1~=_qbJGJU77`?)0zKRe#CJiKi`iqH9nDvf3@l3RW@<=s>`~A?+bXY7 zKkq@ciVH=Ob@1TVdBZt7?XVY!Q!S`XBItkbQ`GQz`LA1j`^=uQE zJlg;E^xDl%FoCS2ETco;N-z%9+BS0^`3CT{b5Z*1%Fstj4hRE42T4zV||I zlLI~k`>=bLFR08x-9!`RRz?xeCeP}HKQ@WsZ_@| zhT{#~@6_~Gbo3p$Njv^&zRLMQL>n?6n+4-*+lJk^y`FE<;q_W%LeIuvF75(;U>KfN z4gK6G5!q+ZPZeHxJj->h3oWD2I*BvIKV%l2V$$$9YW7);+mb1ttjyA_BI^(t(#n-u zqFL2OMJJF+J=mR?(!J4&yV)6ng0z9Hij-M}=EVfY%^65e0Y3%THOxzIBs<2x_oOVx zEf6)Qj}$fW4K1lvBYV4E)}Jwclb&TAO;$Ow9w=|P)2Y&C!zM$`Vhkc{fL<-;XTMH~ z+XtHQXc}f1)Nd4`TbG1-7u4FIi4VI%^ zesGG=50CP9W4|}^q&=E>WN4Ds+bfP@kb6Thv_lJ=|KiVyZt{Rfd$&R?3T(px=YSxy za&A;DZDbc2cMNI1-Wq)!V#j85vj%=?TyE8`%e|;2k=vmE66RS9QOv;7#wSyb;SOXm zoIX=4ex3q}Pj*KZ}ktWOkpz0h9 zp2q0}>;zBz#HpU{!W&mp9wRgK zKs)m>S>5DmT*Wh?D9GSE96Tjh^}-R}5yCP1Sk)bq9}zz{>B*76y!nqe)>)_9@bQ5F zqkxO-e!*j$VpVJxxc#tUo`KDWH0u?D8HvF3Czn#rrP+p{R;U}B{v-nniZP)#ozEN- zyK=q@%_7_gIr_W@$m9Ip@J4^l92YA|_0*JQVU;1-(TA#_zsv6OzmmR$f2tdMFi4A< zI-ZN7xh+$)1>C3YDoE~O=C2?~g_9|JEQv;sMuZszq9_RNt6Gi5B5w=QQm1L2`BuMN zEVDR(Vh!0IGqEfDfcpE6ccB2_mCdlnITbpNYllw3y_j}4b;vC??G?tb>pCeDF6Tz6 z?x+;OCpF5UKnm=lX&qUTR!d;{#uO6I5{}br zM8eA=_)AwOl}v0oc3Xk?WoiFhQvB01<%VT#n9WDtPul|Yg@qnQqA@K)KwiRZNA}>Sn?mAWOk`XpbFJT{PL_%GSg@`e0k;|p5`9!qwf7Kyi z$~GQ4#CAV2foQIhy3L%bupy3ezlft4r{%Ed2M3=5l{&?3x?>ZOpjb{EO+ z{16T4_J%FH{r!xjiZdM^E|%TT6q#mJQ9NQv$kyc2+k{%$c$E`@EPnxx96e0Zi*Lzi znRc+uao}wfr89Z9JqS_-+`?jWyzXpSKhJ#Xny|PyBI;#mZhFRBEVMghDg09Mw18p_*%_f&v))FNRzoVQWJE&B!Lx>hbYVx{-aA!RkdMfs)oWh z62ukbzTFZP|4qj}^VV%9rvm)dIcRJf#beD~BFb+PsNz{=l}%^1kRI#b`mO7;ZeYsG zc90c0#`X`GhQ0Lgo)J}7!X~u6*2YwrpOxNRWZcMa_fpn zOpJ}uI9XPbN>WNe)Ej3XmO|}yRpeCyeV8Y0A9 zva?yQvp>ez(2|LZJKtHLLJZUD=(k3T$j_4tLk6L*wXJ6f!wltraOc+~7YN=>aEhj! zXjV^Zk7xV$LVc%||BFPb4K`wF?aB3=jsi({<2KS7Gs95P^c+|rrl%AWo!P_v1nZxY&o1}n*&RFE+R2cuELnNO{UW2` zt0U`Iiff7I_|_Hi_>E8hd5GUtc$l4f!YANiRet<6K`Qxum>U|K7<=nAjYnb4E}>xq z&F$&w=Kwb;1qB!&q*tG?on*lnZ#Ixd%Ks&>w(2wfeOcqjZ%q;DV@bd~8kH_=Ti5Q& z;KlRH(OZ{XDP*1F9H|RXb54k(n}kT6Q_jL))bTOsI~)mS`_@RND-2%i_Q+h5?)C4i+bHiNOf_Gz$Tfgi-Pc zQ$CmD3=`-YdKl8^?6Y>4trPzodU+k2=O1Od=;EHDanU`~_jxp5@Ti2wQMf6_gDQ?x z()BF>Xl&Jlp^IZ{znR9%9?_@^ED8T1p5^UBB9Ldf@kvPc$xeLMyo;#RgN8_Sq+!j@ zpt|?#Yr^5a?8mi8&|ps;!)nH<6#Fgk$+E31c*-;cZ={8~MuWe+6qqZPB>f``dIB{Q zR6%|>LggiZWI-PL)|g89N{DWhO-8DL6qa79d_qy3|e$M zYu@MKk_vmx>TN4A|K5)2W8P6PTAgNc_@j0VOh;UOe2A(4V=9{YFu96OFLsg!st3G^>2>rk8N$`h}JoT^`oo-7yq%m~$|Hw)Wbt{1gb>zBJeF={e29(pMLU zh>IU|7D;Yew^#7=Z$Z(F!mR>jSJUEH*NA&rF7=PI#*n@~SZx`Xob{b!c?26fGR<;o z0DKA=JT(ym-n*1rnAz>(p&_=m@;O5nS}@v5&I*_)Y0IX`gT#S$Gqnol{u*@%8P$OB zZy4v7kmTX&79zZ^4_}dv2W-(Nw@4#SKbK8pMAOE!@dNsncv&s7&+7f(-aCOls|pF- zjXyw?;i=*deKRy6xR*HE7_f$xClMBBR}~5r8R&i*mT^^#_?w14*-8Qa)u@R@#TE`9 z2Q2@YkX^cQ6M+{#fp%oaHYZ$WgM@$OJ{sNGxK-jKO$L^wSC;9*xG!aS&_(@(TZdCW z#bqgaioAK31-ea6NKWP73SmcSxhRjyi42z!qTJK_^ts}?=)Bzmw+c$7my)c`SUOL- z33F(sxq^qkAcZ;d+a{;Bf)h&-Gz9QM?3g1Q$gEDBH!V-U* zRbznO!v;LEP@Sa1xOnUQoijIK#i))sIuCAQ=Sf~uFZmgK&RhhG?PPd%U72g#;5kHlN;h1(<8-^i^3e{rKj`xC?dg6y z_wou5?0oZeM>sj1k+Nb5w=;n?R%IQws0?Zkh(G-H`{^ld=F>DtE=@28rOoixVqZ7+T%s7S3phfuhzwvt^aVZf-19rewhYD z!oI?pc7cJ37Z9QloWZgPC@HZqD)}`uqo7c;V6J@cN%Tgc0d{nYFY&Wr4%^tSqa9n=Mw;}i{C02-X&5ZhX?-5W)C zRlQ4tWpQzxJ9Za;%%?@E@8i?^Gq@Lq;~%(yoGh#Xwg3eXbLkrg#T?*f!A$O7<~VlfOhMLX4@L$g;=DIYg~sSk z@jDe0SIn0rwYs3G4dnRG7uOdXBuxxmo;?&?O+YSho6Nv8(YUY6x_^bgWT}@$VCTDo zvd=4I#QwpyTbDm>=AFX@EWAO2!x1;}sp_c*nu_%70_jC7p z@UaT}zI4tT4V`Tm?S^r`7To&Ex98Kx3Q+#p z-7QUE=L2C{T~X!ft?GpnW>V5pkWtB;;Va+e5IsKD-1?6H0g~|j{^MKs;q@uD_oC}} ztAC@(zxmyr%rAvXFm0bI#~*(LzJj**d0TPUT20SBpvA9Qht5lFxcADPCb8N{jzRFw zzq31InRPzT?U&KZdH&f@-rIf2Ka|K{v&&CUB|hTqR=;N{MRFlFv5e-yFcGR1ldRG z8kyh$RR#3G&ACSqzjLWP#kYUiAC~C9XhwGt(zpE&wq~5=ezAWW$Kq(l?RTYZa`^FD zcHdBcFaiV*u{{tpE)hIpJubOV=&btQV0O4?KHzpTdtXEO@mhc1aCbg;@0q_CAwf?4 z)nqw+4Xm#S-M>V?stqvmBV)V6^{1;nwrpQ~r}BvdIsO{MFVEW=@C91c1-9MMi4!z2 zdz}&%r*^NJg~467>h#oR2^b}qCN=2FHsc*j@MIk7$GrUQTG}#}RjIc0Ae>B#MCZz3 z?G}Y{lh^AV?=CgaL)SrJB9DSbc7zP9$AjE058NCEsPyk%J+c*e^v&DGN(UPCZ30v8 zjDY6r5&n_7E$-8H%po{a$~Ho&LE!|E&R6CcVJYC2IXX26xzwgvvZc;j-^r%qNPZ`c zj-`Y1F^y!;_tM;2j(wQ(+^A4vap=bRfTfR4@Y@i-XOz;9^D_G*%BovgM$|mFVvdl1 z;K*K3KeVcx1QwR72BvRFF^fE;KX(hp%TiZ6?Lb3o0pD&-1T7IkC!~` zwXbNKry=e+$w$y@hpzoH0w$kA%}GsKG<~z{8B69BDBE9(c9k&G;A-UxBD`hiEI~OP zE;$|}T6BLY=7}p8*=eH3xW)=dA0Kq{g6+vLwZ5t*wrpMn&(1944kLM^%;Xx5PTgQw z#I|!HP=n5#Rk|}zJ0&5U9uP#Dc~0;6PA0afc!s{q{05>DBN>gDHXlBpZ0cq#HIK`a z5pukGjlpknz+WqiYF8xs*4DF)(_tP2Hpy%pd@r)4z&2UjsEj4fXMA#&=Jlo~)JzL0 zbCm)1m!Z$YyK^;KQ})c3&c*4lb*veu2)xpDt8hsqf0`)o3nqSy1gv<9SrH!IqBf*` zNZYAQ08}BoAig)Fh6?{y|zBgp(9bV;TX$@uJEoGVZV(#5MI?B!$kiG?I zxj@CqUMjo5@oOZ8i7y3U9lvsdyWsZKsVR&lN7#5LNz^%s9fn>kphTZo87B$dJt_IJ zimS8x@#JfezQ~fWaXjIY+(1tu70U`Qa&#<-3K5lOlw{$#?tO-yJEV=83}j$Z4(i}9Um z*S697W{3f$a+D0md<4hxg8WZ$M&%EA%A){^ISSzjxw+>OR|93cb-(ZCPjlb*n_BcU z)NQ;T%|ehSxoROt)9Wo4g_h$0T96vpmz6qnPe2DdjTA#=@x*=sgyBc)CVVJ-YJuI-S!+;B-*e0t)*&gEL7ni7z21 z`3ktQ^P>VOo$_IqOn6J-V5Q&XDuX@;^WUk=LjsdxNgU5An*&s$!>&A*WAV318}k{7 z2CZhJHFL!JbaeW^7!IUf-73d1B5a*Q3g1UL7_i#0bk+6N$iE9igoQ#=&NIfju-Bpo z`&%03yVfpqdNYz$mP!zhn{sLi`tL25zy&vp;I(w+XetbR)@c~4-+FS6lRrSGW&3Bdp zU=>OJ-1|5Cv3+9DKNY#C<(;krGg6u6G%6NDfpi^_=l{i8+QWE|6V>oQ6n=VpOr2ZD zSFJU75x54=4x1s76>~UTLkzjCarw+=aPu#rsT%$ZZUgJ~%vhL^*(D0bey||92pe)U zT6ONrUIyj6HdDpraH5^RtyQqS+a9xQ_0ig055vc1&G{OLf#d3%8fc+Cu6gUwYmtwn z!4DIiqy0lt_%g#kiPsngIY5;e07Wi9qHBd41-@Of>{pZ8L#8K*f=E8#5D@mN(LO5D zUQxprZaYjjmS)*H`!7TCHbt4v+QbX97iXNt%2+Dy;K|A>v0Hof1hJlK_~K)#MB$Q) zL2^B`k=h}@x@zwpt*2c`c@j9ZYX~~azr#I6W$U0Okasi52rT+D_>WA{n3m6=QsRis{ziU{8#QVh{hSUcxnyUC6>#A; z|Cljfs!y-usXiqM2tV&db=jtLKwg&_?=Es{qnzi?`CAXbJehxwUoo!KBC?y1*O0r5 z~4%VdYSHzQ7Rd@ib zNtYjfPTHc)#s&%%0f{OuR$GHQaN>l{WWCoGX)c6xb z*!9Pb0cSAusBX&xE9Ug>b?5kD0_J4AAS=040GxeyUY6}0$D6ZR_?BmURVH+M)KX~M zAuV6{m$QreK-Ee)tU zd1D;7_@7{n-f-s?>$A->8z|K=+^scBy6G)lsPZLGlrysYO9BDqyjE=lxVJy zDq(Vn;{BR=8l6t|9G`1;y-jDOD+7CUFm@4_m=S!V}`#7rT(bBX1$7VDcGNu~Xh!d~ZW(#p%SojyzcNcYsx z8xE-%17mgU%x~kmv=Gm|`xzhtLY#QxA8i|A$+(e*GZqUXAX9simebFe?*28{IaeyP zkaz%Tljh))@n6Kd4i;P+hN<%sSwbW^s^3uhHqk35sP;bU$|H%38J#;>VC zch&Thl|vhuCXkHZ{i6J{DA0ewVffI&@jEw|aiLd>DLw;az9{t_>I9yRv0^Hk`noR2 zEDiS~2l*Or@bHL!OWniv$ChcF)FbXg#D+y(@R}49m%XC9Ap(FlTA!(r;iWupMd!Bq z^ef-QMYE+M>7=1Mo)_?_yQpuL7cr6_bZ~Sf9C1s8g(yu(^8=TSB6JR``l{rr32pSC z#89vug0NpsR$`~LlAzg32dAly8NxkiQU$2pn>PZN{to~%K+L~bhV!%QPd$+iC1HVp zcFNbOwbyA9@r|AwXL`pKlbDfZw0KE4x-M8`s^w<8r^@4#kq+bHtdVX+It|(O3VOH68;r#^c zdH2*4hj+qkXg-F!G8{6mO<6u~Mj9Xr_4HICh-QVnxgQrbv8Tgb^R^=X5d)?L_8YC@ zpvNwqN82#}reQ9pZa}fCzS1tA?CT;t^FbvW z7pnAL(wz+pliVxo-cx9Q9VrbfO^@;6vxmUYu#hp(w;x_m{Rx?h6+JHdNGsm_U>N+4 z7YY~CyV>R9Nv}e)^yy7t0bHrIIeuU)eFgMnU9!14U7*)jRg3D6B2@6P{BC@S=SFaM zyMLR%yrs2W2`0vo*n7D8s09U-pKyYYDLzu*8ChCs^M8=8(!cF`;tsO`g$Cl;82YV7V02?7K)4Z0^Lq! z^=(Jqi{Gg@%v?T?e_)f5j)nP1 zs(BA-X#j+f*Z1e?!97QTZ|F6MaYmdbnbSn@rBnkp000Y+_;AOqng4W8a!TPv-z?v2 ztrs0gMF!&e`nL5j0a6zfSHNG{r4Fh{?FOs5t@~bwB-))3%JDU8*8NaBlBSki^hMvi ze0wi-e0YVt9rczpw4i9V`kBqZ{Rkz%%np@sxV$VZvCH+{X@Lai$9VU3AM(xhh`(zb zv3JKisWSlBXMlNTiu{C#Whzu^495R`zR`vJ*L9WJRV~hxlBmt;; z4Tg*wxQ#*I2Rnp$Lr1d;KvrSHSZ4#9H!@d0E>G$e1n@ycn$`3>0W z4?2@Ax=pYs^%GuMQI}o|n51x2yn4PBW^)XjXFs%kDP7)ugKD-9IkZP_jFB)elHW*J zFRmL5=?|PVHnjQRC%5AvB~FY*7^$3ZMz6S0M=US94pcaqOK9qn96!shQf7Ki`1V$a zF-^j%9xHk}aRztNO7$Gp81tQYZ!bXPsZ8JLdNj3W969T+q(DAwNyc2R17uxu(i1@= zgEcO|^q&#>Q#;~LIkF}S&|Np$r}*h5T0Iu0><{hu;$#hrGB+356OCf1AQl$)k3PJI zBC8gZWK`xiXr2+P@_k4!apu~rI%(TVN%9wVH9CJ-h3TbP>~H(^NLB$h$Jn$Le6bLu5^{celyhZ^c{ihN3{bd#o;PEdN79%%zT z^T;edsvn)(hn0t-wCu%>0LIP+$W)X<^x1Dc_?8Obp5@5Xjz(Z&XfBJBv@ljYGCzL< zn2M5n#BQn7S0uT6Bguh_wPmMf|EcPh^GEx%29J$}MUf%;jP1)L8<~A@mcbaM_ z=JlG-WF*yrORjNH$*BZF_@HHQp%G7v2G?cPIf*>D7hA2`X=x4}Cp5ke>>xrk^t@x6 zM{`4*G>&<57r#)B@B-p4r~s@Od+lGek!XBEBZE4y5E}ZoG;HLQBaB8`>+rg6c@+I&{R$}9 zQnaM)qE!1skXZ@~J(;)e_fY}F&(pEdIb*(d5t)BG1N|MXHd-|ikCbou*V^vC4xk&4 zruXDARs9@9@bBYingqaQ$YC*=CBDrbbIN86EyFklzJwRko`~j=1U!w<7;Oo>0^<(B zF%xP6ZnZx~iW#9wl?RgD9A-7DS0lBwaFdUgoo&L)bVT+(Nodl_N|9*2E@Cijb?!H#bDMIx~iKaoQYWd+-Cf$u>IeIN1yxO6%aZ(tuFsKId1#QwZRs3^qm7DuPQn&?5qd|Q%fDkBrSAp@PuUfWa*yqt$>E{V79GX1oVs2H)q}a)S{qKZJq%?xOMYFmPW_E zBT_D4C1_*c+2Ywwrn+Avn}k5w9$q5^xY4&~VNpfr?e!7@=jJyGe|M|n=;!0I#SNC; zj=B;o*)aRO%&jkRcX5-Wgx$ZbNKVsbx{)`XJ< z81rOt;XJGo5%gySiD$ni$)Ka$(OL!>ks|AQR2|H1$|DG#cK0-JYN59aft6sxdkch8 z?kni5R2ZV^9#BLyZ?1vPySd^C-5w&DVcl~8RCWknAC}tIdOMewuUeV)`uUvnbDVjI zYyD=D?hga3c&}RbcE17N+A=&M5-fQNpSSB@BL`-(+JVAVW9*F;zOxnctX;n}XW6(hzf2J^^*Wn(A%VcMo_pK zEo+1X3l#l2f9|P7%7nGptI2{ygi0UNE-IBDs~wEsY<`_A%5G@B8NG8dmCQzYlxK*)ABw(xT&KfmTA;ZA_40i~bLgmQ)_Qj@Yl0d4 zkXJZx8MHL*>Kna+>%GM^Z`W3TSHl!lYqI|$Vi~Ehr1eo&8L}zcSIynI)tNj8YSsR| zG)!wUbQ%vpY^!57DZ8rLt=~SB4SI@#?6MV&#OC*#O7gVEWGCVPTi)X6>I%ET!`{S! zbUe(>$7dm$CPLGSV`u2q#uTTxR5F@PM$}1SUw^YRBaZi%Z`jl(DAd2N`uU(Mhia$2 zBUO7$gL^n~ON@zR8)@bo&CKOFzDbE#AzC-VGy8rs0yphR+sOM;c4exju^^;?Mi?xP zwnbt?K#dP#t2X(ut=g0jL9uU%)2mnaLKA;jat(=YCW!9G$s+2`vYWIrR-8Xe;3l4% ztMoDF?f4aZLuXT^k?uO|<>k~Ha>(9_bxZX-bvcel|$X zFw@MLDTo)asklJg#<-Ts)qj^F@b2**FM2lmxK1O?-6-iU2pIQgNOi4nDh3PEg^mq$*uE;^Wh7LGht(A1~YPmerO1eRm_*68lDx)eLEqfr7t8m?Z#h$&&1e)@9wpod_A9o+CFhsfBY3DIj$SJB+P(%l1wEL_h&?=)ZaJAvT`+kTiJ1dz6 zBsk9K?hpOkcd?F3wY;L*(6kB5xB=%PO(W>>nR4ac9Xm30P-|7I*OIG;KyKu|o7QnL z7k;zgJbOEU25cSIWcF;UC4iRad^tZ_f(qKZ4%1fFs{d(U_|qT|7M;$S&Fx!s(^Ayp zV?O;eh=ik{FpG*vf&9ZrKQO^PNUa6?fQ=4JDYZ*UgiQR$)!Wrc(B+Vk3k@>=Z{b1RF=&42Z_na z@9J07M<#L(AypGzqx3C7G5o!L>6nW`S6xgqeU;+2*Um1u_Q_uO{A|ex$Ft!|yCw6A z5iMR&Rzv(iLgk@Ys%wdJ1>WW2`*#_*<=b7Eiuf}f?ni@(31_al-9*O>0}8v|`fEQr zSOII*5}EpTKIQh^6MY1=SZnGgqbN&bB_Y}*jC)39nn@eb;*oJ>@$Zp&cyhI&x$xh= zY(-b*AIsuYYk|$9_PKfsPV`HTMCLG%qz&DoG+gc(gSvFp_KV!O3J~c~XL?I6buxs8-_o6!%DSc{!LF3G;FCZExssK7@oKHc-@TGURq^UR2W!$KlGL#no~32?{tr=ekr%>3g83 zB)_YHX|`e#&!1Rs;zSN$w|m4ciFqA&gf%`+c)pZJ=B7rb5kqopB+f?RjXAS^v+Xtc z+Tkp4e*9Rf9T0YGvVXFxm0Kz+h}*kG<im~o^xeMXJbF$h3l9*z zW|eM}aYSU*i4(l5!wocl&fO1ATparni*CjK`y!K_j&b%VS7FH{xac5~UYuyuK*vz! z0!qwN9Tt3)-#?JqTrpX1IQPyT3b~e@IAR2M3s0ee6P=95zET>O%fae=>GUtA8SM(4 z%%fD|VI-Nf+jbS>PE;D7^=j#R)3J>!KFJM`MdZXFbQ%3#`m!X{_Gyyq=~e6wIXqEi zQoV;xyD8>UzhQJ+Apl!86U{6g11xEtGHw^bi#V+vs04hl5?hrcS?>| znq<_&A^hIf&_*WNi(??{bPxm-HqhG#o4lOw#?rrD-;Tukmoe+;NUuzhS`1AqcYl1^&~qE%P74^~wpeVm>BtqPlZ-!{t6BmJ`)Gb@jJ?a9!ozdh z?ZzuJ9%>C$p?izolA*g?BnhS?V-4S5f=>w0N!00P607yu1#F%-N#Hn^VHw@He3?sT zPj%z-iVe<-8RK`{CIQ>C7&25Z7Tew?1NGqm;~5>UZvml-X83A3?^dSO)_8BNVX1u& zNpziE{Fr-uuUwozuscO zLJ@s~_Or6|@ptnHF>DrOiC8r3#f_SBa6Q1<)-z0TPl(;)#!6Vzk*iJ9Rt9&+FH3O} zzq2uBR3Un&1&H772|EMmy9`Id8`8PeJOp%F?SSU2eQfu9P%RKffg39dOUyPdCW|5_ zusl@nhz<>mB8%;p4aX}zn!>G6=h_CT#(?(IE|md$JeQsRsDf(LYE5JgOxORK35NS2 zvoK8lpjrKv;{9Fz`Qy7vo?-$i!kIswG~XbV{Me*b#G9Z~vlzNZ@jvGBA`0ErpL z+Va3DUduDJr!#JEXyTsBO1kp%HeKB)cVDho1hy+52T{@AW{)v@ zElp~RmO@iH-(m}JOMSb4#?K82vQg!Z| zYP|-RsWgTYM94^yvX7dY8I>Y!-+2r&Ydey745!VCPlG{e9<%AZu1_>&x?p$pJpT0U zLu1s{+N;Z%cOR!(1=YS(!pu>zo0&0U9w5cpg{?1C>-kevj9K@=|vR0-5xYL6WQxdo)pLwNtdt zNKw!NG5V6JBj%h|Wnyn$+$3cFS%x3{Y?$voK#Eh6U@9fe%wj{e_8*sTa*w$UsdU#d zH+S@(`1(*%+oTPI!v&AYXiJ%t zoV>yyDX~W!GBuVNMM!m40EoNpJ_a?RHX1n5V%!a$=flc5KR`Og49ux{PYx%74nj1y z+{A}ST5Mn0+$8)nWq3D6AQpGb7%`IM2e-LI;Fi^imOB zEN+WVxT`?BR35WH2z4X0gE!TiChd{2aWqyRwJ^r|M0QJ$OtQ>qVqny-xZA`!ws6{! z+u#VB$On*wD=t zuv7mLbF5H1J*<;#1}st5^S~^K0Wzxiv9(CTBJ z?xD)HpRh68@a_VWzO_n{T6zgnuQEr1+CubKfF?P*o@Ux z`eV60k9PNH!%g*i23N(W0RJyCbq}dd_j z3W}eeQ?PimnazZ}Me3K`tugR4gIknHleBH0XiRwwTmC{$Va9 zZ21JQWcB_E^IW(ITcJ4U<^1h_f{XZtH2fql8uNL$ppt4#uL*+ERy9!X4)NcSsqG9L zj_BmGg9Q0j&&N_R+x*Vuly8hu{n(7K2DTfKuyq+17iHJe5$5(wvT}Y5A~kV}NwLtU zZK~LWgorIk(1QU^R-0Y7hQdb#{s8qghlUMmX?F)_zq_M=$2KJ&JQZwVNvCj35P+#Z zZ3$k*MYP#1X$_X3R->#XEa`dUzBXO;vQdfwO{k2tZgaQrW_#f#2Vv|wg|vL!GVco| zFaa@EC|>!#zSX9`ZfX6Gh5XS|C{FEpBf7qf!OE{v*8*Up>NoH)2;&o(s4#$RTTOh8 zw?#lJ>E1Jdfcx9HEmcBI?oxK-mOG~& z$ zp3X%}H5M?tQ0<{|aJrMF`s-T)t-RbTJBp(g*Vf$Iq8@@(k@f}tev54Ztsm|6&Nvmw zi9|@qpuI$~uD+q!F-FIQAfscfw4J@LTj1`lpe{QR&ZgUuiorV!TeK_KVDC^YTtN9#M*vAbvU7RqJsH(3anW zmJk&CC<3KK0~|iD15^0RNFOY&Y5HrVIKo?nSm~&CpYrc-KlzPZ&Pi3*nlaAqiR7JA^MVN(^Q(rKf zB0s9K5P(?(cUz|RJ*rRgt=E>gO;S%J3ueYS;z&YTYj1Qkq64n|7DqWc2ZX`BJh_UQ zS*Q`gqzB&Mn&_;{$&S`;X+qetC9~0z#A$0=0VnYteO_oT}xxOAL);6xSlWP#G5nM{7fObRd@P3lp;*QDr zM#Ww}A_*0$Ze%TP@FtXa2gHU@W|K~d4C6XP-`IihC4M*U7c;8}*S-^Q=%FvdRN|0w zecyA9kj#|LS=&TCy@>bf{|51I4HM5jdS%2|e8w;ZF=~l@qpO(o!fRX+`hwCBA`HK} zg-%MfK>7Z|IM1Dp19dVJw_9uFPP1&7^-Ctr=%DsvgGL{ty|mKvJ8{YF)HWxfL!nN} ztvL;KLghW-IVss0tdMe@R#(wBM&PUbS`+tV4aBAj(8>OxxH1A=n zF;u(mxvzYd#}u2q*dioQHui9Am~b*nm^WN?dv#bQVv+C5j8J=qolzqi400e1O|{rt ze6HU@v3+3VSX0KJF&CI%p%9R-Rv}lAYq%ODH-g%{LNpf;F(fPehVX>^BYxbuz_`vl z*t75+Hp}DeEp@d}gaz$xZ<|Xo5T;heU=$hdmc&oekM{w@lodqQcjpGM*w`goRo)f$ zWA4@(k1xwRa%))g&2kyZQJ>d2e&mv@cxmFuFtu8Ui#N>Yr7B3))cRv#ryD6)NRPv& z7e`E%R=fNUqPUDxmJPB!iAXeCDYS>foWm&{?iBCO6;9uWX+POtYj|Wjoh?|9Cx)L7 zn9dYb&YWo*oD2em(Ny~V0r^0VA&do*7UB)pL6Nc9HGay_5P=@AKy^QZj!n(u+U}*h z&Y3XVYdNz>4@k5ngfz7N<~#;kp$tg)R>tPR>>LQAxo!^qsBkJR+>-^WMUA`hgMxYz zD~c)yqYn$dlG`{7+d~5v2(pnm+$NqtL!}rFdB~HlBAeLtpURU;E+<^-@;Y~&YA6&7 zC~VA&CYo@PPH;%a92`F(WtXqsGU%rVjCG8p=%&y{-#Vp*!?4p=`4iG)^C9Z1B?iS3 zMMkNv-{TcfRW}8jWt2F(2fyYqdCI7re3H5kl1r%5dE>jQR?AF{6UzmvYqLloO=~PQ<(JuxuWf#TM?g~<4e;idsKqJATW`G{&_f7cpU`?25h@fZJYkuyn}-buHmY-*W%r~EaO zkE~&T8OVOEUWP)HF;FJPzHqaxZOs750B&xH{dpgS6hH$+hd2)x5Mtu@Pk^lwW{V^C z(|XUz#;()0B>KICQsnl4(k%KHuNAG z4$f@lvj@hYxfNW*HvvEB`w?rc)Sfm7esK_ju>JYVZr#h7s=ZfBK$JI|G5?<2DqZ z117LMxBY-zJt+bHVtqxcF9k=l&d&w8$NefRm}1NZF2KwdXA2FI{P;JU_2@lI!C(;h zN#AwQS$U34S@nT$1EKyosh+ul52W0BW$G3cA2S-Nu!8u~PO|m~?-MpU@LwDvj{oKm zajJTw;aI*Yw9ilf-<*kcPP^csMd;tdvq;1IC+7X>da0rC$ogLgr z6t}QL+mRd~&`722Na2R5=?rG(vp*lJovudJ#GiNHy`S$!A<@$6Q4&i_GnmxICKppf z6Vn6WaO!Z2rba+@_4N!5_4W9Q@@2x=)&0NCgbJ2{SUCi4tzJLTaPC0C**Z4J_$RCU z|Kk!(!s(wJ8l9XP835Kd)ZhQI&(9{n6977fqywR(12($0>XRE&IdFTyi-&iFJXz)a z`GU@8&I77@cywU?$$*D%`r{Ik5oq}Xjc)*1KW#367{kgpF$ehP@cx#8)cA)qHSyvj zoS&YK!ZbPVtf{Z~&;9uJ%p+r2L2z zhTr5(5FjISV|&HdfDfz+cTlf4%O%t@1n)=N%xHN!Ut_$I4_cI~+jdW+m|21R;~z9J{)+`>cavZfRSuq#VX&*AQmib%rkA0C~6(l;?V1GRT*1n~ZCo82S7*L(HTOc9Ll zD>eEkD~ztm!1aIQC(-?P*C(R=rwDeVXC(~&z6TfSTIUG{Z2p(fhX+j_HF1S~^>;@4 z&V2Hh_xe}<_(%2k$DNQI)$)?(yZHz5{r4`&hF}%fN2L35efZsp4dq&gZTRIU+|vK2 zVa~{Hwe)wD+~Da`&e5~Gl1F!cCG}$`Evbdfy~OVt7n1}H;OGqELD19<6&;g=yg%~U zSzN0B#@_-9z_hi=*;fjnJMjT9eT|dw*RDV(2O$3pzvPDi4?yw2erO5^Q1UBy4Uj+0 zf6n^v)^7L-tKu8p5Eww^Pq5Cz@{a%xK>o*WcnTIE@K>;IC+1JE8z6sTFZ{)Y+<)J1 z-wK*}eD7Z6v2OkapZ^Tsmm7V|@1!p@{SUf#*5HBuT?+h>{+%mrrEmXAfAuf0E@|T% zSVM1O>leBg&hm}@*geMQN8>&1%Z2I7Z(ywf=ND9O>~n3ty3UC62ig(PfA-Jb+veE% z0OA+@YE3eG=lVD0esbvK-#dRHql1I9w@?0W$7)^VC;ji!1uR%skZgP!+O^Dk5N#ib z+xALiuba_k2FkZsOHqp0*m2A8`o$wQEMrLRsndSvQH=Sd1peq`E6GjEIMYSant~Ou zp}JP0)K}13kwM_)v>f;2AR9h9OJg3y{=l`D1j`>B9tKc1C!@Iip`k(VWhn z_t12PCt-@LzQ8MItO5Pw;)Z@yPu`n$jk1~3C1F0v`~Z1q&nQk_!7I|%zm$=$TNOgf3v{MNkB9IFHZKYRZ* zx71R?hmn6I1_?79a~f@H(8s^8#n7Q&x7%ixQk!U$T5nQ6M-lO#EGP>QpytUdfiz+G z1Lq`KR_^s!Pa{$gpFSGXFwxm3?mL~D$62pjn!}HMWln0;%bYrT6Y7K+gF(_vp8oiU{QltVDUC^`z6^ zmB*?X>2{3KVx``nEXP3sTJ1odE+Z)ELPCeptW|+J)D`*UfgawU zjasRgX;)q^I1K`sTmggQj2=bu^~8L%f+dFg2y(7em#PS&rSeU&CU-HSzY@g4nWIfw zGgBXIk4C^I-*dkgv^}!4qNWc-nllSO_fCa_=&PX^ay=$c1(P#v zClopjc&3(kC0Worqu6(3pE2$_;WtL$cFAp_M^>mhIOylcpgJ^@hG)QLVWebfkDHz; zXN53Q-uGEr5(i$Mr}2JFDRQ2c^Z&jH4C=O@dNzLLk3-RqJBkLunfU|Pufw94`v}RW$6H;@CK4Hcq~haw-14|rNsRg*U%-L&6QLi7dhHuhky}Qg^ubN8TfkWNDqLfF za<9$2QP+vE=Qt9LCEH;c1~$dpevtg;_rETgXD>Bq^4%uZ0sNWy9NgchdQpdg@%!O-3&dL?FE=s-r-Qe zl>&^?eqe2DmRo!Rhci*AHfD!Dvh9O^yGD@A+wT|Cc_zv-n%hEA$>x?iw2Q0nOzgnX zRVUQD;Z+IHd;>R^vD*Ze5)5U!?~0LRLz6twZ-UN@Mf>B`37bAeA~~%FbwEQWiuu+$ zJd(S7%)yT?WVYK*AIIdlq+E*(Hr0Y&0->xNAaZ=xWWY^CWSzASC6;N-nGmKJ9Zmu@ z08Qg6b?BR<8B{##6B+SAbyVY_oYHIBi**X5owZC!ebZBLj_d54wMC;=L{sG>X$HVRcm`f*WU}Anvq7?5fQMj z*<2xa!qLH$>Ndx6q=6GvFj-M-nGqPZ71nBVe*D$kf2k+VBWyzeA1rgCqnu1-1iD{Fxcp_a^0T@ye zKj{hh{>FQ38EhQb+6bB0Au5)*SL7Lmg~h#a=*pSTgfLvg6dMqZ|j)fRxwO{z%?5|1oi%$ zu%K-IUM}Ws=#V}oJ@$h}yove(DM*}GZnhpJ@`h9mXD2>R3D%jztKE@*B@!ka%iBh4 zsR{+61s}ts9J*c54qTQpkH4lgAA~j%H>?uyd&$xQJ^AM#s7g!W3bE$SB$irv zjOo!-Sdv}2RX1~h%!KpX4m_R9I4>Q{`YLSc8b2o%ye7|-%yG_OqW#^n38M4IKD7=x zB)+g~QAi6B%3UFf{rT4JD%GIytlY^A-AwVRKj|O^sQl4{D@M{$Xg*})c1oL;Vc6{F zT5f=6j(f&^iq%AAzq5GkV9^h;cF0~yMf;O{#$L(xcj#PyHcP`Nx-Tpj?<6wG>(G3| zULQLu_bLQD^;l4#_&oVZl6kuR9z4OnjQwuvIL!`|v{y>SFtYWc3H8~irker`HiW>4 ztQnpB36n|dfG52ty@bvyMmkriJ@gdy`9{&_5qPP>yXdSmAr<16#5+0BSBCNsK98TAws)gyMhj2b7E(|lU-;&K`%sTAyZjelFbF&ko)SIysR zC>YkqnL%3MVbd5BYW~-~pn6vC__m52PXXIKDuG6>GG3L+zX@H~tra4ThO#0=tzGl< z+J!XWK4`q_m5SXhoR`q$x)04C%NBhUYr=;=@1wOeosf2VwMQtrG+wU=(oXW|d-zHt z(gXVzV0|=U(sr<2Y~Vx?*cA^pI1dwnH!9#`Sz_~d->vS<)cJ)@W2&HhyO*1AewLM; zSeii*yh4M&tqUz32*XYiA6;32IMFP$;hc7&(L&y~t)^(%X;|nv%%O@g8ffeOmDjJj zjR|eXo{@?TyzUwVe-+Q9+1rZPy*gWvuaW}^_7C!nr3V~Vy1xnDdDrQ?FnNSYFq*(lN^1Z>CJRII(sTFA6O^qTPq@V_8KzpQgKwciZx0gN<;C)nE{>ExrK}@xm5Yjb5+c~lYs_WD>@>l z(FEW!w_i)88LI#=Dsi}1d zJGi$NIiWWsi1gmUo~o(+;5hW!iDx$JjQ3oQYn*XWW2OY4Fih!jKrtzM9z108AZfO`&1KS=OpzGqqm|O0IBXdmuZI0O zGw#qoyyoi{D4D(FZ=iKo`51}EJC=cgblmuuZ{N42R_qB!#taVz&GS3?a6kAFEtsR=q_WIS1JD5uH3Rc zxLTu=@h>9yDJkW49e3v3dGiQ)Rj+MS*e@hIbggi#5R06@wDO3QI)<(?*{2P%gVh~B z&XDiGW!81W9SA zc}YOu)%4uvGlT_mwG!yd)Afy6!JJ%XELU1O&ito?3CRrF6#yl$><^;b(6-HZpgwSv z!bI$N-aI~9$FA6E^K5W*>X=jN3@LY^NKc6{zq<6A?XY)S(c>oG+Pr53AIc$U|3Ovu zWFCs-DWC#+X5dzY=Y1)s$G#H4`t?2NY`a$T6I2D9nEOp;MD*Flu_@8jTk$>IslJcu z3k;=)x=xxvd>5RUG;lCFvH?Y>PjKM0ZC9y1W%%$Vz&?p&I6%c@+kIkobm&LCl{(k# zt}!d^P6;Z*OS!BDCelUDDJjUR`mO|xFA{uNJ<1a~5IcVpAVTg`e>UGWXTw!f%-Wft zy|)X1Xqag0X%gz~(q8@+vaapY2BA9^6TH)P&NA4{_Phn6)kii35Qs*P(3>bTR^Mwu z*FscNpVkg~{o-i9Yy;xkBH71{=h9=N*5!KAKD8xtgiCWbq;*EC>A6B_8Rh3Z71Qz1 zxn8-|&Q;8H)9olbV>%O=AV1-1#$#%-i^|Wo+E`<*(V|+D&KS$v$V;h|L|C!xS7PxM zuG~MHY*U8rr=WEkPZ)?i_N@JBQi!SY`Ik{#(?=nDw2D9JB!7%nj!6r6wBIG&!;#K& z_+?Fsv=9O3O2 zp;?!^ZT+LTSmV;w4Pg9Hvnrk*crPdCF%o8x<+8)_B!>}HJ}tHL+4?z*X?r_qKBbCf zapV=I&$oLl5<_hgda}bX0a)5s8qeazuqr4W>#3AYx(S@ea|g?BQNN9*XR9_Fr(Lq8 zlS(7{HlxL%p-plfYqzKliihYnH|XP&6;tvv=au?Blrkf7S;3>S2F=xtFzQRS_`oTP z(?vvrMZuwP6GYO@XX2IqHI>>Tf>~O?$&7&Hlw4NE`YOg%o@Yib zb%PSBeu=gT4cv-t?KeZYOK971^Pufp-M-(T*cAWh9xLT;Uw!O#@@}uA&1`byc}sx| zO^l{(?8xMvv#*IPXEeQDnz5&chgruNea9eL%x*o9Ac0v`R;NzXZd%LnIsM%!szDO zcz7`}RJ|mB6XGp-9g%eLfDv$qfoG~Fg&E{$GbHP}dy9q#;XmSyS3U&*!y zY4Vp?U)ha!+6lo1078I*6Z-RAc`n)NNzN1U<=Bk-2TXX-|q`V+@#-w=Rk? zD#UbE{*dr+uBIj{DiRSi#$7+MLUd?_j#~4Rz=sx^N4Ve_l{}WzYW;D-r|Sm3j6f}p zXtR3AElGNQ<%^AQU>4k~TT_bEE{0x;7K^bx;yXGH^L5;f=?5;N^ODfHLg7c2Df!z=bl1~D zPY`V0n4ALs{maXo+Xna%+L8VGybWD^*D)%!;y~xH254(mg9?RHFCc~St(g`bKAvc# zBN7sCuK9-7q_Wn)L0?a0t4Yf|IMlW-@M3o&K}zlODN{oj(sN|-si|tP5gIOb~e1K zvxaT1{r$}bT#BEcy>(OHSq8u1jANdO0mm%}R3uWH1~Znw^kI8XXVdBYw^<>Z7@XfZg8 zDdut16v9Zvs+w3>jAZzayMN$Ig7JR9mW}W0)+llVwqf%vK2CP@CM|Co_}>W_kwh9d zdor5NPWH-Kc?(I{+>*mSY@()t;M0)S$mYw@sa=d^8Z5L$2>+eD3^WLLeQqv&@@v5| zmigf@zPl2mg1w`*j{C@YPyPA>bUco_7&Tfb27ZSdjZls*hS!SqKH79VT);Zw$)#`Z zjHz?1BR9C)0+O_TD~1c1DQ?AVB!!L7Z^oc81Fhz{5VFwdzh&FiC9#iQBzuHh{H};? zz{pM)#!V|_C)N<`C9TD?6fB7%7-Y{hvZ}a{qs5?jioV-4pU;V$dyuIo<5c!9wVBFL z(-!5$fx#H_U+K7+j)nt$^!Yd4OIqygkQN`U$#X3BU$dbW31jS7ObtStD6Q?64U&PE zM$$H`5T1W{)w~P7Li{jzmeS#V@lwYKvW6kB9T9J+MyOzK2mF_Q2SXWf!O;+NIBvov|?=W zH4N3t=4JNfcJjleevG!q-ndzSbZ?mZbiXB9MuKiT_w9*}55Py(4~QRFYa1fAhe(N1 zJGhb2#`XM1O-R{>&|O*~EubT(nO82uD%$pepsA7C_=Hq1G4F7%#u+v9w%zO#cZ4<6 zqzQr?Ad=mH3Hu$!Nm^NP@U?j`krS=lujK zYclMOo{Y?y+hu9*GZF!5t^X)ZR-HM}WZkBoO$9&j4ph5Y>h!O_lda$P3(RmSI53 z(`kDdX_{{WIy{%0P$Kb0Q!N)Bq*o35f?Q{2^!0wTq6sbM&Dq1wGQ5EHu~L~%O+=IM zEu56H?7xfFNG-Vh1z6NVR-`xJJ}0hsk~H((7L01XBW~X;e#3jUt>O$dr|j^ zqG8VEt8xSR3?{<;Nm>;IjQKjrx6|X&8WOD+TISO4k)FjS&(Fz|qWMYp(4u_=1gsVq zv0+UsTU!W)OM`WDYR8wx7p2%@h#t-U(q4I4qtyo_AdKpM4E28k@`0`cGb+h@EV~Bp z-t@>k)z`_iEM5eu%c1^-iwH&`DTz#^c)oN|pF-SHUCZT1IVf+DERO<{#KWME7&nzwAFCT2k~=-ho+d1HGvLZPKl4tp(Gx2``?kL ze|@81;P$E`PHI#~JnrCo7 zv`M(@yBGR9e&Se5KA|?`3rW3dm z=mZv5jUOmE?|{oxH9O64zXn^TTK8HavqN5)PMVN&u!aGCVk@rMwW0K?PXMlJNE@*u zEYwCQ8%B(d+nP{9x|N9$csSk@fC;sX&%6pFI>I=@jLeQ?^JRmHslL;*6r8oxx8lKD zRv?KZG&_^q%1gH3t7`Afa?KL+)_kqrb?7NFZZ-vFfn=rHga(@vSOsKq$AGcHK*vmB&RJdRZl-ZRd{PLk-THNoSiDco~-v68@##k532a*FP?yVjA185u>Q+y_hOU;HaiY zhK)IEvhYX1dYN_Lej;UBHgIo9f?uckr6z=8DMsl>GRC}w9io_5DPy&>0{Y%8{81&ax9Z&joD4x zuOH8lw0?i)L!q0Mj)o6To$228!gP#U zeG^Lg4iSbpB7#pY5>vZ>3%KMN34At67sq+Aty z?7Z)bM^&6k{y=qmo+p!}HNx9sAuv@+XZz9=ZkMK*Vb<~Aj|VHz!F%rYKNhceOkbR* z?GR&n+ls-`Gfoq1u781VR)RU5d<}PBksa+*&lH;j8jtWUfY_y#pd7v57r zSpk|)Gn#491f&}uamMi?bG(CP?vA5J^hQN1iO*73N;jX&8jtX*{9j^Mz6H(6m$85d zwSopWf(9^bC3Hh{;*9;eGB7W|`1luNbU?8}xgJFQGmA7qGrZ`#ngzQ( zi@VTJ8$pjL^P2_y-Eus3a_Anzu}UDp_}-681=h_&xXIL&=|*_G3}n8UPtKE4Z1DUQ zxlDe=Gb2crB92M{DuhYqK{D!n1$W0CJi5J| z3GXKld-N5>e6blDo(lqK9c)y)oH^N9+2z{Q%N-_h#`3}zee8sC1%A5pM26K_w17wK z!`e;6N(#}z?0i~WSEnG-92CiG#Zol~XA z#<5~cthE-LBUCR=6>shhEn+jjQh)bjXwd57I@Q%i@W!e#qecq-{Y;2Y-Je`P7 zV)L=PqSQ7v#%x@NV=w5(bU5zJ%HL8)ZxI7hFc)kAa+r*j5T1)kO0+aCv8ku{$S|Yv%8>Jn#hj<-bYPtqY!SJ~Blf#& z$V?*2qc}Mha%q*jEjtsCp=Brq-AS`oj?$+0aVonCwOGk24ek|q(H}9}#t{_ia>T$| zWevHO=eQaE@CdFNn$|1Io=ZlGQ>6rP{FL6|>o08KF=g*J5`^CzN4$nAOw+ook>g9i zLvQnzL)$a<4~vcv{`5jN*+xz@+LcvtwKTGGh@^GlNfMB_o)q}J_`0y`Tb0b#lzj`=J<@|NSe#dg`n7b=SSiqM2)vAkY3P$*!dbJlTGa zFv3K6&XHQw#xhd`i9e5V7p*zRp(=2}F-)3$OX4TeoCs7snS{NhUe#!QMIXs}IFc1E zB^B`o-4>Q^h-FI7LU#e^s&ZL!jRGMwaVUkayMD+-c(CbM06pP%I#&7m#i;1|~v`cP+8s+4eM{g;%pen^tqe3BO*+3%I%+(cHcFAG97|qRzV0I@R+Kx;ZKeTW%A|a74tU?|!Mh=APE|lv(O20}O z88-qE*IQy&5jWXr5aNKHlgfuN>cagMS%$5IG}}K50jDS{0qBDnYWOc}_?8 zASJEGD3{uzUThC8qQ#!3I$K?j!I67mA(>H@l=O6Ir;BME)0NIj5dYBsdC(djBbInp zZ&|-y1wdDzS~1II_)#-+Qo2%WO|8$bZ3mTS=n>kGdKEjC)m|DzpBP1b;927KhjFyl zo3aB*;v`GTO^Fp+TqZC%(`Eo3K>$$8_D7o{h@bd9^;SAmXj|yS9wk#YP?Ui za*B5TDFUX7olVN}GH`Fu(GN+*ma7q<+orrDy~u!H_NF(Bv*>;J+XUQ*#KfGC=9}1c zp~bgEkiIoqEwxSIS!oJbfKI;DnfF-i=Ghf->~}1dq}z>gnFEhuT~U{}|21eWAlG`Z!g%*w8--aMP*r6WAP2FxH-m=PX zD%K!w7P~KNg8#~%t#5iS)CQ?`P8E-Q2FV6;>B)FUX#LJxZCy8XwiQ?+|K z5+U>e_S1`8e7AEZN8|+EE;5@CUJt5YV+^O#cZxcf`jkQ)<$;x)HT;fA$vJT{L?N;! zl8(8bB0sxRxg?K(gWByb!h_e#dxW!Y{v2Ey=^iI^fGi2I2n9y8E)eCy*pgrlGs_zome0@)DKx=bqPx*7M2n6vtL?_b~u^oK6%6 zsW8t4hkBwUC@2YN=O!E%dky}{@2@soXM4lsbRq`cImV@+uc+44ke`UVZz^k1$%R&G z<7>wpgs?}a#iRnDS6~@?*`s`^qt2hO6rMPrM1ILFfz7<4g@ESK;@9qr9EiXvuzHW_ zxveYVF;#eQmuvAbiO1{TRPhiiMGr~(H{~&Ke>pi$5yk}}?8g>GUwfUM4Zjd=K#h^1 zB$8b2Mk5J+l{mx*BC*xnAz>1252C`MU*y;d~~kse{ns)X!tVSo3``C1P-*dR+P z-UTI%Ajw%W8>nTW?y*|h3a&a^!QiS2M1BI|n4L?0caNL7;C4Q!MoLthb883T_gJfn z+?8uRK@~&|Y~i5Gf1}$0UDINfdA!qs6UkduXnm+qS31ItAE7&5`AmF(v{WeGPrp*% z6{7qYbuU~vpmTvJp+_k@`@WlaleobRV*|2-1Q}vATYUHRXd> z!&gev&lvoxPnvY8E0@z1W#LLsSt*O@V?h)$tOM#_B>}VNx=P}M$cY{yKRhQof^K1l zzre5cCM-Nl@6g2$XJolr#mS#as7aQ?x8hjnOV1@bgyAz|k~Ua9FDQyrr-oGK6N8zb z3pj$-dJfiIE;v0-jH<2v^8)~3$JP6vRtpQmf3sRx82*1&3+sPw4F64PVdG?G```Y% z7UKf0oOIR5)&nU)F9k`kNLcWuTk=*Lj6jeKB2-@R21g`X!Y;_Am`t{a3JM5#Aquew z3W{RE-nny@?eptbk2M-_t1CW>u zDmeiZ0H8o1e`-enLK>oc{X|EBf?fj=(a+D%puQ}Q0qCM| zoE+jltUw!(Odg+N5&jb7T@+Iv;5GQSfJ|l-mcc3HfT>4-yYvO zoPHZJ5=6fWSjOcUAXHcWT~EKK4!M2;*8$}t1#HU{wmk`Ja0wae|6=4GVufLv08t;?wr$(CZQHhO+wZY$+qP}n=YF%A zyZ9Hg>0Kq2bSG7vr&8h{2|u0rTriNK248p-kLK;S4k7$FKW`J5VD3)8qC*pF(!)4# zPp_eu<$nVPvL=769Ri8~kOeX2Da9lJm#_g~0y?68s0`50LBDQ6ewht8Li2hM^a0Fi zU@Rb~FeASSg}(iM3II?S(6EAkWk>nsOb8JBAi)IyaSG@dIoEp|4QAy}`sUZ)iS+;h zv#)v3{k%Ybuz$Qph}K8krI+p?zY4$U%BrY{00PjFQNST0rX+y? z3GE>q0#Z^a2#<3je^vu~&;Hu6{q_87U-2ni4(1>Nr}Sv+uRk-xcXL+vzYDPV`}wFa z2ZB+#W8H*MHxj($C6C3hn)dQ3#Bf@|>{#eKV z<^i+yfWyGC3cdIH^*93v5fI$>`DkniZa3Va;$JuWbroo+{xlVX;RHE+lJYA;N&;X+ zf`BI(hR5?t0?ZRs%jFgT_N`$P5W$ES)Dr^G^dJN<14+JGmo6>>AmZ!G&+XOml4r!H zgLB-Y2VLW<67))tsD<>g1A2${&Gn(ehk^+ZnlvpzMCdecHJ#7m!&0&Tgfjet!f$6x zgZ~JbZyKlD%TF2B^m*}2oEk??t!D30fwso#9-DN&MnBJOH9Ks71s}yVA2@rv&5kkV z8aEro0NYEyDQAJLPiln7q_hXo_W;)xX+LT^aN9eedwfkTWuYqkIoPX1oo7*C{iAck zGVWHSukgOc;MiRoj{F9ePL9)$CQ_nn=97b}cC}@isyW zbb6aPN;F^~VFwE6ja!FWtLRmn*;Ew;U>2v?)g+LBYd$8D>ia~$-R7xPTykF|H5-WU zu{F01JAJGwy!QbHa_tS zUd|;*wX?Do01~OwOF-%yX0iBMMLIZXa7%Z;i^lm0@jtHYJ_#*c0YbGT4OVY|F&1E1 z;0gABm>*L;0e?@(DdXXKKJw1w@3NEv$`@yWyQk%Rzf4i8GKod*B5>=7j6c}OV4=Hp zCgbD%_sOLg_KqHh`sS8-?Yxf`l+53^)F|TGu_3o;&7W=47_l(t;G9B-&j^zZDT=#Q zZiM&ryB<=L#(f6qD%QM?m1vdv@DlQ;K+rD!N@_|vZt{HsHpkGF9Ys`&)Dw6y{R0wzHdryQ6qtpKr9~%MzF1``gWF z*Clf#s6=biYc$6udt&$c6^$JAPPm1Wc!dF*jM%XjPNq%il$nwfoYW00pY38#RpA&z zG1<}n*poxF2Gr(Dm>P)9Z>sM@ieE9^?ud{*Cp|^SAC8@$kVbDZX>o6hlY4$s z7At47v749hWLnFLE45}`lkJN!gIqqFsnBhS3}`(-c7JfsgaYF}q*LkiD zEM&G#aQ2u~_cB41JM?CodK;b#ryqJ8;+w#fafXc`g!`1bQ?ojM(9@MrXz%Td$*}NZ zG&bF__ z&a9fg(KUOs5awc<#=2%yt`~Jd*Xd&y^nqtH%M(Dg%frcP5iR+b@e*8m3i;)JCp8~a zaldte6xb}ce-*;;v2us{T66aeby>^?8WfJdv?w`hj^RCBeyqoCbGr()9#vN5cQj@=aY^=o2A`TtWi|NAcr>O#b&%ti=;{!q)=tf9()4$|CU&dM#Fsf1h-JV{C6pP&`= zSDj7*ZAQnFXQYj=To6ZL^?^yrusxvrcY{|#HDb|)LciCt-jo60r8^;>dA8f*JBkk8 zj2GP)<611g%i(b+3+5My>epqi@tGuG6@8oBODEnY0$ex8mfZAR50+3YyyZV(CcaS8 zQRFX@U7j<5F>IGWG8CAY+*``i_ASBo?K^X0TgamKLP2oqPXu_9*8p-mE&idVm2X=NtB2A%G!_gKHJBtZUaSO8k>CUlu`a6=#eR8XPq&a?`4 zn@VG9twBBClS4yyL^%n~*Z+AcvQsUGQnBx$Cend^J6lzGVP`n$Q9a+EB?JlIq0-*9 zO9nOITnz&u8H5OEaqp5y4Hdomsf*~rPU8e}xd(sCuADbB!ZY)}QG7MCVKYjr&)hJ* zks~R+ln6x>1uJ2@&l98qrQB&gY+L{B-_bNO#?aZigQoO|`AdOO(vjO9j_tqwC>g)& zwkb)hk05WoKTG;Rsjy?0YCtZUQ&xBHG+(IX&kbhq4p`CL+}YCDMZp+pYH_`WEJ_>a z(mTRIPn+>j#)aSsbu>aXl#CfGzj}?whkiI6iSc=2@%E`F1JZ#GEl<|B#J%aVtYPWw zXs+o`K+5F z?EQ7%{zDa!tqiEoRp~ky?<71RuidEKqq6K(9DEO!@pCjXHDAuJ#oXo>zKm|2ti#Nv ze#lSpK^03$4_A-u9mC!uk~m4g%i!>zj);v|OXZ$y&3?@!={7oYHao`Ne{<5HV;xph zs-T)YcCRB)=H{J;4m?z%>t!^SAR^I87u*hY9?u`OKh5cn4vh^5lus(Z>u-I<;6)fEBqEwaszX*{Bbb( zkPyn6^P1%xUQCLA9-GAQB3|Jr3&#`mp0?dJ!fuW*V=m#XqGWAsmKuINGo-D(*^~4d zrOhlBHR*A8M%evTu7qGWhbITLHV-g@Zq&ZDC22XTDT!y#z%I3Yr?_7FEsO)&F40GP zx2yB(+?9zNxZOZ9%c`~EzIb_XITt|8t`4@@Lf=`2m?pp;E0>EvjGYoQhrPCv1*0h; z#l}=FS6HLz#V@*x@%G_D)+E>V(oRN6cXZ5_Bd+me>QE>1jm%rX=t!IXgG5B3Jeam= z*1>pkxF(^eE_P%yOq<0|Gi#MlD`H=2OJ?p{3tpIl#9r??HH*)Pbu^^C5J$S{%ipJm z{$1F)5c^ywP394*MIh_PKJOOly0iKhCmiRzkFt=q1IM$!y>(9TMmyt<)O}~nqJA-b z)>wyw9$98uj_r~oiq_lvP#L@2e0(c-`XCZU&^3|b{AqVpB37qsx1-7k(-ydNSK&FU z&8az!u6-n&43CkCiRO+|mfleITh|zN!$0(GS(eE!*$@!ZNr%;ugNMa2)6(r*qC$+S zxmkdf|YjDcV{iyyb;jZqw=*fn+;sHqWc`Yq?H7D$|{ZWvqs@dzqwAd zt^-1E```}9Ehq*>kL`VNw&P1`+wzML?~TRoWG&vYEN@Re<91^V z>TOMl_1nE{X_E3l8ZflzaUjg%wBLR?4C+22sULQ;0{#yB3-`}F;5414!o!m~GH%Lf zVMV+01`uSeQ016>k^osxzcN6fha#y5VQ)9|mULX?Zp@D)ExookYEwg8+M=Y$2 z4S>wZl9)}Wt7d`tFWl1ykw%een@MyzX3r_NNS1VnEVD)_MaU=_bQS7(S3`Z*)1O@d z(}k;BasqDsz*RJxzsr#i%y;x!vxmH#^04_gfz>f7RayHoOsNjrGf!0FShAI3BMdy# zuqm9luC(Pj0_|n)WR&*->;Mp|oRSoBmibHSW7HheC2sQE-F{MK-7!@5h;o;Mnv7U4 z_dFF2P5Lx~#_x9a8oPjXxi+}6I&B0I1~=A8aia6acs#45HnlIwreoHq=hy1GHWHt@ z(Nj9IK67Dzta&74_T2`d{6w;U$A>tzW}%|$WIihvAGGu1I;6K8W~u56j!ltTiww@j zOq0&2Ym@h|>I2_%w6K#51x^(j@%95~t|~o4J-xhqCrEv(7!83McN0uZWS0fMHf#nivX>l5e_R1fc=fh>&$ZZw0B z6{#xa#S7{0w{$iYOq88)n3WWTC>|KwZB$8<(}#mOo~BlOQv285?da$W*RE`mX2w}# zX!>CAMeNO#Nvv9ZIjYPuGqq6F;yx7Uq$QCc^{R3RDxpQuTg79jnwSdoM}j=ZdW>4^ zClXlp%h)^$eiDB!;%P%XhF8{SuH|9b0Ug6;ogMFgifGc|C2dWw@CO=6D-gN!FpT*d zz*1WXYa2l}arq0^2uxhoL30y~VG}C4PyB?YEMw4wyKDMV2xzA!TP?rSr?&7phXxW| z9!_sd*KmAwdZJgX2_fs%+4?&3iPnc8Q_E@7t54IN!?8KpN=wabV^*u=BJCL|rT4Aw zVZ79;jX^kb%yiQiM$2{&a~+#jqR&o8QDrt*qj){XNEFaM3A7WOr4~j+BD7O4qf+r* zTb%*DBtNlULCUT*1vf|wp0c{SIcAX?Zi<>B-Ba4s62}_G?&&s|%tB@qbW?CW_`2Q> zD;JYDRf~nEM8J}DJ$>Fn)w+k9DZYg z#~fz6!R&OCx)>re_%iWY_U~S+-TCT{7^Bd=3%9|LzHX~b`FwEGF%wyYR`tD&m7;Mw zGXoG0K=Ziv?jxn}I_7R|@0__q+)ceJ zL$M1P1F+S|Pw^51ouU1{Z!#joYvl$BI|W6k_$S4Z&Yj?u){>`r`MT+qa7*bhGIbk)DwW zMj~h}1<&1vYoC#pmvc=VWn}-{pG2g~$Cf?CX>Gqm&K0yw z(gI|5(V}(=iiCFXL0*<9vw4?Qjo5G`tE0p#yig^l((ngTvQV8|6MsqjOI#(~m&mH5 z+=Nesj&H|<@K{NTgn?<=Rt4zda~ON11wJD7DK`dLH0BE_ap?>5KMkv8GI#8(?NM*U zZeu08i&{xQ`|1@7>v*&;vsCEfpzx;a=3q40B!0q!j~9t=GC8m>{hhsZ`-cs25mOP!QN{xio4Zikq4DKZZe|OUOY*=MceC5FxS?i zS7-I1P+&_gb2jVBefOFOcziEYe;Z$*-i~|5gMW9!i>m9rg$P=NTk>}JWMfJC3KJI6 z1Rd6Yj(^UQ>}f2-+TCBhg0Gx#KBtJDh6YM5CGZ^_Be+%?UbReE3hr8x9Y z?TCc%F40N>dlYUqn={1Y&6)G^-I3to!1%45l@LR?4h+IV9>r-2;fR%@vAd`igpI?c zKYE-S5wZr6y~?Bm!dE&WH!D5IR@^A+I4c?QNa26)9Nn-k1_bS1MY~+SHtw=m7 znrAcvf30{smNUY+4_u*_jaI7xtH>- zXfY7_7stx4clqUO>x$8SVMO|hbExR(q|@jkNe`h8s<R$R! zXc~e&P(O;7TenDrSSxGFZsR?BI_6LOvHl&z=N!iDwp}@~x2^elSSz>3sF>>m=^%zt z+zxYF;`$c*%Jd#_kf%rwHlBrxZ#+CHDx#>j6*Vf@6p4)U!aNa+Qj!$_+kpDa^Y+x>6>FbL%Me|qE~;)9j8Ap7Ma2{=^=};p$Ob=O z=ZonT#ScZy_Sq3`c+ymo=Ttqfil}FqR`VL=d0(`5cb8DgdQWlioM%s8fuoN)cB&+} zoQv$kNk-Z2b%pOY@IADezNT`--IO7kkW9Ln=bSO;-&t0$dU$juKZlmJ;3bo@V&I@7 zwZ)dXQ+0I}&6ZXNR|zW!envzZr}|EMH){^FCgEISM(0{*D^qa-WgF6n2^Q1@KC6nR zezR6B?-G-bE5{?la8N;!ZXnj!j=Up{WP?`*AYE;LKdR1!7ot~Z3hD1k$a$X756CGl zWz)@TC=!!D@3Eq;--ydsr8VtH*<}J?({8;rq{4&NGei3I$cg~6oMR8lP;i%5vPs(M znBK!s!IO%(5)K^so+oZHIsRkqsq_jx)STY-dMWUwWMi>ovjIfBy+faX#2Rz!fbGr2 z^(f=wo^x3b_t87q6kqHJvODPHj+3tJw;OY`N}j?Y>rf3uH|ePRV+WH7Z<(N1Tdr1f$;n!EsFgi{scB|9>3E!NS4#zc`MQ^}pc%SB`4~RayE&XO9a> zN+PHO$@>%BF{=5C7PtIMGs1gi`Q_~$9;Bj6a&U0O=@e;f|STW@K5u!L9dwLfzcH(Ibu^;jPC;*QS#rJ*-m*5P@HCV9UA%G0y2EcW| zBqSgg@Dl<8ChGN59zsqMoCS+=cJA)rU;x}r@PTmqx}*aSfXBcBJOB>`)YUnB6UZ+W zW*(?Z;EyIYJ^|NYA3*r0a9(`9khkAX0YGdYCRpHrB4!G?opu>EU;=JleFeaRxbU7o zhV_@h1K_=h6957K{LaDO>kkSf_|FYYm;eHu1mhMocnkR2U{)cZH3j1`gFBe7uNf|VNG1YeyAIdOnn8?3(1%@+y*2h1nV9O?=Z8f}u zL!i)qiXnZsWdP2>LJYodhkwrPatZ^-KA5F)7squJ(Jb--NK|la{fQAGBASg({5k_!+f3Z*Q z;J=l>Z7Sd}Ur%m-QRPr=!T^3H@2a4szW%C*zvQeOf7{q}{r<`pgbX2JKpcMueVhsy z5Ch$Tzxi$ZZBu{vAO4~reUE?s_QlV`8y{_XPw>Hi@p#uj&aaZNSy7wVdvchgnR|__)Zv7kf)F>D}jZCaQ+Dwb(RKB z97FpGxFArkR|CNhKtO-u;TyaW$fpNEgVWdWs2HJ|BF}dZzk>?Xl|OHIQ4SD;uWNEp z5YYhrc6J8zLNKHZ5*YiygEV!t%TMfQfZsk2AXrC0{ma+j^}_9lzgW%X3<3H->F4ZT z6kz*pAJ~xmAos>;yP)4~ARxmTL%*P+0N-(c0x-ev2Y*2X0Q`sg3IP4_-{c%*s7S)l zAvb$Oh%vmAm@!W8>F{KLpZW+#$G`Lu9R*|j3INHwPwe0F(FF3_+YG$lGqy5+zk_}G zh6?56C_)^ZaRCw`9IIi?xoT4j-uJa|QinICd07zmaA;bG|GH4ctI>{LnwZL#^(ke0 z!6`Ml+$?yVcxUpmIqo$py43GTU8cR|Eq8wNWUt>}9%2_j@{z&P92d>1d5(_7We!R0 zb~LzR5>0YJm|9=->kcO$vhksQmG;*?Q+QCJ~#L z(xOXvJuYRpe98*W}ENALu`?(E#@Y>93GLPjH{u>1{Gpc~I1zQ|p zh8NZXmK_HLmE1P0NDce%dV61XshD_QAJ94{`7P}IhZ#?_P$A<>BOdk2vma#Xr@8k) zAN9j1KI|-t`sk*mxLi*@s62?RbhXLOj_3hk5LPVDCTlmZ? zGW7iL{f1TIaIK?ND^s=I{4hj*D!>=QNF~nouuxokPkx-Cxp50bBdXssmCs~q<-PIle3u2V;=W89(le_)4=NmJZ#)Fq4v zziMmx{i4NFRh&#F9oXhP_pI%(f7pzyAN?Unz7qJ0_aC; zAi6GcL%UWJ^F!PB1oPu4p+6&e8iIEa391=BO zamsd-KmYB7NbPiN@InKR9JR$=c=;m!e)FJjIKLf>XOLgL*Oa<|D8RZ?_I<^Z@uyY@|c zyGRG?dfSMo2`*Hg(FR|d;1n{=&l!_DDjj6I(Z(k6YV!G=X80kK~^u|3$QzbX;r zFe|>@Z-4-CFO@$($N=xW{b!6^?d zCZ-^=)AXW1`AZ1B#M|8Ui*JGq+sJ~`rWz5X%UR?)IP>2L`RqD2^Syc z7@|SFOo(Nr78(@3n1W9Si!iJZvICnuh5tFz3k^)JmYB@8u?!1_*+1;x?58o*4IB$P zWYLs;_Dw3C9O5fjvW`fkvSp2fC`-*Io4RmzDYrE+xWA^ZsbK(whJfkzpmA}aZJBzX zpuK77T60MaCVkUJeVM@0e;TSQo#UmzK4)oz0>O7+?~Zpse?9V6eXf7EbA^kBXs^lp zW?Ob4-l0bmKQ0N#_hN7un=H@rx_4c!xd8k{N|gKiJ?r-^6Ms11RiEWv#Cvci%TAJ} zDqUFNZO^+!v8VU}Qcv;NVA5c+DbL`)4c~h081vGzPkNhpL(nT8bCVZ60evxlPD${+`fG@*qhO(iG~bJrVMK7XLjwk1rqX#(6&1{All98oOW?AJ0&zLkqze;r-w-sKod zxjc$74LD^!a|T8Y<9i=ZRUh>(Hqb$@*7NUNF7q$Eu@n?MF42xeJgg0i@mlt>(4o%tjeXf-L+$BatC`x?t^+HX#m^G&8x*8FG#kk(3zJ`}CqXjDnIr2&|QZAHVg_CYdiS5Oo=Ickc` zLn~ia@i+oe_m8BXBHJTnk#PkE9X)tD*hjzQ z?$5y*q<9x+!;qTu1!^K#o8O3a`@@;|@_2wFXJnkf$>$B?-~w_Y0q7K01jBE`BzrGw zAamFjg;^iSv(b3*7Jko(+)ceUlQ$A>R9ZZ}ZZ-2tm&lyd+$O4&u0FPfkM zdv3XoB^l~E9UpE6!7FDu*Xb`$eaL{%8eGIixsH$I1wHGlR5x))Kced{jrc1)5IucN zv?k~L==p4aUJIY*)(i$z@()L6Rq`m<$RiEJ^^K;3g;2PYz|`3RD(DrxSTlCrIG|k& zG}pI3ftu`AGtRKj6XdKu;i_@_-OV45(Uej7^7?itkDtVTa4_FKnVc|Xx=eM^y)%_QLIOQbFFkwIw1a6dlQ$QmU&?$kymyDA07F2$zw8x)J8OP&|5(Ef`@N~X#Aj;h zo;Dii_s)qEmDB|wVuYp-BzLOl#%}M+3ZEHt^f38O(SN>3X}=s!V+~fCk&_0cH_Q{j zZ1;8yxw|eeoRf47y#@DG9nu8DkRjy9C};|!9_K?#^_r+H+DrQZQx_o)J!*HC*XHGG@qQhM=gDO)@G#GcLrQq==b$SscY|`nr)ZScBuc@@&4MOP*tH;+Pey+J~cn0v`XfP7Alw*=>tYnY3Q0sLZ zs;H6X4op-M>B4K}FJPuuW2IDbeQt8cp&y(XXServtmk!7u%E>=|Ji&SHT@&=cPq>? zt*Ay)u>D@A+?bL&z$Gl+e2BW6RLXHDI>VVxt+BPk3C8URRN(A-dmpJa9>^% zDyLAZt#^r%gUc*@2VKhUwosT>_v8BA<-ne(FAmAfmqY)q;(KCe4?{-84DLuFr009t zr?E$mq-;v&7l&*0UB9$k+(!OOa)JB%h=VP{Zx^MX{-)yVLd`&FU?Ihx%-KHgD-yU5 zRh0?iAh7TI{R9Y|$}sNGq&21gBj&H=vrs#=@wFcON)u^L6&1?P=0CNsk`h{R_IoB( zTpQ?&_?A{Q{mMvNZi0V4EH;lX#%sjg*}U2*GMw7CLyF|)x^s3Q<~4#NYX_%I*SPD$ z8Hv`2CZR16ZIafB#zPRKpKN(QBNgRONb@z+d#M4xxa7B zz-OP!5rk~-yw;g8dGe)IvghGXyXWL$vOx5bLR-yzx)qI7`@N;u7@Tn{s|kH;h0e2A z#YHLc3YH#>ra7eD1^|1=^&_d_u9T3K#u+pUA%wb^xY^ch^t4~V?)ke(D}!{lY_nMJd{nxcWZCd**w3^3G97=z(f<; zoCVnFK|~GNymXFZFSc5WK# z@q~Rwe|&i}l!DA>dGRJD-~}Q^uT8#9@jhslE;@E>Oml*NLMK+Mt}HpzIRd9mey428 z)urYDr7`VRY$eCA-k#Y6iBO*@EArqSM+~~v(3&O#`!uN={D9ToP~*GF?ZsfS@jRXPz zB+Hr7c-Tf&K5us_1N2o-2>WZVUA__(kv^2=9vn5S>saCaiPODoepQ!3LLyt|%oncr z>Hcw>&N_n}lcbD?b>HRsP1CF1LjAUD)>PA0@M|>Ek+ZY$g^&UFD()+-FrT=Fq{MEY zv!kD^XtJ{u9G^qdU_-~%FnfwKI(2!L_f?7LsEc2jakRKs{OFy)acD>Jk6;3IJ-^#p zG2@}hf&Ahn{{69}1NLOD=hzK;Nyy&)OI$B$yv(|f$nTR8Z4|&x>tJSvjOeWJJHKRxn(#-OeAL2gN#iFSmp!cmiyVXNjE#v zdiUjtDpLt5i$Iebyh~uRskOWXo8Bqv*t%gwt8Lj8XhS|Pr4sQbyvoqY517UCR3ut2 ztr)(Tr>1B(4fC+aZ-FzPyeRf>`e9+YF+-+YX1q-mw0mtq!x6@eF_O8GaNUATce z8S#6JetyVBBu_*VT$~4ZdAlgKi%M9ntTQQ`g}^<<%|N<-Ahy%8jPU?P8+qBYQA$R( zz9yMb$z^3l+FqnF-EtvW;xd7Ki5KTh$unZIZnN2R3_FR}dq3&y+T-gjG1=H$Z^=4mDKs(;O_kz_=k|Ke6GfF7f1!bL zC1!4h5y6B&i|iEto+gde)uga&i1Q+My@xyY&8lmUg}Ypr1+{O+bo|UErngn|q%`eO zRD6LyAch2hvNLd2wrk}jUm;1X22bOpryy}Sx!ZgDO^;#$?5^xS%}sVKHxb-}I3)Nh z%53t|X3B9K1sI8r&&frR_s6TLtoWWq;9sabmoekDQFD)%x zz0F@`z2J}<*X0MZ&5JfBNh5lY@hSJG)}fIn=3AApYx-szU z6l3{ey)qUEH=JQH{`si^}ZU{EjgoMV_W((pl;a1RSrm+{Fe1)}I z_X%#M+W{E1wLS=6Q;-Lx8VRQw1vmODEfvFzQ&_3_;9RdB8g*=nGiI23E6VoS;oN9o z7Z6%eUd~pHkVKIbZUdVg7@v;2;i!#DU+l4>Om1kH9fm{tSF_@e_acaVay3gzygSsx zpmyh#`D(CKfp7mxe;b{E0~l&GchtKrkTx}KnUcE^>$q@})x z98fw71!rB>h3Noo6|+A0n*`{ARvI^##p>6WVbu-o+=m^-8TIY{RTV7e8#!!u(GpSO zkZ(83HJVpz^Yl01Lr%cJjS|YattWHwE(zw*ZD;8=m z)9&HNBr%|GuuBtT7_u`K5Ga%%BDSHYyG30y)~U3^p3R1+amBg(@z>ag9Zo2j zVrpJvY@S%}pBY70OdtTnHp_buPdlCYFk?;dgE#FtV)!_23JUgcWEs5)_kQa@tQF=($2@0H5JBNCm*Mf}KSRks%hgW)pv z7RLw{M|CZ6g;^&5_mz&62?vn>Kqu!?>G6 z8iw~pN_Yv})S|HTnr~SVME9bm8B`@5vBRex1#?Ho#%LQktpH*zzMv9qgZ!rM%QjqY zUXii7%dLPK%CUNDmT>N=CLLvF)39f?EDDqfs^}Ns^qp1Z+X;X_l9@p^?Sp) znLLs6e70P*yIQC@4+&yE?NW*B`#?ywCK9FSU8SS0{H;EA?=epNL$OnBU5&2xoCM*5 zIYsuNzNyz<58-R(x`*YaepsB1-mnDYmE;G6{+sWeWT`ofmz{n_&#^C(7MA*pC@Hq# z>18zZSUiQ!zu52we!lVRhDa#OwmhyML)zXJqGI<7nqLo%&Ouvx5D)zb+tXHb>woSP zO6NV;o(Fc{*V2qVf+oOr$FxUqCu%P{Ft%IX!$pCHFYhdIfxHFZnsl%L#(DdEr7@Zw;$ouw;@&LS2>y_=!dVAHmzCm5jQ@dL>`mH|ES$?+>LOe!G`$qh@V!X zB1?eW6?>xHf~B|UPmP?i`6nK@7(GLFToM1CM_atd6+SHeJrp_MhEKTz?WDyuDMl_* zUdc&;N30PElW<+>VvFGO0}3DB?TK+84rPE)0%(#|?+%+x{lo}NTnler`LF0$?9}{b z^N|DLlRVcm(%V5A@yv7hq3;Rl4dpk~ydzQX;FOJfc50dgdgKd5U07OMY-tEVB+ZPHj+#wR!+luDWF4WARnENa{R^=yi%`Is&->f8r zb|*jZGK_FqE;~DwHdr;|SGX3YuoMXl`sk##HL*;+d(VPh?X(SJEtodLU}GEGjcQht zZo`og%rCPqYhwX)W!l<$l$w(^(s#9@pLQ3PWH;o$!`B}dhAYy3&v*VX2LI_ z>F+TdIv>U&(Cc&0dK729#4 z8M3J^686QMgcQrddh0X<+frSa`oIX~?ifJNN@p@g@|DJ3wvt)wMfhppxdgoMHz8KL>0f5@^P|XzC?RR1j<=O%gb3!-ja#SxJ;bZcm}R2U1nDm zH3$*HHd=07(!S1d?}s&8mrmGY#nciD=SYlc^aVzj2ZhL!UN zRBknnlJW2pgjQmW&*|MyDoE^ko7>$A;I6#*@~w8cNBhVZ4YIEIobuprH=_`EgQfT3 zix~Bls`E%OhyT1U4N`aasGQ-rsY(3OdP_{IOUK_R;7HqX@{HX6+rnZ)vJd?Q=S8{q%@BAl)PCB{<|wW*c?)$)#^AVTL=( z70O3MK$wS=#zrl1TjYw3x9cdBe z)RZib)V~=bvoHU+(F`o@ejNhaF<8UjK6dXg9fc3F=9A#$TkSPqX{-L+pHeFlT9fSu z8XxkU<(uLoL!3c)^Gs<-zn;(R{u(UjSZPWDB6pe=tf7v1e`!u?+B$*P7%G z>*mC;!#zi5!JtE2U>(4E+`E*Q7UePE^}t#?GSY$xKAe9ra>&K^F(RH zj)$$4iv@oXsr^Ql*?Ss&n(I~>!)f#Man{@YVTdfo7k|*xS!N>EaBds!Mt79BYh@Dh z|J=J>ub;QEo2Fx1N02rP>V&GLOuzvc&| z(bm@R4l3r}PodwPy|Nl$SOh!3Lr-2S%arYTkOnWAxocFJfuOB?Sa68zbtyj&u_XaX z%&35{0pB^RA#iQ?BJL9^$V*VMAYyrpuRn!q9A*khj#+KA&^INFjV&kCLS!hv4ygoF zW19S}LML+PuqZ{jK>S!@QUJ0_2PFe-1T@(MZ5(Yd4WYSsH02J~M1G!pE#>=jiE^3v z>_d?FfqN=SVEWb=H}}iWqn5ctD{2)ftCUuA_?!tE%jQTsXB&L2I3sIyW%v%=XfUI0 ze2W(IP}A zviSEBmvj)~D$De6Y?9~184tT7a!XU%p1ii^MEV3fIOJL;JjwX8NCZAYeH-Xpx@Y^; z_+|Y9-T%FIlcUm(<1cpk2)IGa*iT-~Ajw#iRu;N`?3tj>+f;s__Sfp@Jk+x(Ln)gS z%TojS^R0*kt_0E>r1iFe2`;QAllgfy-y=Bg;|DA&sti~K(|D<>^bshFz{sr|u15fj zaaMz@=yx5579P8^_v5fek!QvZcoU9L-HNz z%kh|Q2oEvAcJ;iMK_y~)zq6r>*}tdOaMr(9qU#at;uRU;;n9d}Bpo7Dl1-G0yf1ZP zGn*rgXi-^t8=(&3_D1{*KMWs_@;`>RSpMfO1s10NJG{ll!p!!+hPT*R7}@`yhqqk7 zS+=d$>JS!+qUFLCu3*ICcfIWwz=9Rc82*Xh(Rx7^wIPr~NJgNE#Oe`21Q7@#@E&H9 zoaMZ6-}PAUbeYxs@pRqoHTi!TnlCUPr@ISm4yYtRu<&aDkU%Odud1Pg2M7!T5hNfG za(5x(+<<*a%Z}RtJqZmYLQMRf6r6(w7cp{?QeYjG#fkv1bngHd7y@XhAkmOP0s)2y z5JdLjCh8>tke0)50~XK%%nJ}1Pzbq+7KittZ%<&xQa-&Q_M6VZ0!T|lJp90ck9Q4q z@4qU52w+FKg>@KHZ3^!Igh_aFz})=Rq3A5mS~Q0j7ZlXh)d4Kyk_J3GB^{gszYi|V z0svz`ot^+Y1AGI)%m;Q3{SqJ(n1Ew&4k`LShe?Px(=S7V^;2x`zcPS8As@s#1b6~6 zi~xpRY60lNV;sget>T08_3OI>13(A-NxrE+*NHd`-x-wm@GkBEN8N`L=K#bxuww|Q zi%J9Bgr5QnAj0+G6xvackl|edhjt0xFu?V3hXbh`zW^%0^!M!-Uia+dS)>3!-M&H> z?boqnXsd~FP!R3x7(%c_-K+acInZbDo^Jkt{>iMO20n{|{E~7EBErS>32=CLLtqi_ z=j}4Mmh|Z`P!0Z_=NMQ3fRNCU(a^yJcmf&tz0L;pEnHp{EcTEcn|yl zya{651_Bo7_xa1IVR&&8Alm)@IsWnL3mVfZJEIEk@<;qNP*M>500e#u5druSLO=k3 z1q%W&P7|K*S^jne^bP#2UJ4%gvx0xhi@AmnBLz%6VuPH%{(>Doq0_hjZerT?_eq}< zFq(t`w*LJ@aBlt-ud^wWam^UDdG80p4AIZK}AiBiG?PgOEdxe)SOm636j)aY}(-131t7 z7T#z4GN0aP8CZ4>ATscgL4RIO42lHv{u>O|=66w^Y6=;VKa7Hk8Mq>Uc(?SJVH=J9 zZ-p`S;#}Wa;)D?t0GB7AFXY1rK*WLofdamE$an-40Rlygga9z71Odd0(f4Z^ z0|XEP2*1*A;=>982oLcPh~N-j24vwb?=_$5rr!4S^fK0ckinK$_8)Im0Kp#v*N}U2 zL^mZwx>&?pb6CfiJ|FD6l1H~^Ihl|Tebh~(;%}7lo7JV5e6#xV)oPx5&}t2C7t4zG zJk%O_+)z69omIvt&eUN28rG272Jd}L*zb3*xD>vI+|7iijp98yCw}G$Kr-1 zbLl!!0^F)nqE^B;MrgoVgMcGRG3j5;Y5V~mW^^Bpvlk)y7Lxku$9{C~Vqs?a(869s z!%fg-n#MVYz_`WfDlkIadb1-ivWq#rW4f4H?!=c^_D7T8d=7qEt{6x>H1-+$Ny_H- z1M~)3AlW4}whuIwD47(pFPd!;doOZ9sxg_C_IA_&7>ZUR8 zLaGKmaz0iLdpDNdM_Oi7kzEu?-d3P+GI@b+)=uvHiW%W(MzH`r2Gkdm?-S2@ok3+4LRP1IPGSK;DNlon{FX>Z9Nks7h@}eDD zU!GL)!qsN0&YN31cgz`o9F)6U`IfC-$&OGQT#;>@E^H0C%HVfaIo0~Hy>+$wiMKBl zFwcp+J+>3;rJI?yuB|<}^#4Ez3WVd4Fx3J4Z=>TEMebK`jLievPV`xi2T)DsV$J%TnL_Y9#leJ_79%HX?s;tktir2sI83m1O>){i)(beJ3 zhwK6LP6eln)4!_nJ4=F{S}=%+ydlHn$MtFN$`or3q=c9B^e!b&S@kz3Pz}0(8#v}H z_;6{t;#kR-8wXe832C;&9keECykIB3?FV~pOr~VePe{r$re|hy=SZ&MCx!aZWqABq zlxO^8bIPPgwf;0qNS6*Wj_zW zm5uq}NgEB1*?Hx|(m#JxZ@pi;$#3o^M%|nviMIJR%7>-q_Dp0>-7pFXfZtcX6)pVtBtiBUa}$&2EE{9F)LLjSjJxu6s%g<6XWlw zD&5LN2Xyd>a-6BlrS+?UO#v*h{Rjp@ZhSKxCUo$<<$Z1;Ihw|O_`5OtJt4hzO~sR(SPCnqv-Z-TM3*-t0N1$W@`K+6^SEIJ>! z!!|OJd!taz2=8v8u$!T_^ycsZOQ&Y=V6yZ$4;Y)iio7OiyrSfzN-rIX+K8~EMd$Q# zn1k4K!1kD}zVg^yg+3FpPJDk<(P!3Xn*@>vQ}{t&4;s14&r#Irxk9~_Sjy8h7l1S~ zXoXW9q$T%^@Vzuk#TerzF9Nq!;?|%%J=uY#E0=9Xmxpyv5^R0iALKt`=WJLwv2Qpf z$1Z=qQ*xB-acq=lfJ$`M<;UgdxdA2L@s}psz>;;FYnJ#l`thh5zoEgWqufn>U8?GL zmYjzFijSyYAH{0`;Z_9?wfkJe1~-2Zi~8>?Q*HZ#4C+yA{4BO@_bUeBtlB96P#S+2 zE%3Z3ds_%k(NS!1eUS~|IXTbYDI5Wr8>#qipG#432uB5PKN6)z3w){d7gZ6eU&lV7 zKVX$08(15syLQoPgp@0AAlvs8Uq=%kegYcCUAg|+Pu9)Af!?+0r+&Jefi_QC;<=D; z0l9D13f=e8KNhJd6^jK4sAheJzN9thMw6nMe8A8UM-6BbU6&Q&^XVls)|Mye>Q}DH zC0u+XY!D$O zZN7rouX=3}=?B-;UE0qj%5yI>WG(US$4KzUmnZ%{?BsMOXl2T@$!O)}-*f%irpR>_WfD(i}hn}Rvb9fP1i1y|aokw>M8goHxFrecX+ zs=fS}K$KbY60~m0FfVvg_stga@e-K;@O9>pOKE8&Rb38Zt5Fmi=YCaYm?7uMAE`7S z*I+!Xvdm0t*3kb}r1jrobtU$wGZ0(t_Zro94nWvi`#d3>Rc~|;aW8Pq(p(8=f?O{r zs|2Ug*(Y=I?;G~U^mz|fZScgUYLEHV*`eN&o9ve!Gy9Ld(MP%Q+F!KixxFZ7qae3R zzBA#oKW$^F*dXQ^ag6q+v?w^!Z=Iy!4^J=aQ1+QX$CJLkhq9=zbK0za#9AonTsWVt z7Nv29rb%;lbGtB#W(#$42ZOn{YqVOjR(N2cU1j3yopg^2^kFvs#<0sf)lX54038}) z5Os%!s@ZmuT(_4xR-vykkjr`|v^u2UtHO#X6A?0HTKb0>J?zi(;?Mb9PHZ89pbD7o ztAy#Nb%nYXJgQZNNRDo4$0+llO7_wXFQp90nGZo{x@bm@`_#nRxjh-!K;Ilx*#(d| zdI~yEMkj9B{^WPn11K8r$?2n$E1}x7G@UoN#B`7b3ImNb+TvVU9@+8E}o zv)XX-tMhSQgZt@TMF)u{-jSDvHJ-M+nwOmX^Ew}&>CK+bAlXfciE?XlxWq?j>?Q%8i~kwdhMwonW{d49kkUt>_Tv*`>5=cA?H7MM!S&A9St&QKmEZ z6WD+MS9l&)2_H#OLTYC+%^}&=@Q%K^))POLxic_EI8QsD`UI}g=u`FYupfrr?blBd z%H-tgUK>IW(djEzPCSi%q}(;g+OLb`L|pYy+EQ4!Aj`fX{#<+Tpv@z;E(>rUaC@TwsHqPp>cPW=-8V% z_0#pWMhY7h9#*Q?Tcx8OT>DDGC{0+6&w#4IKNvIGLY zdz-|cnKLT!9@a2^y@y0j_kKM+=}EZehdADbJ$wnkKojR;va$XK_gYcUH^?bB36)2B z%%D|mRj(Qi$mE-G*4j`{Gd-Fd`u z{o?+&$Z@jw4R_Ak=W>_+znceh&lnpW;SjzecPumm*lTzpon@jajUQ#hJ3*g{1jr?+ zL*>AOf6hmVW9SnfjEjV5cGjTC}2 z6i8g#oM62?Z`oAE`m1<<%ZYRdgezII*fRTG+0%V|2EuJ`xEEdUd~*W%{c&e#%->W; zY+lq^Cyv2dSpXdlY)5(8mGrC{X;96MopQ-+_UVZ#i`6Q4-p;*VXL`P##zT->-I@M#xXSVInu$0H_W-c1QK&;O=S{;sLq>WiI6|7|TFGVlo(u%6IgN#NEh80j1|a zEHy%W3L|4mXGtTVM2sj1;A~;ax1pPyyb*7Y^*5wq>q@CbEqb-7x^)ysc;%QFQ=tQ0 z?|A9afUV73p5ri8`Sc|Po9z!TCxgi6%#6 znfY4U0CD2z8aOn>d?xp^$WIBf3iOZ?KO$o@BC_t=6?n6)Cfhm>e?WH+)HX!JXL}ce zuYIQX$6`TSiKA`az9x@@jwP)D<}rgej$^^8-vg~_tw>9;fufPImGW(O?@Vu4BJc}( z{9D`@^fWOPCA(W=Iksl(IvOna>=sb(;n$++4YCJm4BY1Nd~A5qjDIe!(gEd`+F4vx z)8hsC%Y^bT-9*2ZPl<7Bl~?a05F)1=7DT~40l&t7)+f{SK8}5P^9ui0&6EWr`UsMZ zVX;f@?M`)Q%Z?j)Pqr;p%+w6=@_lN^tthVkNlUXUTVY3P{D>$Kve)mP2%AFbDazQl z#@9=~8$WTVBYUNp`w+gi;s&0%O`SWT{mD5#O(=2nCO=9T zKQhS9ubT`z_82o!r55=d(4(}CZ>NuZ7k9eXg!_k3NarguYOLfPZ>`aN3^VRM+I2wR zN*kSkYp$LIFPd7lN_Qp!iN3A*13A!e>WDe6{^ewc13x+>#P9}rn!x&Q#J+*mdR)S( zI>jiE?^HyFx#F|=1CheM*G#YBY~K3_(Z`v|O>Ge^FfxeD@Q{SK->vNVRRxP&nf|bVC9hP=k&EoVVI5t-iK;B6k9}D zVP4Vc%L!H#j(cIe*W`|pu%J|8$r%t)9e#}hG>=UbWV`eI1`Nk*gVUJ33bR)WZR_I_ z+PmrD;}bXSsJfF6uQnOzf+tX#$h7XH_IQbV%a%-gArJZL{f2QhRmnPu zHJGS>RAUcU+R+v#%1n&Rg;dP@`Y^~MbE3BzYtZm!N>7X0ZbVqo9b2@9UX~<;=@3tR z5Zz{-0t%jWq;G?>7THd~X7s|n&{KEjs_HimAUg39b7Ehg)w4I@&FF;LMNw|xyE_w_ zoRdK*9%KMKDxb7?UFAwoZ<}fwaL>=$#_2Za^)OS*ZNOMZh8suI$QS+Hc=z!c!w&u; zoCcw&Ci=j|;kd6u8OtM1-SC@0Kp^|TTfoMD;6!_4Smd8t^mso992-yibmYgH{L+C{ zzQmI@IaGtMb0m|w#K~k0Ek{wclEUQPB6xZumu9n&?b}NWKB8z1?vD9b8rn3j@9Y%SSOmts z-A0_TYo`)_`euJws=S8!-nCm8&Cvx9>oz+U08j;vJ*j@c{^y^UKCqNhfO}cYOwuU^yH!JLkYmapp(cJD^ zvkZ7^Z?-HI`=48W+G*@0469iUV8`8RnRZXFd6ui4*WAKLI*$(7 zto+w=dq`J65kK&EihQAxO}q;0W9DtlqPCK0|0wrbocmCkEODnIaBBFeOQe(eY($QX zWM1&(%&7;b@5c6Y#F^J*vs7W2c-jjjy1W~J^pogS=m~7%OO$Lgy11hF=IrO^MQF0$ zrtwC6iqC0HEAm#Wp-tc5d&0`rm7Nky8!@owvC{`&+}Z7*4#bT0rj#%H+0%A37XBJH<J0mFHTrSyUAio3tF8&=qmJ;N}9g;1*A`rnf3Gxg6{O>;uSD#FA;C zt&c6W9`>-Nx_ad`rZduySi5Rgsm+I~)a;kR^9nB{9J`V&ERt!>I8K$kV6fL~yqdr! z_C8(gTw0x39dEn;KPDw6u&~ zaeKy*T~_*g9x5+!C5<%MvD?v&s_}hv0bMNby3;)>-T5ILn$&f#?FDH;2$IB$yj!e= zElAKQ#^P@$k~}2%?_SQ#tsJz$p=P-0XAMKnL@gXAJp_=6`&R1v&6mMu*(|B04RY{Q z+KoKMgm>H}GR%@LxjADWunO$U%I-!^nPU}&m$e-gPz<#0mV?*Wx{_bSi^In+;;uWw z;8?}%?GE&lX`qY2j`Nj5`EhKL?Y}{W22tpk=hhn zD@@n!j`wMD_ioXBUj|CQ9~BK(9u`Aug?B$+#*iICz)7DXH_nCBOC6M5=*u9U)PCb!!5|G*c(<<`iP4g>uGV3y8eey}H+Pnip%On5 z_1XM%$82Xq>Qrordr!k6abfwb$!-Zg(+t(J%#LI7C-iHlKG$EGoQn8<_MI;F40<0} z5-h|GSxzO|2;|{K-bhEv?hLp36gbx|6;E=?$&%YM0$*J|YAgfk%B1_jSd4KCL0lWf z*&?N&2(j#8rT^f>-|{dtRlY{m#tBz(u(T_Dy?T!9!{d4FNzFzZE+Q5jkhO0gN;dy7E|0Umf^TH8=0M$?k%TmCM>1VjX{sx^ zrMsV61QB(7yHS9C#Vu*N_~1y|?Ei*tmn`w*I%^q|RN!qZUaZYlz3ZD;vslJ4VW~#2 zfQxt}j$(G9{3bw$sBcK~KGEF1@9xW&(1(zdZYx+saCOR_a6eS_AZ=~E<@g&L1!ue$ zU_Z*g9_j$cU;q5f6fohp#5$KrM?l*#!0oY?q2$q;imoPrF_0@w!E zTR8&l`T1l&0xf|qgsh9u;tcuDL_^b`;(tm_FG<<#AjhN{0-rhNwcT-qt8Q3oVa_J& z2!$mzvE@peLaf8b&X5$Rshl*W1fgZi`hjP?)7|8~gtP zS0)BdX4d~laE*BZcG>xR1cMrrG{)w(ve|0Axh`+8WwqKKl}u)7#on^9*>bb<<0#|( zd(*wzU1?eTi8;&6oJ-HqPz;qDU!KLFurt4s855Zsheb$KHZuZYU}~IaW?~vjO0Lr4 z(gyxR9ZISK#?7U zJWW#*2mtD+>1FP2t`(42bDgS(8=O^B5)7>%^{k)lj(BaK$vKbNKRP}>J}?3Vzy%O+ONIvHAFBRR5B^(Y z@+tkj8yKJ7lgrzG-2+(=UxIo6!>>V4POMJQzp(&%e)Ljy=#NN)jNHGxIE-lk!_w9q z`h)wU3&ZjS-Uq+8u!vhQ^xo?&12Ee6`}3B*`{k9nxuNC#&GzTr3xh?4^k_86^5^oc zpIcv_%iSLxmx?GbHa!Yq@M%54vFrc-ZJ+Unbf16vmqvx9`K!H+k62;LKS<4#NQ$BRkT|7Vua=DlZ15b*eRdBxPonAQDf`1$7p;NSKAxAoOm^xaqU`$sFW zJ+k>}&w8@=`G?<<7+caZ)(3W1b$$5lhvwvVH)i8tuz4RG)7!e2RRBWpeL*VSX%<{E%lT$~tw{cWL-m@NQ(=_@n~Xy;GQ767=XCikfe zdcTJkKOi%g_*x&?)Et-r;)nDTya5;^#GhCWAo$#V7}Nmai~i66gdyTjOa=ffaxVh2 zpWp+#0RW@ek5~;L_}TtBPyUtt5P)I)N9^tc<%-<|KD3iW#59gxYd7$ zOzhq{Z|SN(;#$}2IlI^0>N~qv8_Ng1k@s5Wx@I5z1wWG7Y99$3Ti?%L7GCfL{(Ua; zKK^}=Nz>lFuH`HEx3uL4H|~`F{7W1AmcAD^`WXLUPj<#1;NDcjyY4nOC>q`?n!px6 z&8}&hf4}=_&2PY+iq9u-@0;}xxDWZzY5e9M_7Cx+e$V>P?2p~oFYLGQk6zc0{YzKf z&zJl7Dwf6RxAx?7-E;ohVc+2!(aqi-MAu$(WAB@-{mtRC__urHkU!y+w--2i*BkI} zzJ!d-9mtO${t`EKpK*N;Hz%Mi&7b?QjsFIK?jK3wMNh$=;GRA+m^rlgKEAWp-R#E9 zEqu7Up6-8%b$9*(?mcz>G=SXg-um~y-Q)e2G9Iu0(>>k<^7*@b<)0^_j1JCTq|5Ku zjGsTST@LHW*k5m*2^}o<>%=uy4C7CcA^UoZ1tQYi*#@LE0^>1P|TTB1yz$W zI2>ji8yny|?B)2eIQ_woiDfls-Qf30ujd=tu1^sS$Y$M?%lPxxv?XfVWMV7qj%qD2 zw5`n6hGc*NR5xGqO-N-CmUta#%fku4p{h->l}3P~osLY;`sql^KUV{ZKJF?IS@_ z?4C(>-#Ph|d1})6ZYDK;%slLKz1RL^3BM}6vUQy4lq%6KUtBTvP8~D`0vy!EK_~0Z zUNHjcIkEg%0Y1g5g`iX>ye#x=~po0MPy|?b%X2> zIti?5?^w-39R8eL^N3Q>G0F$DQx6k(x8W|d*Hh8Q4oB*5P)5Ez)^}!=HtH><;Qmsg zy3zQOdwRbltQI)-GQFN-=!1&NU0HTS{%3-L5O6bwkF2H$5V-*Zk&gYzmm;EgW~c?&V8-=7k--3)hgpRJcol!i zUIj5_6T~S(xYDCqp+W&o|6By26tT*JaGmoCv_w4ML^nFHkM)}oK-4KcP-(0Jz6IU| zM;2yk&fs(h)CmQa5DGr?TQQ>9wD*x4A<~@bu0z^>xkz^#`)g{P?czdvUVAV?WaC73 znMrK>r@$Fa+o2(!&&z_54=cBOt&Ko_8C_jd)2x1ixWax^@kd0moB;{8VmYNP)Uqxj zdg>*Z0o44b_a2gQA|xPqZz<(}@&96=mjI`fE3+UVicP7^YKomTUykYXwc%|BH`Mi! zg`9R+!tk}#4Un4r)X(9*_jLP<&P zHHt)H5(*)1%+w6NOMY8&bfpqwrav$C8=4d80IhY)fWIuTIJhu8_u`?0iJ6(+5;M1X z7TO1yujRZz$U|30X4Pv`I>5J_S4jCtxoxs?xmq$m!G-M6g(i-%)xR_jvIOL7Wr#{4 zQD5)hcfxKvJky*v!~=_JG9L1-$h9d}h}%{}cF-Dals$D5N1MAFL_@bW90z=0&D@K8 z<-mC5qB2qCZl8^=e~q$g>8BPquy;N6VuTu%%MNVHZ|*PK~(?_*~uI<)sr!?VI-zH z@p19NC643ZZM{ISSYpZU(qbnt(R&4z__0dy1mBKHs!16#c3jLg+LEZF7|$G# zE1?xDAf}kEAJL5S8VgaQO`uL{cT6>&QoLc^`xTRZbJjwdQNyFi10z8`z>uadN_gzi z;}O3rZ!R|sG#oI=>#|88w3hBKfKmOw+qJkp+UySLegcRHw-9- zv8?K~Gq?+sbZuw=3o_HVq3KMpiW09$%Hy;s!URuND?anxAdv}O9^U*~EJx8hvHXm_ z{uc7+QI8Pg15KuAFubj-c%C|IiTzili3-xF=c!Fqpg+UBN|GZ+34@4HCXbfqV)*vw(0XE^DtL;JUkhURE-8JaUMWZ z9BjGD&)Csoj)4zzpZRlr+?iq}n?#>uu0jIorgo-|@*qDAB|OTF}T{gZN&*&teb&dyEW7nAU$AbTFJe4sam1NrR*{ z^^GY@F!_^3gQBbk|0gRC56D>RIIIg|n)g=54IgCXRya>!i3-!4HqL z_M_ug=mlPpN)nPi@6a6-9@MYRI6IFCu56|RtmqpeQ{(II%J&P5%rR-$Nu`x{ZE^75 zmyw_Zwb=<|^O!Q}oVpbDITdp5Xc2gJAD(fRy*%Mm=aPiCSl4Pyxq;&we4nC3FmVS2 zR}PTe^7(P~{JFv!{8}NS>z>u#Ix4qCFl7oBiC1w4#TQE+%td{jr| z7w}wVf0Wgi?#h!BIGRexUn|!p^7!&QCb4}f0{w>?%o3kfMp;)5#Y&Dm5-{rpCTZWG&yW+h}PP%iL_$mYUFO3`%#@wvCGv zimz!Q{10nq`LNuJ8ipT+H|k$XC0__U*(DXJ>p`S4I=yzKk)Fui)fBLuEUeQBOz@+S z>bR=)vHzrlPenkXqFg5Ls^hf0H{N{bg(&xe6ToM)%FNNwnfUIEbtomD-cVd*aAl+~ zf3H_8*B>eJGGj0arl_Ls7<^?72}c-7OZ}}(Ue`}r&TgTy#K^)^!wu(_(KH)yFVvYp zw2{|Dd6izvSCG)sg1r}Q=)h_}8>EgF8#ppt7?UPKs~5eu2N7y(wOn4mv{<*D?S;;t za@K=Y`b{DIX=I^|Xf57!l470~oP~1Tnlne`)oJGS=HuMXT{YyI%-;C-JdSP1u3T=? zeAj0!oo&lne}!_^N7QXtj@|6d;(RP<&moW5fkA|*NCZ(&y^O&*>v!{2{2YWkW`oVa zYV3{hbS_F?X3~P}<*r(cY?*J$NsE2BfUQWQSn!+Oqiog5wtq4HwPbA>BlgwXGldH* z(I!JV+A9q{)D%>Y8Ja_`U0@&(cehnXCiK-R22T&mQ=qIK)DWAyHbGceXvf() z;$H#jd129+H)0ZKn$oL*Ejf?3Le_mC1?Ny|#)DxWp%4!vf}iQlL$id|<0~)R-bZm&|dzS6NrRSTUuu}T5dL-O3ukxeDWF%zvH?MjF zFF$j*OVj<7YA!PHyQN4E=ZR|~cNh|F5p$p12iciLP>8ad^2K@j^V-`!DdwR+ChgtcgOBHK?})@vM@kDJ}jtnAtgc z^F2MtnJuFfPI4k_ZMO}z z--AdtlIk1|$`nL6ZC-Qm*JXD)WYuBT!AJ62?KbL-vCzXbpSLg36-2H42ZeP134|Ho znjm4ox;>Yno*NiKT~ktzh+91+WD>Cn3dUjPS7c+!2|$|Ca{h;8D$ylRGA4O4`)c64 zo9|ZXfTose2<0F%_yAj!H|lEPZJqcb6~BOfx8o4<+Z+2$;zGzaxQgHQpY4WasGN-=5Hj(_H0G*pb z4n?3Q5#Wbcb`|QVP0xCmsgQ`?9?dq6`rk!@b1a0juKeLLa4dM~-nl>B0|uu{k`J0t(x;S8gFQn1Rr6| z99Y7}_Y3)w%=xRmt{3U;lsgw#!5g>)>@!i)YydkkEF*=6g$M#@L)kSzzd{Q>Oxhct zgcu58xQ~P@6)kJ{alN7v@+C#i16y*zENXC0PS7dD6T*NNNryThwQ1?ANQKettr^sV zoQCpIlvX$B{jOApxm)Hq+51qs!s2?6`h+7@eYlUXo7gwk!l5(TIF#N&>@pS{vRY03 z{~+{7FAf`IPCYWaol=j1tWS*(=VgahFAk)?8;jVJA0piM9AnDGw81t{b7WhrCulu0 zcS;c!n?yA208}722oTimyFYW-H0B1wb!$DF>E?}s>2UqyCEub+-{bn7tmTv(INADbc+O9h z|1te2Zq7tghx+%y@=}eIPpYL`JdFpnj4>m!i36Rwd(>oWCT2D2We0Qpd_qB@vjIo4 zoPTwKmg37x%)%%xIn-S(5NDs&UsdNmf+ydhCpdfhXAcLE70gl{dB3dcF}8-&&8D~wBRJd zLx|Yhb@YkQXCVnup9R+eAcf>8?#G`;8Cxz?u=!)5p27Y$uj8C8X3{x$1}kn1ohC(& zL5f`{rcyF3l5Dv#n>LkAsr38&y88&1=O z->sFtUeGrlf7nL4M1OCg2-rXiMyxW_V;u;BBmVMR-m_v2M) z_k&H_?`eRtWWID7X+o1Ki}#hy=$2l(%W;eY-Bd31Rpjb?4DOwbjmbOQ<14?M=$1%q zj+zDi(9j?s5^&xl!K$8`8o2o-UfuNhIc$C${5?lXOFePz(zH?dBKbVoexF2w*&c+K zt~&}cJp%k3fH{tdutT;yCqaQ5jTNC8g2Mdr9cQi}0S$~*fEM~%dSoT-+`pEZVJwTP z^k$oUe>yH^{7znwVQ!zB1%8 zNRjG2t-fGlMdMg?5rWwZ(iV9oO5x)*LrU`oi$>>w9%$YMlvh}4emOk_eXFT-W1)p@ zInf?`+dJ=p@Ab6*_AAWEYqN=3XiqBc%RCVdn$zu>R{`z^!?ZCi2y5`S39A;erByQQ2wk+Kz;!sY_sE| zXK^sta)Ld=KqewkM}52BykC?`80ea(yVQ0@L#y0b|ME0TURS4uZ4;(nKkN&;xXt}9 zxPOJIhnSM(=<0JQh!iAo*(`CRWZxxHDd;WD{v*Ewd<8bIAMC^2&p}a|@zdb9a*6aI zYb=1%SW)}=x(mZ)15KVC7+-T7x{c8W210^HBwA?Dq#Bld!wk*X$=b^SgZDTJMet(v&$nKwgC*rTk`d<@lXor9*!EC3GJBP>@g_t5Jxy+Hmrk&xMwox3rBipr z&EI#xF)Zu#w%G{FMmkyZ**jLC;x41+Zxl1p&88@|@G7Ks+fq%pjrf9Cr^X1W?Py$pS|C?IL%lck5H)+kw+NJ z0U%$!iyDA}oBWR9m2`1pdV~w6n$G0{047&X2WqTOD(=Ymf>WUpWK;p(bl!=0byj2r zVgvK(2c)EEoLn{3AaadutYD|rgP;myM>}WFGT^~nwx&rrffxGpakrFgS9WjLKH4XC zh&DL!SgK#gPjU2*UMc_e4p}gy01ZAZeB`x3I}WngO1BMfxbM2^bL~3{Y^xjtFyC4Q zkXDMyP3q%Gsw))HEz0$y9t+BP^o8sf+oS_%)(5Fre~!f9$He1g#2Q7`}2s();HU87wdxS|p$WpgE7#er<&B`zDepcFl&jaS0SI zIDEcr-b&4@;Uu8&$*h)xk8;E%iZrhbamd^@{2^oke3S?DL@afA zJczbfA)s(5CK){nAeZlm&B)#e$y|_U$d$5}B=5BjH7B(Wk{v8vRfYT|3k5vRbgDtO zfM6v@a<8b2tR6k{@*sp6d_yt?nSckt$zt2z!Z}Px_Va<%Cq)4?x*{SyZjKo>Bsx(a#2P(IYpf!!YaWh_70mkLTK_Z^f#4&>b z%-3{_c8#1( zV4)e9=RPcGUg@rE6~n;*367P#&oD#T_Yck6^!2ad(=r$3c$AIFOafQl+8X`Ffp_K; zhK*3yBjJyXjm7r+fG-y03H-udOHw=>cgxEu(1Aq6Dz-!ZR;dGGv~%v1ONMiz#h8#N z6%r43OuDgft_;!+trtXjIYM@q_48pHeI~QH$vHi=C=5tWZ%o8#UK(meuN?*ZuCL!T z22A8P%G|yKGR}@o%!pecN7ESxmzc~=7q*+!D=lp`jdfuq>3m-E&*yuRnsjv2_%ac2tJ;&?9YB;}DuJX@X*tO9ngvfFNw zGwLPr(TF>eD9MVv0!(J>zaId&hgQThLtM-UG`e#tI7ZtuJh&5Pje9+?OBSWLAMUj=8Up7rko(i zgz_mM4}@YkATo=nW^8=NRdDUeS@2Let@y0qp`z+b!yq84PhfUj=e6&f!7-^&i{jDk z+nO->9kz_k*XV&>`v-N;XaxRtC#BSw_m7%kaO_F~OGDM8d4$Y!^0P%@2lb~5S#{Y3 z3vT)r#7lcD{wk4pg_$khjPsed9ttALu*yqBqk83v_BvL!Tm1;VHCNjW3ioUJIzyMm^0k*Q;a8Agf_30aC%Xbb^XLJmqaZdBd=Hv%ZWdz66ZGufK z-%w<`f$nW+^73ON)+?d5SSB;{bxSv$8mQ(*PZeus=Z=*=xA^$5+fn4jQ(-nWfvTODlYaN7zSXu&=CHmr}aOw8Hw zY@&;zABhAl4v6e}O65r>*7;xma%C!dEx|&^Y*&b14-G<8%V@<)FC1?h%~1V@LQg9;*YIDB1)ws3#1@m2qMwr96t5HgUABfC88BVq zxAOqhY$|P;54wYWxSW;@xE4GKPe)KIOO1;UJ`y_;QG9iWl;}X+5cR$C0_GMrJkg(LU&)6TxOV0lWIT1+POOtJI12zD zDWvVF^_v;c;!0-I9-;@MQ(jNaT7=-p?N+z}V+85iWpYAPe#snc5D{rp!ozgf6Y=G* zr^z&jZZhRvhl5N-d;i!w5-%8@)TByzhI`V)tuC+73vRr;8>!zYpWqPqfJtNl5->{D z>m+gFk4v>nnPbG6M1L_u;D4S#3xSU-n3!pU$lNK{AAfH^Rn9iXMA7GAa;3#g|6~ja ze_{xbp8D$PkAUV7%{4WwokqTq7Qooz(4(JB5;Bv<+FEFxMx23i(PE>>R?%+%%W$)7 zj5TSL?|V0Pw^n@~wH9E~6C*VAAhQuW1~Ry?k& z)gpBZ@>_7m{D5Hi_ce6vrUB~LmT;2NmMcD8BcHanLo-gTo~0450>w{on^7O!PvCZ0 zq1JhxfvtR+m2j34F!Y)&lM%?b)&h>fjertsVUvBCh$n%%Z-+qV8~+qP}nwr$(CZQHgnXOc-~k~t5z-@9L`)9K1u`D)vqKoVC) zI_5$)a5uo?=2ZlNFOkLRFlQD@hVGx-xeuIx45q!FyHLSyz4^4OR^2iSZ zC1-e}u9r5yp<0hn30klU`m=J9UQ8v;b5}Z2v~$2q=n<5LO_&DpV?f>Ev!u{%dt1Tv z6vc6-gL#D+PYU&?>uj17o2aYdq(=nJylSMlr++?)J!ff?!AY>*w1kiHFYu7daF&8LgZ!*=hqy+E;c!#RhO1$cl>NiQhs{EDAwT|LItL#q1P36Hu@0- zZ@Q0Bb7d^$V?ysH?t;ZAvqqaW<``in`}L@svA-x>-#j*C)r1 zX!>fdH|XU>|FMe=OU`RNwwIc?QYP0UGG~#H%YzqT>7FR6?$tm05F~>;YO`bXB7>_Uc&aI!C&NuR1-SgyxeqNeH3)WU z9B=jN7m-x9MpNGRvI@#4({f%J!s-rDWY>Nmo)>0F3Wdy@{(!iNF3+^$w)||t-0))! zNX?|8cLo_eY|>@Mq-WN;0g#&kctlWp&}-?-Z_d$dkN_~l91KHkM14#)1|yNZ_UO}S zNo!-)X4y7(%#O8n@He{-7DIPm7r$=ej{aE}G5Hp{eCB|E{pMzn;?~H%&Dj60ix9z? zRdM^N9KNq@dY3?914F2j&YXZz3`)_PMgpf&Qojn?J*cb`35#yDQ_qc;psSR5#w`aF z=#8KbPq0@r3C-&#I|wyOy8j{p`4)MEag2cA<5<;G7R-GDNXaDWC(tx&X9bFsg%iV* ztV-P(n4HrR?4U$5g5fm8H>i2Lz3!i!3`}+Zl9F#zIu4HGTR-dVX4VWOFXGDJfPb2( z$wVF;z#tX5`+NY1tB4$O4!R2jf! z3-+GlO_&~Wq6^KsR4m;rSA{A##hcbtoJ(MC2F(Tc-;VsKnqnTG&BT$lp=cX9STa=l zPDu3n#b1%Y5xzVEBm9&elVv+Mutwxsx1rM-W9j|%GKde_@r-5S$W;xOI&rjms7%r- zCAvsNt9XyLtwopOD0OG(aLY01p}`7kv)`Z3Me{zPi5QYcD%=8tv#q=tQyUu2PC&$> zyGo;;yTp>VmO~w)DWui4HA~%LHT!#?oNBSV@-@Kx=*j2gE(@a~)SFMwz z-hv$OygRu7Y`Xp~YNx=~#Q4_hCx>;f2e27RVbt4iObCJp<&tipwd@k2WC`H!FYbQ|i-=#xnt^p-N zyjJNP5VgiBCnR=z>$7b9W)+0;S!RkE+2Wz8^%1@K2YxTp%PQ0$LczEw(vQA3@UrP! zc6z=BP)gftwbjfv6!>|-BH6yA*f~%K^}>W0gG2@$b!rU1CW(X^}f*)m=g-xnY0qxTf%(ChB@=(1c9%9&-71tB@}k#aJg ztPgJpj5QX=bi__3l%nA-*xHu&A`-h*uxRDLznNkh2H%;Zm_fwwm;Jl?qxfmGBA*D| z>hRxP@dtjG;(T+AcSSNOnzIVAP{b@=NJ~&^g^WUGsvi{ zv?$y%6*GHdt%ZRMh0bDg<=zGTNaq!LzL>f2$gR;r03g`7B~jdyE>-s3c@5zh{Je3t zH6U<>%VGjO>vzMLaf7&K!|mn?bJQ6-rXCJv3jHUh$B-}e1vcpu4wx?OLi@Cs=#xV$%g-ll0GzcJ!ZOM$Oj^1ozVU|z#xDeZSrt+qL~s= zB8-uvE~*G zi9HwGP(aS+m;oM>7bOr(!uOP89O*U>-b9f?x^PVN;N57@qN|Z|Mw2nskI;QToeq=U zR=3C0N;GNGOL!|j!T|XcI`>t7-U<^3rSPRrNGgt9zFdZ6`c$LC{JM=)IjjpozuE60 z#)F&(G9Ei3kc?K_$=yHrHqa~;GH_vGoau+c>5I4tn!>!dIXi5Z3%+FPXpVQ@vO2_~ zkDj(WGC#byy0dJ82v}XSePQqD?#xp|TVcmK884+rFt!%Uc^3sIMRke{invzWTu-|i zY~VuEzbaV3|K#kntBZT~vTgak2|utht|~IJeb^2`PkWl3@7`Fdh`mV4iq1jL9jBz8 zP{WnzD;` z(z!`9ZeLdwBTbCZJ6q914VZf>K-;7*UeelE%s}lzggPM?(@pQ3Hw*ht4v6!hOSOGw zYP?yXU7+_DlB2^$pwf<9&S{Z zspV5zrwk@b0Ujtwxj=(@f@DqaO&BH$wQ&~9sq1nfA@dloQ26nO={^^wg1a}ATe#Ff z{zX%@OzRix!LrjyICPQQG#Bka$x$8+-w^K`B6EE%K64(`KL-*eK%<0YUB1+PN($86 z9`48Szk-o9;g@9M2Z*bB3(jTtOVH}mq#gB#Nnold<>-5jQgG+xu1ulhK|3#M>(l%J39|oOL`76AO#;gk;}ck_-8 z3RGeC2LeF(-56sw;=5dA?eelbFxVW&a|VVs<(@EcivJ~FKtkyNP6ydZB2Xpn~Wt`B-_I|N~S=szW2`_ z*_moCX}~(1Cu|mVgSpxgtkQW1WifRO zVhVl%*=n6z!-Zn#BNB5+FGLCS3}RY!b@D7Ed-PsZR5Qh+sP;U;+-%`@COEpKmyqv^ z4VYHr9wHgD_?~Y1e2zhHgm0t!k|F@&$QgM%^w_HpkAtD3Ti&gcdm|agvEO}Ztb6fU z!r~n_E!xFo>ftafoBOC}*C9VCde4O%)7Y8eibgPHb%bS}Z+5v?HC9Hh`B|@vMP3Yk z;K4C0qQM^6un$;gRcJ#-&MJm{^gRs1xTTQ`zOL{jZNEOlaWT;#YPlKXQkIEp0F3IU^_!hX!knA(JbrvVy z4Luba&p7UG0GLmCWYrfy2PL zBMh?Vh6@NEP^6Wn}5M!tk_8}@Y*MC=N3V45Q?ie zYf51Ts<6MxNjH3$&NgW*dUVzH5Jd5&*?W?7{->wFyZz}joj9Yy;)B(ouQN*XmJ*N- z)OZYxdATUI5O^ptjP4Ru6)hlnz!OmHIQY+87{RWg({G;gbzl&FukW$Q-lz8Leso=5 zB=tQ5bUaE(1NrOxqhI4{4NQ-+kx;@N?4or; z1C@3_>!duS*2j{613DhWdminS8RTNlYD8Sy#A4cWVUu3?Ou6&B=K6BC317lyZgc(0 ze|-v6oZIu~##$p0MSl05oaI9Co5xhJpvFETa;}>ll*`Kte`W+xUAUWzk#Jfq55y1E z;X=FjL|qtr7Q6nM1Y`axAri7s;2Q&F&F6;Fa2Q83H$(|FW}T}LiXnWDUK5|BDi@&y zoW7Y8)#pV}?L*6})`G)bI)X7kLWLmlrVTMTEX`RR2Mo0_!NB~20JLo=TlaI1bz$aT%_zChgo z9BCJ^d4mrYvWsJnItRb`N{lEQmg8EG7*cu4QuF@HW$Jo`qZh_$YESMF%Of+W>)}6v zu2KAB+B@1n?KSHtic@85H*cIbBP0XuH`*I08Ro5tXoXO|)j=!rTr%bc4g!lQ#e53` zE@eKHe9RSy#D7_;X1W)K#~c{EU-K3sSzTSH!Ztb_oq>3l&1p<)d@9coPP;A3Z?Vf5 zyS&ukw}QVhBPslI!DN`0plwY3z%~(Q$%I2Tfw6!<3y0?9*0yJ5*`qa7Ye114qesTt zUUpcDiGg&UA_c>!#zAHJ13G`L8mQSJ9#!r<8PA!00=oA9&Y@;|@*YS)Y4F-X+R2Q? z#*PFsN60G{Mm{25RX};IEK1RyoBYQ!QsaKg(D~6{EyOY^E(2HT!q{hD={yJ8l15P@paseWbM0B^jk_R*POQLT(a5%Hyh5ob$1LYx4MMXT>sDy^-vj z%QMZf&9SPd3E;pw4*xcU6-+#_sx-AM#@irYU|iMn&nMAs8%jTRL@OVFNE3`B;Iy1g zrv6jIn6wk6c}0$-4#qD?C0$g{Pcl;CSRP^xtD+P`iZ8*=S?{_tBjoApNvS$2rFP^qS+8ig)qZJK|v7 zw6;RwIus3}Xh4?i8&wLZ@WQ9YnUq*k1MCk9eg+W;pcZ9seNa^KvAj@}Zwo{1w!KSm zU5<{-mG^LR>-|Cxmsv+NQ>aws#J=SDgQzNKEAfZ$n;H>6d=$K00WR$ucLAN6b^Kj$ z*5Tiaia$)8!xAlVp7R-8|5fOsh*NrOE5@yHS6ghXVf)7F$;?R1gO9|k`P-iUd&<0F zZaq)K%mD)aO`gU zpgyt^sp~Wn!yGS7nlB~Hm`jIwU@4r#dQf@KdtVLPbAFV~nz8XF{N)O)wX`&j4V(nK z()}rgwi2EuLbyqV6%i0x^!FANUX$rQK_T!*5XNB&c2Bl-l)ARr-~0D;5^123U+&9J zF2h~QWLCNG8OnecF7f1-S1^i}-xKG>5E?q<&E13mh`198p34&3I_AdtmMd{>Yg8bG zz#Vxu`4?m6^=;%?5dUT$ZY(3ibvgq(yRTr)PvAMt#537nHaw66;3`4V?q!TQ$my+p z;d)s?7m1f6^QcGT5U=jl&H@u(xCCQ(pDi#lIBM821t|{}$&(QF7K3&=!Duh5-}qnl zW_F(Pj2Tt-(0KkXJA%uwz!lg>B9O3G~*&zs~``j%VuCvX~# zIW2%cD0yC5>`JIusr-cz{-MDXmnv7FIb>bDr;gWdT2JL)STLD{Xn4po6ivqED;udX z7k27jK$7w02IvNYNf&a21!tY@+mk$pz0%yQUiwZP;`X17;(IXQ|feDu}L6C>{$iK2Qfp~ zHJUdC@(s4u10V4&^OoQB$1aKVN?eEig3FTcwCGD^;(N_l21{j=<=yj{RQg01aB|>F z=|0yDP+g86Vn(z;AEL+DKvlA5kzRM?Sp2;r5K5NLY2+u?o-o0CslxL01;4inLu{x6 z17!4u{4&fuGjx0Ez%K{7e5}$jr&ft=+pHMAQ(x@sdlzus1HY^RkMH7N(y#_|4B!Mf z0e1!%2%DSw)VDp%7l+N-TJW79x3Irxi4%Q==6Z6*DA*>k|EL=G&@(E1(&E1=PdJQr4)XX?>!_AYil9?OwsrRK7K3PGmj} znN|67`)>VtcZoTtJba3;Otla@-;ic{*9iXexpUy1xT^MpVPta;C9)5=H9!mL_JfDu ztI&dX-B91XoYHDIlX)*pW(D}N2(cr%bFv5IEL^>u>;|nGev*4nqI`0OsGVzqr|f~!wC^oGxwEvDrj>Vn|$Z=maVwD9F$E!%lN zsgH;Y>9SVH?x%NcB&l2JZ$b%n5*Hp=6sQu3q*o-mG6B2&Hw;K|WE;jEg)nxG1M!8? zz+bjd9UvE*c+TWXC~b7mh=6SwSt)2#SRb92$@2&**7Q(ju48ZzdAjzPv6tJ9YEC!# zMDsDrso(c++1uYZC7=`Z1}-6_h^-o&GMdk^?fqNq%xpgSj!4|pVUm1x2}LTsB< zXE;6sm&D|-7s|7YiFmOiz_66DN+F-*DgstrEZ^?&v*9?F*f|@!r|=x9 z3aNZO`q(g*hj`=xb3BS#I_2c!9d6cfGrEU-8`O9I~Gh)Fm3OO0$@2O!fLNLCPHc(gG!DH5*fr2#-dZ)I=t9$lCldT8KhinvA1kWFs(-|qaYam4L*$#T+)5l@RHR@FS)q3)zvzOZ> zmy0biF;?kv_MOl%Qx6W*te}O^I&7yI1G#Ft!I|3RuGE~`i1eU>1GsNdRWCm<-dmU& zM)snG2T_N8C_|crg&*BVYRZ*_Gc!4m=MkeoaG{5OaNa(~oMRK5?le|)?OEB>BcX6# zwz80L^lS@#j^BI(a39KTE-J%5bNv3rpm!c7lnOlnVxIR-v3igRPSRq?&M- zlo1n~0#;s##k)cz`tOs-vrJ}*Zf({sA>370CNfpiC|&>?bIt)6PWO+Og1Hrgi_XQ#_;M351zBA zLGivOZ4c;S88Yt>i!DM+q8tiU40KmIt%ybh5P6uu0HhSBhrh>UgYkn7d5(`{VXsHI zds8*QZu5-t2{#e}m87rb3*;O(-Vfk(y!d|TkK)pi|! zD(_5^F&778(|L6OlF{X=^Tu|mWal!JPyUttERfAY9C7jL5if~ou_wa;bAoD^kVD1! z2jo_zlT9h5ivyv|mZ>}D*_yIU%ue|IcIVWne70ewPR5i$H2S$!@dNv-zrqPMUryL~ z0>~OrOrmgVi@RL!cYmQdl-a5|QIZ zscHTNK$=c?na06Z?@c>b%l4<>4wJTChPt>aQqv6rv25t7&jGln=&t=2*Tg(!4r<~C z?uHKa;n8D_+$m-yhpxgg%u)pW(M37;=u* zZ+bCMzN&_-y2rqZ9M+}3kKszkZMRvr4R6-NFhx@~xTk6oSAC0LNSg{4*&N)#NU(;c zFgp-ancGFV>U|iDLJ;4hq{E+2C@=BRd-oeVx5$viA5Egy#~p{{GeLM^X`G*mi;{!N z2+tx~Wo+?nFSj0SPKOytBRP9U}6$Yjv~qx2yUH?mq5xZE1`uMkp!xl8oo=YZ!wy zNZvx({IUsK|lK+<3$q?64WFcHG&eZf;-?Ay#8zrYkmz?&t)ac2C43synvscA>7Km z53&he&NTJz)@A!4-^&B_CE?2vyDp7146{n>`qM*Y9AqN5CVMe;x|OiQDCc}g2#&+! zLiuF_{kBH26F=_VR@M$cs5K@7m`Z##8!d>S_k)V6Qj}A~VfIswE|p|A!mi^ICk4nR z5ve@)aS`Z|CdS+cL)@cP?#yR7CLMI?06T=QpQMu@UHTXX^HxO}fIy;8_`$3&86R33 zCb}O}1BmnWkO_#s3=2htV+%?C2p5iyuc&Q7#zuqC@&vd03d41p5@&>O5{la~8O}i-2EkmaP|MVb z7?KgMk=`9MbnYy=!7`4Rj#8;UaZ-#&UxdV~Vct{8dBwnrDE!%(KIL*&h(CPkt%fBe zE(Y0>4GlYXGSQZljLl0qYoCfk_u2c&yctdA49XEka+KM|T9{V!?cLg@w0Vd!%%#GC zj|iS>+p+85Sg{*L?mwj~crcQ?T&6o}CGY|U`(ggw*CfN3Vt|;kVN;Z=@L#(1unY6aD9iSUN?;o#$EN9j7v^GbM1N5l}3ZU`lX*BMeNsh#iDT`cnMR!7@KiKZ@AV@ zBn7oz`3w2KHa&8|1;*1DNy3v@rTa+kvN8_tR@YIFH~8XG9E3v1q6ew3ulH-w*X>%T zn^cqB^MsBOiCNxUipn~{$h?a)U_19WO9b^c{gNe{+q+FWo5t-@0wBqK{$?N_YWFK> zG4)j(hWq7_ng#!Lu>e^%ew!k#99hE}u@pN{jhpjo#^9NO<{E{aeCS~}*6~%#2$B~{ z^r|oi*@pL!?Eu_V6`4&bP0Q(enNvtq9| zB9vk|%HDH!Jb+d&(jm$d$#Ae4*=>@h5dw>xUJqe=;=M57FXPbuwu#1Edd%So$CanI z&ez8my4Qj7S5nv6o0hNdUBn+kdi$J51@RgR;_ug(EZ*BQeweXmYJ$+b5eVYQ!^WTLUK};O|O(bG0M&v=lA!5+#p-&f?)GW zRM+<*{%hD^Jx8R4$y;o-i~1vj&rlh})O_wcAuJGLaAWfBQ#xWXomH=(t22PDhT`3;2<>xD zW}Wa$SM~R&kJCaCBD*Vgpu>JZ0BV+~5XQtc-v$J&AB0fa&~Ej#ev>gbnq@&5e%|Hm zZPB_2-e|Hmn4IEtw$g%?;C5_vFOa zKo?~xY#e;ULf6^@w25R?Yw;&rCCH2sE>bXe(u3&nJVapRSDuxS*>(u{>oMaoKUUo6 z8X%;pur}qv=g$f7crm0YK1-bhdLmhNH+-w&>a=40k^E6Ef>|*;l=`uNZTrY?UmXTr z#(J|rX9F_`Jt@~qCS*NQB3TOIxy%UF0w-A5_7Hr$;3P-EaT8s6g}XlBSgZ>QbX-X# zSv4Lcb5hk(+4y&_MzgTv54CxIz?^Hcl6E9W?h9=wq}g)wmk)(u#lA4(7lgxC(~2vPI)na_m2mz{3SS?jbyzy(xloc{oi%^RUQ3h27Dtky=iy(e(ekmyRfVbq zWSEW3mJZzLrf6;(DTjlf{EBUM+|9HxJRj^fugCsjpD-W1)npgS3DKv6<4PK{pDW$Deg56{R9PrniiEX_J4GWsiWO;uJLMi8w@WplKHt zoa7`46|tF)2YT2U>~hTQ^hx4?Wi{3;3Sg%Q_nBTy6DuGkj8?*!i&aBo+l-s@L~fku z-E!{DYF^MO%gUgw`QRilK{#h)DI|`(Dl2AnpPo`C)#N1owqHmH|iYSAqf5mfD~1&8JM~8QbEvy%(FQYyzxq{9!T~V<+ew z#}iKjJGngKxA)w&;}wJxi56`M$Y;(Q+sbdhc##c@Jj=uHl%X%A;xEz8FR_g?W9I8| z<-J%QqYO&w*Z3VrhAREadggW(YPOs1#(P=Z;I1xF+^7hhZ&b+e>Dk4P0X8h6Cw^jV$FXVY{bDUcCWD+j)CYOSb~2 z-sd(>vcRpL7HF?|O@H;|R0do6Gwi++2yX?PZ|7ayEtv`0zxzzhhH|)M(`SZVoC=Dy zfJ%35NJ?|RvLD1yP)}glg_D0Rm;g_z_>Bzl;!sc;}6NqQT7Up z9^PFI<51dAh__BSCI0){IU3WcHA<2K+Vzv-j|rKLOif*(BdL_h_|D_$m5(dh^wr5a zL_XBkzG25U%8zj*HGJHBI26-|>j9sF6ekiJ|K>4rHEb&96<{xfKNi_4tjI84M%kn! zBsChxmuqHIcb zxESS2^7lP0$)Mo6^RHA(d;wK+T7RuHh0FrWO2S}M-GYAgsXJ39P95>*FPnKQyR08U zp*gb_9WlAGy4dY(2#VfcdkB_^7X#0b-R>f>1Y6*8K3tk8uN{bZk_oB*kZgg_j3C0{ zUAY+3DBN17s^i)T=g@12Ba@jbQm>ORC?T*les>rotB&T!yuAo5M<4_(HYT6=FY%Ea z|7~0!8x!;YjgRDD=V1PC>5-fq|0g{1e=@GG6;xSy51UR}N}L#1NCIMderIO~7Z?VH z*_mBDDv$zJagj6!NO4hT(O`aOT*U9^ueaq^$E=3)%){#LY-g@(jbYK!yh&=azoy^O zKAoGJ99$fNpr&$U0v;fsol}5-PFhqHH=G;gy9_f)0si_DEMO@37nR@!s@2)AenP#I zf4E}M0Du&p!aoVSe}a5?f*u42uw8&)pbzMvRuBMFN-i8$AO$!dBrITu0kh;_mai6p z+v_dNel1}3XBIMAHj-Y`i5`_*c8|ml!pdxUR4>eoIA+C52WfFu^;Tci5)-|Znkgg zC*!9I0pyi?V{sGf_ShQoDWLxvpcR1vBcHBp@Zk1l7OXya;~T0uxN`vik(QU1P+qDk z%zux&4P;!x5{L#L;#XpBW)t=B`gZgHEa0~g;#=jkV5*S;+oC-Q8RPUe^ux-J2|=y? zMQF>%-!HqG80HrA=?i&XP@k=}Pkh~-1K7m?0Uf@+a5|3=o^0CB+Ew2TfF1(m5d9d` zUk5ZbgKo6kr_4YP^E1fQaKztZ_{~R#{#JgQe-^bs`Pn*gR(&O2# zz#oco@Wvoupr5?^`Hmh7&z~~rQy%gV(EA;fTFx1bD>F>?oUps9MfqWi6FkbTY!7l@9<$c(O|Bqcc-+P>dD(IE~ zPxkLx)w%5l18yP%_xYYpaQno+?g2I|1UIWMev;=kN}l8}L4AGLcI@Ld9bo-4kbvK@ zHwL@aSopVyts#vc*8u#{i$1Bz!RiCHzSG#KGeD*plOs?7QooE}0U&_<>Ai401OVkfY{*Ao|J1*H@VuA3 z@HfIaEI1#6hqREVe**&deFW?P=5O@x^)$zN_XU|t{PD;j0KZksLkNHee}UQn`A>ZW z@BrtZ^zZT;H+u2#0M38lj=aa8zoCB@g)L!KS3@_CT4(UIztDfZll}U3^{l7gFx#3O z+Xq7KS0*VWA?RPL;pZerslHIqU3=YDS!1lTMeH;wm<80eXNh)vZU?ls<4k!HH1pdZ zH!LfBk=DL78;(bQR=N4Cy(@v7?4sM;nSI0>OF|+4CTfWvR{my3^yr5XJ0Ks5kdC;~ z;Q?`Cv5Sg_y^{79R8*HV>*7eRuGlEfM8Bf(sWRn&PI+~OUnvifj zk7RRl6Qx%O2sB2?WZR#r`GO}uM|GlfMbCTox`{luUR{L#KEGnhjlVgm-no25 ztfJzl7q4|V7i*v4^#;vU}(*7 ziD96u0at$QU8n*?I3{S)_ig$V*)Fa7K)GSZ*ucPW#v;DZvXxMZ>1KxJlB7Qy?x1$d z-bW?e^K4$nlnvPc9Z3*@NCyXXhPRd9_dMKau07n;dLkF?&?PP6ozew#Ee3&L_9n_<&r zaa)AS5B%exoZSghRHW7B-k&&q%0JXrZ1NsP1L6-bmKt4Payp@U^01X~mRjb)Jb+n( zZ9?BsATf;Xc<5yPEiC)sw0}zTk;3ck1#gZ!-5j@HZ3=D-+7t|P)zgqyJaFnkUu{pZ z*q7x`ymwP`kCo*6$9ZZidAwdhoscqOH+5rONYxY<%Sha zkFbm`G{mKF$XIiyo*&SYyS-kXyqs0&-F?Tqm6^>zQ@8QP8y}pVux{UJDvPL2&_^hz z_GGs{X5Ytc)99}P@$1(tPJLta?i9oU_S(l?DyX^x6Z-yU>6Ie&19yG7hnyID7EQ^S zrw|K$Glk#6vKLaHi&1`=R>1uNM_e%&H=Em*}{deR>UMPl3I&EW9;P~@=l5#8q1p(VOsQur&hcn(z35nYF6R>Ap{NeZaPqK z!wvaQ&C5QyN(_C=Iqx&DP2I_B=$TnyGKdM0tD;1m75d=OD2P0YYsmn7A}cn{{JSZy zblqw9?^@tjqs%soZbUJrnw!psnz~;hsHPnLQA_tODbKU-kLQA06t_V6MP&NkiBgc3 z$;|UBm6XLh`zG#c@{~Hk3|~cV?(E0K#4uG!s?10u>`mS46rP)$r8{S{sZzV5Ew6e6 zDjEnD%y~TbzRe;;3XnG(&r1i(K13Vj68?Nd6*zNfn(o?!U~8-;2Y&#Qg=r{_0Aq zxqXmjj4<{oD2q1ZZ91Q5krWRScwyhc_Ub70i!=Z}s732M)>$Xb)sgv5vpNEz$9roX z`))6tf$*$>teWUNfymrP`>fZ)&2;#A2>2xAl+1U|b-0JA!cry_wsb|_Qmag#)v##n z%A6f{NXu)adxgNV?5vbcN{0wF&LbQGVrpzwa#nXV@^{*bYwppU2?KE@Fi%esiy5PZ?4nG@x4?$bQEm{U?b4)tOIlJ-11C49p`Y;EMcC0&PZ{I zcE^2|f(OB=G5-A^2Il`P3Du;UU|8JcBJ-Dwqx!ldAR z-i;%e)ak)CFI@8V3ycuJYjh zpb9p*{Bh$Pe1%93htAKjsW>P6|M+$LR|4B7N4 z1x*q00l0}!67I8TQ6@Q`KdRk&H#pbIdk~+`g4DxrK-Y5m^W}%Mc;8hbmTe30Hlheq zWp4*viYXAmuZQxnBs7YC_i!Fxh7hK5hv}m76)4@cuhZcOZ|#$HpnR?nF$B~8nW3=)w5T6sBih@sK+2lW%U!x}JYuceF(l0{@OwAR0 zH$d-bA&8nF=F6s;&^R258KM=9o8wIGbf^5x5@GanpM+ilyo1c&RF zH1*DNqkh5tBaHL$G)Fzu!DPe&>^8xxR$z_h8sh~#d}%+BA}^Olvno`ftm?)6Z5F3b z8pb$(6qEsxe_t(z|7K7EtHZdz!MP+`Fgp$?_@kbPb81newhs;bY`t~nwg9(1`5pkc>cr>I%FF!=s6@}6X zob3`wJ}${q;m1_Tk#>`5J9KwhI5m%`%m~RG#m#Sdj<8%>eFL3P0`SK%mrOJN5yjBy zhPR0Qke-Jjxfh(f2$`#uX_k_O99dqt2LEI8WN7}_o)_bBYB9I()oD3S4WCZ(F&d~= zMAT*&kbQvQEGE>@?pD2ffHtq_X4E;YZ)Ok@lb1!*jNrbcbILgt1_U%c1hMlOZj`-{ z2)j8~IkL!niI*)(T|jPwSnJ7-21>*E$FQt+loQUS~Iqwprz0eerL(Vd7!7$#|H$BBcVWAS`g4e|}$ zyIIxwP}*fa{ynbJMeE>2BUHZ3YSTb^VszhCIk3Y};(K%Fgj4eQ^8YG!4vV4A=x zDeT6(LBZ5%RIT>n!c@AFuvOR8@6+F!g0Q$jTZz3qZL$kIfacg!P)I=uPmK5+6B$2Q zaq+;hp476k2H~5Mx6LrG{>Oza*|8Rq$aWz;8XpsEIsYua#{Z%$UC9GuC#S_WZAGg53{4d@^wW6Dhdh2}c3%o}S%z~C z%>o{UqeNwh18kS$IbDzneQrYzb=Z@Dah&b$uOXA{fw4e61Wy?2^tk-kbMVCg4=b)g zaQBLxq6YJuqqfa{W#=QTCi}Iedn&!>iPe*;A|`4T`)l16S}y(xyvBAr7BRrF<_S74 zQoq~a`Z7e99=I`Dm8qh=#8s$V%DA3*Vchf7z~+hqd9F-bWR4@F*qJflxV9Esabd)jcf? z?83P41&x$I=ZRs7%ihhVi+bR|BzOwPyg#ldmskr2Y9xmE5FGRC%q1#fh5FvhfV4yy zU{rBS@a+jU^`Z1Bb(i9Dr+9-dtJ_Wt=OuzI7qnjbaD8>TFR^3D2isCAnucJE>fNf+ z{dqXnJ``(^SgC`t;zYx?%!9jE{5OQ_%7#3D#Nq8$~4W6|l`u)iHMW!*5{27-b; zw0TBO+AS?IOdMw6U#pop&C2n~659|ZfG&R~gqS-3l#k_JQf(-`MPK5x6;s9qgROP(=1E`G!Z(L4l}V;EtW zg{{uN_qV=YI_9iNR>Y^(EGN*?Df4q)-ZPZYdr=i`50B!rWoUYFsg-9J@Ra%)d#6LG z2XulW{h9Ao613h;UGau#5_2A+y0b$}p169g(hW}@kUWdg=ew?AdG6vy`KLY9m?{Mn0Y2?%D21&t{@q%4Es?)R)QCjkPo5KD7<6HW`#Cy zy4-Y)mqFgMKwW0cX%Qf&O05(pB1kZl*Vb)9W2wW^V;z;f*E*5nZP86tV5aIxI1r4( zuu9rVFCt^*;5FQswr1;vUkDe>-SZaBH{cRp`vZWC1^hQomF}#$PG2X+bOx6f4VNHW zhcoc75s6xMu~IsQY1a?2%7zj-1s!~SOwAE}*>henYw&CDodcZ`RqU}^az^3IJw2PZ zn!fxYyUx%A4@u!-OZZJl)pM{oXi+n+lsve|>GITFCy!PIrbt^2M&`HjEgLzYPyed2 z&ql>tT8UcV+J5{iQjJpqFm|H2tt4yp0P*RJw3#kKsnC46l-G0hR7&F3lpqLP{s>fm zrHPqV{DRx8wZb!)NI9cJO5L5%48@%DJkdFphhrT#);|>rV-{i~o&81i44@Re9qMeJ zXgmS_`ZRHVP(2+HV5eNL%gIgxb#rW8exg&%Nb~VBy|po#`AHZlf=`-b8Niy#uC2rr zF^l%5@30yKH|M6OPQ$Vt=ML%&pH?CpPFxAg3Ce$9DyQcKcJTSdokHi=-ig=J#+jl{ z$BEv}!44EGYj7cc#2@3CrcHx_u0Vm2Td#DN)`>{H5m|autoOvqxt^Rx_d2Vx>f}ou z`J0*HIPgnBUZhn9Tp3hmEWbySzA0LQf}8F=LyYQjyr}n0Gcv|Fms;%?!0JrTP_-DM z`ZN*H6(vwEDit<{xSFw;ICt-AV#x1L>*7X~T;%?TX82KItjI*B>1+n|rD1yR3@0ss z+#uL52HfKG{t1yb;DL-f)!VT~e8>93cdYFe$xq8jgAQNG)q-QeRd*j*0J(WZq|}lS zypl!w6AqExyED|AwFR?QEtp8{$x{4ley2a3j(@Sa z3+KRl@_HY{)~Xk7A+@;45D=p8*KSPrT_5()zGW}(;N9qH^bp9TOVdO^pVE!g*`+FKw}LDUNMVF^4s!#nj_f+l;Zw-gp~Iyn@&1PO-QoDEk>ag*o!TS;XW z$nY%c6xkt$&E9hgkf8u`RbO;hvo+u&P0VjiHPe|#yjcPK@i=bqsHH9KG!{9>wmTYQ zjZOWK0~Dgol)!n{K?)}plaV2qHa2(J{HkfX*)yt5ldA&Q3>|p5foE8G%!$dF3_6|Q zg?5&ry+GXkdji{sQkE7)NE?bXbH#6p*UqBlE!>lNB z;FLOzjSN40HQz8^m&O?VI{7Rnrj%skEE`)&U|bJUA48p$RlzkSpFtic>#FcL zvQXqVPH>&W-=R4Dhm|F5sW>93j1Js#wxt>_0ArPg4 z4)^C+CVHFhI*LRfvL2%~4y;5f`@br_veyOGOTgL43}Ul`Eb_slqq1gvzup$#u3SRg zvFU6VEaC$yvi15aCmzg6urwb%6o!0OsW2D2?p^NX_L*g~HOjhmLiPhi!URPS$;NrR zSj6WRMncS{7PV*g@)w_`^QF-^`@rgp8BApkoR_ZqfoFSo|G2G|_qZOd54uq;;A9_(6s{Dw) z`qA4#MnfqJU$z4oa3U|LR~O(Z_fjyczXDC(SHskqpV^}yF~KNr|LPOO*{3pI&-ojb zcUy$>CMQ7;$XpaABf4xo?mft&WQjoRa7XLoZO!^X4vT85T&8+(xvR*#UI9Qz`|GUt z`G%q}zeK#p=S$H6x9R-pA76FiWfVLYBQR)`9JG$ABJpA})vVZ4TO+M*Z%n!MK3J6_Tb zNZn@jnYMp2f-6XsEv<+-HFKQTB_x5=MGahTJ+cNAVnC*oi_VKcTz9+~=<^d} zKZf})KS4PF$7Ulh3fnd{=hj)J$?NREh=fxVh3{9@Lvf-%LtKDO*tvnH>(uD9d(c46 z^YxG#D_n@jBd8b=hJM}=-cpIs&uDhqwA|w8B~N!bN*%sySb1XN@YwAvR>K$S_dchp zSsaBU4f9$uYyute7ad37Y4u-_GqsuecTXiR(n(`Jh6cJ?7i<7Bu{pH3m}Bt~0JDbq zj9%R-TOQXX`HcjYDPYHRT9uw180Ciyng!|(0tZ#7YqXgDG0zDFWhMN(tfA^dZ63G* ztJKD@%h9C(oIMt*#FS=vYSy5-$J5?!ciYT^MqVXF2o9B|ezK^)qrwR#*I-q3VZqW1 z1BAMiOQkA~Y38Y3i!V3hSiSb_pUCU$!5yB)ihhXK6=X|2hf=LIp}}6v^pta^zvSsb z<2OISzX@#iMtrdA&nWD0IbF_**NXdr{t_t?%sD72(Udk_g>{#&4_HrI4xnd{Gd!}u zpomTK`EjNraqQDCRZ5Q$tC+v8CN+-vCeKCh;~;VmN4h_tLJFsoT;KuILjE~nrbDs$ zs(O^jC`b*DAToM|s2$dA(g2Y;*&0UrZJZRhwqCPsznla&h*VQkhnH~$MahT2FIgN= zp#>IJgkSOc6*C&JgS|y9OLG6P#qPE^#hl%!WJ4N{iB<$cwJANML4eQ>S-iL&>pq~S zU!t*QC+gjqsm5=fco?}H5W=Pb$cw4)Uq*LcQ+MBVJ0NV9(YiztC}ksgzCg}bgmK#T z?uif%j*9C_N)lvxtZrCna`(;Hb+v55H60F1bmQitvP9WleM9bUw)>Zl6AvQBx|MNT z&ND=_!6xekN+K;gO6s z4OB)`XCR7?4f1XyHwjKG`a)cgBB$jPwFV2^pBNLNSbHa;^PmIvuoK&}@r}UmJlKPohxWlN?HZYCYu^z{Qkj44Cw8cYf^aN0d%m4W`77e469Yiyc4; zEHkmTwr@r{kLq*xJfxon**_2u0_Pl3xM+;&?SN`U9kg|%iEL0cT<%`@AtYwB zeuVWlabiC;(weArnDsTrxB`e|58fQF{k;-04S{If-iv^}i*8!wo!>y_foR1*II9#33b3c9BW4tLOe1&8ej{lD8%qo4caG`y=S9 z>|caXlCo?6ZUx%XlQkLFTKmmI2dR)XRIbQl0#&dZ7c^AN$6>a4TjYKYOH5_?FC6GL zVUonee_4 ziyqh4-CP*_8c($PF`_{lF^ON%Zu<_C&QanR3t-bow3xDE;QN(3x!S1%$>g{&VOq5| zZtf^g+Y%AMpS;sF;$^qsO?J-C|1JW4CU0yqkVG=`g+5D-1EB!=Yy6hBQuA7ZGxWm2 zU{{NHc!hi^Yh{3|$y=j8jHJ3irl@nuyZ$Y{`*>cd(~5)OPZe)dX`4T1P>EGQ-(+GB zcrn_Ky*ym9rWw%F&*CC;?HIvN|4#jg2!f3;Ok=M~3dB}NXNo$hSpKyB@KCam5^tuJ z#3M>OBewjEU35s0Q4#UaAcbr)bsPzD;INIFeu=>V7lpg_HJp|;i>%Jf&AI}+(!fGJ zac}_>fX6P}ZSv{1aDtH}I+UuR(unHKkXadK zEgg2cs$sG=Ja@qU=sS{j>sV70;uo7fUT2WAJu?pNh}414vRXzfEjcH-E@z6CBEI~q zv4dq_aW$hs`-Pzsg-X2#VCC9;gU9Tx=wWf>iT;iZ8^vxh*G#Dd>e_yF|3oHiuE-|D z8Rp8GgT7|$+B#KAZ~4kluYG?Dm!I7+5Ix;VzWjT z*G&8utZGkLyz2@-jl9{Dv9XNJTk=)RJtJxQeS`|HtE((o59Yaq^w~R#d}7`HarJ31 zY`7jV`9U-4^I3FqWq0djnLH10(WVZQF;+XD^`^1c;POL5UZ=DR?M^CdtcD$oFwLBf7(KTUg2l2@-<3)&Gmpp46(2}3?) z?h_YrrDXkj15yC=7^C!uTGd{lx;NV3bRAdvORF4u4U`mD!{`>!wAZ2dN|vz1>6o@O zNeB+MRZMS61$9~Y_LIPKUjqt9XKFhMzJjhoNh+m*(iU$YAlXivYeORZdCuUY0}^M^a| zfR$@UA^1%6T5eduI99G_lv06_Y+c>}Rr^n3N{Z4Ox_5JRC}F3}^8#igq#au;7o9%s z+L3oj&XJ}J&OgtlI1TZYAZN`r>&hTh3giMq!Fmp=OTO$uWr8xdzRI+GyM%eQBitOK z+vqhME(uK^w-JcOk5^u4d)xpv?X}NLrDm*wQHIKhtGQ;CHBs29?^ z%|Rw6vkrW8J+V}574+zp$deD$ham$$P(!I`%eH#wyoCcb_?4OhU3p&mI*xXbeu6^LK%ZFd&Hi1i7cDX1rc%( zNQLkIJtKi6od0BzoMpdp-}PASbeY!t@pRqoHTiuR7%VQFCA5TS1*PmWkif^M>ERI6 zRlGs;&(V)h&Cv_=4;lje6CChs2B8tvX9od+L;llq^#M=NItL)4R44YtP~k06Ye405yLETHa@12=o`hyFI)CYj6`Nto-%?so$~( z@HaFp-0m9!Y@F3+4~K;Sn+GAp?Vl}=WFw#uz_$ekB<}f33H)gQM!F!H9Xq?Yn1FT^ zy&v4UF5zeg%ta^$7J!ci=<@Kl0qolcY8IH?-|KgWR0jZqLm0+y;oFA0fOG@~mWQGk z27?jG2ii7h)n^B&WE0R+0nWbx3jJ|deLw1leZR5<5YXM{zxa*(O@RRV!i8yU2;lDW z*WX1*=k13B0tIePVR28;6}JU|F#VcB1QF?1cp!jB0D)>0<@~nA0g+E!0ri)E{}l|Y zcL-`HKz#RNfduN~$%FiQT8RTzaBPapDz}MGTfsp{<8VbN`gX8{3(sus_=-1}E zM)c+G?h42aaJ_)Q|A)|3e;Gf39_Sblj9_Pb;J5d0>)tK`00LxP&^jK#s-71_^gi#J zf_42iE;_Rtdl*`OG>!-lq5tpdZEgZ+gjS0K=JE&sZExr#iCvx{ab^D#_8mVd8)qAO ze~Op_Xde;b6dd3~9zl z`P#1r8hn2bwRxWcF9`7Zub_vskD(9t68QU%?C&oA5Bto|~AF-*`;Yr`+& z51x7)6X@l4D%P-p8>s~`(|%dE|99&J@_i1;D$s`TcJ|LkMI=x@ix9dg?AIv*AsA^G z+PVt(-zLX5;rt%6K*|4B+i*F69!B1F54iZHB0=`l!G_= zZTxPs{p%qBj!(fJ$pm2WNFjl}1IkaDLA`!XS^eYC!Ndv$0Vv#m0l3?-2kJbaA^_jz z<5uWT@IMg!=fJPQ{%YRUNU`16*_U5`Oo1w6`cC$$;J~~BY#>a_4%m?9aIJFNa#f}l zJsz!Lq-5)@c|0Ztj<~N@PksMIXH6=kyjV}%2(z{P0biuCio6E z)Lg4f|Bldm$2^0d1rlFo5?MTTO6PYn5wN%-!IFnM@0{rj~% zPF0{yi0b!aSG{kkyJ!^H{z#q^3<&@z40LC$?j@~D+Xh=I zE#C@N%~tZCr*+MPrR`yEyU9zfrXfDOZf5aLPp({Gl$xQEm>rRp;1JvZ*IQ2QR`!cz z(;#kdNg`<5lwZIythyEYYS>J(fk2FyS%&Ab__tx<5|i>P?pVil3#S6#LNQ>A+?>55 zcY?;j4@pPbcMY1n!d$B4Goz~E5+qr^9|hm&MS6LE@vK+6ZCSkZ^UZ-$Azd`|u;dYUktkVXQY5qTUaII?{{H@6quru#r-{xS79eD}5MIKA8G$|ujpf?I zdZ&B~2Lhfpsn|T6$-D_Z+qI}yqTINdJ_N4OY^X(o*Pwwvdq8<4Kb+}W;!2|B*Q`U< z7<|oFZOvoOC+WWpoDe9dh|mGM6GcrZi6igu_tmBncr^+Vu`UdgiD#BhN;9bme#6Y` z)-e{f`3{sDE1Jt>c57H^kVIw<4Z)Ww<-ZSL=uV@YlDARawh>V)2Zjp!i;|AnnZ}{E zZwElo`>`pdyh-X5K6fc1G9l2TEcH7cu+O1U&rA5!tlaI*F_%SGraB)F0^ zg9AhV!%EET68YJ74JvAtFYvWKpi**la07FA7xh6WY$0k6`^#_SeHDeDm7uTyEwtP$ zGg%y38q7EmQ#3BKbrFPjn@a-}lGGbjNDt1kuy31jnr|?lHd_*VjhcY+h!INV9Lp(X z1Oc~plgei{w8dK%V@_|bNn5}`gnO`pJnE+AdYcba-%bg(PfS^e-mG59--^ z=X1W7LDsh}iwdbv!9q)EDpv=e*Af+hj&~xUWs8P(fvQM?QN5nLtVOwC+twSW4uEhY z0NG#=BEorh`m)V;o;_EspUvsh6$#nyZ^0TrV`ald{9$!IQI+dqU+FJBM?l$VwjX|B zDQO)1pRQM^xt5O2?2LoWm>jO&)-(4CuQR&c@B(#FHf&5Fg)gf$nN7wdHkr?hdz(v( zZ{*ry@XO8A2g4`GJ5dgXU}gNdcYaj~Z-Ylr{$+wu7ktg-@OP^>7Ie4CVI>cp5cX^M zZCUP55IPc64L#Voqo@QZ(#A@=AQ;?_=@{2Ta#LbdTj^_KuL2!+#LS9xm^@x!&(mFk zPTKuTgoDGAIOB`=iL0)X8%bcFsIW7 z2KvX)C6$Um`7yP)F^)na%5O?0q?sZ&^1VI+6Y`UN!>YH&>-@-#L13A^WCZ7gKQs#w z`ydFIGre;I>lXfc5SNP~^S>9@HC|sZ5$F+hkC4PRTa6t*clfdK>n~E-#>fko*$U5< zlB|w12VE=0eD0}U6`4?^gp(Xo>4`v>=3&~&<%#QFh7X3W)-)O(<|o)YtCwO;wIXLm z#!!iPCNW_AE`0Wosjd~B1)94r6zf`Y>gbSO<1!AwFSVQSrG3iSdkXHOHC1lA& zT-R9}M)kaV=CD}RE-dpRE%W_!@D^nGNjTHo7?u5KH!C<&e5=ut(7`E8Jr4_(HZieS z@bJvekk#joMA+L-D|N(JKX8kfg8T22x5KrE+?j(4cT=G;>~S@nd9ExH92pWqHXn> z483hmW8>-?ihtmaZShwW5_9)ElSfU|vF|ZpFTL%FGHxCL{;fZ*n%lKNl3Z7gF5GBq za%*>_lK?9w!%#%q<1o)EvHnI$`|M?Sj+POa|J4znjkx=Bui_HD*uccqEGGebhe_*} zGtw5ql+yQ+VNgQOY;2Ofep`+IV8Sgi_G96X*N7;>O=NI2&B$^DRBrZw4lhl9M76t% z@E3PB^N}_h^#S_qcnv8IQypP$m+1kaTldD#IGc0$WRS}FFkQAqR?Dx@W&_ImKbL!i zSe0;@i8i3I3pcI0XZt&5o%kE?SV*VT$$4Ovao%{l785f$t!u4%`d zC4{c9W8x#_(5}VQ#iYV|LF;X>v{YKr55#ZH#qg~N>qp-Ot6Z;tjotneBH7w`cWe+W z?@6BxCETcToz~UR9$_^lmVcW1Xgyl8#Y|<&t9?#gS^|xQv%lxtVOL=Z*JAF~O-N0z!#Lmf=F?h-A2%sU=1!0)j6=M; z2frfN_5{D$W>~064x2^FGp8V#;=_nF_Fv%Avx?O9)5z>Lg`$Z&%zLr`qpfIw*6RVC z{_*|E@y9G4q$P_EZ*vt1ekW77(7kfdaBn#CsVZfRFx&Q#k;mkXw2m(H#0KfkvYxI8 zz``O+Nq(3RbQUBidTEtXUAcp6O}ypCiE=Ie61T%jtmC6%_V(9tw-ug!ib@)giYu$Y zUNyhPFrXqE(Gs(7Fpk~xu7}}_y3IfVwiMfuIrvZvzP$qk*^Yo}sT52WxpxGo2TAYH z4Yq<3u}4gWXdwoX{_Am!U{jr7+<6)rSi>wb7h6mINcPe~%oKQk5n{ek<~v686^0gP zo>!?^Fn5Y&5D`LTJEFCYdug$!RemE*ogn@v3*-&S5OEdni`GRb$rK2^`4YAcFAZPg zqL$Jv&ggRK-R<$s0L{0n?m(D+=Q}iR)_l+JQi(zHS*NdX^%Cif4=yt7j3*0QplmgA zO_OCuN3h`RkZ_o39`|(O7tVJmEk+|n@LC!eo@6%_Gj))6L(7OdJ!5AGGN}v(YTRg(7!VB3xn?SGMh+)Lx zb?iiRy(-G9u-iJk4043gaHD&DPBV^GvWC}9p zY3*-uZFb0{0ePZ~X?c^9#uqEu6G2|{)l`F@8BNmbjZc40^2Vd+nYrXRGxMU{Fa#A4 zRxWLoa5a-6KOeC3%!ZLZr&3uA>*=pO{ABjzqc$dbl87(R;j_ zFnW#}Z_1y6<+t3^l`>vGdjI0`3%Ngp$2bj_ElxBx`p8~J8E&xT5y`J6#Y9bP^j>S{ zoB#?@=-rXxdr9YByjcD|7Ss$XMINM?x|F?rBsID0?Feb#F2`PkeB-DR6FsnDB|O_* zW6l9-LF`OOIth<9ZvMvhU1ofsXWg2fuJ!;WSxiQZ>kTsak=-qtD)%hrb13D@<(4T> zL)y1y;j+k#GT*&~kNM1x6htH2pdRwT-d!fLAl(E&tLE3tk_TGuf}BjbxvducMNb?VNZXqfP#01`6t!OIKq!Fvg6?;gVGoev9;1?LS&=Yf>xdPP(x&ID_;*W zWKm)pFxBH_=;}8-O%ql5FiD^#dLN3=6tiTtZa!PYM+0G|wPkb_${f6*1}RH?CnvH| z)tw)2L8dSL(PMCLQE$lM%zcVJ0pxA9m8VUgxKs6>8w-sW|F1BP>kdW$vOS5^uMl!^ zM9i!uD0cu8s7F87ba`L16Rt8y-czdO2Pb!RRS*|OY;yHEgP<^y)VE$>lL+Bz;Mh|u z_q#KwtV;L9ge1H=XxC9-ad4UBvmDV%r! z{MG$oob(0q4bp1(JDI!Q_IO4iY3>5hTqw?p7M$_>o`p3!?0}5&3})`Povrn^2hh_M z!=;hZ?yiB^&HdrwSoto+y*!!6Aw#>luokaLC24k`&)`oDMx2_>tz_zPG>bkk}!2We7OdHbztB9<`FO41>VvWA@nJ#CzKp#ZGK|WYYc4$d+oPFF&Fi{ zb#WFKO`l2`RnvW-V%oD=F^mHR6i;qXuPr`i;IjuA$WnOHE;O%M`!y3x)6b4YxlJ%XTL3XFl+b@>m ziT)MoCoseuh;SE@Pr8>@+{e)%jR?)N1vmal5<2NTfSUbR4?2mCesLMgMkTo};%z0$ zgQ=9Pdkn^o@Y&CSO>C-h?~qwLf+NIGt| z90I(k+-Kn4w5!(mb&?9b2~wu;cD)^*(>=T%gzZkJ^C^$y`^sEMwXH@y_blO@BmFfvcKfUl>d4^n_o`LQT5o5QzKQeH4zaT7Ho9N zD|ggH7(4qPHXyIhhv}(3;XiYfm(%P%KXMd4myNa6SJ89EmUMFFzbA{#O@C^Tr2^Dl z=IrApoHQU;MjkXKKF@UC82Tqkf0=il-U*w!@*QH(iK~wnBM5T|rX&kF--cn3kQf#ym2NkbQ^K|=QuY}U3`by%okq|D;eruoe z?G<>d6pvIBlx(*;l7FMla=t;aZ+|VpZ`I=P*IV2hw(WQH77@@UWnOx>ee1$P(Z2}j zZshS7FwiGiBfXB*M;BMO+L(Men^sOvJ0B!#xVYba1ZSEo6KD5b4&}<4vD?e2x-9Gh z^Lz&gm)@j!O@S2{BQkTOvl_u3COyidLLffCU{o3?OSeO6^H6AMv!@~uBiH@H122;5 zKks0vEdJ9j0RF_ZHEI=;iYoJ|(lVZ#J5fP3sLQ|@fL?iuDc%DGcKF+z6N2Y`qX)&2 zhKA0(=n1Z%no#jIY>!+}E}P^I>*(=p8!;+!(8N@S$6C!6Lt*b(Kkgbu#_yNWxq44( zO|Hjx4*AllKAF5sqUBX^gy<3R{etFUdb&LqECZ{(SK4HYw6-dP#}>oGi+$L5^w17z zB>W(kA*hc|bwTEvq+nJq2}%YJAMa*M7{aOTafat{ zhx%Q4+YPFe?sDg0;^3DouLQxoT%20nFE~L#@wD9^%m%ndK>F&}bQxiasmo$|UHNRx zY^oc`#-r<=4XErJbPFwf&*3x~9SItR5z5oAU0& z-Wd9*<*Oa*BfhQKnaXFN8!Ler~`7AQ9gl&R5Yg`n0+u0zy$A0}rn(Jj(a5ySU# zU^{=r@;HfugSlpjlJ*+k_2`NC-Xw%9-?qEy@yuDU+Q6R{A%~v>KGi1oA_rAQM zDjTwXIv2Pem;$W7HNTE)sgMy<#ZKJj|0BLGS63+3550C6XILA|G*h(&%Tu|xqBE)) zVkk0*xq$;#u}JEjq0w|BM;z5{Gx+AwyLYo~s8Kociw{4iQ>)I=z#Sd8;-!5gz$%`lGLpao_F zxo3(p2=*!3x0(9UdUJIxmDn;2g${lSt5$7<7^HCG`vBq+h^p&j_l)a*5Z~s*5s;2qID_SgbNrbrL_tzE90FX3IO$`+_sKIS$fYOYfzaRwna9 zKfWCd<}U7luXl4Agno%ZKzI?5SB7phcp{k zA-_0+>QVQn`Y>;oq0=qtqz*~jkCn4taf%g(NUc^AIt~R^F~zhLhnA?lCfPKnNN-eB zmz)Q+ZI`Po6*vT24GN=nPX-O?iwJ<0K5$W&v#;jc^KWrvCrf}w?vSe@J_uo6vZZIW z|Hnox_sKO#7gsiNxVMoYK~1N*ElKBV7v?0~`IwtGT2E&^HTunC%s*jW|1YSw8};rY zOz|U}V>|iD33+?YA?wh2+egHjU?{kAu=}+t5;zM6NSE;0Xh4aMOh7hgVLNs{CcE}+ zL~HOFFsmPn%Y{PaXeW{{`kTsXjFUWu{HV);Ixityt;F?tohU_-YoY*^BjBqT^yxf# zg^dYeqodvqVcReD+fWY?}(qo|4tD8D&h7@gS-B$dDhJg3)T3oJ(o~GPGB7jn66JYt%v{154 z4A8|+!j2C9EiJi69zI_4$S3e+bIen$0dJl#?L8^SP`E8@&zxek{fiRC(+bd$4JI{n z2P38d3O3gh$F#`Be52$vB39&-jx=$bb-xGiHnZut{M+=`Wl4 zlEvfIRnrcF6$bkkxr4s@9|CyD@FD{e06?G|0t10QXlT3?P+%O};pD9#97YBc?H~Rf z5Z*yGh!!w{TA^$zAOnJzcMJdk2?78jIshs-5FijhfJFY>gxjNnQ>b?d*#KsG1F(YN z1P+^}h_$N5;(j9}e@hHL>}=QBVEXh{UYpF|u2st?)tacU05LpXQ0 zbg`nC+61}2_qbU3CsxDtW6j*d^OLNjUIq$25*F0!;e7a&{KIb|+E&FoxP}QRihu8W zEdy`~@n1N*9r-KkkhKp6-#)ub!9}sPdW#CJ$%5_!#Q9u?Ev&pB1BX5M!)^-82N)D! zL_{x# zb)L8LBPOcSjtfNaiVpdF@gp<*6UW5y8x2dopNHCvV9qN9fb*C7hn&xV5bcZn&JRCu z7yp;{>Sywyck;K#t>_pu;Jd~7>-g?>y*ewOzJ?FqoVz1`zA-PHPY&|CS1TId2d0T^ z2<_tZYga`ziN#CYXz$fL>j8@Q2#Eh1B9tI0FBeV=5edZoT~gAQ6;KNpG)PDQg7kT{ z`%ero;Lk)T4sRXOr;T8N_RA(PpZqG%1@%Bb_@)jK1qBUIy{l8x>!1kLUl$VC8)Ux8 z68hnr)&YPYA16RC3}7DT2f!6V?OQJf6#xq8-A21+00Cnd?q%u~9PJbMZ)LR4;hF7y zA-AveQ7@eUE2!WN!s9aZ_Hd5^%Qak^fEH`uZET-uWzld4gq2q8E7;w zN7SEG5N9v6SzCEx(evIG=7^@QS!6itIT*TVov-K7VZMJxKG&Vf%tXtj5l}y?Vk}p)hCf*%rnEku-x@Yn5}5u z3G>g#4)e14(=7TAHJfo6r3M{;=KTBUV9FFURN}0i)+kJq!QsY0ECvrjB(J#@ni(0r z75!%@W+*H#x-}%3pB<|i8a5w&hX)~5yE*8BBc7L9$%IIGCQC;<*3#>NVLr>qV44;; zaTqqv1Llv!WOvg`pfmYaQX1-olDs63o*76VL0gws&Bp+@mOR*%t`&mdGSVjQwAc%p zHRhMTC*)l_@o4+~3eN$eF-?mo2ex=ubxM$_i@{Q3fc@p>nLTq^qR7ZO85V}K%OZz7 zP-qQr%q~9z)T9Ig0`puVNU!C$kCto*h|zr}b2ApV4-QZP(IH7N#gRorM{Nge@BX2; z8yEQI>2yX&-_Di$0+W2^Yls0y0XarQ47=^jhOM5Yds&tDYvKyRv_gnV%^&2#okV6j zZrepYc5-a&9Eg3JP zi^biz8<&>GGHcJuVT|+_O{?Z?w*3xQxQ&%O zUvdNn?lkvyymP|_l`@y}$EN3sY@mHS#;<87#)1~882~m$eCt4aAwSSTySQ6K&A1g% zNZFwe2Au25hc$!6OQ&RyE>ju>*o0zM{$!ol+0JvciOkB2_m$7?firc`f0A*&ok789 zd{5X`QQr57BF$>}JX<>~?4FWU2*n%W$hMF69p@y?0W5PQww4rg7{%_z4y5g-lWdx;efKiburjvI^3@wcGP{G1wzKK9guYXkkao zorOMz^@328*8c6o1}V1AtPMsg@ZU0E6nuwp=GW`@CIQn2&+gblAx_({d-6*;x#cyC z-r=dB8R}l*bjm&=YN8bCR_^d4P8EByf8HE#C~T_oyi6_Uk} zEN+GMmcDdM*z-_`IKZMN$n3JZr<@#hz20QS=C#a+Dl)=Qm)smDkh^ly+Wvx2DU67> zwl^876oy*N+FM*_%J*9M^Qr8bgCaJCb43VwNQ3Fu&ifW5vIrIyqH?N*+-X@!3IErM&Iv__77sDoV1c& zHXVPdBV70)*Dzy-@!&e=&z+sAiS+|y0mt|u;sjUgd32(I^MY7ia{H6pm{?0(9Nh2w zvJ%t`Ea_L&&7buE@>KF;;97kK%0#~k{;TXnJ|3p7+0q__Isp))+2c-L6l1)|ko-MF%YTd{qT<-E$apm%mSy`@>_h zELpya1mb8u0QIBC`HKSEf8pIiggTGO+^1;U-qxp>zI~^YWa!&j$}=(y!0B%tECpIh z(un|3qJ06*?XH<-aA60p5;1BGSY1EhHN#nZ_Re{DDVM!7GP})L9A!DZ)VAfVwrh?d zoD-+%Yy9Gmb%>iKZKyXR`C8$)>V4z)*LoZ z(}2i+k9&`j3sM=kT(E_rg}1UM#{Ge$P6dz;8dDGB(oo5PF|wDCrKC^m*=DO znIM^NMbFSIXh$ZygCq@0I1xRKhsouApgYV%?S;lX(-_sboRs|M$gigen-cV@7|Qwy zjzF2fJhwb<3(S>_?me|kk8aX4wWQ7)W#+w*uIjEiMs`+9LXIIxpyA}6?oB5+i_vgA z!rjmhE^uNp{_7N;;?)iF!g7d-7f*@PEEM*$S@*m6FAZ;BI3c%2LtKv6qb-eU;hEhX zxo=dn=j5*Pj5GB#s`%)6jd<^y)~?k7vZlsx{C@{{2Y|M+tsXf7wKOWq`$pD%G+)gam9=)Ukmm`TWv_HvFN%_1|goM|6F-H8j4Us zt*Y=8Iwo0%=HZ`(W6e(&_`yZ&S9${lBoCo(ptcxzvQGzZnYGIy>?03R$Nps)jdsEX zsrx@OuLZQ2=!7oLzk0n9b<45gzr4P;qKj|ra(G^t4THF@U~;|rcr*z9G@Fm>`ucMn zkQ~^b`Y4UkGTv>?V1FJwO8tKCl$*&w@>&$MMIk(Ef6)&Ydg+LjDHk~+#-@OMU78u^ z#&*bfySdwk(zn}yRF>}flAbHh1XG&hT&5dBg66ITxgUftr5>rijv0~FOmQIW@&f)s z7oOuR^qbR(9DvhX5A~iB8!GuspGcLc*ES^Ix^FSZggEtxvKUD3x<-GGSG_RX`?c%0 z137$C)Qas0jrlT;l154O2S!tb+i@{{pNOB?vIc}23^y&ov!|iY1|KtAAqqOFKJxON z3RbJM*>Uvw7ECS8?Tk_IG@;7R zO*m0eV@En`KhsYQ6@>uzR7j@m&DSyT#kixlS?L%SH_cq)GI{9T*c?SW@X6?wAxN%3 zukXBO!h1db6OPkZ4D$Ze4*`$F4?@z>rb=m_jO@`Ms9b!i&egT|yGZ>23>2e4f&ne3n=zE%i)SJjUhk3rskTtN;lSiLL zV-mWyLKLdr`xHe&=i_bbiLwTeLzN3Ft~1KWXQ=S@i#I=QqYNA#TAu7gXLcs}_1^lH z9BFR;i3#fOR!+8El~f`j!)ML`5AF{L?aYBdxrb`xySaAs&PNL^PpPQXm4WSU;Mq*b zxksncTq#($O*3NP@fmd_DNSD!#=N75z&D|TrP%VkICAJ(OjK7t?-sb|_igMsJ&@{n zu6dc}-8gLYqOOtKi^YRQVy5fU!}3!P!=6A$#pib=xCb+K`#Z{cM9#J7$;C-nYDwL4 zuG4;snnpt7-mc+8ZeCXTi!R`@d3)ngGwqltfTdi=Jb9Y3!Rg>m{;o~8G-#Hw;NZp7 zR>?^~Ao1uc9Ey#&L?d3)x1z*{`zr;Pbql^NB7@7=*eHjw6SNnql1@X*s(gyN9$8Zy zmB!CjBG3aj7S&G{7G~aW2f1Z2wumB9fYlndicEE6ZX7+U>BY|7RI)vxUl{5CIo2`Py`J+HAGZYC3Ou5nPP4@j z<*a$yThoMYX+pJzSGz5nL^OC!iRXJ~uImNwOJqz_l6pnUTUC!wP~r0Mty%?}9nUv< zMwt==8HVz7O{MdrgfuA{r48 zR8JA#r+Ja$qQr*|J(w&7hNZfi-ijQVh#dJoup?Y~pEEtek$>pO7y| zH>cOZFyuaKNC)a7G=pX~?Y72_?-3UlH<9*U(waw<5R zz{PLmu&Lja)zqHoy73&URka#sKT;NxP#9az8B894Hxj0DW6RvKoJ_Y*lxr2kqo%^m z${#qrZCyJta@J`0HFl+vmVDqoQ%HM^sfj^ONf^B>SJEa|zoeoh8Df1JP)(#CkfDx1WH^Nr7t2O@1OvS_&i zPR1Rc`f4gBStGm@YF%UvfJSs^`jW7ubXA)U7Q+%rk-^d&NpKDYZ!RvHSu9Jfb00*x zZXYoyp2Wt7Y4&`$5g4+HHhhS>!N`ktzs^*lBBOd?2Nrj(n5FXNfyM9h=|U$zz*rIC zeXG+*jo>S*qL{_QS{CcZIrfa|vm^zlX{3^51#^9QoeVn6n$0<-u9yqJvCcJH&0y85 zg44JU0v|ubJyZ6ilU?81?0WM2%f#`;^q9IN{M6P|G|-CeIk7TL9GR4VgM)KKxXu1c zJ_J})r4=Oa;<-W&$;vFBm-bJ}*))Dj&3RS!fAJ*FqnVi?CA^S#WF*ZTJKY4 z{Jd2ybh!B%2Ur7;O-V^NF{N13%8%ty+hT?uR8xVkskU~di|P3-EMqu%JYG#+dis~` z#}z9uy|b^QfRsf6B^u*-T6#@eVE5;6Yr+#Ic$kAt{^S-r91q%)vMl$uI#4ua|2St= zytNXP^?{t4Aqw+e8K9KKkx{k{eaV$fC}4FOIML`hvD05SepB*eARm(XF&|I8(DfMgmi}Cr$O<-|AH%*x+M}0+4ZI`S{>i!U zIG8?J`&tFYu|qN_Oyg)sU%25@b$Gc+{E%BhLzP}T$1~~IAe^BcS#;6cFnu5qN(H5- z4Tj){I}_}`Gsl9@c(*Ws!_@hw<4qPww*4=H{?pZFWC2&ViLJ7MC1llj3|#-db|aXr zOB;l0+$>^O`kfI8pVzuhL8_9vMPejlX==P2CZfLV1OUOb^2_$h3QoCREjhL~w>Z0&e78}c*lcBB8 zh`WtNu+PDe16$^LxgC*&6|9ZFr>L@-l5QtbDmQX$fq5Pe@C}I*YLYt()rW2gEfvaz z>GMD(L47fb(?Vwg@B1~NH-RZSqwuLgaA8Yc>nWZEp*8MlTwX+o#83(30^Y*1w=}M3 zJyzLG>tnbevCd$*}J1b@>Rhlr$p7PNOR?2 z*{WlWbd%|$Iuo)|Pg1{zy!yADDpH=oW0F_gM?NuCV(teh^?FR|ve^2{khv}V4L9nREi)=+$3O01 zx{2jBf6Us%i#`s*TI7cBtE=(}aN_U<6uu@loprTd=>Z}Q+V;S7-YY^p{X`>#h#^VR zSCYcWCSk+K_`B<8$(qriCC7+9JOsMw_$2`M9a+CLnaRmt%Flt_f%Y-(^{uW;?G5Zc zN(X7l2P-XFRkZwAd8O{S;hncqk1P2ojTYwI*Tl2vDcMj*?}+7&q#$sSdFE*LtX1gq zObS-|U)u&m9%FblHJK!A_~)v$h9UABLi?Xdk3n|TGW!;|{80uzm>>i3zf^sUd5%$< z^n(x&QM`g}-m>8x8&@QX9hn}QiM`7$Ci2wY)`Q*ac{tX*Kvge4GxP@zJ!D2dYWc<5 z{Mr0i=^aF~^a(@yBSSlNiwwSX%hsbm8+I*$i!Zid(1z*+?~j~b)f<_&NU2V*0qt9m z_g#LrXY4dT7$9@>30u5u(_N;i=T|J*Y@>lNh?F^QS;)TnmDHW4!*fr%BV>BdttpAh z9||AcOL3u-()8){+$^!+RD6%VKc#b}#B<=UVm#j0q$Wh#K*k2oQC8fYG)l-XkBp!( z>#S(rz020#Cy*j%j3;R4%s*xyhi8s!&MNi*d%Q03p zOZh(pk_Fn^YSP#5`j+T1jM}AO_e(-^{3?_7 zs~rbrltM_M^zB{dRSBY{W7jMm$1Aa-dy9Pbn;}=-C7isKi@`fnj@O*P!^%q@N1e*X z2{fS9e8wll%5Q#!qPf_Zjh-EDauw~tQ%zuuDA@$5%f&(oj!92om4RO{{Abbf^BB`m zaqJQ=&>{Yx3BxkmwZ_ZOR2pBGTONbLbhdYSvOfD^$l?x8{~)(c9tWqVDKxit}Jf2}_%%w&jARt8fiolOn% z%kV>X#bEA2@mROss-3!T1op$Mx6YVah`>?Cf0o}w}ILPf|n*fywSTY?APX{Jpr-F@T7aWIx$wjYky zEpxkvD>2E;agi=`{HdE&D@G%!u}$P((zN@YI0~PC1Q$TXq|XInsD1u<$Qsj2a`y;R z^J$jKEqE)Bq?Qb)4Ygf#7sWx3ar*#*s#*;Z_2)p%*%(o0_0mPZ{zGwFVXrzYPUk02 z5eem+4F=Z|Ph0^rz=yK!E^nYLa_c<`ohw&pQ+G{we@h6d@=Sh73!zb=_G29ca{=wF z0m80ZP~A(6!yN^A^;1-LD#TV`tb^_S8Uqp{r!_{_Zl7dll;>>6W++96*%tHbTC`W(nXEf{L9D%|D$Ho9hFo)Y;&pQw7U?tuj3gbS zIyEeNYPhfZgKhBE&5srr- z>1F2fJztBe4!IG32M13az`@%!N3yAW@gFN*uxP=|n&-r`93ZOJVHotO zCxf+T7;JXh#8J0#WEnAItrm7KECpEVtk$oxL7j9pV7vm4$4<>q-LxFu=^+rtRCeKc zmA%ic$sW|FHTCFo{xGK%7O8mM5SVs$RS&V%eA;3$EQckIm)+xypn0M`$tD@bd7VQ@ zt2g%vTWo6PNK!%p#f7@O<R?u&t&6pNGQ9XFCFCkk+z<8|hLcxjgZ>7^O}p8^Ip z2Il`+z`(}I`G5D)uHYdVxvJh(vXGk+K^TydHdb{?Dz=rZT194x zFB&ZsyjCg|t9CV;Tl~0lcOU$HZ@g!|^0Sh?Z!el}u6t`Que0Y2W z64Y%VU>Oxm)Q30^9p(gzMbrQpMFs@_C4uP%b`||L0|RmZmc>n6-)o25#5)5WAqep3 z2Za>QuYZSvN5KgMJPZexZGH&=vx8W^GwIob6zba$)_|aS^ zR&@BvBiYBZBQm2X?gAImzLAH*1Nsi;BybRrtglW<386tafD`c;!V&drWq)xL{)USB z4S9e8`re+m6Y%DN6aiSo3;Y9oH!R47Ab>jxgt-6O3-qr8C@d7N*;!}9;YC}u|h8nu>3f%yISm`jG$({o^%968H-)_{{Oe;cJ~%jhq@I4G z{`C(jsFgs^>^6!vJU&HwNIZ zL)}vcIS6{csLu=3$G~$EE##^13-Ff>_B3F4pVlwzw?Daip+6!<*oD9_u)={&hIjVD zU3JhXm_8)!UYGy;kXCjHG8IoDi8DX!$Sc}Cftf*cPyM=Iyy~e4OBO{OI{Kvn!VU-C zU8U6=Xrk1ba{#%-eWKDH}(Wzt!N{gIT59jS-Z-|ckbxf0^O$!hgb<%I4 z;0z4D2(<>8qd{ygvV|hjezQXbH=XSKws?A|6{`W`Za{)AwsaB^$G|gaTY2yr-H&o+ zh_x8U zKN2$q_ee=%FgIw}uF74Mcl@`&?vuI&$J0aoCP7JUL2P7)y(gL>mU5=_RKM&WAXl6w z!^*At%9yD4l(^Rfw6pP2>nWOJgjZ3ve|qa>41bE+wHU@g^m_1)wd1x;{Og+;Yd{&d zP9T6ZVu@t3Lp6!erg~g>x;#aL)v2$rn6%!pIR50*(AU)esb-UN7NdL`-M)$Ma2B~6 zyIV!s#wFStuw^Uu02S7FT9jJh{rBoHEG6x=_!!pludB=Ox0V zl!+opwkSd=atG-niPd9G2cJ&TY$j1)NPFYfu2hujH(Zq;#6CpFh~vug z>Qd8I#+JYqI3(l58^MW8?9B2-fmQE6+T4I?v1C_fwyaA8l$H(z`W((?a&Vl_Ax6eN z;ccqNAPBS9bkR%4vDV--1f>|&?)PGuEB9SUgehLH`O7 z^ib)gg)qS#ov^pQjE{jUmId$rO>8&YIe&zx-C$yZwL~{@W)CuM7+OPyRXZtRexhT} zq?-=$m$zm$fmZspLd5_F<1nt}G?Q8`&`}A_DRo{*eg~v{5z24sjxnKqJ7Y>07OQvd z6!`Hu44)^|90m3s14%%52hG#G$D8D*w=FIc}9|vsGkwLmISRi z-NUEYqy;4VA*5jxI^2A|xM5>&Q?bQUjEx_S-&M#7JRmoMbFz>s%WpuZ@95EnU!W&T zWxjYrAX11CZ_x?j1kI%2e32j$Ty=8x8}ASU6#*GjPODbQ!*j}adG&p{;bpbnG*XK| zy)&$r%ba#U@LhnS@0!{YIGr_GUGkeuh212{qNAvtjrmhPb{C?i5KIPHnQT$8@>wi!&u7at$r;*Sd=4$Xze4AI!nn!ilVo#~Cwj@cd(GK>7aG)^vW7@R zE7%DncYFfQpEl<(lyfHJtHe_tUD`3ye9cXp-%94Tj~3L3OR6)Ht-&$LxN`_!ky}W` zVGTe}_)eR{eXM-4MQ{7D<62}vEz#sL`bJQMMfsCX7PpLhZEd}HYTtM+gdogWi@(lR z%om=oPY|BEzCmjnkU|EOh`ogfdH#Y<09!uoHZ;Vcq?+6THzp+F8Tqm@RvciHLw`*3 zp`JgtIc*#OUne#!<5)cjcxtK7-sHrn=>>hdK&t{2wZNyHohCTz2dFycH=fS=z!>K& zl@;xWwuE*>s2u$mv_3Z0wAE_!Jk;sCZ~DahL^eXecI<*qX?qY(EIG(V9bFq8K3w*0 zifsHszMl*nJCvNno3rTTB=atrZfse>MCmDymJR3%swK|%HC~{ZZk}nwG=wfWeTO&T z%&nAsmT>HOwV-ja@Ni6@LS>|Jt&BFJD7CwdXY?+?TAvY}$Y*0)!k%#VS#^jWmOQuA zNNyu8+NCXPu6cwq7kaYW{OfUeK6P{>UsZ72}`X5SjtyE+E_!N zC#nzJTw$Xwz)Z3Occx#K27pJI%vfX z&R`po-D$!>-L<5jnU^~q|7yt~xj8P;?R+O3pAdV9%UuHRO@+l5QsVX!(Tp;@s<$TJ zJH7f;@e~mMe4#-KekeVMyZ+H_eY8%jBLLl**HI^VxutLXH)_9Ke^QOq<;}7 zJgT-h%c3gx`n2ZiimXc2C|Cn$xG09mlN2`FoCpqaIp66ZD?$l2_o-&=IZNT({bHP@ zy>&n%z97yxgBLh39@S;a0_$swEP;{^M)_sORmFq8XO zXx2&qq;fm6gj>AXHr^HBTZLubC71Qr(}t&ls7EW z(fx5sj6myV`aDxFcTX+?(tXgCayd_QiT*Be;QNq zFJH@^eBGR88u3u}ufcpFsPfKt-0S>6@PM!??k<}~tKlSjae1yAOp@07tp{hEp_)&r z2>cA>K}}JXeS>CFt!J~X1oxSCY^h`EaN^UO; z@2okj28Lez^M$m_zplVI&%})Ud)Cs{L;-I)`%kq_L@xt^#&LYTa=-1)QEYDd1P!Aj zhdy?Jiw?pJ629_vmS-kUC(D8u;X%v-90z(kivqLnmio;Ym)ivie#4U#x;xfa`=htE z;LP}EW#XE2>nD@>D#Q2;QLS0*Yt}a$^3$wBB&w#^Ag4nLi^Ir^IsT7R>fF~}Oo$~b zx#{t}@O&YOyk%rf6<0+0^i$sc${?}DRI2GXY^s+W%P&3%KKJzxoQ^w{mSY2vd?|&a zu*S@|=3kw2ZNq3Xoa$5@H3sX?Ne&&Z>V7Fhn=I?Fzaj1`(c2WIb1S?RlAbr|*h7s_ zn2I}7vJEaIsG2-0;zuJ-i*>~qodI+1(=&e zo9>9&xj?}IQUzIj=@ac+MN#*O#u8WAB0K+swj8e$dx!ou0>V+Yz|Je0t5@Nl7h2%s zY>C+T;{0i+w3OOV+msaZhcu?wE2O7)g{bkW*3R3YW-Bz~yIcoh^AcFr4sd)INxN-e zDbuS^!qURAL&{hRClw#>_UFQ=ZD5ueyi%^|qE2~0eJgpjSH7yOT56kDuiR}V&#=tx z5fl&y00`nV7}W9KOxZuDgcC<@n^N=ey#&9#QumV*-P5f`vE}q~(a|jWL$O!72A|yX zbBbM4231FFUEm1Nq6WUv#UvT4Aaz)=>GR<7hePizeK4kFFpT%ibqbDO`X4;PPA!D55d!w;w)BH zd6isteX`W$ezy}NYW(ucv+dxN89MLPtVW!}HaFHDh=4+?VPuahWO*SxxY8KGY$g&* zs=GHDU!R)aha%lfXNgR)7aY%89X0Zm*}R)$GV@nG-wdYTi&IsIv>6af2;+{voghDe zH?iI^+2cpd^3thU?k8hww;ZP4ZXC%|p;@!?Z>Pw5T?QT$<|aEg{IZPrFAFp(-QDzS z5S|lxL`HJtRPK7ZT~%OG99IhBD10y{_NAoBy+vfp+e(YiJ<1U$C~DmnSPSpzGvd z{>e^ABAlgEuR#khab`Jc4Z{vvB%fmor@-JjBO2u<`c?X9?QRoKU$ky)w5g?4D$9Tu zYpR)(S8*X`cPG@#d~u9Z(-_)%e=WxNN?lWp1R`Ue9Tj__)At<{4GC&NyTsb7$x$pQ zgUsbBl_}tXieLR|vT&l#5SZci!~8)P#V*w7n@C-L6Vf>`-M1qQGVf8)0ZS1dD&EOd zSa=w?;+Y_UK(#{aRaNPz1C>j}dQO4tRUUB6NXlfxTt20zCNlh0*=pad6rF#AxJ$J< z#1|!R_IMkOo|W~yCB7^@_IDuHD^(2<;%nslQbc{6SUKNDAB7v5f30djLvATFy*VMT z9fn>krUDzn6K_%}_4-e!nfVD+NN0P54}&lo)I$|{V*w#5NNK~;9^^rnzc#;2wadYb zUs>4B`e}LNb6xkyR7419g!#`!<=eG3OT-dZA|3+A4x3AoLZs z?Ks*Ox-t7Zo4EzU2`ssi)>dta_?EvRo#JkcvLm}-B6^0HgvpK3{gt37?Fe6Fcxc)l z%whqiFS*s~EETRhTdWWe&;ENFqeFd*E3S&-%eFJ1`ry!O6@ePfvg)Ox_fh0pP4yv( zF;S?u)om?en!l`s_-8IR?hSo`#iB{o{E79FqX~JU12gAc(BRwklOh_FPw7ddJ$nGY zVHjk@@-kWz^%TRp)}hnd-Mc#+yLg`~U|6BPD09CtiJYsYYoW$nJQSeqL^|k5Q0Un` z=b@`04kyBB>x_U;44_V*8x3_)D~D2sHlgIY{$?E4cN4=+Lg(!aFWmX{- z9L4xW5fj813yIdqQ*yDJ<-Gq7ebQsY>{?Lu3z;^MPg6juNfy1r%4N)>*5Q(TwSnje zkbFG+YIa~2{#<%JS%gDEZ43#XK2ofsAz^GZ_eP9==aTWPw^{ZF%7JCUWlkX5#n2w8 znl%44kG==95w5TPr7$|UW<;fpclWP!Tl&Tg3-yyP@G6-k(^ex1hpZ(L zlaPq*3OxVDU<0z{>?FZWs}yA&yVjju0t7V9&0{nWzWWno{5J5Jr+PP4A8P>*brMtY z%7~(LPRlw1N;v~GM9yTjImzUWQ2e%c8dEEZ#vZkSGxO>tk72NO?Gd~%8E6sR2?m7U zb3c`tWeLwOIEp{lxr31q&i@7^k}@DJx6J;jdq zi1%}-)Na#z%snPtXw22vt8{Oogl_A#>UX7Ssx1cMPO9x_m=w8ZPm8v5<|-3=I=a6j zp*-5#mF22rL?SwT@FmkV$CDSyZ4|O^(fhYNnTUFuceSZQMK7%!rNhK)2@;!mVo{6OYY&CMECW#ZiG}X&vWQPE{G{4aEY~c)Y+Xp&)<(t0 zdY@PlCT{|)3}QMZRmHW}3#+*$2DjtF)J0)n!E>v zbxy#|dst!#o?q@~TsQ|h`fatVt}d8azD(ZgPqWCy;;)V00e&>%i&7^OuHJ(^wo#+G z~VWZvpp}T;OS!@aVrR@SBekbUVk%wI96d6$wcmuk$F(mMhBrS zc{Ckv&TF!22`ZoeeAX0r7w2jLY>#R2KIs~)N1gOvP7QA#**;!rDV5ho_}IG^RD5d^ zaPID*{%muU$~wi1sJGHHo2JqvDbxr~#7U3wxa;hA>TxH`^-E*^Xv7`CyS;BsL|aIm zn!czs_-zL_VW-54+oeRo|6wy-GgB^Etov6$D$21~_)#`EAIz8{0x#>&M{u~jYx!hV z+8#c_{S9@dXw(fD3A1ql?xw$(7z@mD@oG0#3I)|(2yYUpJJNR~F#_$L+7h44io=!4 zYUf>%hELkhr+ZpK1wGFFEfy{kY0(xgtGRDhO{E2E`c6$X>TUcwB(oK;rTuKGRPGCF zEzdsoG!f#~YBxv=~Bz7sbuQZ2Ow&{PW0J^9e z*)qc5xP87ds%m!-_^Kq@UfpK?zMav!#YEHOus{c?m<4m)3G2eGoAge$p*b_?xN=Xh zA@h;|GeFG06VkB2z%C0Qv>6?%49auvm}1#ZH7G6|N&|=Wz~1Ow>J@!3t<99V8iOu7 zf9P~;*7mirou^z?EY=rwQt+BSh0!rxO;kVkVN7kF_&WRi@{=TP+c_Fd&;v0q`)B%vWN6VQaf7#$p#q=QIM zT2hA+0lnVl|R7Ot#75ImG4+jJ$v|w3G0Cy`#KyAJ5oagTg zbUuR#fCB{NGwojrOmZD4N8qf?Ah3aA2HWg^Yhh;vJ|B`19Ki7QMsOELsL=p&6X-&&fiV#-OfD zPO!gW0L}y+R4_+5YXF^(yx+kspezWPe+dBchg$ut4#2#BcL2bl!TGCudw0zb71-}j zmX(<$e2ptJa7$2++K*%c4pKfz)iAhiFb$wwKY|}v>ZUO6+*=V%IX=65&AC5Ulm%o$ zx(rx42lICd&gu@t*`Hsnkg$V~v++yu#AAk8ABr-(iw6-VxbVl3$1)CS@yp}x-r7gM zh6dvZ>ir9?8Pt!K=09KW;AXG{7L1b<2({=x#*;PwXWRrd2*7iFef^#N4UkU^aBgNd z^C}KZ>khu3Cl|**J%`iHi%S3pFlCME5Bc{DwTaR~ zn!3k(?wS3>pPQ7F&<;QzjSde0njD@xAUHS~yZ`O`;AhYEmp9PA;cuzZ4C?dC<*&RP zpfv;l#Pm*)5p}mGhi<3WzW~N{}dsbUo9ay9NpmZ*yJ+qw>rRl82J9!V^K6CpIO{KfOHu0CqDY*2(X^=*YFehp$T|>`8O8i9l)maPw*Rnt?7>d z5FqQLZvmg&yy+eM7@!{T50DekChad^&eir0Fb{g`2bfR2^$*@>l@t3N|GtCi_`jub zh@XHxVCDk<{vXb>{JTCgv%8y4ut{9!T`{!Kn9gy`sGr9iN~B(x#({QB5R*--#w7VI3Ry4&8xuN-!8pF;LvILjzS$Py}> zn4HNxyAWy%jg_gl8iH360;w9G5*|IlWC?fXB5h_QBb#J83z(efrOB85!vg9{8oD%M zghbJy^IXwnTz-gh6WKB4XQfIEjCi)ipwSXJl2aylGUVk-%UsV{hnTD)*su?7(SQt6 z?cM4xi}#_D(4zI}bxit?*g>jh=gcmLV@8YJ!;swV#5?7Wi{k{(hd4aA3CIAZNTjga z^~{TPtj~v{jn@MzILXgW8arl!B^>jfoz7sxQKx~OrSlv@OVrW~I;j-6(umBq2O7|i zy2k2OYkuz`xh%C2UP+51sIg~qIwe^ll=+_?w8ngoBZ8^O@G#fLfw?ewK-EErfjXXB zYB&M;i3hieu}(<&K>KFuFq$GE#;6`~X569J>3_f@ePfadS)emq)e(7t6GYMRTs zCr*O6+OBYwg~faoDnCKFsUnHB%w>3_t?+Hl!IO~gTHiU*ZR4Eay#@}$!`OX`AI5H` z%-dL=6JBoJ{i0iD>Yyw2jS_%Sq*a)|7b5--Tov-jX*eGsW;=cd1EXcrl2W2k*K6-j zZ5N`E0Lq~vz^PQDt2xFmF`;`g5g|f5PTR%f?IG_Z*LN2c;%;TnIue+!eF8&oD|$S$ zfzk`rFL*7X63&|5GL-MnpMH-79)2QqyNQj%u&VAwEa<;T z%zfXABb_8EVL#(0zfCR^r%+305Mx8ho(!c5C|eUHda`1IQA|3-IHgzK(M||!ie+*d zhiIh8th{q5t?1U(qbr6X6V=<{Mnr83noOpE6t=ebd(`4sDq@NMHk~sw!d-Aw;?J+$ zbsCXaz7F+mnTh}H@m5OS$d4V>2=q#S zKBkDY0cppS+PX2-Y%&~-BbGbIMkF2odsaKOGs234V-=WdKNi$q3hSG}ti#z>j}6zn zMB%B(1vOjbnqrYxxU&T~?R?iszEzM5hQ`A6tz=UX#b3A(MXg;}Sdha$ge0MIx2aqk z6@`xisjUaJH@3HmpIG>)&JL6dbqxOjX1#E%PdIGWNCiOgp*}B;a$8l0f0FqYVFOpy z-7FS5$e6FxH@7lq_X#{_F#buiyh$((=C?%VuUNZQ6=&Njqhw4<`Sbyp0;|$>vm8OX z>MJ@!{bqJ`36kXt2%yr5gRL#)JX%+FhFo!OO?co@1gCg%6);j_*QTYXi!%txETOMO zMm606!SNx$(g$5k-@9AS!J{AE38w0&y_t7$q9l4e(~JNMr!G`q2MaE{;o$$cf*Z&`BX&7287E3{Sc9#~tT?jQ2HHB`Q zH)3rpk(KS$BZJ{czHSQDe|;a>y^80>-4?T_ua9A4u*1x$6{KIj`fXjKQ@u= zz29$x3*XqyFKwlFi+gn8TqrDn$ZkVlf3Pi2eL|`%a$^L{9tmi~sm#zenTD6G15eJs zK}n#IVQC-bwp_p1sl5n0#NI>90};IA+(rXrJK+?b+LImka&}8A+n{M{B-U#Rpz{R? z0~x!hB6!*5$aZ3z7557uTd-R+Q$E1UQXC8kjUyqu1YZK|&UVLBeR*uSPMod47U@Mb z&UA%Nptt!Xqi|7!;R)f7as!2({Hb+o6N4tQO`TqQgA6 zc}d%qJU1WTrZuKJEpTObfH+k_bG=deIoooY14+yJxmb2(hY9X4R(^vZ%T#4FZ2wTe zC`df(Kj61g0On_<;*J#+nGWOYYr(W4zq5*FXb7C*+xXPeqG0Hg})EZ zd74z-Z-W;dB%c}>{qY#%6`z_EeZz(edzf7$=gnqG3J&E9J`LGK`p=d!4CSGdW)A*F zP;`tUx+;j}h(-ZfV_?;3uz!&JR2(;t?sw%yfUQjd7HUH;w0%MoBYpwNP7YkAjuSiD za4DmyR+ZeBOXtYMCv8PTh?uf>k~IH9k&F99n?Rw%RUn~%fUL&Ah6d1?=@viM;&!i< zrH^uOo_K7wf_7#vIW=b;Fl_OVS>qaB3K`Q@`IP)@Xq||TCHsoCRi%$(|;r#j_puWRXCs_ zQzI^>Qm`h>b@UDf>elxBHeX-dKSWqrzotor**iLBF!Qc3$yB>OMcpe;x8ltH+ zpz70;K`xLkI#*&s71adQkx`M=E!@C#mfV{As)IBgsr41ldp5`j{A>e9mU`|7UIj0H zG@uA{bN@?NIFPFS0J+}orfF=yvU{b;-Xsh6X@m`tA{?MJ6AdA4@G- zU@HRzD{vQrl{^}tzT>cd_3OlLblT3Z9a;cv>YZ<}w;#epxjvw7>j#-2;@51Ue|x;T&<2MOH^+LK-yiimJffc!51b1f=VJV%)iks7L%=yX~h>)ge0G#K5hNlm3V!JMoXJO0)NI0A(w^|H2S z0{?Y*vmxH?NX#o_%N-077Z&{74m+8#B6Z2asEw^9PaPE@?R5t98J&jtSh2qQ*2Q06j)3mvAKnl}3mmjs*JrWea4tFuTQ$TG|6{h* zP(xC6XHmA+Y#&!rYqwulBh4Wynr=B?a9lf`hd+|=Uf3&P^ObQRjvmKy==Lc2gLsT> z16CO72G`a@6&2#KWp>k4-DudR!!c$Ht|Oi|3S)+r`1CSY=n;?y+fX?4UApxwah}5- z&j-SNbqn3v$q-N}*a~jAl>Z#*Z%Pv@euob4^R%Ss)8v{(x1fhY(Adbj=Z3Y!IT5L0 zkMK^k@Lci*9l>ND{lFaj#?S#q_v`>2{Uto)#PWB+W<2Eq5gA$c*bwyphb2=XX=SR7%=vunb@*#YrKK_M2Ln=o4BoEuvp>@=P-b zJEH6k{S9vHh7X~;V_z~9N`uPd-~}kdkC{f`epm_CM25~P>dFlrRlCTMeK+4R_hZYj zvQ4c~5Syu#w~2$0yjKDgp7mS%KQ1?4Ep1^oP*Ku;KDah5nDxOVZ5-!ChScwm&Q4;KHZBY*JLEgz5BP6e#Iq(mF=o6G6m=lCs;giKLsgdKQ{87* z&T2>;AnVIPN6)GzRhm0?$~MgXNVwM=Qqw_!t;4?BAW5P1?GID< zE7B!n=T%&QLX((%VjesO@eq@*;t#C(yJKnY1I$gRVPCZbUvW8fB?I5nQ>srqC!G&4 z+6xw*p-2Emd@0lI)r0SL@_!g{wBZhlzZqhFC@V}Pqw`}J_{mHTsD7De)X4$U>+(Kw zHFhZlpTD#fmq!pQVeo>g${;1kYZ_(z2i0~We9-vObt;Zjj&E2kgspNX!H6+~ALsXH`+G4)+@fV#qQn#T!1Pzm+rJ`#I+Y`(~0KYeDVk9B{MAKaCd;J z+-Bd0+M>fIYwEjXbB_hqJg0-0zB(PgBAhn8z?D_h4>$OT)2umCKsadoOt524j)jF~ z+I1&gaJN1r7H;sD9ftbtGY?$D&nDk$Q@RA;k-Ba%$e6@E2VYnTIS5X_S@(_JpgQxg z=B{Vo6Hcc4A`o}tnoAZnH*K2ZNVsu#V0tMt_6)&wdg3hR=D%c=kDI&ay2PFHH%bJn zLpl4{E5GYXPKMio#f~Ct_D)gt6i+*vz3kGz5*6;thme+d`721UfNp|V@bWpcK3K9D zZiIJ)IdusK$K4$~g*|t(3CPkd;y8b`%kJ;Zyv{*3WoG)XkacJu76s#iIMdg+OOm6! zvOF*|;eUkdN2N(R$)8SZ`igTY$(LxjtUwExr&9Xf{Lm7|U)#b8nmv3eUCd2kw42M^ z_#zH7G+)p@r*;;U_TL61#X&c8b-1y^tstZRpNX`v^dYSHvZh1Fix>{Vpm^%O!k*|sBa>)^wv)Z~C}+Ra`YIB7t~5jk>^RPOWZu^|kU3o!JNJSqCXixydxI$+-q**(#?1t)$tpwpMuCyk~?ST#T=w z^+#=;X(Y8h;jXVpRKhd8QdMVHuo3WgWzS=&Xe#oLPPN;6zUuLY1lH=o;>RIHXscJ& zt)v*UW;2e_;u}wq(_JOz)Z^#Kj(F--Cp7E72 zHdt-{FYUOuDGZ#1u^2=c%VY9(TleFX zuOF#uhta~P+Almmwk)AxT{{6(lK*sz-D{fVX6|O@Bm7gUtX`r1>F9Ihz)R%PB_VyI6diA!zAO)14>3({`nyyn9_ge1Q~4rCJDKHm5j~9c76qIEyla zT66e*W4V7n(^;%J5?yJe#(554WS)q#m4t1-EHsE9)ih86S634oCo;>vN1?S8DGGr~ z9B>pPNTM!ZTE1_uVHDJb@W{}@o*IWlj!Na%R;~2Q*jv|@InY(C(Xq~iOc;~>1|kae z?}tb(nizl7G@-!~Mdq(S0{owq+*AXV#)`Y~iHMsLvi zib~EaK|&=A#Xy;ha&s6dr6$E^j@;<}c!^e4as7f4hLvW77NTI16k0?!&hBUKz4N~h zxzV|9QT0<=8VwmLda0Qu*Hhn9#e&VEYD;?$&r0^@=?5heK`}Q_Rz@oGX|*l2b?{QsJBYs{f6}!)^^f}I+yrPA-z+6;VhLlo4k92 zj+dy59NS}A@Ocz%J|cNH)ShlVQ7wroj`Wux2%>Z?rr#gD1Z=mfCJjOs8sFZ(k-8fi zqV7^_^vAZQbS5~@OJvP-YRxgASrhFz`aCDVm`iPkENW?3HQuDhB~WEOt$b5)o-8zv zKR2fpmZy#{a%n6r1kgHZ-Bqc1`Tt#Z95AK{Zqr;NaK32WuZN$Va13upEFVZij~K0= zXu&4NLY;<2Ze$K+m7({r75tLxbZXyV(d%zV=JHRP7-WHJ8Np*Pcx1AySZE>*ywQB< z_!YK!Cx#(quwel`rIT=>RBc`*+k8=G|BH6A-*WN(N{v$BWX$ss#DGEwCX1^c;OK+E zTpgoyz=*?s^VcCAoggz5ET_XoW5)Q9>iIW;yQ}`UV``v#_VVb8LjPCoe+a1%IHIYh zGZB66s_A?<&c1-t6gs=y1!VIZk{?r)sT(T)wGbAMgZUn@cmKMH>wz-*!NNxY|iy~$6;F#uye=190=s=hz@tH$7R4*N-ky8xV z7sO8LyAgcPhI<_8oY|DZLfp$-NEsorCzr5;R$2S;kVXo01Vc7vvacBo2!Chtn}UB& z=l=X&N(s+@}`@3h1VXpeTw9TAx4B12!b<8b@WD7q=JBLLf3}hPkvFueUM!k0cx3 zRoXAfwuq@Q z=IfA8Vj`W!oAzk6^{;k3l(l1N8bzv~`tZ^!<~PX`u#Uqcc+G{KSnAtNcqZN=e^uls zy0XG0X0!f>4lpSpJe3d*Nm6!4lyDAcoc<)1B7upE3@TR+1qgMZH|Gf5Z)Q@0m_trk z9-8`#Y*jMVdfO~Y%hF`wtDxHaUy9YO+rgv^p^tv8l_m<(Jrw5`g=aAl^RHMoit_CJ z3^YaKp?Cq@S?x7h5=C$A$Y@o-KNPlXgG9>j;y8B{ULA3C9GcHdI*`85lDXhe;+7_2 zqq&ajk*E3*n~vFy2{KCWM1ym}RAeXoMzC|>k5t_zXkDhg9Y__{cFS=>$A|${KdidG zNXR>%i1NU_2>%h0eX@t-NC0w_<&q1<4tlMH8tzSC!>IEW@wl zr&MBoW3BMK3=(;pu_~W$q4|hG5MN?4%fiTk(fIMIVA&dL$Tv$Gmv3k-P*keGY(AsR|GKA^vHYg1)jI z+io}FNP{K_c`qxIl}i9k`8B6&(UfDKE>%RGWu}gB)d|g%x8gkAc#(yW#0~?G#RaJy zwUXMO>5I7j&q5+#@gG)M@Lr?8dydl`4SIZ?marLiXQ8#}=NZ-dxKk_FSf9~>ukE*s zJ^w5urfGTziq)uBL56RA{8m^2@wEyaOQjJ;6xJ`q&CxA-d^&t2euY-~X8^B4(v-G< zZHihq_;KNBvY$lVyzS~Jd%FTSg3Hj|K>dgYNV8+F$>WL<)3}YWZr2tcrcmNQ>L>M% z86fLgYS$~0kf;;IcEHP|G>%P#d36R>#~ijaFvp8Gl1z_3u_hg$Q)p1XRqf{4^SZRp z3>tQzv>|A${cV|rmm-^`EPQOV=SKmQVBy7RgIKI=NRF*?PoUH`>*g55@%CXzt4WD_ z2c43Zt-LTUWt+>8u`n9AT3Vtc7>2taTv&Q49GS)5JIUB{{@MMEtGJTiVZX;5d-u_^ ziM7Ez`vL8jVeAJTV$&oVoen0e5XoMR7uj%cB}GlZlrK6o|<}=f#;EY1~>$vE+%tfEYEt84Y2Nefx+K@O;z$`KiJiy-33-iX*`;-c*=gc@QbxecaNGS?eV zy>`)i5dL!6nvflW0k+2@`_i|-Nnds-SSBMmi8vur69`bWVvq+iPxH>A53)iaqsbsC z3@SZ)vY0<>&TJ>Yv~U--8P+R5h3I!4p-$X@l;hBHl!-y1qN%((g1F1p?(3H~PPcgF zn3Jk$?lgU1yC&ENnYpZL-G)XdHgTMPrgD0(`E$3+iWXf;F%^yEm|JvrVZIVva{1OI zVf~Yl=6$}FNg4s%DA0e>TELT!VzVkrbAU6Q_Rkp8$H5tciZyboUAF}bTP`lzK%`- z9e_N0X~k6&rEd)aOoN~S)sLL0gv!?ZW7d^eNn*^?uNzS-x@ti4gyg z=J}1+XW9zsY`1dqlW&oxr3%nT`cm30;#SANlEf9OPB@A}zmMgQv+HwC#}#vEdz#lW zk~?757tviRpzNmcBfA~9mX*W`O+&kmvPWVre8%fLx>FmBYR`w#Ly@g&C#OdC)Q6(| zG9^`d3~?fbMw1sJ?(d)%YWrm(7PKtHM@Wsli6cb?PCk-h`qVKa^Ue!;@;4=C3S8fN zR?TQ$B7waX{XADCd=scST`Co6$XuXJg=o4|`AaGnWi)8Gg$%G-U0#A)6{81wo8b^; zCBy)1$(SeRaE*x_TU84Px3ILGnbTQ*$hV*k%5?74WSi!XBq-*LDCw%C!q)*|o!&Sl+5+Ow(Ro8sPlQ`}mDf z*p!S=4LfSBHu{eXkgatfCA|W=X)C?&2i=E)P*9z@d=f?#*pa-4m4=g3p9|21LdbTi z7zU1CP>w97f+I^df?(&{StDA{2Ph)fZZdO zK^$9PbU_=rJ4)#x_8cgjP12sP5PlvZm&e}POZ-s>UarDqkst3bs-r{#%*mtUTZm$S z*{7s1U2MnkkvBh*&9PNY0~q7r?H`3<$PUNY6-{r}@eAo2El$UpJ-yLfins17cP*0H z_1iEvQjRhRd~@Ms#U^o~v*vB(r>=Uf;wyVBg88+i_Uo5&Ei$rxP=h`|Q?r8+9Th^D zyync)l}nTg6RJgvKn5W`5ad# zN?|m7&Y@3BUdIu0u}97*#snwKBm{U~DsQTRj-HPdLE`*mnxZ;0IKCIu*%wZ)u9DMkVt9;*n9Hi{&z(?G88LfNf!0G>+9t%W+F zG2^8G1^frI5eF2PZ?Qfiud&dxQLL}Qne4YJrBhW-bX#sBSk=K%AME^_DM$w6r zS@vLm?}a#3+r=N9@!VN*|3=x-oDz&VDnS$>lV^VfQkr1wY&RQnf=2QDl$Aa=FfDgH z4Psh1L5H3l2UUkrMY$MupIr|?CE*iEcawK0Fs1BFRKD zF?T=A-1a&Av{2BhjP>Gn$P~GNIn8%yx!A?9*yg9DN1p0jy}FD8jbcbgOc=1(SzNIV zpK#%bRaEsol-!K8!qhQsuFhz=$=&axEpC_>+GstzadlFe=$-?cxr;_m*#F5QIRmZ~ zU>NPa`aMXby<$sE&-YF9p4eH6o1kJC+dHPNGbNvy?r~{x)Rr$mBTFYl6KPDT{|&%{ejx*sb$@m+^pAVj zzMcY96t`Ls4?TsUJl*7M%n@t39GqM+9r9X5OaGdxBejWPA1R*1577mhH1;AN%PdX= zy(I^FG{A=7Q%4@ke77#>@0>-Q=R{dr$+dZx$}}j?OPhOn%i){4_$U1+E&Cg-d2|dM zc8@EaNTNHeqBPZ3?wK0?&G2-Og=2|l7k==Z3a`O%)=mUYp00*(G16DQ?r7|7>pL78A_6EJd$usUR33b1_(!=or-K zEj!VL+cUEv_W93mHC2-$xUP1weX@|CYX^N*)=mY#g8O-W_Xy?L^gZyoe9$gtb=BvY z%WFs1#fh{zAENl^lx)QIq<75BM=yTW4`b{qPPupd63B|`KimMvAK3oH9U$NkFFh_! zorl^Ee01!*J>roVD_-9k7=VP_6fT*$>MS!{6bxw}32Nlba^a|oAv5q}R%34Y694;4 zaxvh}qtn4B6?uDawWIMRzW0VM=`0vS@7W&wr6*t=x72If0r`X_gE&Ofq+=mV!}``J zTA10qO>A-b+!9)=^jyNY zyFJr(LDGRoAadD@e8>wsBd~WMM?k58{$XUNedJTM|Any@(ip%*RR1I#9%i@z5wxjN zp<8;qz3fe%k9`r%uJM_AsdxNybjEzpM4WnJZ!!km3+6G(exug`|C_}=P>G+kJ`6!( zwz!W(oY3{AiT;#yuSzCha1dH~Ha1g0z$*_ULt2@N%hGM^p98c-vdZXK@pu`7m{R7% zpTAmPm;Ko|fuEx&Xy^Wr$V>Z=(;TUm(f;aqyD3+Y622Lh>M&*@k|OPWS?XQ2d_k>* zYd1@7P2ZW@ONP(9g@X8VsKxkWZCtY@gTD7O&8=zj3Ka~umbnbKOby0x+KdDeHtt8T zwksLTYm9#;Nt&T)#M;rvEfhV+mmXU!<o2r4ux8y6 zujt;k7GO%v$Lua%qjHb4^mOml<$Uhnm>2KU+7)mzCou-C`L;+UY$%$3CiS^+ugYJw z^HQflJ;LoY)G2x&f9~3TIn^)1R0Z!$80P)E6kve8QZP-9-8tT2Hez=0wgy5*>~zWS zbQq`p-#N|5RwRfc39Or$|6fz6amC_+)%M~?uj+Q2y<3MiNm^YHUAXKlzvCg8bGF?9 zY?`&TD8Hq!KRJ5jXye)~`BP9?1Kw)qoruVaMz-hmOGH~RWg(WQo<<|{qnKmw)1ku+ zY*Dw@ZLKCzit0|B8<8Re4$D0jL`dGPjrjIz<{o>}d@`f7@`ZtpORGQIQr>GGbcM3m z>O)OYH*PiOFM*ndHbGDunI$1b7X_db=fHX5o3nM(ut@&5f(MKexHFW5itI=Jy`396 z%#oUX-#W4LF%MCi6{>&}5ZJ2UM@A_PDtH+b?3H$VxOhN(R7^odHBkVGG(q zw+{_3>G^k~l(j~?>$ByWetQ9&9eu-PN%OB;7Gmf2VBBhh2Suf|X00pNEOe7-sn}9- zTsUWXms3UwOs^wH61w1W@OA|Xk_bW~p83`*^AJrncwa+rXjju+Mf$>n8K_`4zG3cN zuvGoxNl*K$xGshYjZwWNv{#fP98Qujx72GJyvzE$KJ}G0vR@8PQ;XTos>qnEx>7qY z@>wXn8&%5vlQr=Ik1bM~bb7hN-{{y{Yw_GFXNXo^qg6^L*&~Iay_4vpKR{2w`TzPp zh~t06j+q!4+5Vr{F*7GK!~cvOvobOMpJT@_pb9#Xo1jrff%`}-8b@@oU0q#ZWr3qR zyzO9X`vW_Ww{`=x?c5>z4*xiv%}&4XRCly>S9Y$RUM6-?NTe!Z220&W2_U((&A|wW znc)Rg^kiYjVGPX7Oij$p1oQJ1fVs7Uf2qau=K(mm0&i?Qe@zK5A())}k|QxW`!FZB zHh@fYssRPm01|@1$0vrSVGIn-PJinPa){vtrpHljpc2eM#@9E3vJogmZmxN822Rmt z?LB|L&;@LTfB~GGofrQu;1F5?IfGZ;c4BdaeOUuoHoy(8o{skQ%pe><`whU#MJE7J?}Quwn3G>)0r>YSP5=Vp`#T4J z&p$*6o3FB_#K_2;*q+21@by(31F%*wKortrjGUdE3qY~fQhy9AP411J59|)Cz?#^Y zJ(ypb9S8!#Y8VDD<9Bp^a%A!Po+jiXSZI2r#CPwS@knu_wASlIE^4akG|&*AbOe?SeKxxy5P##-g0lc_W@ct| zYG?rCfB;VH4F;dYftlgF=klcY@DJGF`1Ib~-T@ofunF+%Fwd{S`zI#HP(U2qodG`G zzcugrApjsiG6Q6@0LBTN>u3jg=k`Vk8~*oO-?#&}0J^7bFTg+zbT^INLY?d4I8w?0dngq}d{2x_djx5dZcE(@a0c|JiI$E*G8OZOE zC$Iqk6Z0Sb&ep^~jUHa*?A@@JGN@-a#lI}Y?bYGg=lCUK!!rO3PA)7@0*1aDbZBnw ze&~0%qEdo4es%2rVGHZC?^7TT<1=7}CTEd%<6;~g0I~*t5q}~%Gl1k_{s`25k{@^@ zV1|kB;B`Qm#=rbF3MhZ#T6X@GK16o%fQfVbXEV!h_(L#;f8Vj|doE;dtM)bTb;t2> z4F7(ifdFOl{jWK9=ku1{wI9gu4G_{K0bWKA-yYj0yg+c^X?i zu$y=rTOAnM1Ae+|+B4nv?Q0XJ{RP?cRR2jnhz)$kBmNfo8{0m?`*azU`WrR%wM@O* zKL0KDCANN*WwV=i{VDb-*8hqBpiTeYNZMP&GJTcN+w}Jp$NeqQwYGKM?8VLB>eGVt zCjP0@2iVK}QRdv#aFAOQlSlhwc8|QT0qi1iYI6krvIe#VW$#@7p4_7io#erKME{*d zk53HGKI~QRdYymLzmIO-;Ez9|E&u4>(^ie~i}rSS1>yW9Kl;aw439k2fY18#&F#`% z^u5oeqd^?QF$rp9wlN>THde!0bycB_x*Mgs1I3h5rLT620F_8aw` z({1t1m-(Is1qG}npoB%e5f=0!CLeLwmYo4_mA_Zrt{FwR&+D{w34cf6Ps626tvznXLrm0U zs6$J&N#zK}PK4j=yI`f9@nsh#rZ24U<36(BK;noE%v^iJus&GXODvE?D7Fp6BpR%l zY3-jbmf=uvP>#c1$pws#qT~ISaC7pfBh$pL4Y@PAeqwQ2fU{zvtPSl=S5ZpywG$-+ zX&+g5oK#5{h%IW9$h4Kp(D{BtpQMcSzEuc|70)HZZQPy2b1(z|Jh$=%*wRQ z7LG-HDX6O0TFe*(kdD?I!k~G{4(xt1gvbShbKoj%8zJ2?xTvBlFx?V}eex2{8{pcVa;k<5?4X zJYtrqj}&%<)qGh|KxjFwi^a3(>~pHdd7k!%r%$Ax+zTBO&E3K`qS;4e+XPGSkKfqe}ZgheW7`-IZ%mXADT zS0t0mJaF3REbe(wF!G=a;H$Bh08y0BQDGDYwN8E&h@g-TrRri0mLW}u&86%uC>EF_ z_TNV>n53F03?dqSp!60_I53jK*QU;#^gr1jl?TdN7$9nS+o@smdtE7V%~!N+*ji5# zD(U?3NHi23sjG4Eyv16_1(v~l!XLFG(WaCzww3b*B(~y5BBUDb%#Q^Q_hiA9c>eAO z?y#$Y466eNC1r3>RO#Xk!$$k8=asOsYFIRFRXQNJ${FQ(etmea%Glb)5sqoud)fl5 z`0Ybq1yC6gc{RMESpH@(-Rw{6*)u)hyv$iT8Id86g1=ivpn##2hv*I}cQpO-#1NJ5 zKWg0e%(+$ifx*{l8_qM^*oIw?9CR~wPV40tEq+`0o1|jeX*4Ti!eiBQ&X-U7oPkh~ zDd*e2+zU}xsP9?1NbdFmKig-LTV~N|d8pd@esEY#fy9bJoV{I6Z>{6SG=B01 zzmy<{So*bV1_bm_yD|>Hq=$AC%JI1+{dSy`^vjx8KnRFSSz)*g4nK``OpOE#RIL6p z?uhtw#9Y6~q=m0|X{NCG2o(W7{cJ+z{#yVr4RgDN%&?fa;WAmqF2i`Uj;OLwEk+u5 z)&?p)HGeKB7(S!L?$fL8h%Sf9skYaWrTf` z=+^(Him{*`lYLu3?CbAeVBnHQ*5DYX?G8a)U=lv#$o}xJd#FQNhga&yQVG8^$0fjv z+SwPmc{)>bv0FBMiR(3RHwo*tYrxm=@zgmE(w1M8A$34VzOuKEe$e7&tsarVU~-in ze$#^xN=xy4F|nHDNhrLWCxnO650cPM$)nhhqFaxM#GMz!hK>%T@t7OyxK$-J|3mJ1 zQwt6|1RgyNTp6djI?GRHVeJYcSJcL53na@?A1kn`Qxng&bInn=dsw{w(43f*P3K^{ zH4TIn=H>~Hb1dx-lHC(Vd8;|rSTVShVYWLAbsC_nkrqoQM097CG=3l#W0&0>+h^ha zr1QwOCvs9#YlPKv*fzVHnaMjRtby;DqS(6s@!u~ThsRtvolE+IBfoYb)wV_9I8fnd z?L$~_Hq3{l**7I7?5T%k-bqFju^3xSFJraq3 zP7o={z4g@87pH?0xV?xXsJX}45Nc4qW|VlQV_)zjyhov2|DstgKBAZDUUlpvG)aMX zlHVO+NBeH17vKs^1^M8DDceEy@k`^3NA@dMv!GM5hkY`n%+&tTJd`MvN32@zd3a-S7Z_jxdl;6h3NJJty@zx|zq>p&Rb`U5Wq$tK4m+K||t%nH|;QIBG* z8P3PXcpOo{!#Ogv`?~Rh^j&gEge>&LM75HD(*KDUIog%GCt2s5{`7>;^V zEhB!!pG*mv0GmGiUXhrAD3mY+5p1KR*Ah*6pu+V%3?7T{8liFe6({sXz+sR`4?<(n zNio)tAriAN%kw8EPuTY{hqG>X5VKA?yNVB4H41yS0k2JVPB3Qu-~qFEtO2HVAJERm z`I$wuC&5V8w)P6y&Yum(b72A3cjZwhRQ+)e6^4!e;N zTkZ;^l~`c%%`(A5DcFQue36+0ixmd>0uWoJwNSzvmP^Q|#l#ER1Aob(0CQ~L_2@+L zL1g-c!QX&~cdKK^)L?ee8OV3J-Xs?gOXTAW8i^Q**0p0q5b(zjfKlO9V)cE4269)= z`leY=D9tZBH0y+S4?NQadDS#W>cl(DfePDA32`aOO#KoKE9r1dQwh587PG<&xvhC> zlmQworz}T_0`d-@UDO9!_d5al49~rNp3?h#I3n|k!_>xcOO+*rrDg9B=AX(bL0VQ5 z%_Bz#kc{e9hSLW0(s?`1z?+dJGIVo_8~@e&Hq(tgIaUFP?DE6k%$h@aYfhxVfG>fc zEtT_J$1F^M$|Z}fxe$#HyiV#p@{8u3(In_clqNZ-w!{PkGZ}8pZAd0pJwuqgElwUn&Rq%N!(l!77bFtKqFCacr`oUP;c zNy(K%%K55)j5682N@_<7GgE<3W5aah^NhvltnRrq3Ozt(NBJq_ura)Wi}r;&z5ZZ1 zv%tnEBoN+qct+y%OnuEJRy0)Ai`IC%trT8pWG?wJKKXpa)8)-3J3rb^QI7o17Ly{8 zz0kFKbbSNUshBQl9X+uCQ~!}fum8eaO_33Ui=cfM?Ddnl2e$MNb6m)9Vuu>=6p#KV zxwI_PYV7NcN|e{pV*l(Zh12DhdQIXVaCuv@+dvtlpb^*yi1U@5vLv>DG()x8kGQn5 z`Zmr;4I9Lo&ijPhH+;4|{=v+GPsMgsTrsR$@Kq@XEPC;RCf6M!NmfVy$&iZ&QyO>F zemidV-f?3*43Ck`bhY%9?k)(;tlRcdsW07H(a3-3?RC(CgU#-f#n_<43SCX0S^FU?mQ(0WmI=l)GMw{qvh&kMBtCQ=q6 zq}2Q*eBU(k+#6rJ)$RlPZ{Ootk!Kj$T!qUH>LrfvJMYuQ2N;#1u?zFgq0OzBMlWvee^Jx5uvlm}-yY<)dX!n7zvc-3TE9 zdS*X*A%u^JuK}qC;b6;Mkx%_fvouJ;YCw-blF+dl!0ZPEZ`ei~0cu@&68GiORLWzmg6w%kEu<|_!VZk< zpevg0b}Z5=`pvDndkb8Cn$}&>_VVFgnJsXbU36WL3a3K-9q}uj0I2y+4oP*w@11D# z%JhPgp+UN#wNL$u(@KmI`5@3x;}luJbUl;VOcS)WxjtPp6zq96a$pAy%it4*-qncv z*%nzIdZqK>WE5|zMXI>8k_x(to2+HzTMeq5Bbsq6zXn`*nt@P9OBcp7y5JEKL)}rM zAM<(h#Ywmsm&TiN@3-oi(W^Bz{6UfwmL4EkovXCNdvLJng((*7pv1J}rwpyGnsF_| z=swFqit(~JT>N6x>#@Cvgv8kC$H~|Yp?39 zRCxX8Z=*K9`GZ3zdozA>@Q4_)#9b!x1S9B7CP$=F+R~cuy!K&>nEN%{;HzdHQ$_3f za**I|Hhb^Jt@}0-?!!|(F=POV40=ez)3V6@t2ZpqISgCW^5ebZhZCbpkppl)}~D#>j9c7WU-i% zDO+?SoTZOGBPCOGj4Ss7DyTR8muEwx>bB6DHrNRD`V**R6N`J#kLMXx3FmBK0a8nT zIp04qobla1r$@uc!6zlOQpYa}=VO?%7Q|psGuKF^0fSJbDF=gi=2x;uUcZPlZWGVz z!(nE$S4g;VxvzIwIcv2<EYCcfH?b8eDV(sa)h7Lt!x65qwE zjP8Q)_+v#wve^iNSjq3puDrF0i@NNr#t0G}BH|my)r6s#DhUNQc9Gl?_E7Vb>^L)Z|484+-$(79QE+8N8!D|Em zg}D4{IK~@`Hrkhb9JTb*OV5tHg})CVlvbAsG;0kW4lpXlUfCZyjZ#5X(__Ct(U4`~ zF3vbu{tW1iOKi$MYN}6a`fjF&un+JGW3FE1(U3}u6Fe0EIrw1Sq%a`@ zPu4D-LwQdZ7fY}}^y?0h3uXv|a!O6IFqk(?(@Yl{7Y0pOgT_4`vz~>JR#gz(q}p~M zYgM78RThz5b0yI&(isiNPRja0R^L65`F@?=)$; zyugjJk+7o&hEJR3?8jwW=PE;93ddS_*`WD~jp#5jLnxWB*Lu|=r%Gp};Cg>eW72+`}kgGus(&9ITLFeWe}RcsM7 z(I&X`aQyZJ36F5hIx_GFZp$yOlVkfS@VPhU7;a?f6VnH!nC_Sx6XxPKo3fSQ>&%Cp z(`m>$jiau!!k!})E*uEZ1^UTyJSl}Y0#*Feo8mK8zL$ZT^T4x}>2RVVSJ+ioEEqW& zVF;=xeWVTO%BTvI_HBieA&UL;VNabR1R^ns;QTCesX6IyHslznod!?xmP8|%E1Lv6 zYceo_1@*Ht(&AA$Yy)t>&)#^hR^pJJ5zK0DUd8+h#!GwFQ{ZT~_)ra70KZ4%)UVRD z>#+2d$8dMm4V8IqCLXf1lIqYvGa3k}2Uwo!!a{uy)CDme$&c^)@XHX;jt_2g;n+iS zhwyF&e7s|EZDK5c<Wx*VbjNXm0v87w+!NI`Ry=(MVTXkv(e^6 z6{q;2ip39|Smc0QGbX#a?|99??-pCLbDC#texnkg#CI}TEg{^6gTNSwk!s=<0RMO6 z(@x<(&LWNMyFlb8-91Bh)nKc2@+3P)T46JJz~FR*^&KqvQeApnIka!raJ7RXkO~=RU~A^j)@lnV&U6G<7KTR zGF%~x&Q;HGd5o+Mvu2cSWQE1KP>BK6FdwljJDcQXSQco$o1sD(3dKt&``WUU(SBKZ zZ(h0x&?n`hxYA=Bk4KQpPk_aVcs!6(!_t>a=eLp?U25JGKU&^@Vl(GRg5X?5{%_fC zTL(F<0Sq6bIXbT8p~aJ~K?g6aaHh9aZXGaLsA3^^Iqw6__)?>?Pz0Zlnf8BO;%-Nsw=)}NMgd*PmqFUrR~UL7OI zpIHoLkolwSrE4OsIUi}P6LD88IcocwN4{S3K(9V8WT+u|Wjm5kiE=YY^b~r2#V@eC zs$DNMhy-7GA-HdGs|)mQRCD&?E7q@R;%P#0W_@2uWMPsjkwL+CII)9HyU?oaXx4V| zfWnjsK?i}Q)79V)}l=lMnuBHqg8l0 zT2ZK$M}2rKWjc?Z^10*T_>$GT-AiXmLLz0taaq>Ppqym>KFv#1DuMtFnne9`G&(+c zHIL2`W{-Ml_hb0mFoq8&YT)q}Rs)d1UR-6yhY>zd_185wV)1*g@F4myQ5g^n$X>Lm zp4(6Ur)3?^9Rh{r(Z}KVs5);`{!04MMhiXwLd>X_KVp$$=@lvMs;{{^GLO{s_-G4U zLCwod!e29)=Whx|bLCymw+QjSa5b2D3fzT-3L>G76Gq|bd zLL7B;P}RqTrIlP_Eie(Ja*nnkG&mH=uD1`=5uF*QMP#%{Wko}^*Qc)SQx1{8YZS3Y zcx&y-l4Z$&PIUnF^uH5p87m|wnpZ14oJ+|Z^66-DRLUG}dKhaHG5B1^c=D$5$VBet zGAa_rhfdg@DrhjQ&+%}#`=v`_OLY}`>sF`%p%Z8=$-`_N6vehv`93^(DQ)Vf>+15? z+qRIzbGIkesCc8CycaEb@M7Y_3DJHs72j$eq%YU5F)509DQU^Gpo++&o z%d_jT*>Epq^Sh}gitMC?@{Ly}y7~%dKK`t;K9CtwKfmurI*$7Py=S zMV8v>3%t1a@6PuImT?UE9aFn8|Fo$&%K$>FEK`jL!&>jjg;DIje1Fpwl`7VGc!QX- z8dXOR^z-^B706W^OnfIgkyplN|ADThM1Pvce8*655W1$QN@BRT?>+Q>5-06}!YYf$ z^+ ze1RvAxLcp8{v7aNS@lSGISqN7a3kqkWrmy&Wa&q&Jt}NQ_8PaUSszZYydAkVUikD5z!shcTbtVg6rOsM_Hx$I zVdW!bw`w%yk35O_D($g9{>HM+h|$ZRPBky1lw+#{sx$#Lt2Z*3Ws8KRtqp0mZm!qA zRHGWyJiX2qNZlF4#H=_p^msZ&XITZO?SFybpccWuMshHmRh)-oOk_)Qrc9q<6(7+< zq3P##Ig=ShP(HCxlF^afK2$xD`HKN8CtqNwIg{x?-LCFuP!uJwOVCT-9{<|v# z+1sayC`#$7_Tt=2@kUu!okRdb92YsysuK}FbPj_O5{LyMZabuBRWG!)?0!OfmVL~{ z(DbCOd{M=UtksaC%wHZNuxugK7fbO8B!2eCw{4CA1`Hnll@xdx%D)UT9Rpgl?_~{^ zjRysGPrl>lA2n`X(~0TY}sfr9&4dlsC#NQ~ItA zWq{EUlAj|(KYcww6Fb{c$sa<|WUHkh&HHjXIY)!!EZomUeTJbHRJWO zJf)f}D}>OAo|DhhYd(Cgwx=j|tpiC*zLHyG+Ma;_v_ObIfkE=|RQQxZZfeo^vs+o7 zl}o}mlDDLY>}sVNL#1ABwl;F(EAq>E0>7kc5V~8|yCmY|nVdkE`}Q%F3rj_Tx?he~AS5d_TorJfJeoVwDRzlA1%}_+{9s zD^ICUeJ%c|4sz)0tgpp*4V(D5knT(UdA(kz>z-6jeVOk)YOPHQv}effy0N&!p6Iujl0 z)9NVNvWSYBBbVQiJdyMzy>M?l7gI*H!ltOK(LG92%BgL=9PeYQ({&2h61K4GOZ(BX zkC&+kjRAD`7SykZx5ecV-i(P^syk##8+3_FXHw}$q~6dp-OF5X9^sAqWTLp}h83DhE2 z-!_QI1a|?Ar_J)mKt2M?%0vsP`#1};Yy}vt2m0XwtmGznRIO_L66&w$vJXa6N?bT1 z$hT1+%46V^F<&jer0OYB)X=P|)Lu{R(=kQ89p23Uw%;WJG>$Q#35B1iPQ8BnN#=XK zT2Xj0HDhVqjG@v^}J5RTSVLn!fGvfYIodEFAY=+FWTci-0mr@G;gAgNgJb9bl-m1&j%k(RCNNhRB0A> z?Te#|BwcV(6%+@Tcn7P>EcNyH6>po29{ryEhF~~DjiCrlKQz*-!vd85Q#BauGBWh* z@QiBpF=VhV6?EY>I6eW0D7*KqSULu#${0>EP_(m5yh|CDMG>pA3_}%?fXA>eMl3p3bWb$H;F#`?MeJ!JB^St;Zrq zmHzc(u}mftzx>?u5v_Qej0dp_m_*P+MDFEfZPL+MNG4s=eSB$Eub2DuBe(z-!p}@4 zw6oA-*I+I%uDgCP6C81dFdcN&;dUOZ%}6C(m#UZr+hriqk~__| znWU7(+bQ7OK}Y#k3>tpMOKNMA;56;-`6HDdMlsLk8ZII}Nrps&c|1n`tVr$MY0j&9 zr9X3(hZrRDli*NT7QBrcaMe}sO}7VGHzhkbB(-mDnvA1?k)kL6XO!4Sm2LTZH$85i zp>E$O$()~dv}KdXQwQ5HjCh+ENCbhTN1%eRoC;9>0HnT(=L@ta>KMCma@K9nD+K;R z*cTQ<;}ZK$V=Gkg5s8;%RA5|$&v}NsD4Cz!kqJ)bbJC$Vq990 zzXV>`Uxhu)6fs+ZOSWznCqnyP9wFz0-Kj_;Vx6!{_TnQrI&vtLR(YiVCdL`m?J#cUQ4{!0ca!~i|8QaH# z3TIh7L)J(6Wa=!s1c#hvt9sRcy-sZPbpO!2=@+re5~x%zELewQ?pcN!tBrN6KBEhX&E}HOH1d!g0vP92kq@}K zXs#1&*6((^ES>?3xg?0rHf(dwCyH{fTr?dshGuGbdO2kC2M4YgH}6WadNWO(eh+*3 zURij~{MgqyH1chfx}}33w@?xjsA`pU_v02LI30X?%4vbyjbJ{S?scsQG{wwo5EU-o z0sU1-`KkRqOi?-`x*wYMMxn~%$!>7>G|X_%${;-4dgn-)Ga}hVO~Bq9n+D6(*eZ35 z%9oy*(xG%i51$!HH~6Y*--)bMQH7!fe`-@uL1Zi{m2jTL_`>$Ym;D$o9^Fj3-98$5QhBlZe3}# z9f(U2-|i*-e~nyKP#n;bO)^-9;7*VMg6lA7(195sKyY^mgS)#0cL^bQa0wdRgA)i4 zTnB=?yKmlGt$JIvTXjEf_dQ*Gs{5z=<6N>=BjVNeB$}1l=_fOJt7tkx{lm<65u_={ z>6&eX2B?O`V7YgT6!C{9@@F8|#EomgMx>uOi0F0V<}ejzBF|=t#R^q4Q1Pp0-Y642 z(ewc}<~I)tgPF>jgp+PxCbcR%YKpt5uwt*6IWJ$>2WQs_oP}TuJ!q?WsqHGgF~9QA;amPcjMywo|R|RlbGmVFRm?i#dTRGjy1w-qH&gSs$?@0Pwk& z(Y<~(-1_+yqH^*~Gd#0&%^i)W$WP=ARh=>z3^Owz`PUQ@_}~Jc0?R!Q+CZe!R_AAWNf}Q1&V~fixp!``Y4;V&7I6 z9d7cc4u)18$-|szOp*+-*I03W8X5i-3z?v0V*xU(Wq({Jo2?QHm+urUlTXuvES`*} zCR0eQ>b~B={aALH-76YW-#xG7_>0*{oTKMOAMWDKzasCk3w{-=G9L!l%*kR6!M^wN zyEd#;BzWfze?Q`%th?V6>1Z|mqMM`}&qIVH5y-huD_EOEKCo2Uv3x|ARqn@byDEvM05q*SL7Ycj zw_)he$ysyU^R@bo+&9OCHfu(&;`iJvHB+GmXOyySpYbDkMAR{=lp6kP*!eiV-t z`2d|+KHzS3eF#&Dq86?7EniQ#Q~UC@cPF!w=5tEz=_j4G!~8Ry;}fZ9YJs-Baxl{h zhQu4*KhtJ$B@Cn+r&*|R=GE6=WcwERZEgh-b`6qGS+@?x5X~k z|K5y$FunPc@qo@^H{xM#uMI|jP@dpUE!8pY1 zdFB+-w#mvXBKR>2U)tgQRiCM!mB!a6m-_c{C*{PFHiZFxS6F8jQOC1T_g;oWn-bA* zz;k}JlnM!2NAjzo)YBopyny0i_}Dc6j_7zOehiNX5jxp-yEZ5(YA3`_c3e>;$G(X6 zB2N`%S+K6^o6DOUsywDWVBV9BQ+g@RGW~cJg^41s-3`eSh^qqVm<+vfcC*^}5U6dC zLEf+8^bEGH`Z?>6@&aJLh0rar!&p!gZWUG=S>=TM|_c@G|4GUpzUK-GqBH;^njX+ zva1Pg!R-BE(k5+8FP}SesS&CN z%m%+I)Qxa0rhgliMgMw;e}w*EsyxHN#YNuIma43MY&(7UL_4HI z-^kIIS+5ip^wHE+$yJuwCSn3^wf1TAiUah5kMdnfVF7d+LVQpGCPnh*0udESzzqM!4USi5_bP0xP3<2mn4 zlD$pa)&3Cn(t1d#n=+xZed@)eJwU5D|6;POwJ8t&>PK@_j=lfnBnGjo;{G-o>L2H@ zKO8AWSeNd(*&L3XVvrW|V1E<)^rLwIQrp$5_Pp%1Uee%~-HV=J2u+bQB&WJ-=>==Q z?WzRz!N8vZ+>%;?hhAlyZ{iJu-xs9bW17{`|B^zxD%Nu#b4=3BDB~aT?kmak0hITM zL4tgv|EM85DKc&j#azl1W3p|MxoiWwf`5_IqwWlT1B<^)8e-YcdBmT{#L~CFreH|E zX(^BJ8?ABCNiNC&m!SG+6Mr_freWad%T4knz3!|^PckcycSKJ6&i~#|C`OxI6%j+F z0}#d*w!G(&j0FVWW!UqjoRtw=NB%^S>6(R%B{yUaYp| zi;%o*GWY&e!sRXNr89${uyj^pYh2fveYGkR@AE7wdxWV`zr|Fd1XJfM88>&`#d|IK z!R8LK7X{}1^;Ii}%Aq+GijM^JJR**AZ_GWu^OJl=XB%wT|K#IZv~gAjKtK)nhk|c* zj<9GGu{arRO0|ROoO%CrQVC(j&L5<|xkU+&yCdJIlQ#{w=xAh>j-n~^Kb-XA1o-me zXs7rU=cL9vcyYCj8J^xC+&Rf`wyRB3yr&si?c}S#wmD3LKa;;pn>GuI*6wcubB zY9b}EX4Fw9uG**LI~m(^%);1gHmH@_hY)ESgul1HtSYzKyANGBf}3GXGRcHfBLRx7 zjqP3Y<0A^9lsn+}FK0y;xWT1#tDul7mbNc27%4Y&q_SXY27)`%}jx#^f<@w^mzAT{0!` z8YEw;C|Io|)EaE={pHc7?L=;^ce1qA4MXx9s zHXqL>9Q=DEe)f)vW^I0R2e;+2>IZgtV(1AC3s=@_DPWAh3W`R;sn?s_mT$xrJ+~Ae zyHkln2D1)hiTL`TWg0b0Cq`60hKGqQFqfVIsDh8HCx+o6wQ3{Mw#fqJukU2tMDbrm zXBW*r2Obv-gxHwKdnPvs4@pu)e#9{q$(jB_750-=oN7(gdo@wJY|;FksTNCDFjL(i zRionGrrb~Yrs!g+{6#7Nkbj_!i9EZrj-n@{NH(0VsJfcEm6(l4t)0jgpk8-Y;@4J6 zJicE+Q|L21P43a$C#?RPCOLkv>-}uj;9K#_WKHf-yE2jX7_IsVI=zV~(nYR_m&6Z+ zva)0~xneF&8CI+gDwVCnNS(Ud;lQziTEXN=XecbV3TWM!uDc}^t%0HOG8&Q3=lJ55`-!|+)7>jG#84cW*g%owQkqd&*PPMovh%Lk1I9n zVe~?H%PJ)s)bJjjJY6f zKfi{=0M1755S?Qjcyp12@zN^`?^IUf8b=3*#u5)n(RJmj-LUTO9OFXA+P!F^w+7u% zJC_pm80)TE$*g^gXN#av-3V`bB>5x7m_etXi17J@K;|2*P>uxJ2~>rHoH9N+HQ58Z z$*@Yt)!$%(V~(7hSC?62g?xAK^V4?F=f7QGL=q0=)b@_z9gKG*IBfY0sGe>_OV-S+ zu(|%pEI1uKv`$t#W7SUcoobRtxq1*i9$)H)=M~@hDB&~~)mZP5XiRH72@gx!)tChj zoq^!V&!AQxe^N>290|;9uY>+nAu#b@R?YfRK1?FQd^=5{ABaPuOG_(tx-V4jE6Vmf zrXC?7!Ftv{FB~-z!H_aISEqOEO=9@SAG8dx`6ILK>@TzAPt+3{RKK)4a!nD4^ceUg zG0Yt)B zw>wY4t`3||ALqqa^lcmgI3&l+r>}NYxR%1L+n#$5iifihoveH~K1w8V1J)$%PyZZI znWZ`;$6IbMXBt1);O|#|q*ka?zlT71eZ9rt} z7f>1@`U@E|bLCD$3v!Bp#+J3IxM*GZuXdqSe%|}kTAMfewyQSGvMJo?P)=gO+h6pbXHVi5?^=#O>qo94!!o1ws50g^_ z)B4x%>X;IaLm{setR55ZS zaWVeIy|*|0zaVN(#tse$QxK<=v5hn0F9|ic7KfCpjg5)1o!vjUs99J#gZ`d>=fnom zKsY)7O$~x@fO){Yg4}%U+#EdrN%fxuLGqSv2+-ez+{_?r2V)ltXM{1x?LWf!IKUiS z%s^35(0`Nw%=-fi$t-Oyzbza=y#ALtVM##Ych#@n`9}OjLy4Rdv?7Qi)0NhS^6MRPRLS`m}zm zX{=1A9!~h)3SHrGOT1*~4t*1?C?Rd!hTn&=^_@${qbS6YmQ>g?A(My!!77|5%rro$df$^fv5g3Jg z*ts5pBN+JZx(u8RuljSPgQA$E5xkxG%vue2rVPsie1W?d#6;tRpObv9fxHk(0xG{r zaG8FA&VYFcN~Fu7z4;SdPaEIbI zs~@e38)2-^_FGN5a!70RHyjZ8C8R@>=unqWl0*OUG!Z3{K4OpKo%9dgw@C^*X*SLI zyd^om`S2`q9m#Hd_(TM)h*w1dMCS=k8*i*>MA?pR;8@$OIYHO@Z?%?-KT;@|P8Ql} z0B`#C(y=hpjv&TcSyLC*YD0U=ep^>ROEbm&ngL-~e&~L7Y-Zn`gwW{VDzi{M(*{7l zI{AkIFZ4o1cU3L+cYG=W5bJ&Nvc}^hKHJcSduQ<}&+ncd|MY#WI*p^^Jmb19dlR-TtAhxwSh-qrAc3 z8hg6Z>y`WiHMI!d;!Me^AST&X{bUSgm>CL=6?vHZd4dNm+&%i`hw#v9I50?NWhbbs zRWWkYS$v!e1FQU%qJSJaNC8)jLiwi`(7(W<@1#}XOB^Y|gp9sy^(UDdI*VpS*k|YY zpf;)&FNbXmC70?6PwCOXU=Iwac|2ATu=$f_R*>dvyQ(rT{1-T%Z8TzcbO=`guFZP+hFOgK)ND_?u<0yxIu-&&B)d8ex;F@4mq&P z2{Z##Vh^ed3$-ALLhCofByi$>20l^BfIYHNO%VS`NjR-PR^YA#j~%L$Lz!A%&SgmT zlql+o=Hh^EZykwq79l=wiY#`$1%Y%ly(@iWdJlB(PjSATiVRCCt+MT)vT`x2+!jjr zh`b1DIegTILPB(mQj!)s8^d=~mr;`c*oU}07ss4nW^G=K!g}^~q$!X=l3nn%sv{_I zL_asZ8KYh1s=)?^jwEUiIR7ND@2EL~6RV3_$!5*(U}T+!vyMyHJXzqp4Kat6ewD3o zFaF%~<6>UvK*}W7bwsLKi=&W;63d-1FjI9xUsr+3xzKqx^@l-FY^Gh&J6*XnJGE^u zw21JhX+?yqh~`m__FB~Pck|;mprLElN>7OJC?$q&1$LXM;Mu8`@Cfl}uZc?KpEGKHfcuj_Ngs`%2Yfq3+?b?nkw6sNy+8jW$#=SY<#tvf5}5)FQkS+&RgI zKQh`sQ(9osf)Vb8je23`%B5rTb8dc~dH7uISA60hNn>p69bsh6y~m#>!)Hi~6- zeHgoD+#J6r&07>UcczO-ZlKD&HAXWO?+rS#Duh{uqN^KwcLwh3E zf#JcGa};356hewK#57H$G0hO^_`JrOqG_p^@U;A2VTqa7HS3Lo?K}(BUiJ5G_4U9b z-ILF*TRT)E;I{Q#oox&Q5vk17BCX^Wt*n=+&K2%@sdSFCiYgbIk>UHnk>Q1%^%>K! z3P`g^;1Ws>C{n_}UU37-o>?rb?EFRdWw|^RWMnu0>)UBS$T?TT6_pJ@0NuFiy_tUydPRKM!GIr=ir;AYHInGoA;kj>Do|zwa zx*lg?&FMo|%?Z7)|H}ZfCwg#oT?c7ENT4=5lq4KqdN#vQ!kb+lC>Mb{hP4+ zn!U9V8^Fy}693U1E&I41ACGH6d6J{4SLjzVJzqFW`x4fB;peei&ZVgZF zK%W>Ck)(p1i;Kb*MWxnN2Io`IPa}L-{5MENKUctaJPLisJko-zEt+RZzP-F z%B~zuXLSqri#D8?=^+{Jhv%5NWEOPj87}@xKoLdClf2jd>ceHv!3f`Py#W-tol2gt z-)KLwKiQd0#6s(3^QF;os3{)1&>{fFh$!fH$tJg(k;`Mi<#By|*sl4QVa`cGyWGn5 z=a>#5tp?ecmi{;cF%JnX^wpmntOb#~Vb=z)KDJBSjqztLv(QsfwJwSIpb1DHp+S6! zuLQ>OF6qYZctKz9>QSIXCeOx?YEIsDi;k!{BINZ}l|09OZ4DQtgS$+eTp>q4mRQ&i z+dW$E4g-vFQt_DIc<ay+-|nrDF=c6gny2aq?dt-RPu*;#6&{&XnW zT<}Ar@@-?By40G|J_yOOaesU6@HD3@;U%nv@@#V4`*rgg1xtpGyTkYzun25+hp#kW z5BTaET3@bk8JyPOl6XH?Mn)&+p7em~&2PPB71Y=+H@-!<%m;Ke>1cXuYj&2jQ>MLj z?UzP6;1%F~b{9l#i7!AMyM^^+xZdY2(f{_`@a#T2!#iot2RhjK?NCOPtN~}_>2i~lXM~Cjw%TeEzo?2pskP;%h4yZ>5%^}denNzqxRzQR;(EsD~oxZhV{lUfO|+8HyL*8tmUYoAX2FiLWoG=`bgOc^HSwS!1!)Uz z&V2~|)}&KAWE~TBK!4Q|n6;0ksmg1Gm?@s(|9bd&JqH@Zh$ep}YM zB@>wK09*f{tBMkwJz!^x85xfe6&@b>B|IuvCi0U^)GOuo`HyVX_c|Nl$O#t34B>At z97lDDBCa}1VI$NUh;boZ^?~8uQduez4c=4ohSH?UHg(Bg+mWolUG1*{>ZBwQ|JoF1 z7h@+E52wFv07C=?dAWh~^l(Kf;J*P-O9KQH000080Ly*ELVWYCn)vkq0Oj@p02lxq z0BdexaC2c}YcFJBbYU-Rb6+tqFfcG*FjZ6u00S<6tx@mrzEO2}3jhHG_5lC@1QY-O z08E`(b|g8HZ2!3mE&+xa``=jWy~4=qch0M>tc)Pt%>W%`_*(tH->>=qe!kx4w|~Fh z)*3(G`PMgnzw_2;Kj%B`{hs~4{WIFwlTr>P(Ce!nk&r;qp5KlSxq zebQAQWd45ZwAO#Vz4A}>XYbFx>$Ts#=ic?V?!CSKR^Q+H*<+sbyTAM9&w9JQ&$@fp z&)&U$LjCGwzuCKmjJ)bvM{nkBi{nGQjSHGw2U4Nzi zPkoy9*1h#md%yK>PWwhZ;(h&dyVuXCXIg))e(xFek$EaSqp!Z-{q1emZ|Zl9@3$W9 zsps&uj~{m$|Gl11y^~(w^zeE5S5K7>_jr5fG@jRbx1P`&XZW4QS5JICKGSHeK2!ZK zbN|lX>d&24|M&L{o?N~0`t9{u-yZc@>y6Zlseh`sUcc*hzx$Z8o=W}f^?U0F^`AA~ z=)dc&`VO<6M!j-A>8OKKPriO}Z}nc=oWEn$3#i}nX6IYa(@*{W{kv!V{INeiec$!; z>gRm-`<=7T_Onl~PrKKhJm>nI^`^ghUcS}h?Y{ac_5JIcaH`g+^Ez6+>hXQ``}lzM zt?JM4u1EcW`aAsS%g?)aasfEK^^H59nO`-3_G@+gPJNVC-*>KA-}kKUTYL3n@8Op8 zI)dxB@7t$$VRJ_7!+t-v*O~o2r~W`az1Z?T7803Pq^{TZ~e)- zyY;MEebD*%fpwui4{1Frj@YaRyFNbB ztlP=u-yY^(&$J%jot)lVXO)LH?(g7_)o;3sLq1L)hnL9@tIvE59-ZLPUVS32TfK@p zF7Id7|1j&3)*-AvRhPRy>8KCa>kGZ}e&1Iw`-d370UUSm?Z)E@*N@>b);;Ah){U;8 zFwW(hj|wz(1nUd&n!k#A_4n#%aqjx$^Q>2IqP|Rhw_Bfd@9J;^EJ(sQgtJ<#N^qtDjo8iDx!<{rh^? zZMFJ0^&{&1)(a$ky!CMbU-J~yo%%QhonSI6n)1Es&zzi>!=w4Of$JmD)Wf^?r~apk zzLg;6SD&E*MO^_7qF=IpT0Q+bu*8;mD;ih0ueCZ_^cZi|@2K3yt>cN`x)AkhUWJE2VmWoZ>i29COZ{)tL(Vg=e^>XoK6SnH`BT5P zj$VBmfJ^%NTIX}tm#s56 zc*(Ez`ct=lYX#ZGEjoPQO1PY)I&8mWhV@g)_)GAruUes zv1<$uTPMkQlq>e0S2weMJb$Oo4N9_mw)%&sY(JmS5$bxu}Y^iyH2-b4k|T`!}aas|IxKdSwX z`*;YWej{P=c}vcjB)0m`N>qDrO?D;JdOQ_lZY6+9;PoyV@1p)^%Ow6nMJN&j0qpfr zH>1*7#plXy^_B<=m)}>Pw|>pz?{dE@^pX_nqI`943ETCvHpNmKoRxM->z693;!vF{ zixX~DeE`CKRVAd3cIrD-sM;0DnvrK3Nh{hCbLX+Js6jKtIy!D;y{PVk3PVBa1tC+^esCQOR>ZiVM#qbLG z%hG3a1S-H(daeLjPjIw)_LT+dB6@`C9RIvJoAnGAalhAJJ-@vk*&${bCkN-Dp2Ph( zl69P_IGpu0PQ_r+?mwjh~=s`~!*!hh=C*4e7V zSW%{uS*5>vxAm+lu2f*UgQH!UmO81v-J`S_uij$C;(GG04tH*89r{zz=J(dM;o?=| ztFy>!ARpD6AfrL?>W5e@kse!R`+Df7R~V>cQjw8NS5Itl&MR29&j?64R|RwZxQcbNYO4Ci z^{+26Zq+&LMokK=Iy3y>dc)R36*KE*)tjkscVC_2UByaWy!rxFGfi(M1#+c^&j48` zy&gq9;reJa4BTUaOtW;Vb54HT<9f1h_f}PQH?UPcBgkxek6pQ|B5VBy+6_*@u^O(z zp`vBo6T;%YoEE;vwmjk!R+ms`e(u5Jp*Xc5x8_)ld|MBvzHePE3ankBnrh@&x72&# z5pN%V)d9ZQs-8^x=Vw-3UoWZZ7(Vs3G#ORn)ZeKiP61f;D1WC4QeX5kz!E$^OaIDp z^*;`ZIqJdhhcc|5Z6!)d+zK+(7sr;P>O9(qx;<1l<5BK(3OK@cwM~T7dLI>xf2%wq z(^Vj(Q9AWZet~Rnem!YW)=tSA{mx$f+ajO$1>KaC7UqQzO+!qR6h_bv^KxTY2iQ@uKVN z+D4H|>j!s!GpB^ezH%GuO4mQsm8$1ZWh+H|B|WbYJzhl--Um^Gh(=_oQvDL&>bv(_ zS+|i7I4(T@O$@6S)SJx>5B60QHqvZ9A=L-<(c~-F(XCdX;=`d`;!speT)*qLz79X3 z)zM!R*Ollgn-9^rE=Aq7irDqZE1^~!OTGH)#6G`!3iA5SM2m_ORTR|CdnDj0ziEE! zMV!AzvA%rOZjYvbQmB3dcWBfDnVvtZQ4+c}DGjqXrLs&#uewxqhbl>PQ!3Z-!0MY3 zr3u+Yxr!;3x2oKzC|!4hmb;!9XJ^;JssL8q=5M9DY8WcVRXbOojZjq4%}Sf*vOf2h z=BR?z;NR8p`mKCMqNv+P_fR3eUQN{v^&l!#^T)VFbYa(v!k-{1i7ces$j$6$9_T%Bqk1v^wo}ebTS8U7g}OQ?E+4igERJp5vM8YpY72 z&Oqg+Z5!O`hYmkfec%dwkFUQNn%q?GhYGGDBx(E7m;EfR?QgXJ)j;-2uY+o~9soN) z#J_7@^HaaLHDbi3_@-B@PhDBIK5o@3o3lD;P3wyfTU`Phk707#Pqj`}!Y})~?mc*> zvoVa;v*OO@8EVG7%D9w={=AA4&&uO)a_i*PZ{?^}sI6F5KfblgsvZ$K-GTWfdsqbFbewpRD4U3Yc&KaMvUJnz1R@Z%0Yf)`o1-rwK zqDQSFq247ynQYGZA#)Og%qHa0T2xT%6ug#Dwzutg%Ik}ev+K=s`76!Q0v!W;r87Q6 zJx>DKN^iRQNB>dhgi`C!>D1lh!gGo0 z30M78p>WrKBa>9=;o$C(_v(k4-zvtD1u9$AAFDR5y6+z<0zub3fkb0~2vIgI@ zKJTM0+sqSa)vDsC3tB&%ex(YmdNLKgtInx2SU;)Wfn(J{G#I^;@A~1P5&aA_)llqT zqg1O%(dz_Jb3A@0^TVpTDpAyZBlJ~;QFniO+N#dIRzQzRm^eV4b13YnH^>cjrUnO* zmVnV)J)=#Qte;SoS^b1X^-wj|XV3N7b6xt7s(Ch*eIpxG6GW+7VVPs;NPwra2(on> zI~{GE!pff4=SecC2%JtreF z2=D?jAF8iw%BlY(lh?nxyq)@zG`v;O|2jLXusnN(Q4#MlJP6LOd#QHpRB^7=uHB2WGmCULNv_YtNLP+-eRCuEPqAdUX z)TGdr*~>|Ys&iizYn>D(sLi6iK1Y3=?Uc9^ld0}VBNZ;mffXKB`Ccm6tr~Bh+uK#%wJcQsVU2lfD+IgY4ZH zuJB3u?1Y-hvD$U42m~xKs2(1Xw7MmZNj-=#7+djSey9AB8WBk1;bO=;+`y8YG)s4sUm&d`g>2(n6pw~g{hH)~O@8hGAaYSs> zV2NU4>69FenJg0pip#o0D{TRph>=;(C#V<0)m&Uk-cx5-$n1WTA}XRCZYBYVvs)o^ zWy3M844K0d=ACbn89#==T+@mK^_1(RI{xR0JE*QM=5thVIIUHo)f=rFekoQfXwmR7 zzM=`>u(%80pXywn&o_Ij2J~-Lx*G0TJ_a`xrW;|gp3kl1TxYj#Th$A1*mGWDO&$A2 z6*j4l_|-gmwi1<)85q?6CoVISra-7~zIe@5M$sGdf~xO384_G)iQX|grb-1AEcJ5v zH}y2OvsqqOa~Mpq+PHw0Dp8b`n5UWrLA|uP1eK=hH8zWg^*N8PkUMu`5V^Hcpz!&aIaL1T zg}*HG=%K6lsh3>E!lnQ_%P%_X{T_zqznlG6g-zxL6*d{$*Uzgoceo8?LdN#5>Mh<{ z`iS}}&-6i6K!a8_TjeEsgg&0bg28QN3C1?0+H*Sw#=&$Q^LKE7eZ>S0i|tKh&&RCvO1X5j+H?D*32Y7b~+zJ$%^&E|6Y|05xuhH=V;uA zQ4jR+{n(|f#dGKsl@)1-0F{g?JXdUWt;2HCZ3P>ODzWM-T)uYYmwN2exncE=%sHyN zqSUSwFkDI>Ug_j48)FGh)JGL0=ya$z$q)qMWmaY8d0fTPfmF7tFJ7Gl)A|Z8ozOpi z8IIG1*H2v3L$F7*i@~)y}LZ1_@x>{MGZeqZ$Uun-hnPPGJ-3GF`*#x!vG>s6+z?k3P ztzIsJ9lxWgwy~ZWu9dwj%**P>{%}#=?@7zRkkl0ve}A*6sUO4qxt?d8;m+*8B2A-5 zxJoi8LnC$Rf1OgAD^QyCyzuDMts}paox+wE&Zu1#M?FMIltxd*ZXuj zZoSBU*=lb%46WnBp{&r#@T+R6M~_-H02NeK==3d>IR!BAwfdmitiO;;!11Ozb+mh! z{M6g8CsWUl*j_>XxnkX>>3YOW)#{Wn8~J=Ph#mF1P4 zUeZfC{HeBqe(8~(Y-Ajye9Xyv{p`Btd%5#ErngD~05T{7$*}G%8T&XLtYC6kwSBw| z@&@_gGN-Rnr5<5*7FOw-wGDrOeDtOhP}i7G zQJddp$LKw+ta26;g^Dw)4&BNw*)`6j*d!58f@6Kr`flBDRvD)LJ8iLb^mMLQzp)BE zcK5%`T4{2dZ)k!m`}#&J_%4g(=Vk{SMMaIO>gyS^RO57kBH``U*`Rw^j8Eu+j)A{zpG?(& zi%D;ykg=owRx|GKY^m<6Na>fhYf)#Bpz8>+M82P6W_I<|=li)zpBy3v@O9WKbP|~j zhKE~R(eQ}0nbcBMM6@(E`~)&Ep`sqXBSIUuiaQ7A&{k{tF>^%%F)if*aZK)>)kp7#PY5>+^Vq~hp#dbYqz=`f&;P~e(W%y4`46>bK`kZO)yrh01ae-4rEv&SJlaRaKTm&c_tBhK&i!)$ZiT4A1*BlSjw z7|=nxSK#~I%oKlaWtnQomjSL~ywBB@S*05WUEB>;7`e7}3+r1m;sD%3F+E+WRCqu3 zDnqDBkXqFn2^x8h(LNI>7x~NHkee|(iKmJZhxn}tqi8ONn66~%-RndUjn5GFa|Ogz z!mfJe9)A@l!YPNPYn6S;8`WG?=BYo!1g?TcrJ8D+E7MSH4}b%-Sqw0%LVWxiccMmr zw*_q9sAlW3y5Ff9*8+b~Pf+racZ4U=Tr=FSYg;999gOOExb!O*b&YEgOM9BH?e2K< zwWsR=t`?*II(I*tF?hB25AY&Ifz$XpUO)Bb>b2CRCv%<8tqA?-2^>Q7iW8JIH&+i} zoERpaq65zWrq<`|aWHVG@8P)hF?{g9R?&NC?5P=(p<$ zPSuWif)()s`qJI5R3kxwQ?agp?5yf5)?)>@xvJG5PJr}aC;_UFviI66vdN?I+>;A- z*rnHNu8_{$zkUpZeSm27V=CKwKB`o6hI9#@pRYtT9!I!t7gYwZi)xJAbZ1arcZ{LK zu7AU~sA{D?;^w+Pa;K;p+p%h?jsqcpm5u#YePpxmaGv-%5@FXoeo0HbQ;Lpmjar>7 z2%KjdKL890kf|E-OfYi?FiHjadZx}Omh~XtpnevUGf<7yVbG0|*f&>@C%~1W4dHLF zoMMdQo_tgwp%wgSX&BZKLY_m4-9H1*N%9P8VNg5$3{eZC(ksJ%5m8sFVjY6EX`c)J>z$Mh)uU&PlDrDH|-OJbt+U^b=Gs@x6lSu z{Z&tr?Bby9I!dh?mFQn}G|``tHoaA)QmVgtvA2FyJuY(b0!}eSehM?GXHdV5+1&bz zHIoO=iYT_9HLQ8_HCzDWd7#e3d);G7>9Q59T-oin>&*z}s6XSjhEef5B>yV3si)XGJ{vjbd>@tU?&1JGaj`;I-Z zN^PcR+sd%rMxjk_{;>?F!DT%C14^+P5=uatK);>{Y#?qn6!!o1^_*4KljvN6!;GzS zZ0i?wAwkD=HT!56p9Juo+OJML>zn)8B3A(z0MjJPlM48IQ%p8X3hUT}kLI#TXaU=L zXG`^ESy&Qq=sB4(^8MJY(Jn8C{m!|aPL}eNUajt&H5eQBFmge}u(ZS<1QknSb#`J3K}0Fc+|a%on_l1Xa?D(?Ax0U%u$U%L_vgP11opehM^DyK@L?uc+9 zSH>Uk`kWZnN!6ZI{&S8C{Fa|yQPCxhw~&&BaRxzHC=jJh9Z&wLj^h=I=zUvhyeMp4 zfY^sri?voh7pY@CI15Dg{hayUz1*Wx7xH%Ad)ERVjBW5QQFOhPRyNhkp#DNr`LAv{zLGj($C0DJ z_xfGWzWfj}7UR*!W{IyLnu#bVW{f=zaf|_^YgT^m;c?x1l+S4eyT>-|LT$>J0a5&H zHrthf>spf=!K``7=!JR7+v)Ri-Yw~XX|hM_xb>@|qw287&DxoJG-^o)s zRYBJAVZU*}mw(Ls>~t6^Tn09bYkunVF~aCBoLL6geNis`-ETsCH3#*BE1!IyfV=(V zU$9psJXSQFaFIG4FH`5|yqgUgADvAo3y0y}=UgM@16lSs`<>Y!yDvsfRG`1YkcGF| z0CY=Cj`=T8p&p(`R?I7qN#N)s({m4AMd^wzbX!bb4j@aGg$^*ucAJDyhuSj1UrmkJ zfCO|BImc$WlmUL~Kv<|LfO3O|t7m#$Dw!s5!7*~c<5e6B(x_Iz)`cZT6}e%?Opj$H z^Uns#7+2q$j7)n>Vi4LnIN%h&!eXoR`lTwP1+2GQxs-8UwO9Q4Egt1_Qo?>~9%vrb z<%lG&VBxrx^1_{rB$CgnhW#zwVEx>>dUaNbboJla1pe|;{~|VBeYMV29$)*^s#TV0 zak^e4w$@*QPQ;MT$0KrJWGFO1nbXf&FhPIFa!PKuex*$|T2*N<9HcnjD7v1#vSh_HkCr;doBMdrNuaKXuy@_p&+slJ@9nY`3;HNte zd$Z7P{4o;Z1IVxIUz@}XGW51jJhCfkiUZLL%D2}odg zxft!*=Wr02emy$i1~!dlA(&qF*J5Vtfl}@QL|H-rT+dzcxC`t8b*kH>L39iqihb^- z1DZQfuWlOwqNTIHI(2@R14LIBKtv&JROtn|s=KN?HiV9a=8#cX#~hGB-ENSk^__p} zPrnKfjAh*XIQS7H02&1>$mdF*55gRRo`MnG^i&);JB-)qoWp5Z%@XLI?2k#qbUzgB z^-TGj^`!VUdw%9*t`Hhs_g%**l596g_XN0SUl?hMqpt^0oAPffdEo<(Cq+N zn1oZA338Cua34PSR!}rVM%w3BauLDQZGS3u`AvX_{w$}yn{ZUmh?OHyjsxPD-*X&O z*LCE%RK6AYyX9KcL!9nbw)_u~Zop_90UqDD3qO&iVg%|>i2j0%&;{&rcNl#$L%(#g z^*kq}SNLWokzs5G2QcZyzvcwXR>1nBUI%9onuk$sKx?FPCx|FSKiP6B5D5qH9Gd#)2$Z`$*>&@-s->ovG_-3+rS3E(o&Ox52C zjiXXy1ys5{Ssa^7UR|YNyGu)yEgdE1Sik}+h=HbhY*~b@O-Z?A^ZJnl^x*=xB6(QL ziv{PK0=eo(-duRU5#$SA17BfM3e%jDlQ;OZBU85`n}u$5#oI-t_=D~|ocX9Jao>+a zaLM%&AE~mogIsD3AX?(0mn6@Tm(U%2z!#%5uNJ5?C}N%5g{u=<1*ixHt*f?N@$&LF zllr=9(kiPz3?@QoWR=K%(`7hfeak-U<1H{sv3bfYr$UoB(8Vu%fU!N>!(GNP6Og%o z$hS-hCq-mk77==vIbQ|a`?;E7$-;Pca!%=)ska_8X-3X&hU?OB+rSWu+`M921b@Na zq8%pDURPg_662SZ4t2*_GDWJ*oVIU}jmat>lN#&MI&9>_3gg|0{quX@x`ZEWRpw7T zb(l?VOGib-dEvD$bLj`e&YRKg2E?j!P=7#|FtQ*+RvAy#%xU6<6Wy8RQjCJEFs3{K zACgoArqIS$kJ(;$AkQA?=F^{Sf-XFb3fh*K>On-Aa z`5R*`%k3*`t-Bs-^KW>SF~xqu;h3)x7^q(N-{PkUn4TE|l7Rx!+D9n|fVm#DsiuPP z{BQy5Qv5)(q2%%7cfj)j74xUMtO;H}hZv5s;FAhrKvlNA&u54i`febWRYDoQArXLF z*ugb2o-iIfdWotx1RU43JfZ-rrEGm?mi6lhz9Mlq^1HKorZzZ{L=&lC*U(>|p$SC` z-RyOD{(xm^I)S)3xQFmy_b{0XI|mD0^}8;{fyBy=YTLf4$;mSH5h{CI z0zC93zGVQB$5t3w3G4UmnH;32vBRLfjvy}!DG5YOBScu#`|&Q?$$X(DaNOYkT+ZG^ z&VxM&g&(~8x5QS~cb>n1iRKSuBP9UTS(tWrw-Ule3867D1YH2N03w$wYT)^H-2B|C zZJ2S-pxTz*?PA7L#l(}e*Ud;dMaMR!ati@xiE3lh$H}WGPZ3##CG8xuBL+2fm1*q+ zc8Q6AQpU~~KxQS3dgqm|>f$`G8wAN=`L{#hmzmQ)1Hbtgy=81BV05dd*S{BvG;R?+f{~UPe}t# z6(rc-CsR>ui8NcODTw8aZ6MA$V)Ur)AwqG#DgW{aLU(LTms=^nI`I9syqNZ*tk?jv z*U?{48vO>sVH-{V4`KrJ|7I1C;_6F)sM+AHcy7z;vcbHpJW|Cuu$>)VNtLKK;0|X> z#t>8vs%~Rk#r_qo0^l3fH8cS4L#91rdDvxDB-T?srYUB;oWmy4eC#me>+(}N1gQwP z!a8~Pn;uRsFumy5LjW<88v3^3)|6Y;TxL_bABYm)VVOIG*QiZXU9#`;0qX)i(67^z z25sH(=#b&`LVbW`J0o=?J=FW%rt}0rsyu?u$#d`~rj{U268KbLm@MBaeODf}+pnIE zDF^aYKky+wr84C6Os5&B`Bc5jCZ;-QP?dmcn6nI+Toh-AJrM}c2k7miXsM#WU3)zo zk-JW2n)8?Lh?xyrLl^^KTU)Zn>!vbavu>|nTXDkmDG83x_&Mh6pok~nhaVPi~~{~%Q5-fpUr6ned|9`6K#G&%b9KySM)M;S&{o$3PErN8ro&ht?O@6 zD}-x68NpH5!!T`W@Aw9AXWg|s6e)7bjiP}_N1Fp!=YK?T!8h$@%txz*eL zE`X3E2)fi?myVEjUEk!>n1c)J4o3k7@m7@HJn*yD6& zO1J?cN6BQN;W9o6SXq3vXAwtPCs2*EQHSNTw`*?sW)MKLPCuh{W|zds;f$oSL8#aX zFX#l@TnX~2T|zJSKqK;T6ycyRw1=_$?UyhFW1RgDv|jL(zwdKMAF@|v?LUycs8xmn zKINsz6VoI)yJW6HJC^}k)unJ2uY&~Ado|v5o>CTlN)EAJ zVp%$oz1oOq5HJ`!Z~65HmP}n&WB>L}@#vS38xT6Eno$c2_dJUtYskwS9f~=05&zPG zC%r+!Y#ZmwlEZzvt;w~dy*r}>##?X?9Y;qS>si%8uBWdG5SQ<8K8wWIJmL{snP;$cW|y=o+I$tppy1g6-5xVn_=T5vwA0Yj|M6jAZr zk#-MWK{8q;YNB8@TNX7F3P#Y^g9ql)jLFhR+;DVlnmL5%PBSinxZc@MmlP=HsLCf` zPn8&H0G(_Md63Pa;BYYr9+`8D^a4-ZDdq-P{hO@ z-&Ds`QcI;c1>cmqW9Xs0jYUVJOYk8pnk9Ae^#G?_pKWHvAUvrDgwn9yXRrYH*g_HN z9#WG;Ybfm0gEYh>9f!Bg6&Zr`@L0I}F10YC!rHta%9$o?#m zek6xgb#nyO1bovGdqi^$Er=@cyv#>^m+LI`PzEW+DRce8Q&SEgf@4(9dk+`Bs^e|( zq?A4m2pNc=WDw{oNfa#=cUS^U;99VM90#$o=CC{TH*}_)`dTGGK_k4cY#lb=l(oiX zvWvFb-`oYYxgUNGstD*BGM8FXd$}hJ1cedvekr;sln2^~+uaqqIv|)})@(RAa1|&P zEK;hsh56fwKN~ou=&_V{=Z~JkiruDYaAT^p6#XwTXuO@lA9}p z2RI|F0~HHr?L*oo%a|%{sSNft`a$0hnW~cHHM_wPqP&K=4s~uhVxB;yFM2VRqAh&j z?@2?s(pHx+=af<4GsT%LSC z!cYXpHB^~hlo-FzgOp$Jo>r}w;q!AyeIRpPmQgP%F0&EVUgRkOd@>bc;B(z@GqzS=fpMRbH>|M2 z^mV+5yuM1trq)QAHS>0CMT?t0}4$-B_>+#Zy192Y>xC)YzU z0nEPHbg`z4oTG?2N@8$HAP&a(V^#douH~|irbN^n4rSmt+b%#fWbjRMN|vk-T3NDN zO$jG53)R25h`>^^R0W5A0$nu>cFlZiUoFzk<-f~yB`<`(Lt-7*rKXnR0z5;G+8aDyNO zYNlptf8y`~y)g-Z6`*QuV{%&ANLblL$Kqs0zoph8y_$?oVsZVZ!pR9Ur4dd6YV1#B z`&sP|$v04;;tF1fzAzpt|E}%ucB7}`w3AnqT?=C~@HX;xR7H|Ng^zN1b;HUW;91ZGt&)Rg?*lo0EgsH6nFT1 z^5!<+RhK0*)$Rk8i}2LCP!Q@xOjMIVq9LWoqhFFk9s-<~Nqt|yZTgw2JN2-it}<~d zYM?}jBq-^v{v_b4LA%(eSlT702b@^9L;RD%gWb;pX)D*_j$W+w5ii#zyw-sP7Y`Q) zfj4i)odJtBi3Z^QS<7B|@sv$fu+#^r$XVsVimWT+PBe;6=4x`4Y_8hQ`fS5Et*`x@ zOq2{7$C&tqj)k7fXXLPd7FU3HFA|9-1BB)u?_D%8lFA3^_9IXp$9(9NI( zRH`zSV^g6BHF0?mFTxs4hDB5M8>EyRer_XrP)tX=7+_Z4zlt&ZP&7^fvm~8IhFleH zymEHWXgi$9AZDIoWj>sKP-ZC$_;}i%^1p}@f$tnBejR}j(fV=ZG7OR^ls4BEO%X@+ zk?2vvj6<0kRO+T$rrD~`r6!XMR@) zix6N`B|8T^%GUQpjQ@yYqd7J11(+&+u=_aSc8W$+1C-TmU99P>9$lt~F4IuHppc?^ zT<_NUW0|kO9-*A?9b|whvU9rv=N-@yA|VqZal~qvEKz+N@kcW~m%LL!EIg+vO2j;< ze2BvV#N9G@qFl;(x)~KY6r@gq2*X+oU>e*Ui7GpLRwSG~oNjlIN`PIwL^e(cyFpcD z%jCS=TSejM5YHB-;;7x@TA>kjaN5VQSv@fUoCn-HFrfB}xC_5ud2jI2Qj_PmgVGPP z&BNa!>|5S2T>cZ>`!n5$CYXfHl{>qFVgvBr1LcJcp)G4o@ zE*vM4)gua=)p=agTfG_f@EzqYkr+?OEc8fOU81*!qSOaloBDi&;J*Gy4Cr|O2vHLc zKiTSEIv>ypOWgbyj?;q{%KUIgg^GqvC?W7vl}_Q%jd^8dPbM-P4I9x!+^gk?6aokeqny!izhG@>ZUzzd@0WuO0q zgu%@~9+Ne57q<#tP9b`ZX8@&jAe3Jq7A~=$$j70w0cV^T8pQDvtA$p}&|PJyVccPK z|5C>r0i@AG)~VYJzW`40Q?c2>^;W-;I%x^shxx-^t2kWZY?DKy%@dxF=B=#984-1<9449Bm{+P zzfn|;9A>B%pW|i>61)iOWSZb?&dv2i*q04AXv^`^M>~E!Fzd0&VK@0H5y47cDYmYv z%5oG6nzrh?D1x~Apq%?S+#ejy^jym)S;);=#l{%c;qJUk*TXzscKx{-@?rg#!^>=%V7LbO^RI zJnwkJ>*p%u@~6Rnw`Nb9&V*(^p+qx@$~fYjRa*;FjA~l(4Os;}q$qTOKb9|SQ(4ce z?SUDq_}0n+L86x3i6ZtoqH(yrZg4| zKxT_iXyjHp3P~sD{x8Cfeoq#nf8zA)n`moLDrI5;ffCuuXg>ESE(Mx)MLP;R7M<^W z5{@}T!`~>XDl|b;ObnE+XLf2XnCSPjIM91x(4A2g`1}!aVu!H+QL1N@Jvi69`ySi3dhr$1x>XCbMJ$Mo) za3w+&0wrmg;eNNyEztt>1d|q zZ@A22{{!}!J&)uTKXQi$g#bC>|2zMt3!k_w)-EV{T>u=E$yZYycoBeD7YljI;Xd_B z>Yq<$vp5DHs*=u@fDvsr${9NC^#?_e8<6g@D_ctflAj<_^8*3y)ZRZgtXM!qv`7cx zmIAnxt+Du;rrNH+2B_02JWIb7SjFtsXWip&&}2iy26FiQhb7aKh#>&HFZN6*7;N!v z){2UGRO7ABgT{4V`;-4?e0E(rF{D_!g)Id`D0C2SIFUMaHm~**Y-U~h(8r~kmoqO; zf@3H=@}n3VXl&GvKpy~bDUyaJ2DS6a{qCub;Ia;vl%rEo2?Hy3ZVZ8`N*eSfF??x8zQ=vhkS-o4;6&x+`=$xFX zpwH~{Le2UtxpbUEir8XAxa1{&)pMgs=p%F0V}!1EEFI)14TtKkc%4d|^^q@X$A8LokwNRK6T}*NdCF?691wD=vx9Ez9bOyo^l{vik*$#^Jrf_VE1T&C+}D z#hU;pZJf3=u!<0>$~^(1R}cXMZK-5PpHq!r4IFTs`ehLuH%a(lfenEe2MV6fT_1`!b2^>-y7!1e`G2{^LMl#r!bc2{LH?f;Mb&tKy*5^8 zoh>6vDHJ_r4lgyB7rO6E%OuzRLQh;jp-9U*<~zGTk`X?fEq6s#1X2P~S)ho8E5X9% zqFf~anGmd%Vr?)Y-z>u%)sP4Ud+`kI4S~|Dg+E0aX(9lgVL6|v_&`-7lU@2!N44rqlWEJ}WxXRgM@jkuPc8S**^!<_U zwrW3jTWQAl4-%wU2i|7g`RR=o7)r#UWT+bGT0u%MeQNAL_{4Elr71k)xLVnkHjOhW z$=Qk%JuzO~|Iuf6laBlt@I~)I68Q&1kk0_Mh2A)5%^HpAVmk zR!A!?A*@x!I~?g&2(b>iVzelLg$jQTc4bzgAyb<0x?DtDYR0H$I(xSz)@xY z%O*C4Q)ntZP-_kFFZA}SN-b**HmU@ypxNYBXdsaYxT3moCC?*Xm=(&$ZUz3KjckjM z+tcJhc_3+mYW^d_0ANAM*d`SO_N0-~hV$INjoBA$8X2H+&jF%~paHR#fPVYUw!Hrt zSf!t^=C2qt)Gyr(b>Yl{{Y0s=jxRK8l`_8*Z6TotL%iQeTRFGN+x^Adbi4=#i)8%J z9ah&{1&a-}>&gLOh&bf2unB?e1tuSG@Us27+KSZwz9Kd*v^3J8O-@9+{@TXa*3NFr z%(j+-Q-LmH9l9?l>-rj2ZKUuA2nps&*d<;4b&Z3O!q&?PJo}R8(GI{YgN6HTh=$*nh0Ia7ZHiZ-Mn4gVc0k!(wT>YTwIZ(7 z%8IyTw`Kr^(ZRhFstM`h#m`~*G z*{VTdo5J+>WR1s9e4;QP(_pDmEuFS;QcJKW37Ci?QayH?S`h?CI&{=lOIN^wB0%J` zrS#z^B4a!OOTpE(Pv$DdEqHX-B|?o^)b@C}UrG_qa4Val*+ZkUrErU9A7cs5meQZ& z&wY5eoS)0N#X#$swO2HmUsQ@ZT1i7~q3Ce9Yu*M*Py?#70xU(=kQ}LQIFuz75h&K{ z!1!#l(&g+x;6W2>_Vi4vmt=?wAL3@jdMgac50Z(G!d*EUZcFq zYuMkG57`k4heCYP<8+&m0!C9kdT?tvks!`jKhpGzoWA0JLyLg$o7slavIix?fCYbC z67CxIp-7X$?G>4xwC=~p$5_s*t`Vr#-8ySv*zpeVJiC z$)HQyB-EuzfMPk(Hqa&hF*H36^6*1nim_jWVAx)NKe2;z#G$Uzh=FL}c-f5FvI2d2 zf+AS$QMIrL0k$)=iz5aM{Y4DU@2LCtIa-c{`@8O;A^kZTasrF2@zNlik6jl+F4PfA z$0NwTl9_nhh+scex8DAV*!-RZ9a$`UAI} zE_@#4AXJr6zk6vg4sepe^A;yhnUBgFBUFbdCzHs&wVYB766I$QVR4Mc+ZajS6IPQ7 z2ejzSU)j(;R?XE`g2U+2jiGebk$lPzA{kEhmk~qM=^5VzPY{jb8-Gk-YzO;{ww;qH z?3MU1^?{k1-D<*RTkc#OuhuKwLdrW_F(k2mm#b7pfwG~NIeBlVP?fJQ1I)w_=qZ$3ecCqjWB$0ux=0p-Sa0#yBOj|HZIv|+>=(Ft*C&N(pLBV#dcf7#pYWzXh z9%w4`N-vJoRCWOCKpLsX%|uKuRvG`sNb>xbR4jN_XXFaXS^~g5tQu&-!Z=#OEXV!E zqkFWN0T#Rzi=^zW7&%qq(ClnGLELC$zYvP~DCC z_=;xWlwvf-Z-j94Zr-Z;<=n288bE&B2x=Pn4NjJxe^O+`uF5h-gMB%$gsMeSJayog zTQ)J_{FG@XS2SekLpY}9TD*DDgl%cB{RxC0SIOrkN8F~lnn>Q;)oy_q?q*wu77-qs zN|P$jEg%?j8AHWiJWCNGQ1A+k85SPuUB!7(4YSiDjEnGRB}Zj-?$J|%fwo4;3`rsIF0!29ITis*Um}T?2}K65*-(XxFhTPnm9I;z%4Dgh53O2&fnQURxdLlLOyn%@n;am25{o4P``cZ*UFbbcF%bW;TF%_(Dfq1}gKUbHq?l69+)^-qNuK0<- z@34s(qyg=UISv&T-`i8g2x5|J~NnPQ~i)5b?&ag|>0h=lY zSAH16g=3wdsKQq7gF?&of4l&Npez0liH1250?$7(Smg_y>C&F{KgB;-{f_z420H^{ z%K1Elc3!f!?TAu1z+|b2DXZI;~EEe>_cxvGIF$}2_}!5eha9?VCS)!Zp7 z+cb59okKvNTE=30rQ4`*@F!R|9c7@idf4VR1nDU#D-Hl)2D=Ggn2{`J5D`DN{r83( z-}H?U&_^jEBlVdSk65Uyinxv57y)s{vlraS(kZSQ(5JK@%v%I=px>~2!FipI2soe= zfH>J!fN+G3Wvq^lk%jvHVkn&A!?0<&)8QD}c@M-q*y6htlCskS_`LB3!8u5D!juz^ zH86Bj_$`~g&@lO9cZW5=lkooHyBFIA%|lU@bU4GT4u`Gzk1nfGBRY_7Caw!PNDYhG zAseShE_^DscdLlLl-J*|S`-1Z#IbiB3Sd;i+c&a_C_DKon^u_6_o8r)TD}%)v~xi2 z>d-@?`L9wr5y=zCg$n@_dUIQjo?OI1jd}Kq`HH5ciYONG_gExNxjR39V%U7emTDRa)pWt&n9|tnVgx8b-Yf z|H?r4w6XreP=V{4k&&z-!08J5PGJtZkSnwG!?auR5RA*0Wc?P0BiF^TkNiO;9SD+? z9Ac`ka4VYx>IA`BjFO!tV3-Ejhs-X2E^j z50!7EY#q6i$bODYiq=1j=p`gpSQP$#Ijx2nRHz9{JGrs4XfR z>cqg8QCgDj8Ok^sFX4*>P=i8OJ+!*J0X=Fhvt7hM8Ty3Ts51mje*IGyfjU}e5b+v* z)?j!=UZ67^No5EB^2PK4beA51~ zVUfGFzxm`SZlRe_^^6kjXhRS?GbkL+p_qz%s*N=rphwUkiW&|k`59G5jYLT+<*xcC zNwo{u?@H*$Yqw5@BT}aKS8(4s`nZ9SQ>w|LmSRQns#u)A1uTWMgwP{J=_#IERrOTn zi?Is;@*(bBA}ND;TWo-n3r^TCs!dgIEc{2_XT6FJ))8uQXRO$pEA$?z5+!qyc&2*6 zEj~IefMxV|b7+HzzW_}@vcKc0_7aqOj|zd(P@m`wc2JU`u|V)o39CoN@#vajyl}SO zWOfg?73k|f%Si_^aKrp3<+G~RbLfI{%`;|U*E7x7Pr+%cLUPMGX}EEu2XE@(jT%pFDpS1?DfBmhz>izh(8Fhmq^9SO4uzL6->+P_VZ;TEw}WZ zet3I1)NhfOzdxM7q5PR>NS_Pe8eupX1IP$;6DCGW|+Q0J-wX2VzRj&qQj1)hNo% zzp%ZT;`ShOAc#zLP$w03T?A$ds5^^)&s3EIStG-?g`|?B6gL9M z;*(5f+(dj6V7G?C6Na(RRVocGq;|Yh>TW26#H>X@8THTp=0k3)rBYLT4pl@|EnwG) z^bfmh28Uj{9+ov_&lrC+dfG}xp!L-AldI69_WpBAi$ga$Y)_SX+`1Ma}Pt-vwCCK7*C2S^a-Q-l-@qpl^< zs(}wh#WJnE@th@#NNDccP+e*A2U8*pAme+K)v@(AV{!C)f@25L#8(ca{;c z!2x{>t-kToq%6n(TVm-!mL|1D#POn^S@zqt+vmo+Yp# ztXM7B-67Ia_7bf0MFYD)5P4m9;bw9|xVACLvTnb!vo!ovrQm8c)66{wuj4LX6lsht z(#F#{1+ZeS1~i6%(3LeZ5nM|aA@%8MgU@Jrdj&+1t!8D51@yP6_{;Sp{LO0nLv~=simblOppnv1!Rp-^z zqh7qVs>_cTdfL@AWg57&Pxz^R1EFTIv=mK4V7ay{2c$UMR0hj+4QS@HXr;NJD?%xa zr;bU_l;$kx8}S7{f#Xc-cU||U4JKnItNHC~MZOB>@_tc!K!x@fiJ90u;i)LgD*?*He+!*~$R_5yOwbp~T6 z_w1o%P#?R?4*-;?MLbLFsY($t;@p2AjLfby=d{i_dNyTQg384X2Zl$eRyA6$CkCps z1%>nCRYftvKE96dqGgBqN)%&YGQ{)kIHfb=3=otHEHNarHId=K z4vH1^{-X?mCP#^o38*M$E4XUONwGX>EDj+SPrWy`ORo`bEqHuF6ymE1C>bIK|Tl^7etLpJ9S(I`<<(t;a1=T7=PEU z94^!TBnfSG0H(rl)w%6uS2SW)M&mLCEN`KMF+%I=2-!V5Mp$l6dY+F{@q={=M`h~~ z!eHe(VtjxRsF340CSYzeDrZ#u{X;hZWXx&z`%kSd5qr_o%KiIN8-iFPr*_MQ?4M#Cot#@64y87<*4 zjidligVh{L(V%YS_WmyC<>LZA;MA+L=tiRc6K4g^QVeb!1V4Mn{%5fs*1?MAir5I& zj^c2fr6W_rc<nW^n21ISmo}ON+kBhp|KmDkmZg5K7;F#UQRG7G^lfLw;yVvO$xGQF7=p5^= zjUv3--VSyqCJIan(G~KYeFEDUG)Y4eI*Mt z5!52ZfM_`&M-!tGU=>TbP+^r@4D(x(k}qiaAXDjaY1G%1lL=wU#n zaKP5*DnP(%{hz9D0m-9)r}bMMhG%FU!7@8Vy*vN}Z72}PoV94Objj+Qe%%CLIxpc=Dy0}hy3`8=07)e3uws6FwV6+m>N6}t{+ z=<8rDdbTH*liAF~V9)-uNg${|ycG^`W?m^`G_kz8pb4iq8rj#MlL>HzVPTSuN(BPa zH{+p2&nB?PDZ+5m!iaCQ_@yY!1lb_1UJo3 zt(k>v4e2YgYT9XDcku}6+KOch8BCQ)<) zg$tA?!b7B%Pavm>8Yw<7TOZo-FqyXQ)L?1ZSAwA5YA?C`T}!bBHnX1$7u8dAi68Dd zu-6n@ZOiYyM9|%Un8hrmXc!G3e>4%=>bWYu=ZF>=RXxA3W@`FKDIY;w4scT8EL4q{ zy}0KE@MTbbqKcZT&qp6hK*xymH=I!DYJe4Bi-F8h75JP@1f%#fGs5LtmKRdr>D5ht zB(y9BBog1mqsdc+qzcb}CLZ}+ zADC>+I8RrE?NeXr`%|L7k7X1HP+8Zwwd- zRgV>=Kn11a`OFB2os?;tU7x@^a)*;X)IMDyfhGS0@vN-G_5~IDIf%5^^?^N!Mli%q z$_|y_#9Z{}bp~Pbl=iyR+%2;4dXX||l?^QVa7tB1rTK-rr2J`Y+L)bBhS~L>02o~6 zbg=|@0RFoBw{Y)~UFj~elsEqpXkn@T>N8HWI|NA$p`ybr2fQJ@g}_%+q4K9bf({iK zGm{JQ=CC}Zzr_abQ~$~Fb>rtgrKvB;M>LFzlHH~J2tuq+F~co_Mhy@lRVB;AhmAzU zj(u&ot<%q|7p-QU#3hL6CmI@B(`^Ya&Tmmr+!8C$Afd%HC~lD-;(1v?M=`29@{92; z!xi`(be|w*`R^f!+<@Li?a!?x2^CPxiGU{zY{5Ct&pqm|m=vfsswz#@glSi;^jK#o zo-AO!VyfCTcv{FSU8;mH#Av%ZMkw&lxSvIKhg59!!wo z+dOGd+Z3hvP;3!{W`~%_U4^XoLKJXy2S*1LVm!&5q(&|22&IB_*IehwK%>T|cOLHT z&vPS;WWo=gCfMk79pu5z?GtB(<*Ubt>>5T ztpIv=PRboF$DyGMVLMt}51l!~;9?2oE(*6S`V#jgHj~_hXN#B79+Y41hf+7o2-cu( z$x0Ll+Y}8wPKp`+7+AX%nkF#hJmk8X`;D$!4FzE}tKi0Q7Ic(?ICRvD*(KQH)Gcz6 z`e_SVSKF+4c0{M3&7JRQa-%66#^TfjYVsi%mgTV;+2(OlcOw@rvn!^gc(|Yt#2do@ z8dW5aDiRq_;95X^pC|&ThiK%2xnUZKs4xPU%`iUYM1j=YY|iCvh~GB;XugNgBoSVh z#iMU25DOAaO1dK+w+DoS)bO|esLdL|vgZn~6NwvO&~$E&@$2=fI&va=z)ls3y`Hf} zxY0jYCNi*r{kL>bLK&4o-gYZPZN?Z4)iLBom{!9n5n|r;;H4AiIdn1|7ia${%Q&|S z+$UFu&ok`%mosmLE@mX?RDpRqAXgQ@#2sA1@n%3*1yAkZ0Le7&&vs$Wh|T9PxHSv` z#P06DbbefEe`=3AOac?>Ey)SEBh`>QN?>2^3nL@y-b=+RbTu6#%kUf6=FlHN&;tGQ z+mU#~zztW_E!A8*n6YDMGiCZrowB7qe^UE~;>ZKv9GJA+L)G0r14Lh{LQ(OHmDl5Z zE7gn(q{@u3mce~i(#H*wSCjx5&so;{^W0UdbUTT`RZ8Nd#GdY#ts3r{1R5&wNl`#YStp}Y)^qYL zAWpLACr#)86%(iAyvOBM*G{sM{`gZw(BPq`XmVQ?E}FIlx}ASc5r49}9V_gUTOap^6S3@nA}B5H=a zQU>Y^ItKOx^`5_HtH}ubfU2{xFohrv`Hdey3+1YLchz$NkzJ=X7Yk}MK|#kTQKy=; z0n299AP)e-{R1CuW`C2}KaU-^%Zrl}TtdVEeyOsjwl4~%I$pS%wM^j;@I?^^FoRxSnx;W9u&KUXcT)3nUn_J(l%d zK~mYP+#5>YZG4#q%LN?rmnXHOp(a(r5f|pou9xBWk4OMsAf|O{Al1+XSK=h*vrD2> zS?XlpPv6V^negBhZXhEla&G-i#YL)jb_7=gw(QbDfT(%v9clC@JdmgMyd72ka(grZ zs7tH*$JXc?aZtM@H=69dd8P~UXBI*pxy~o3Uf=U-6PUS zS0;?kn~Ze2V6@NW%0nF)aZC2towZe!&pdjy#$@)Td#`8-_^*p*nBCdH&}be6F+*2o zld7tzv}^~_bW4m-L7fKk=~73nrx9aUgAyVOIfQr`)e*Sk!33|4Z^u`GmyIxBle{9# zZMaVyJr4t4$Qx;|GPrWkv`4jJNPr&i(0u z*0*4K(3J38om7U4FkEHhbazC%VhZZSgU@`?KO(L)0Wl%`fuj4tt*Ao_CBJQ27QjJ4 z1_PE;E4y{|3o;>^7D%&;HuwWLxRT^-M1ZLUGzcqEVV?(VwP5 zS}p$sow*JP>e!r@si#JzXlb*#F%2jj=N0b^?Zf_vCFCbjQ!op4i)*53dKb($VgnG* z-D+{t6ATIa-%)8s@hqtYP2|fLmkM|DJH#Lm2{QR&p2qrIV$T=hsVBJz>4Tvz3hLHI zUssk(yN9cL5IV2gyxZOE=npb*shfjV%EfBfgMmmJdsHk`y4GA4Ef-ap6_*k|WsWi)|ND0D9@Owg>KcXlv!DQC%Q+ zLMXcGgrMpU+=$4r@T}55(^YP~Ffq7<@I*o$P_>`z629#*n{3R6+=FnA5WHYS>*nFo zCB|@9*&%BNcOpYcm2m5(RwNNb#oov9YyDv40mvR6+#?qyp7N{>57v)#IEd|1FF~T% z!x6h(Q^Ug5cepG2Mu{uDO?UnOM^RX70PwLEqzJ7BmhOC6Gh+W zCpwN!4!oSL^y*UkW~;1+38Wsz3m+2c6{wEfEI2Mr>ght1S2Xh8Kl3a49-@ z;M6`5ydrIBg92`0l+(e{{dRZdpHn|)p>o7j2xf>+nKXD*Xj5nhqn8>iLN;qS+`~v3W`CNT0jlQma^X*F4a)5vcfZ|o4;?X^){paVhiTGIfOzSIZIlac$)A4&q zkfE0r2Ig2s*E0fsXBI&-QtIyoaLoO$ z_d8X5le&SR1C0;OGi+|HM2AeN?*aRq1a{=&VG= z@1W_yJoVEe36%d*NT$&CU1Z1){2tnZ%ZhL8zur{1I4@rP`N@>aRw(J z2YPd5gg>-@mcmyL3-xk5B&~m0xdM5E-Cp;sGB$jFWdS_J-~ycjyLRg{gUhD(3=r%d z9=v-4Ytab~f}{pHkoB4b4gsSJeHwIQx=uPGN)G9Y(UI*4_4s|6KFj-jxMA1L`QlSA zk``$oDsV;gK)SiDkakyrcShvr{*euld4+ATp2)j@6ABlG^XgZknn@HIAH{Q}Y|QNF zgdYea7SmKYReI{{1X=$Fm@&)!G2Ds3t39!$Q{F#9ofKMagf;vbK68+MSRq>W4ZiLyts^9+0jN5^JP%=;w9l;$di!0J|iF(>P88dq$X3& zC+9p8-WPGV(p3&7{JQqUS={UL^KDK5{UYx>@S#d*Xc(9 zGD%qgu70fG=^ZC(VpTUW<9!&)15i4k5)^(gqpll$zLcyA3ZzTJc$JgX7+D~#gX7nf z)TXr%IG*LG5(7ODoWk8j5?+eC4EtuBje|{Pg z!RoA>7>7m?(*4NVg5wv=dqjuaqi>y%7i*qMu~_+1_p=SQQn{d?ql#*aF7Io?V8%*d zX>vsM$I_JaTgMFpJf)q2^)@M0<7yw_Dw7H4R+UVGFk@IJ`B)Q=9bJeK45-Kw=-pVX zAYy_-FdJw#-;n6zQLpXqSi0M>v2eQoH1fp*o-50amz|FGgcsq9{immey$8j-^Sn1G|B;-6a5SsVh!X7m~SKcBk+b345^QvZo|L5*R=jb4z?5Vy$3nM(J_>#M3QM#+f&ks2{4 zUCyJY9lHir(40l+gGaHtb+P>fE4@TXlnLBLs>t959OQ_TYQ_$D4)i~A6bdx3#2Kd7 zvAs{hAgK-~Dm%L7YQz@Xa_vktfkk`fOf-=rcS13&Bm`2&9p+9C3P6IblH#A^qFl2aJ1ln$V)ITV}peTJ54gBslm2M@spbxP$j=#=wfkmPlp`L-qxL=4%UnDrJ z`f%CN7?P#v(PW_Bmm_ZI?xDVKdu?w!mW&V@z~vySDsxiEkqT5p#g&0064fY1?iJOJ zoi_IAL}hd@A*91vrFR;7T24o8s#2+}I3(ji7Z-cJonz2n{`qmYK<}0^23P~h^_6Zw zT*HGkSZUH8737W}`;F~z;OTeD5}~9mm?AFY`>M=8r&OFNm~%P*-=C0R zWW=Jl=`L^UGp6)0#(H073Mz9x*H!A>;mN?WmN5f@`U^`zrK%70vY0>%()*JDA8I&I z3Btr?PJlBK1QU$8q30{T)+2O`2EWw2M=y#OEBz<*!=c{)WMN^)>e=Q|)}O~q1Y`_r z>iU3px%QtbIO3>W=XpYH0d2vs^15RE)SdH z(I{Ohja?QH^Hw@wvTap7TAOVEsES2hh0#0CxZ;hrY>Je(?jTsQz%U*^cwbaB1#k9a zX8IiSyI>spPjzz=Gf|%R^$dQ3KVYJ;DyJ8lf8P^J;AiCnlG8=**65zOLPz*r_wlAJ z6^Oeb2WSLsQ8l=7Kie@M+FLR1B9->PaSCeoT%qb^d$1)Zj~DYhHLIH1j?;0KLQp5V zy)0FZX|>VNcgrPo^?9UG6@z_En*j{?3=!`*V$Of6SF~TS+x1qQ2`qToug0@=0hREfTDR&M;ID~#2&Y_1-kWPv4n00<)QY4oly}nnsC{D=NQbq z*hYxX!j)VdV=a15#yKKIuE4mAkX~2oq*_Q(>RZFJ1yGuTsUhvw zfGR+kKVk&-cI{{@Hr+Ns2A~g61RAkm%za@S1UJJNJLf8!@0p4$WnCK73lt{V<850Q_lmHgWEg4gc-NsYVeQ0ORG1~ z0pRtEgqrwmP+E@^Jn?N&Kgk#Mk7xQa+L}6e-ot+yuddy!RyyXm&5bPB>V$0s<(sd zkLs41c<&5{tFKUlWQKt_gkt;!nn|Ahw`Hd64&p0TyepV33U18dm#T>RIm+E{NAN}5_Y)D=n5$vU{%#`G-@fonWoJwTiq3c52zHt(Ov1?hP`lITtU$<#_SJ} zIEMlA5Vc}Z4LWMddUdacETls1x0IfUER~8iPc>PelaSGE>mT#d2CELBJY;oUjYcXc z5cJJ`$cW#Nyu4%)Cr>?k>*HECW3n54UhPn#7VXP;pr5)Cm_(uL(RRST`7gE1QV zfrw=vX%bTs@Wj>{ltlP?&)vofsbI#C4DB(nZ_I_+^FereYU%tf68E1`mLRXqqW;k^ zfM!1ICXUv@%8}QdRx_q+`pTbGzQ|35PLoJ9UixHaaUCg2T#-04kG&Q1GqhGOiE!%` zaHf5Hg9XXnZ>Xk@)uZd}AHAoRCjvoyVRwjq;3zzV%=e@omc)3abOSppc6B%Ob%6`% zSpECMymTY;M+HSR`J&ZQalOGm0t<5>e;?tZ48f6#gR)s?LUETMUht)gJBwQP$4S|X zGCh0!8HbNx=}6?vG?b>W&upwOFhs9g z$RHU0kM0uAJAf?%!$EBAie`Zm;!LCd3)VZ3ytng+^_?iy!6O)IsUo3df>beD;sOn7CwoEpdF0#|DSBB`5E+CBA&7kl|e96(HH zO4p#0VyCwec&Mwb;PfBHsY%tp2^p+#Qbn5cKIsoL>yaIMSx9erh^(+&*|8dMXn&M< zcjj(EMsr0Ye210AgxN24P>r*(gff9VWPjY$=Od;5p!V6N5&q#U3 zO9>9~#)Ad@eO;leI(IrlUq(hp;^liGMp!y^%aD;dDqMSK3!Pm$Nkfy-mF(V-Ap zo*W(XnvzN!DrQI!PLDd%+fz{g5`(i#d5@i5z^+OFp_Hn%T5KCd=>Y&g`o?`DMZJLG z4w+#qqrFGUr#)pDA4{_-DsAcGGGztNmTb^iZ6X!4)G~^lpDIU=>2G6;0OIDcK5~Ul z-?{W<1GAabN;iPnb^8-mW5-lMQT}bmE%g@wVpcSEMOE2;E(k$G0>p$R+|-?<76e)X zB4nxFIx`9d+yv`lB846oldHyXpLT-S=xrswWRQJXKgEb)Fycf3xJAX%HXa!XumZH} zu`vY`t3`s+yGMV*`g}`I5KVX0ZEnZ$NS$)+eUNQsjz_H>5j>RoBnUqi0geXuf02yR zfoZJ?9vmJMqzKw*hs~0eKfpkkchC*sjC<9I;*H=>RG}Ro4-9`O96FkRf4q$gr|rv} zllp^d9X_znN~bzRZKXPy5tu&yb?&TZyM^RC>Og*K0>I_7vzR&M@tT@IL1Ar>-AiND$@#=1Yz;WSg^?C-9un7 zD@v?5SQGNwyw!bZaDd?5V6K2rzb=aVPcBg|MV*!za-*7A_#*sjed@fye7-KB z9%u*^2Zn-&n%xfEfYt-W^}s7OvMfV&g*w{LK)TL4>H_zGZiSW#r4>D0`cNQFpma$F zuJWh$pUBp5B5>;@Tq|DB{2JnaWQQ<>8Nxe`D};w=CF=K|?$8Lg_1LY*!bU4e@f$_# zguKhf?JH24qjW%Zszg)<_1ups)R{c!y)prSk{0$Jt-Xd}5_}wxO|B=7em?vRlC|=b~1Q>yiG$O$Be+KhFok3Xk0;O4mxL zLvRFx2Wtsw99VC^#_|eXJ9dCoVf|no1r-HMAXROD0A;ioBv^@yi^bqsTSU;*@Z{_0l^n{ogcX-7kKw z@i6RwO)p+HShHypg;yfVXn0rvbgF-MlzRH@I#P}NoE0~=c+!xU6;2et)YZ4<9gIIi zXObF~3Q=ze7T)%c+HpGd4H>}6rh7Y(I{;>#(ksmODI1MT9e)Qp|3km<2h&LON^eG| zD?3<;hW)w)?7H)y^TPl$o1j1aPapw5U6pcNdtSh>D_*%V^gL0v)PrxTh{L+xT`(|v zhBG^AoS!ubmC&U_`89Dt%4DkjSr2c;4LYK|jEW@7A&93d9TNkBcV|n3)@B=en{1&1 z=x~gb_>K#e%%hiZAWVQ6EcmOj=qe+zZq>|NV^Y-Fe=WCMV(FkM%$C&EqYgLRwNJG3 zDo|6)T@jt2IHpynh!#}nRBBT!en(LpwW^4PV}pj+Eb6WGk&(M#Brze&4MpVPn+{opkP|{Zmt#wrrfrdEd4;i2 zEpjO00(q$mUJ+gSlWLfSmUZMaGTi`rAXl@JGjtoQ2Z1sHM%5&I>tDMy*deih%q!KX z=xVMhYW-Y1!qE?=p1lDOH)L3uQ78w>BaCt$2Mr|{M2)WF7ULup-&KQCtoQpHk;EJR zNh1L;DV`Z(#YH4b1Bogr6wBu`))z4|f7(?dkj)D5b?aD_I95s`urf;I&d}fM((HhG>fgrfx{f$-CMqwXToqH*GpG8(hz&p{ z7$P(v@JZ26{>75xAPXd$^AkPEl!86%k!wSKMzpLaG@?Of3T@U7!|J&^U>tJSM?l-a ze??W{S;HXm38eyJeFg<`6^aM&w2D2S17p-rR%Q(sEm=CBD5}@t(=lKvs)hx6cd@O1 zY%HG-APQ^)ho{-zOC^Z&NyJ>KfSUFr66F*`VT-dF5H5}Fs7yB#8>}KWa)1FX#JzLc zjKe+ViP(AFrsdI%Dm@$19&MlqAu9}bSxmz@aQ%yr2EF4IV6T==pi7mo2|IIW(J(yg z+Hv6^zNJc00^v1a9y$6xd6ti-2e)cQ{|32WYMniDrbnAY%)_7E+1LNh zm^;Bb7-MU~5i^A*xarxr11?omu^x1ftJRB`p$0m!K@DTA;#3$svLspfsqbIKHVPW> zf$El9_bc0n{?4BZnVxa>t!G-YM2$`%d8nMZy=O(H{rpPVW4`EIfGLL}ms<@es5#YD zvz?sYP%zX};bGWqy?H#9%mCsVCGd#)9q}u{O~iudy!fj|>u+Xia{mDe=}cAZ zI9h8Wf^pQ{Mx&=`G~OU4ps_r9l;rS!=fv(UFuM-bi&Xm;Amq!8$8O@2%+8upg>6Tq z2;Uwl80e!NqhpUcv>Mw~-3Jr}HU)DF0?CNAxqTl!`&A~;fYWR=t_2B*tcn7(zbG&0 z$kwjIwZ`PU>HuO8S_6EZ`C^6og(j`b%wmTPlKW4aj-9h!V(-~mk{Hf;-!AI zizi)?zpm9DS1V1yH%hUrX<0~BURfc#r~*wrr@$+0OYNnkV{_key7<+@hK)2^xE=IPNLV8dG+p;< zbcV!+L!#J3;|iY>Op{^~h(^&wOFcqC+KGqEWyV}`^HT-1@u#J{C6lbJK0JD@(rTCr zAq9wYJy?utQj|y-W(JQ938IVm|IkQeB6ft)9{5izW1+aj{tBW$t+g}Vt7po=FPrCp zgfOCh@OR-AaccDV`c)!!e-Si^9yBj{#Sj)rC`nGvyJgsuC121JIyKD^HTL=Bxn}aS z+z?JF9!QUP8})khUmz#oELO(kQ7s4kcRS?lX=M(k= zxDYQ8aM9hShT)yCBp5s3g?gwICl){|D&5R8h6eXx9<3P$RYqA70#pjKN|W4)Wds(e zNZAltQ9wp_#a8Bhrn`EXeGh%Nva*Slj$ zrFz37cUkHDfgj{K*Hw5}adzbq@XjfV=RgQR&%X1mmEIykmhDvi( zKqF(apZv2H6RkWyL5TI1<&UkZb^v8jm0spj&7l zTaFieLO5Z_g$f()gy*FX0Xr+Do#N)+W!xgbg>HHk`U@QLkK#FhFkMfAxY*Xs!1AxcTLOEjv*G)Qd@ zZ}R61@2claOMUJ?D(8`Qj*}iJViw1H`-#v3k{t|@dAL;{@#I6Q`xhx0?5mhl4KczO zod*Ef46h$Q2QeIg6cWBS6=D7HjRv_!W)P1-8_yZq^j6n0s%9-t5Y%u}`T$S~ovNN_ zQD;Q;KA@Vao_IvJ;4sGglPUGQBoInF))F&rPuVw813QNmkSU%@sn?jyC6YveTBjZyHQYayQxN2Y(XhGdC)b2uw{lI6do=o%tJDUy9Gl zjzvsrM2}GTiH=1UGPv9eqtc=Prl3ufsONs1o1rf+pmaM6psHlPjekM(p0O1|Ngv%v zg5--FXZ31$TPW0ZqUyGe;FEnt%L1;Y96u|AL#EMW%Y*E;u2X-FOa_WH)bkVDSRacG z14Ddd8?m6UJ8W0S&p)S837;rdse6fTB#;BpI5Qj#_XB@gf=f03e$}0a28mRy zhF+h;O$8fel|4chS+@LQxR3BkS&%C2QfMDZ&lqP+P^y2nB)%?8B$N?+OPdw6mq}wy ze)5^X@o6EDqmp{)G^@V=z}bd%Y`I2L*tZJq&$?pLf~X-L65Ml$nBFA~Jk;$A=wCBJZHi z2yQyj7FMp3Sj_3CwNOF0|2a7*KX7vThtt@B1fgsjK;dXtR`Ny?4PpKJC;LEh5ckxa z%gdJ43g-xCf`&ZBy2R*BtxiNmsFVP#F&$QP2)4$LyRmy?8YCc{@b+_!MwLWd`SrA( za6jvHu%#Q>ZT3%KMEzjb2XNfxCx5^Nm=tTE=hksy4EIVd%T)GyzY%k2i~s-3CUX!Lz)@GBzI zaNa*XtQBo&(clT+SDBWoZJd>T0(oMSCngkU9zE6sJV6P)_ea21uNyyH zH_RA+RM;e*vF<-_M_o+%=vF!v!Fl)F5W)C!2y-^OCgzd>aM!!8gowFvQ&Na-hLOca zCY6c6(-jlvbIr%l@gZJcFRSVxFEY{^2~dH=I%7K}LH<;>McrHVei&NeWG0t)($2!H znE=r#ch{d!=>1^>zn+X5^asCd)^1AisFbJnZ6qX^ilfo-p&Cvm!CXg52)l)PlX%)R z3v4XQei@KukQ@p0@G`Q>Vidc;5Z@TzFoSiu@mPz2!=Pj_l|S`tPzD3UL;zsCg>nh= zL7?4>1uw)oeBH#$?$9@7*sNGeyjX1D>H^5GTl%uU9%1F^fu_lb{tK4UmDH%z80P|H zLW+vT^Nx9*ie5w0P&Z-r5SNgN!YzgeXjM9+X7!I`GmC!uovFPjAVXDjsdgRe0dsi% z<*0wnKRtr0Zi{X=j5sP?;Hv_`Yq<>CE@OZlwpex2Dql4@py;i?bSb&HU#aRHmMr*` zwiK^v*a|Z#HLRi@V8LZ?6Y_t^~5neX6js*x$CK7k1b$s3WlFrT$0YD?*jJF zTXkW@GmeOWDMQWdSDnmB2frDrKz_l{rvj(7Eh1~C%Ot6Qo}!8^H*!cV{)WHWR*|eEL-Uvi*nWJ%0Y}n6 zX~p@oCDanmr10XPDYuLv(@lz>mM)459YdZrAT7UPyF?oNAOB8njKTFX1k=HuqeZv? zWlU5E@xoI&!}pll5mqzdNX)?lvuFpfv692omhIiL5;_8T+yTU&UH`@}?Hq`LsXf%z zd~dxYT@R@=MvMDzcq{$y>VdnO638cf@u{oM^(~E|v&w;Ch6)fE6gAqZEPm)M86d2y zZt45|bNKzlI#l2vL3~^G!x8wwapHqhk7Xl082AJ|m$&?*_riWi9dfb0r8=BYa^rL9 zORe(a#^x#e+biG8?WDtV;NQzb_guz;PG$6`-^P$c0_f)ofUeICrB8j8{k8vA5nI&q zl1mSSPK^PF5gYWb1_()6{*Ia?z)uF~c$HBJpjh-N zE+%|{6hI03;<9>TV`p+=>@Dr%Tv563c1$*ozcYlp+np#;Q`$#zXWAJ2F-J)HzOTtH<#&zpl zk8_%~Tq;4T7qFkRzDFOgAR9F^Xivu@CzO7*Ei|_xGxrrA72(-S?_S#ar%Jp*OgPK5 z1E1jTARwzDs9p;C^nwF;6%M>m13L7)upqQ#gwDspUpZ4guy8No7F}0*3TkvM7#lrp zey+Uo_xXa?6y`_YB%r~{9H)V2C%y&2!KFlvc*b-Y#h(v>a$3G?#rSlfQO+MMdrYgI z4V~&0Uj(gCiE-OQ5&zUTQag9@m8d51?Bs%6S1mW3YO;uJ)zBM|j7Kd`#8D*|?bY#< z+2}>hj7v4?sX3pB`$b?!y=Y$_R<-V&N5m6jrD-yQYvHux=AR6~`rbJgL?3{_1YRZM z@hzLl2s&+LryTv0tP7N2#VNv(yrLO1GVnvMb$qcedjEv|&GlI@h83W_F!51F2jN?l zC~`0dPy{&3DW>CQ9BXEEpQw~5(0qDoJyjM&&J<;FdSD#S&!3tb0RflUh|q%oOhB{0 z#LoNvDBcdEhth<&eM;Wg4$tDf)=z5H_Y5k&}6mQ{QB>DL|d*^owdU z*Ly&bW6;6-6@3aPVAC-`uNM0Jy5#Rq88C1;I*ikTMIfTD@SmPF7T)CIik5Gxft6Zl z(=Ay}a3LZrD^PvM`I?LNW5N3}d~d&wJbzd_*yc`HLbq=3jX*7Gf6=P`+WKX4e_hmx3qBvk6gOp8{tgEbl*BAfSNC z1oS;y!vk7#HYRB1y5xL416|jIfHL(-i>QqPh<}E`OBGXIG&Sl27kwF9P^3K_y_vov z2@gVy($6Iq-aO%_h+WnZ3GUD|K_B@~LZPXb87ePu?P|v{`&UA_yBGT$-y@JIZs17} z9P(K!lGu|2KxSk(g)zAlvt_Gf%0&UsQ?cLTh{`i?hpt3TTn=JbuEYiH3;~M`*^R#l ziqBihQ`5W%f(ztyw&k?o@f&k4MV*KO0ZIp1I#AoqZlSca*FYs()clFXn%XxS!(l?F zPgO>s-3JDkt2hVrS_rqdR4SOe&7qA2P7337GOn;WPtA~Bo!NK z7JOiQXAn2c>VSg4dfVWA=?Rz`^=QPX$Kh1{4hbr5jB)iunk;(lsH&RAKX{UWwK$Ms zJg?e}#|GnR`v#g-`!gfc!ml016-bsX8g7M{3t`q7p#u%T$O<-ywRui_d6MKd;K;-l z6n`;G74^=7@y0~>b96;CV@wF{hd3zb{}VaGi$--q`Q$rrW~*( z=(M4boisEeTyvi1MtB8MBrkx}ARa+aGMYwourN0HWteqi*Q(z5iRNHl42uP1VIm3Im zhrG67c#&*C{F~IsmJYr4kukSMx#;cQ3*r*R=;6XeL<`g&D51->h|W33lA!t@2MWje zbi0o5s}3@BYVM)U>WGR;`%!9q-#_ljA!HpQWThu(f zk)r#rbAEM}6sOUa=zn&WNmVdl614##{44~S>b5<(i${aUca>3TDMsAEyX^VX29i9yb;s<{Uw{&) zDA!S_3J4^yMEp!4z&;B}Xl00GsS+_vMh(#AVeR`H9RvsV^=UF9dFmj|0C?Ufe?TrK z>$A{BpY)e~Y-g8IaHxib#3szTzuC5qWlu%b0lo-#F%gMM80#BI*Vp1rrLirACR(A) z*_ZD|_krz>2XEaiQj3x20F$$~kaC3N6ckX+aZYa`vW!bYFiLB{H(|p&TY1ve+2sm= zk2k_Ib>c#eFai&h)dz(Ss_CEws%bvx4N13$tRO{&pFETxU^~(Ta%z?icSyZ)7my2m zZh*b;oYPJ&9jy|L)lMM!*<1{Z5db)>F+zdwe^i{1Pmo7ok`LVkj|1?XpnO(lMy6~Q z_#oCXM`nvex@DvSfep6qXXru1uP$+Ym`X~lTsPxOAog5+3C|!IcGtd~R_VdeqxUCg zNKXcxTi@(LO#~GBX9CY6`Nc4SRWqTtj_^yQbl`uRP8Ki%aBDbLk4sw?X4ZPc_ z{<>EasVKZ=!Q8I<)*tNw( zi{`*j-eD2gGQ;bT$}Y={S@V|XS8)h)HL$dNJ%CXiT>NlQ$M$L?0<{2B>kVY@8h-tF0*8RC|(J1EFgebDq9GvV1-hb=5_A*|r zXV>i^j^nmdZw~*P)b$FwqKZ!E?zo?%;y`qo!#0&7wXZATsi!t!StgqpiH5NuWn^Ca z@S@JRC=Sh=9l5Hy1q!F0mwJX*#{Trhfv~3mBGpdKw^-@b?GpQ1Ps9!W4grqEkhy24 z!S8v<*j$l8pg}OWLMH{%AB_V--S{N5_n!-!GP*yA>j61=fV=Q1KCvU+8l>CD0pkZ< zw~k;0^*b<1Fw<%+^(h%&9n;ZON||}~TRUdjy(|PBQ^KEA z4K;@VB~4ze$mNC z^bqiz3Wux{qlAD*W7{s_6%}F387#Mku}vv27@Sq960^WdaJ8{|qOxDkP(^_DvD8YR z9z=MOAUiV}T`DsMQWZVJPyGr6wOEI24L$vA^`V0&McM)-H^nZ&Co~Eu`o5}yFDPH? zS>cjb!W#4i;7MR0S_UZS3sEsJeW=z7{b9BML_>X1zQj{BTBWGra)Vz&`&G@4B^`z7 zMP+I^Nkbn`*9*t5SHQ~^(hJSW4g>6nlAI3Ew-msw7&;vDM7(%fRy;6oXIn+Rr#jI!$a?350CMGK;}JbiTnL{+^LH5f-cXA6f%V+<53 zP9HngRXgx#=p;Ad+}Cg;d}kNt0=3|l#i*N)rzmN&<7>FKP{WmG4XzNTIT4E@#>9$QMRKQ_KV zr>1fV9l=dj1X`}{>)vbVM(a!PMU%oVho z{wHE{Sqkx7cHl5h?1plrL+4gl;7sJV#y})#Jt~>pTDJ9jl~@@nFcAF_3v|B3ARJ9! z49ZB^KFgB{tYYx60L-HrxKTTtFuav=2QUWLr1EGGnx9!P4cHFW6tGMWC=7Ayd$ZG=RRpT(j0n2c#7P;?foyVR_AEHmA;)m-5oT(!d5GKn6rQQ^X zq7uVnL@z`je6ClzTIpN!!#Z7>8~}l={s7wjiAxi*HDuK5V6y&_6PLQ47EszsK}Ptq zHPk#(s>cSm$&mw2LLGi+sJZm$^Ht1T*-Wa8rFh2Rxh##uSwn$m8zYWcO18Zy-`^a= zI%??TZkAq=n~Zc8^wf)X0~3hIs5|g{Plt@FEf-G+8Z@I2$}*Zr`e`cq7lEn-Jm!x2 zlrg1QpPvxAl@mMF>b;6c25FAEW{7q!5c-aIN*6pZV{UU_DAGU)f2u=aI-q}FYYbO; zp!oZ+JB12+kDQ*R))oqwOsMF<$Bkp4$970t29TtlVv845bKQZLLFEc`6%b&>>4GW& z(EJXWqHI&U7&9G8R*eilbTvdryh<CX}W;tLl&jl9Mp(kBP%!Vr;YR!5kiVO=Y+^0ckg*uM3Pt zo<8*oB)p-MgD2)m06@UT?Ro1$)RhKY+ch1^t*S^JfZrA{jAZyWkC7U1JJw39ANMMk@kMr^vl`l3Eu$5Ls~~Go2VzJ~E3)Rut^_CvRJ zS+-&RGIXI(Xbf{cxTArrX8`$LI67AIfyO&JJ)hxyE7LVty0dp_;LIn4@5zh|B-H-j z>R^eL{oHwcilx|C4-7&d7RR`VkwZmaYABs( zDKjHH)_~i|tfYE0(PUaSW&5aRNS^96Z}mx$69W54eT*6gg$TdSMhe^?ATB?FOO6&m zbPKPi!$8n%xus08G(Re%_D|tE7e+tYwXT>8H`s(qY_$9YJ}k8uT9~-JrzeT?s#eQv z+<@SV6>bA$>D$s*8JEYhg_?m1fkT#YlYlF#*D3nQj>P>@<#|Y)xRw2|ih}3-f>#q3 zO=MoEy>n||Tt7{%J6Rl3VHd+W)PCtR1~Swv%z8D7+nVc8j#*AlM_kv<>{Q2ns&# z0A&xNaj1oT$x@4@SLab^etJfPR`DERVV^d8__3J^?-$uq!raH3pDk6Kt!5e?TBeIkH1_p#C}HDi1s-D7TxW zP0-&u71p6qO|cID5xrm|zELxLSCx384MBn2&zK(%-6tN-ObmZbAM`mavRa$&2X?V-{B7*4Z3Vxe7|x%NN&{vtKEOU<9v4 z@m0l~VS~*RUG&e?-3qoO;e$?9O~)67bAbV2glP1Lsh#6P{itzE6yRhzbG3$w!qSE3 z1EmbLv;%ozc1R?wh*j({yd$lEc_&@^et26P9Bp=w%%2(-yvU(t)I%BTx|40u#ncv& zDlaNNbL+*h;s?VNb_%x@UOLAg*f||m3f2o2315TXKQlEX(IuU`BR&Sq4x3@bD6FeN zFW;MV9rb4q?Up6FEv|UOuf-cLlETbQu@^!)5%D63otL;;(UTQ?t4IAa)B%Oq5hcUr z?gb5$1E1(fTERGP_~sGNzdge z>fFf*>K{{SP}ywpYbhi8L*X3(E{ z@6m++gKX(#j7CvJh_M4_i%z}uR5?Jo1B!=@6P2pv#}tN3-?XxSui(OOqVTs~_BY`; zr<$j@)5EL%8B$)bT|>V>VI0ca=%X+M!0iX%J7Atgv$++kW6uoj5KJxA!&5p3oa|BY z0j?sO0XB0iA|vL^pu&do$JLd609DyiItNb%cY7~V`+rhqhHpl@Y9f#hNwC0sz$?aA zwla7G;82!;RE}?MiwNCt>ZL&ss4<~GpH<#*3d2amK4R>!T&V2OnW%aLI}|2T!1EQ~ z8x(0n=3&>phuxm@p=KY)X9FFI{??45dwq=W{U_#ju7Pv^$!}Zc*<<~A{>hKldJ6qY ztDyZUmAq~!Um%y~Q#NY2>5Ausq!9gk4!iyjGM^Jgh|uCMlovce$}3+;wC~F1VYc8m zP?xCh7NdG~MJ``Yu}cu7)Yo+`;L|D#h_YogK>)-dH-#AxTR0f;>~yqS>Jb6$@sYWa z9@a1G2llFhH8S`kc|83IFHIf=k}8K0GUZo+(ZpA_sS1P|=8>GYwDo3df{jIvn zo}cy8QA!FRFj!E)jLQWS(x^Hu!bSn@F%d{OVzh>qm-QByJ0cFm1z<(NK(ea)YNjU~ zOfZhjo{;~A6K~}-zCfn69v#xOM(nd?bYr{3Cy@b8fLdNQH(R_(t5*Ka6u%4S^*6r6 z=mx>5h16Wnn^hk~bMaI{>zEP_p;`4^HLu$)#u+ur{!r_#sS*#|8t*ALRn;NK_!QkD zz*-mcS`Ttg~LnAB(2r7T6 zBdq8ws(6T-kru8w;?NDE@TJKivQN?nG^n1R?Iy5bC1Job1MTay&@dbyRWfQOt}4Yh zVzxx32#R6zeQ|MP5Oen5LPIli>R3}w&c>$dhhBW7V{wL&{zd0}WpXFEt3|ht#(@MS zx|iRh{ZEI11P=wRHn@48Y5AoBKDM}k1#~MAT7P}#U4kTQ3f1e7`_php9eXBo)NoW= zkZGx$l2Eoh;ivWXXU$(9#(#6w(__QAREy9K!YH>iJz5b@s#;5nnx#Mnw~a9n>w;%L zp=(!=ijMgIEap+w{y~`i`zscPhI_+N-ocaU4=oDNgYJgi>uS&%n82t6^Jg~iRusk9 zrdlvW4@hH5G-*I2gsL%4u4nKmw?a4{Y>k+f4>k3L9Iz z@Rq?j`!OsOJ~l~Q>v}}>!-kmHYT&7%hB{H58IU-(g=P^8djjWk#s(<+!GU0=3-O)G zfN2q#O*Zlj=IkMcxYcx6y61NNKZ#*-uwz%Z9Q=cX3U(*h8TlrFA24a8jD4c_m>+)D zM9eq{J$=}4hy%Ektuiug7MBBu*12Gyn*%<0JN3Y`TYG|HVyuJ}nZDG(m41apRSG2^ zFkrUHvOdi38@LXr&!Zq{^y_3b{spQZjdvaU=UZ)WgUP@`wnS~@^SJ{b(LIm zHu*)zJ{c$K?5N|;&f4(c;fZU=jhgqyWb|Z+s@u_fGZI)4Dsyzt36g!TRL&=&g=s7B zvcsg-Y&=~7>AYq!ce1HLh?~#$Td?_Y_KWOuO_<|}kbVaORw=|kq_!K{8=wYWt zBuuwqL8aQEq8o%?DAu-hChE;mQNwg_V0=`R5^T3rf#tJTgj`(d`j^qNjFPWAEVd;S zspdn9bpCt8QA&JZOdfU3q>TX92Gr@dui0l!nzdR#lF_Fwap zJE_>q@@_3eCx8qHJ^ZsgK#ZzAiOcojVnpaB^QB-865^8tExYHn0EeEs!2=}Hj}@cJ zK}#kvO83lCM8z*)!B|pvwGCrn@I~luWNKlqVhNwQAbO5e&gh;om{-jatr`Z0s2E%| z4iUQ8QCUEj%-6s*{=_kTYALkX-?ZQmD%7dM4FrmwkjgdX2_}eJ2nn(P8`Yna?fnCm z(LYtPPTUu_A2B*m*nSPrEuDye6&`6p5P$YES8LLz$O=+B*DEqHU8T^MG5Ij&R9ylw z2Crs|RMJAq>(Zo%^`3NQv=@lT{T}RmaeT()S12}WSWZPz7YFAMI`v#JQd~Q z$aBKD;uRTqQeXD`de%}B<0gGCgRphH)#P-B?8IZbS5$)0m|&wgvB#~eyfZ^r&=b%v z3wnJNLnm@6!Jg!Avce9>?E{kTTBTtMPYd%XEv0KVJB7DIE7XBLPW~u25Hh1?Gt204 z+J`THbwMNx*d0s)#r?%JK~? z0S3@^zY&J!QKjC_X((U;st_1v)xkPM9bU=S*7G0=;l3IZAbWzRz4#G0wTFwW0mgz_ zTvch21?VS;s?fF)q>3Ipf~6F(Vq_ry1SZRMuZ@x4_C^mkkq&~;^8h}g2~_tEYk!2I zVQ1{TI7^3Jx6$V%FJJaPE4bb=A2gn2xOC$r`vtHm-+od`2UzPVt*u*vr5n`NGB$ zSlr?#kg+tN4}eyia^r#$!Ds>HdVrx_Nv9z%ypA>*0xBH+Zd3g?e8(w424x>=9)vHp z5CO(a`H(0owW&Yx$*7H8#n2aQpRDPpoJ#z0e~)8|dId-#FfiSLo+D}ox&n2A7Z9{p zi2f4w+xqioijTZX^V9{K&^d5m!MK`lf$R?Q-^5+vQFfm-ab#!fU8`jM zSq9HgNZ?G<-9GbDrXbN4e_FfHcpN7R`ieOdo{=PI;C>LfobKn?H6Z1T_>YF)(BW7L zLrJP++%NT@ z81@E;DdU6wJIK3`De5?3lB0A&)-cuB4yn5!&hDR=D#Qd#h+Xovcr;79gvl=x0L}#0 zR~*4g5ugJ)p5LS-a+mW1zHV!O)g#TrV$@g^S&jN^c6}7x^e_ai7v-QU zj&*+iY__sE?*coZZ_;k=m*hGFH`CtA)&ymnqhv(nB!X1#O#?rWqXRmSd7l}>abUL8 zWPf7LE32wLhOx^5D0dxI0O=DN3Xr$knf8vTS%r@-_Fe(`Zn^*cV>zgd3?P4Gt008O z?U`&PqyV&ZXGwR(bUfkB zVc3g8494F}6IC=Hn&KPLBz=xsmZTX0gepC-(ape*w}c{aJ#%I?r$-NfBK-T)hETSQ z4TS-Fni^pc{tOpARYEcpbn-L>{z2AdoDq-kib0}?XQb`_RMmS{s>CE0&;Z`nT49np z1rC?<`JKChD_sLXtR@va`$CR<7TicNYH4UKp1JnyvHfFc`ovx^hC_Ijh_Pfd-%kZo zF4O$a0+mUg3N~Nc@mLq>-Te_?`xD);LsoSL+mHGZd#L=>ncfUk@}T_hX=EB|LIJD* zL^fYs9k*;zMpsG+A6d!dWK!n*&&zFVNvS640<>P~;{^EFkigeI9{c2q6{NQVdq|lf zL6d`pH_~@2Dig>B>3ycjJ@R=NDkEXB8;E+8FzMLUSEq&5;DAlM-6rZUN>-p&h=~nd z(lZl%;$Tp6LqZ%pMP2n4p;=8(r9)Cuv*xZU0S|g+V`M=zNK`QpJXI0k+G*;aM1iGG z7L*rAarlmAJaUD(A>XaGe|W7qcw6ldjE#ZRfj?ZLC)sznpO>68z65Am7KuipAfEjb zx1O4+Mc^aA^rlZYgX1ng{^%%$yR?>bn!@A3`LRX*6cBa#_5|=V_64pzGEoq8uq$@ z?pZ!^VY2!`EERRkdNEU50-s(}a1U-a`*aYt>Piv~F z5YSc!VJQnWf*|CgEk$n@r7#Riil~{fZ#Q|;>;N&b_jsdQ2K0bTn zJJ@C(Oq8R8ATe<`tcAoU7LWj5R(*Sh1NX)VP!0aks5~$ko zm9v@{v5xKHVcu-nGO|a@UW8WD29^Oc*JQvaK;~>}_tcsuQ^)`yIH|0s(k~_nbUN_il;8+*j!}(Xlygzl&rFdXM3*;-n+CS3) z01?zG?0U2nXN)U)`YC~oz>W9Y>gXs-MrqDp758mDqUs7;PlSesqjp9Yj*$^%A|fHg z8pp2{Wi}SZ$d7{4`*;mNTH=$3_K(E{k`H`w4;}L?jW0 z>Q)h?h1x0_aYWUY_o<=njzHH4VLpQ|rV!O&AIdH}Wng%cpy{SMT1iMXGFE~b`5cJx zTN#`&R)K=ZU4xMj(e@FHv6|oC{hJXH3<*LwP@;jMImB^#jo$sQ5(9@+MJR9##b)TA z^BSUSs+iMFvx=$wzV_`__FZ7gZOGhZUAt+ zOMEyVs#e0Lv(IxTPaJSxDu|;p@s>g6ppc=Vl2Q12u|43tPk+3{AhIGq8sK14F>Mh&eBo^YofuHL%`uTUHhqoSfhhx=- z>^E}|4R9Mvf)yJ5-A~>Jl2|~i8lwJK0svzqV4=$`Xy&K}2I&M6@XkUWFiCYMWDPKm zYMr>@(voc&-dv2M!ornm;o++5i-5cg0oCTl$%w>?V)uy3L-(`L>o0ak2W&ki)<#?R zX+Q`6VWwteadoGS(INtfaB@K`H5Fm{JWsW9ZMANJJ4hmIGAog#Sgq2gR7vPD-KMNF*(>5gYkj-&@c)_p&AZA_3ua|v58N(#;0h9mz zlZwNK-i%`(hF&Zu$ic%LP)p;};5%C(7j3k*!m{cuZZ5x>|eY@=FY1*n{ zXvP?jpZzK3Uf~&G&MTW7pA$sZP@v+Va~3op?0-gJAU?NxsFJ-Wf;Rtj5)cQKs*T2* zYMOBr!L3z`!l@egbq&=8>w>V?t7Jk^uT#HCm4ahftEDQ-15-8TeZuW;RN?mhq{{&k zz#>H#DzMJyX^{go@8URB-<1ltvqL2?GE^R0b&J#NNW>v5-3k}f5#6Cxm$idu1jtal zT{UFE+(fOx75TXeUopC1<_DL6vD?o9QlWOF=sW>BbS^G1R5gA&bc1%=TofX*`g_}= z$&|(2P<8QXG=pgbSO_}Cb5-j)FYp*mNDkyp{>_Njja|W9k>z&_cCB;kvoi{~!E(N) z4GLbl93Xt^_U%z-v6oS^QHX{sX#=EmM0_QW7PrbdUSd4bGv`+a!Vp^J)5=l@Rwm3% zmyWAb@P|Ozm8GLQO~`>twSD?+4HQ;?|7>;%E`%7-8#c)HJtq!najOA9;JPJ+wf1>v z5&jOd-lvZ*pQ49je8pM2Wa&UZe>*aUn$t}H4*GXhDP*tNrC8?NB21$oJ(}DI2m&KS zZ{=yRB8~##Mpk7#?z(40Le+(C{Z1k4)MCt>o7jEP93UP5dbr$L(^xGs+<7!_7Yd`? z9hSY_`4I->2uT7XsSGPr1mrCcy6dT4>cGM=M;BB{o;GR3K&^tB)3brEtfv*(YY31Z z??z8y#_WX{he#wCIvgOtU*IYV4783a`x0eR>g=%}-z#;EE8+f$a%{)3XS0TuS3pz9 z7ES`>43>howBouNfQ{+{J7Q$lp6N33b@t65KeFdtH10_z759mxFUr|G zdR@!i$RSg%Hv|#v0*@L-R2n?t+xn$K`)ON`-;9KT+xwm0=Q|SGwCge+@e4 zc1fVuUryn1vtHH|B)FatQQp(aUpfh&Z6t0C>Rp``YJn~FCqW3kExKz!Ry zW2UYw0-Zyow6UTs4adRBKqaMu=!!h))FiF%^CCE;>0+WNN?+8guS}@JpaU@l;Lk(x zL=4cNIvmb9otP*Xg}**5br9b{ND(Y40R%i87ah(@AHvzxe^(V&^zq-Q{(6}YLUYI= zK`&{4#$&P!wyr$*eaTc8L3+nYvT?AybkA5KI+&ol>}cV3X^IF8j^#48Z{V~Tc3swtgQ)^wm&|~znm3NcLf_fvXE|`9;mSa;UM%+# zsw5opIH;=-GCp_rb#|Nk{+7-YL8PZ}P`yA$f*eYS)ExqZh4u@AlS7m*cHo6Q}0p{ zuGKgp$T(z_D$@e zt(l;A5e#STvc$AXiW9K!AZseIq?(XAS-59@2NB_VgS)ay{VZH-BA282r6zEwB|bBV za>+|r%8u^h(w&IOl{Vdc3cWe`&3{UA2v@xrOzWpYVqTw`LNAV(3z@sh1Ns#$OGtG1 z4$y(2JXiW#nHpd8OhP}b>!})uTe^BEK`yGu%f?O7O98TVKtN9eo@R;nGvSuvqh;<5 zEHjwulaiD1$d4umcn=9|7KfPyTho)NJ%DDD#VYi(t`LBA4ChhbPGt|UXtQ;>Y`iXB zWye9x5~f0xqJ|+r6PQnq3hVtmMYkT_5OqVuHh=Pz!SM2hw`zW$GNEAW6^C7Mb7gaZ z+WSTCUjY&9on!(5L$|ze?(FnKp649#3VuBx4;A1Bm=WZF^d8bSkx(=g;)E~@A5&YV zE6Qg`_J%LOkjNSFC!T!iQKIAp0I15e656LKzM!Rpw%C!vXG(@#0#?%itLgP3bp^`f zb4uzo)oqH+;RSWhXPP_a7ugpo>f;_#0x@yGw(fmJVMtNc`j>FvMKLt9x0OtpCTw?FsNbcop?F(IS;{ zTUF&3iP8~?+qeNzYB*J^f@HYeYmqB8{6h}AstCV`aN5c?Upr`zj!5a%#`nf*OKK!? z^DJoa{_g8W!(}SEeE;)cQ{In!r(Blv4ytjMAJcNnA@i@)oEZh9vN|OI*U>dcBW*z=XSi8ES9;4whlAf9 z5qZW^ZEfLPY%F7Eu~Cn907Mzz5szW%Q5S}pEaf>iZgs}N<7b}8eTr@yD{{R|aYxap zYfbF}u)<@Vf^^PS{)zf#v8P}-C}vgl-Ql_pVeUZbR6qecrI?c@pD5_7Ii>G1{|eRx zEHUV7m>j@-xonhvE+y_S+h3*iRqwrU7=K_($aH`^8P6*N;}Ji{(gWX-v~&*np&95; zr}EnfvTlk>I0(idMKrNGh1N9|ioTuQ^$(uPs6Try`uftBFob6kiX5%VtMy9&9TSI`9WA_TgLIMbRp}Rih8vsp!vr%Vvm_qTG z{-Wc|Dw1u$nGT@OXG72Sb36Uf;qTK{h4b=R#W^xO;oK}0WUxy43&8dBHj;vD@6oB& zB=)mzH87*(E%#Y~45+|vV&!>Utt*&Eah`76m)ORMt%^uP*8_MQq4_iG(y28g&<=O+ zQX#1EeVbcXdyqK7q{TtCrkUq-9U^b8vaQu60iEjVk>bfEKt1Y({9O06H`BZxs>hh3 zt3{3f@xv*frnYo=lA#cQtJ9IJw{)syBVbE~Myl%Dv3=Y1*6JOTJuy;QKPv=FR_q4w zk*x2-J`LBX_*f2z zkP`lkeF?99YIw34gCGNf4l&XrZ)A^#)BD;gE=KDc4>7UtmI*+e94qyJp-z;X7zv@> zth}6Y1gWp23d2;>sw9c-kp<+tj-nsmyB1o(c51%e_yk-H~`#|BGUf)j4R8#J~aj=!f%m zBW67%O`UsO%`&hIWqLl5KgQv%@oYdI;8f57v9@M2^i zTtRWq#(5h)&eVmRC{73)F3`6AYC~qNW@Eg`tAh|lm;a`UM~C-{E!3|RwDv9oY*;}< z`lFNAyQ3^eL(BdM(O5;S-$=8d`^#{vgIGUJD0YXg0&zWt=*R}Q8vsQ#sy?KtvsFF~ z&#{=P1N}+iQS5-gE_MVI-J9`0;Z?7w2?IZVD6BGaL;M*3ke{UtC2IKe0!)Ds$*b>0 z+yN=8+%JGF>mi$L9jc-Dey9Y#s*vuV)=;tALq&L^tnQw1Z`x1 zQSmHC3O4p;aGOBSZY0WJCHT(Xm2%p{?b_R)_~-S!Kr$w)P;IB@Z8|uj(n6t%EFI*s z3$%?y4~Jhf(t|YY6vr=S86=1cHP=zltaKfCaRm>vlZs&~a{9C{oeub!O2WgO%JEGI zd|+YV>2aoMNd2A4v~qbA(e;7FvF@%Q2v>Wkws7e*f3=))$)~k>d^iDxHH}5s zl?d#|*W^U<*fJf1@Rb%Qc!x)Go|g0+OpEgryzG8Xc3aat08?18s@;$-!`u0u6~{ zjXo*VGXEQCq zPFoez9lTRRDtWlZubuaee_^ z9fR^1^N4npeCqK2sQWHU5ntsjUX<&J``gKMNmfoK#h@BZur&dvmG z#I446`3Z)CBkHKJ>NVyENXRm~rAXM?XY8nW&Cq>1jylKy&o3eeLpi{q#0o3LsBG0K z0J4GCBLxjJ9WW$bT$FA0zXAi0L2Mprc@5X9Bj=qm(iiw__Ya{-T~nuljGSE>NZOz4 zL<>v#T2aCLCGUlbJV>AZDv%4m^ZX)IHL*)rs9;pRa9k8w%O4O{*f<{q82gA*P?JZ@ffeS6cREh#&H8d4?=`UDgI>gDeb8Hj0zy}4USrcA@7fk1i2??L% zsW$khNys3^;m7PYpB8NVHrwszH={FI(nJ|}xOOm-!Pw~-#4y4YzWutXU8_g2YiMX` z7jut2E-d;G@B)`EVSrCfpv~5lRSN7ys)nOt4SkbB3$hu&6e>6w z??gluR9AWKX93cZ_>hrPUo@D+uK{&ETl z+HbVX8}8GRb|Y(vNR#3Cy1s1NRq>~i7A)9wpQvZjbrGN35~&&Cz7ed&j$_?`0NvrV`}uMT*8l1pk04pOjq=gWVzpReEv9IG}PiSv1wt!0HOy`+v@rHvw6eq;%NVm-hhfmKD|TH6%F(^R~xX9ay3NDtq3!Vov~L_8A@`9ySLi|hahYs=f58CTd>iWRjB@>U>eH!e7z zfLTXI_{jEfz7W#GX1t$2VFO7c405FeHVLL}N%a}>o`$BM9XhFuqR(X;Oh`sYI>PMF zu>|z(p(&1-4W-$-<&YaDk9D81p~&`0rDe3(d$)g_BgWLpBPmtQUQiyQ{0Z$Pyw~XEsuIm+FgYlFl7&14TnQGJcVQk4CXnn$<&BPtN4h<-Stp2`8GYM|w$y=h= z2iO7{@e_nnDWdX9qk}!R^~A{}r$vq-&Zc1R(ON=I^+?^!#t1C=7WUUu`Cuar=z}rF zkD|rkpOsdrdk!NWN$?KOr$WN8S8q1w>LIl*H(w#VVZphsu4vo!E5BB|6seJnV%f(L zbAL70Qqr2V%#f501Fz*7H#^`MXqYL-|03ViF8COSMvLJUALgsZVxvc1_ z(a}@1>6Z%W4Uvzfk0G=ovhYRf&p_fn9Pj6<2ddT3`$$_IjXJ?#{SPp&j;A;ZZ^odR z1a*w-C)mXK7;*EtZK191>73a4eA>k)gB<~T80_YBiI9D6HJQdmz4o{ZxB?m~o@DBZ z5jeu&XrU-?r6)a1TC70{a2u7bx>ZcLV7clC;VH{|V;J15WeB`0*sN$Iv0$K8t8#ED zUxT9|(=vd%u)@1W$wVuJ&L&<)fH<$(byOjLCPG&cZ9ogE42!P8a7-}~;0N^fz9HQ) z@N`9O59io4-|pHRyifh{mi!VR5RC$9KMm$}Q9+K3;&EI2!A=p&Ws!MBU(-gS-G{%& zMSC8>u?Voag8?V)=-(v97Lkz!I=M(NOG#gW8iK^GTmmI)ZQ&kiu`5r{o53b`4w@)B zQ3D1P<#&^a{X*kHG2P)=6hF3A7EXTWAD&@E=39_}peDMmKLq*Eeja3mXula9-fQ>N zID>fa;>yfDpKc@F(ovZ!W~)>SizARV;D8=Ge#oDWtsK9D;I&_}BkdZ}3dd7{os|Y! z9r)}~8o*&f)rAI!fQlU0RZXIgs7dg-aQN8OYZA9A^20rjd6NUz@l>_g6#CnI_n0~U z;#vp;>u|C|jan}C;%JP)xjL?7&qt*NXj#(ekOeTI?fujjIPH&eC9yes_Dl+8b{s*? zi_P$_f0dsQ1#2X(#q8^=I7I*t7*0y>Xh--IXc&>tty17dU`kOo(6g(n3e!(4H|2zg zyt|qYaC!2x%?a)L`L5D=duVP{wTO}vu@mH1ITq5O0*rfObE#YS3n#w+jTk9WH+t{a zoK+D}7KU`A1~LYxox_L@aonSZ=jqBRRA%KAOhjWmU5FIHpRZdgS(5U~H5#tSj0aPn z%xvi09?@><4lc{t(C=4PzxwQQ*Q&uP|F{e7e8WemXasm z#^9tehLJi12~7XRs-%9Fey>wIUl?_$tE&42#nxQ~Q}7KQV&k_3gQTJHKiN#ojNbv2 z;6|~vm%B=c;7r3h=<|-QP_8c8(2fknwI~HMN1EAs+fSW(x-j*$FF%g?b^oJU(oK@3 z(43@L1{gqt-*g3?zki&VN?y@sXKA=pPFnF=XufEW2~NGwjNO9S8U@-HkuDcQ@*COb zAmN9U?#L`2E}`(T#5$w|)0rx>!LV~Xd)LUko3c>Ja( zY?cx%|C_+_EI!c4bJXyQojDB(Te`t40t*GFKa3dI;Vxw+H0Pw`*YlOWRqn(RXsDoC z)focRh8&o!tBtBdOV>j%0E*;;K9hR|ui_~hK@obx9JDJ#%D9ptgwZ{m51$bs_9JSK z{brpi_PA$7jYRZa3TF)N(WMiMKJAv(yG9G<;zhtb1D)@tmgV#(pTB9F9NSo{P0fvj zxG7U{TxU^1Z&lm0HY0Q>*q)Ibgtv#8DGc?N3HfK!@Lb7Ums=DWj`eoTeCTj58n7s; z4zod+E?mIQ+R3 zW|=KgXs0R0De`&E#^5}fVWl-dD0C!<7pUUiP&!4AC>B`r*Kk~5AByz#<203C3IR2* zT|uz39p*Pz2Y+%}X%e3GDGw~RzZi89I5(JpOM;^b?E=b**l{UNC+L_Nxv0J5mknK# zh&b@7cW8!{s9n&LjqTmhkM$B1igFsrpVW+*5Sukaz1?%~|pa5CA^!grNa zyR9&L1;_{RgF>i94&sq?Yf5RT0YKk^hi8vDHyyg5b{CZx$bqh(UMy!Fk`mJ@4fN7a z!`(eyb%zcpQtk9boARl2x!dWrK>Hc|A9Ee$=kL1cbQ$6$=K>dqNS}t!6{NG9#7bke zt-eUv&f?zj8aB6qDSVUKcphA2nCI^^Gw26ls3yN|&s?bG3v=pjrq;qkAjcR)HB{Ka z8j#m2lQOUw!r6?_ej9ak-MyghadZl2xa;NN&&4~C!^S2Q5?2q^F-;v@*b5m44FMFD zWB)Cx+D_KXW*k)&f}PbWn(C6;=!)uIm;t- zdG;G1Zp67YBNeE|6mSsaKZU6tHzpd1RJur)WrZhBCniFZNoPenBh&b~)wtbLsGEZk zb%Vkua(8}GrnGGfaif_Xi~N~+Va>!oqLD%h^9aAH{eh@vy?!P+YQ|0YP_1}Hb}7Dr zPHK!x6Ueo&9+%Xwk|xB|NU9%*+CR!U16JxDN(YeLq5*G1R5=@XRg-u)={0 zgsBC>8UL9YmKuGdVNq5%P;1v7Buw~g*k_$)0P3-!0gts&q!&0;{G@;Vu9k`nO4FhUdCCW=8v%>5p6xv!loculGf$%h*kF zRfsNb{wb%UgA_o(T~{!U67nuDg*`@RRU8NsS9Igf7Dw!D^H-n{0TG`}@e!M~-xUwF zU8H9rCwNx0JdkYx!vH}tFN-NwljTarfacAzh~ZE?lcr7eVLBFEV-;myhp;R#DVe`> zT-1Tb8Wa)41an(zTSy-YX1oKmRE)zRPu>W!G<1i`u!IY4s<;2j;Xr!J$uW~e)nO87 zT8nL!=Ixp2F`VkcUy#Q`2DV!L-ov;w0lcWgW6FcT&?aT@O?OpEr7yto9s-FI-%bt@ z>7_`y^PUD|)_rXD&sDLmE*%Xa4?~{ECdd9sL&!b=9>=>Qa=eG4-kS$d-l z(FDRvjl@y8H`1BLzc=ut*Q;4<&c_smnSNM$PV&Y-{MmpxJf>G9f zz-%lhP?*940tBDG2CeI%&tSa!(@88pfI)2$*?RJ-Bq09-- z)s&V6KlH0+_eT7&N%=I z(oN);hITs+7{y2}%4VYko+6;G_!hrbZ<9eYbaao)_EbDNfDy{v@%x2oA}lOy5z{OZ z5Cs4%Ol+}7Y<9>|eLRa+lY8$e1BSkZ-U01sMnuhOFhWO`57q*+3LTA4f2W5OEeyUyj;;eLLd@`3{My}Uxj=;7LL$QT zCt0dF-=?V^I5+$hPf3MUTho46-l3!Wsod# z=eEa_g5G4(K~U}>h7F&&hWNx`pwe)d`cPQ#4+4UOI5YOp56}xG2jm6Vlm-l%#f2TrAJfB9;8aKOcebt7R(x^*#RG-1X0 zON33G`F`j@2ngk5%|03Odw)bbR9;s-?Vb))rKxcS*s<3f_d5slj2C;c;M_E@CZgAI z{vvh7!~e7{5ZLR0)}njoL(u~b2A1Ff7IaYf(k$ro5NCukEg$VWXk;;@aUpy7)3B6Y zEz<9@z(?JnH51UWxY(BWj|Yt1a~n#G2(~(|Q&!E0mI7&ih`7R@&o-pQ4ve~N#!}); zR&6(P4JocM77GmE5=46`e|AltuE6G9HwJSSVpSS9vb7@k&~SyzgKanoipcE0b8tHG zA!P*YS#LZ1bNCZ$HB{0#dC8}c)xh@9qZsvI6{#$m$c3~gn%I|6377fb!RDE_O z_6zdQf+Bdh5^1cIoST~ov^-wQhWh8a2JHk?oSQhh^$_qmB$|sj)m9x}_^hms+4mO# zNxi-%4@VJ%Bc>JO38E+?OTDuy_cMVQ6}ScKkciM4s#-b63<1@E0`T&g{L$reMb4c4 zqS}>wJ+|mL)wq4)gng-u7jj4q9PXVL@e~H zkn;JAkb1u@3LGTfa;xwnL6b>CO=$ZJH!*i(VGHW9{2x`Gx}cJR1#iI)IaP_c@|*r2 z63Mq#-0f;{{uSp|W6_)`sTB5^2n{c8A=Ndl5W9fv_6Q~r%O$;m=<|E0%{=CJ!O zv%?TcL9gI6_z(jq`E%U}4-iS^8p%16b^?iGx*5c>1Mi5t6e^2HCo&5$V#aS`sm9u9 z^jbIn?W(=DyF@hgb#=Ebt&lX^^t3}+9JB5-PM{Nm%XVFnH{LONDhnY~U=l_pYq$#l zct8f^7p0;em`CMbyt4(EcB(3)rfF7zccEH03^B>DpkNeq8wcL0ji&c5VyfKYQ`ent zrsA^?(~086${O^^kbJU*BJ0+)Ph9aJ@2CkY^}M`1?LH8c8_z480;@p=$F|7G)$*1i z=FXaKD!-$m2&5qpGP;w6*bbF|QMvW5IkOgg>bZYA-$HMELlOp|EvR!fS!sZo$=h?E zF$;cgG*}gA(;wezsDAv|ax`112$g1suClqA%q?dQU)AGdsBeriAUYai2!Ar9ToSow z6gRly2us?Erz!oLwX_6=pF6=G!Y{@_3s+DviLetY%l<{x4!bhbR zGC?e635drp><9h8kwbB+3VvJZ_YFiB-O#fD$CP0|NlV}_Y$^sTmar28*W5JQLowW{ zek+MvV|PzkaoM*qlf0WNTANF+wxH_)(P_$m{KTqHQB4F20(KQyMc&$Q-Tn_)r2OOt z@Bucj67b+<$h-K7l>D0t>jO<*q-W}VZ3u-i4SWv(tS?y&-8Z@VA2 z%H6B|+UNf7vgumE0Pb=A)Y*VIV9ca|VNUtxq3u$c!vt9KRfXL!mG1_^nzbkS!J((^ z36hJRo9P@W8XT3*jJO(tf;ii(>SYjO7!(^LRkoT4O{FpSQ_cgO3vnW25kby_lA%*w z!OhGJW-f1MyBipMKe_0Wu@+eS0cCO66!Y2}Av7XA1Z#a_BAFXS2FW@MO6ViP5aX(0 z=jOTQr)gCm1Vn$rV?ozi={G2;es~x05L8kRXM$@1#B~OfCI=KmMpV#X82(PnWUhC=tffzd|!jXb(R^q7Jz5PdvjcLUu(uzcH4$&@R#W~w5tE&#C9AP4qsHvYKWof zTL6m&hnVgWKv=(U>!uVkeb;_IwJr0%hy`NK!9=tZ)wQF{VNg#HATa7x$`tK5g%T%> z$ltOSpoLh9!D!}Uv_q38V*2zAcinnOC>X6JA*qbPzRQL5OK?e0#pXQ(&UG9TP9uYV zC8^mOfLL7BXS1oI|Ghe(&mg?mj#}j@2G*HCL-lM8`ST<#7e?hzO;xEgyhyqpNsjRavjryv|Z zG##px&TskUjDa~oE^$80f3`|k8Tj)YjW^+B9Bwi^9OeSX&EuuR#6a`u2nb%p$10T- zaAgDZ5Kz=?HWRs)&PCKrkz1mjLanCcY51usBq#xtc#+f+(9xP!aBGH{eso#~C7d%E zksRbFM9!)fdx370&(htj2-3jleH0W_Tx^*7blS8#_mwa)XQ;8Y3=!^Myr+=OzF4 z32WXf#b(OilA@>z{LZ&qScbVl$;krYx#^m{cM__FReX_<0-dBo=Y%<+;)H^Q4*e~` z%H?j&9j<52;09<2&~$WL4*VFGp1B$;L@c3uvC+z9tKw3$%k1VPjpI7*NzFcd1G1}Q z8a06h%qQK}JXtN=&n{O1>J0qjYDS+Zd+R>eoDZ8&Wry%rMJvG&;M#MV1m?P1)@PPD zv5rw>vOV)_6xh0EkrMgKP2ngvZ2@&dDX7m9#e-td`4DZSDXCqV?1elt;CWp!iz*5* z-~;aUdB|;zMvMsIk8MqD-_9(bI`PDhza(!?LJU%EJ3KZ`2ckwJ#e2sbLoIkx z{Egp89qMz2EiJ(!=mfLf7v<{yfCs2G;wIO{W~D{UFGB8H7Y~3#TU%9u+^HLrr_rH~ z(pD!PHPF4`d9R8ApU0|F>h)p3PJtNr#U}FoSN&c)lMKIw`+c?iYIL~Kpoxw(FM0u` z5Qyi*1HXWlLFg1tjgmP}Y0?T8z?aj4PvTpvNJYd~aJ2y4FsZoczjQV%@Y`QBMS?Oo2nO0q?w60fWJMPD&FFciFF)?ja@W6OX|g1HaK$XElW9I zIdIrWH4Fm#EY5>W?!w?oE?Ba4{g{{)Ru^<>c!h)`OE(s^cFfC`)e`l{m(QbR@po+Z zDV$qY?%qs^=5XjB__>y4*{G$uz--YL+yapKoD}Nl_JMJDcV-+Th**qR#Zkh$V0%Hs zP1(zYXC;F%pr}izf$yZB=&+bf$e~kXJz+7Syqi19!J9$8zq|_~*S!%GdcV*7vq=!E zREO?lWi;Jl$ocoZAq)nF*@f{p68RG&!PNNRyXhBp*N&m6Na?{Lb>uzbqvH7Aea6sb zAQ99-_b#_|ZJ;2gKnyKRTeWU!$uXm9icjZPRlJWm$@_b8eEpU-s!j>Zy&KnM5yV_5 zHm#CvHkm0Qr5&Cz*VFH={egCC;84}g3Ib}T+MI**iqx(%Jw zrK% z+4nuiVF09CNM;BYE0b6GOwq~n{tuCjg` z#a1NdXfBUuC=i}Z!ArTVs2Zi`ziqE|+m(8ZK@t{Sp6}lo(c-W&ZW{0<{4@pOc^Mtc zia?o)S`8vlP~wjnU~7u|lxX^0l*q6wU& zknKWK-hNh<_!~A)0H#)#9gzsEQv|97$?iqy3HoeY8PpOR08oQ4xGA>HTnU)yx zk}GFH-53&O-Q|`=W>d9`l5(D%V#W`&+Vh1el^h0a?kqvVYhQjgQm^{1^T{rz!cA1p zIZ)bRM_+pRpNHy7#miXw0S+AW|za%9j>FaMrPMc2+;G7}VO zD=|pg8FtS3vhH+k$sQxMY*;a;T12w=NrZafG?5jN5h}iu$W10qd{2(RN+gzNhWs%@ zD{)Vt?eok&AzYN?-)?iI1LpL_G2X5OhwiA%<7_uyW0k!Rj{rE((PJek$L04mG-+TJ zwqkmOYX5en{-L2vdmOrmR7iU1icRat-~#AssqhjBxg5PYGhhBZav)i{u$1*ZwUhFR z{@pQ!K`9=@>)gCW^wz6_3&U!SLfdtA0v3&=m(-ImeIchV@0`xwR~z^g<-HLD%^J|U z&7$VEd9u$w&o~5kvcvz#w4yW3#y~@%l4N6~8MRa+VD9SPbCY!s;aOUQT9C63D#*^* zFsCSn6-Y75#NV0xOKeOroHM!p_)*oKwvFRf#V)_r4C_WUPpPfZu#21zyO7~-|Mys- z4gxh%&S*JTOMM1@k0@VUhhp#_osl`tFW_=I!DiH{6IOLa+{0i@biNI{4Q0APeGkBW z&_6VJ*)~o~?=O6t$M#Q79+*{MnB`eV`7$uW#v+MQSFe83#sc(gny zSzOep=@x-@t`7hhPdz+}6if@Br{{nJsp|tF@f?tJ&~1z`BI^ z#9w@PihD4YY@uy)qSG$iWjp6V-p13~ANMEulx~k20?dIGLpQ%g*5VVwt+@iO@PHr& zckWZe@4`3lMmB>0`|e=}>3E2Ss(caJL9?0ibVtl#B7)-anM|Am-opeuwdoReq2Hn_ zJURcwTES`QI1kZTTuy&UjccChVXt1YJl1qfYnUjVg7As{+-K1Gnc_B9nJhknCD)B} zrhgQCYU%_jDsnk=&ReLaPD1;r1=vCO*a>nR;#)(LnCmb*Gm=}&)9$b zo=eppG>3?*88wm^&Q(Da$%A|xe8yu~$)9hkQ$QSKyR_`{4jYMpiVZ9Si&S9+t)opf86NJBVuz35nS>WKOiu2%uNDDHriQ~t96c5X!_m4an>o}bF?eC%)^lt=5} z?GN+XNr(` z@fSxuWq0Jk?mpFD0wrle=(B}-)Pdppb+m(cF`MO!m{ITuTPPgG)q@~dkp(gctiJbZ z>7Ep85j2F|7`imlc(VO>tSRpMC#7?mzPG4bu^-7hxS$wq#@hSZ$(+>Yz_QeGa`w7S z78#1P&MTTE%`nT%k~g^{E4@El5_r~T(L zD!0tyq}5vvKQJC+J#8MrvGvqP7YNYA27_h6Fe`rI{9pBxQLrZu?LEBQTn`TGQ+l}ckh+rW*B}VC1UJY`>eEPzf1bZBVfN2n zLY*Xh_Pt~_l$#l;2al{3On9LpCt1=Sq#(=sLspE22(E`k;~Fk(s0{MYCT zk(J>hQ4&N`O7Da91095FYs?Jg8lYs_yVfuPoAOjSvbEp=DULV?7S;-zFIMi<%7(2L z=4T!Kp^)6P!$HZ5twKRF|9X=5kel_7I(m>eSf~}vF0AdtKeUjGa(|>piei+1MC@xH z*Q39<&?j`>z3MK6<8sBc12+Q5GI;Y{|L#L??ZD37%mHZfgT!yVXu&FUXJIaHdQ#l+ zMJ9HP`pX`Zz8Yl~b5yLyQcGVxv?l2s-#dymKHHh#gfQV7aJfTQsV$$ro zRdUX+Jqn^NxINqH9|&`%6<14C{eef0IWfDoLHM zk>H z->xta)G@_GcA(DWAuGvsFELa+=Ly^_x-LCFTJk9&H26b-L^s!Y^N8gQ2kwdhjgBq(EZSUEYE;c>}Q9|$|6JHf# zWwuaA<%!zBeOiR025PfjF^*$pz~?-+Fu_l(_M*q-Em}GwSRXbChXj)gzb1|OizF`5 z)Lo5yyBCjx4bNvznN!S&>^wnt0KHEZ^r-f zaoTVB7Op*TK!#N*Itol?giz>EPsh6D3ulTA6e2D5KHF0=2Wb-TYMJH>#}FbT%Q9IL z+_8vY%cdcm7!`qL!-Rw)aKj|?c|zK}06{iF=_D*AUFLP{L~*0=6xbem0a@9C``JaG zBZo0Rqu1YvKGH)$hBxi?4?#NJZ9`JA4_=?BlgL^SFgYS6gm>nP5w4#< zPvQ)R2OqsAS=*xN@nge9zwTXuY`&cHTX~Dl2kpCf$!jjxC}ZZJqb6u$J6Q`x$jO}O@h2j2_ZlSnY4V0|j&@kyk>q>B@KxxQ~$@mp&&%R<*WnU%Cs`}wPxt80B zG}X{-P_YVC-csR0K#8}6AC_$vF&hLp7_(GOX|Tx6=eN@N)MLGT^6&s7>=x211-=Ja zV?gZy5EFlvp!Qh0+k`|!&0A7lvZvOcM!CC0qw?+ z;b_BQoI2)c;Bo64$)pt;HR>ySkds!N#gHMkFo_xsXAO1><&aT>4%rB&No~b=u66d&BE!V(v$-Oy)*x1Q z*HPC(I{K}v{q86|2kS_REvRI)98vspuQGnz;X;Z^OXc}eF6Q2okJCK#h1E+3dxx$ZjFc-T z+b>7i3qNt_b@t0*?-h9(;ulzkaV!u-9}M5Dz|eHtRNJ-;s2H5ynYR|b3AG=DomOez zzmINEhPWREA45TX-a1kZU=r0a%O}e;;pApQ1Pyo{mH38ix@p*#`9ain91W!v9z`wN z*DvKIN;iVEd=@ZK5G_EbB|g+nW1DsToxC!Xh99+pdV`{}{tnmkIvHH(&38MzpW5FkWnxERYDl68hqW5t%dr3niUJp9_qS{C#Y9}w)mIEW{gsV;)574At zGSoh*NcXr#Vqc4b9nGn@=F$0z-`Eok4IdHNg;Mf zUjbj~H9P@_PVEvXCJu{!+i7aaDvH_#rfbY6yz37E#aretTU!ZKj1Cb5i07bF)PgOd z5Z_QG<>UmgNThJG#LvVs11zgca98>`USfiwb@mQCu*8PDujHkGL{z$uKUd>+C$P4Z zvd96&k-sCdvE$KpArphR?RD34UriYk`jR4FKX0WR*B(7h3CGtOlkyq`E`%3a4mH3S2=68&e6ky}TL^uweY%TQ9G#Sn1f6_` z%dk15c!eXQAIrtoO`gfS5;$$jQhn4jQw!LK*ZwcpH-$|O1*XC5NUwZ(+5R#%Iq#EKrtWNz`Z)IYyB~X7kn*)R)=qGRHlJ%@Hi~ zByDMm^)CqvRw1ZIPpTogr&}#K+%~ym1>lCKp!}Vv)^tmnyDAGTY9Ogy2rI`9^sx2= zIFq4YT1vBaz(@rdRt(x-J{jzML8B$8Lzx+go%ETZSXkb5thz@fFa@vivzJ0j7^Rsk zN9n=jX)b(JM=0Q4;c+#1l_}N%`;j6ulggDgFYi&KkIV-fKgKGzCH~RTXn?@b*CGcQie= zGgT^Whk%PRj%!Tr;E zA74|L7vRF$Y|u%cLiY8Afwr|tY@?X2mAu3y2`NZ^v=!@)lL#;^ zXygNPafW#$J-|Z7`&j-o$(D2Jqi*s}*Bc8SHpQ6K85SMqgi!ivTC64q{mBD_r?Qzka!#PLNGiBHZ(eeOI+5b76_uiuKrM zvakp)zSmd;F#&yK`hr7J7Y9KE zTkmWs-Uu6?iUR|<`TO;bE<@Dg+o~0>br@*i?hMkLT5fWZ=+f8b7bbp>TbZxPmIH1l z>rF)QN14mLu7K+<;|Q;TGWNOi!Tp%Bn0)+_Mh}o9{{;H3z4XY{ihYtG;Fah-P#>d& zo`BCz3dCr7oRb?j8tH~CYOLI`-k10plNhzTts|w@EZFn1_0({GC-%tn8rZ!`vS}#DC>To_6T>NADTZS#zCPx& zL9a84lWpMZ-F?Y8ohFPz05gPIEJSO)7D3||D=m-@Sm}$3gALLUt{hBeY8Asx*yZ45 zH-`J3c0|p4cJ=(?xSyUWWUm zV~Na6a>Pr-Ifq)_BWA2Da^Ze2Fq_3Z z4AHEWGO{dHvAfh{t3@?%ehG!|qGKpu9#yP>D?6jh_x8Y8f?t82R~i_hdL${ley+Z1 zbcFihdflLj>Bhjrc#`304UuIEOsMv6GS7MG@_B#477@Hy#)y~;UTkIZ>~S|)GVsMA z=N1KdxezfF<@3Ac?wJo!<;_Q4<2YO)1u5e{Kyp+-SnW`3F8qr!>J@c&jjoJlx?Eoo ze*0)rO{_270F73Hh`GwKgI>|wC|FsNBhtI8YqMbIoXYUV&2ynyGR~nVR54{ zby|8{_Rtt4JplwVl#ozE$mAPtg8-zeNKDUjMv$*+02P8!ScyO>6hx4LU*Usqye%=0 zm{r8jsmuF(PExC(Juv}`6XU{NRFBJ*HCI3kGVlq5l)0;ExKeLy8%+q|Zd=4Q!Qx#H z|A_aO38J3{CzFe4eWFi?b*Xz!iPLy9k66TS44jhnf(OQI@zOrO;4l$6DrtZP&z1Cs}i6=t8+-P&+JVMPQ;ySyf z29_JW19g#wqLCq{pyaL(rgfu|IbJ`~MS!DpPs`O4-lgbTPQqnbLkLCt_B7TvH7r}Q zMm!N^e}D?oz#u39000mG)4n4@ev%!8il_hp2~+?8=m0nX)^>&t&W6U;^d^QbhV<6X z`iu+=4EhYJDv$ucbpEx9*Y|yjt{%_;fFQ4c0095{Al@v=pkodg;5)z2`~u&Pzi}?0 zxl7KOV-rr>ND#mTC)(1U*7td3Ywh4a%yNF;m9JODSLaKHgkvi)P1uC-D6F zlS|h3lilKe^Xo5{IzR8bt4g2o)@s<*MX58q@9_EdnV;3=&d*(~UF{C9S+-qQ>?{3R z2U>j`zwxRcTyN9h^q0HgyEHR_$1Tuj~11b7$1WOyeE*xWS#f zeejpIrH(JU@f{m?&alNyU%uk!uQ(%-*Yy#5^f!i6mNesQ^M8K3j5-JFp{Q_0ulJ?$ zcJ2>9nxQ*;PQBAPI?Wni%U6~3>Mh|jG`Edam^&gRGaLE666E{Meln)1lt*fCBuZGO^5alsBio8SJ0lefG*oATM4#=5IL z_Rf*)i8QV#rGIVL^?$nx;kx4$Q zWz(C3Yh1qo*xSAA3Id&)Ogop!#DgqAwRhK?@n(zeX-Z7(-e}Uio#>Z6HcUBvFLqXU zWl{HO3h%8_NII@LYqO5OympQZlYzLRDeO$yj>MBEYUfcZVNchs95=1;4hZ_Iv!X5k z%)|C=h#g!wKX4CC9F8AE%1X43l1m+dkq6s0L~nfiCNrzOOxcEXVB(BcMPRLOh3?gR zLsgWm%h`XU9(t0K+~fRtiS_yfX+kvfjF|0XCp?j;E`-U0$2AN%!*GrQp3r7UuPZa7 z{gCw;2jwbU6q)Jg(b?)qcMpn#*ka-Uk={>cBN#b1{Ld6LpdXMwBuxeEb|Q!$4L8$y&?N*$in5;!Iz`&NSV|CxizrAPtItoyMjzt+~C!=7rUe%5TXcx z4NgaRQ`j_RCYW(=Ej5}-{F2j|UmN#cG>TG+n9bn3RBGrpwt9VRgbjwqWe-phgjB1@ zfP-sCvrM37io^<{r>FcT9$NjHpU7|=Q)`C~7sUoQ>-n!1LLV5vwG>RRA zv1nrPb^R^{56sZbRiu`3(dKbAO zQ&dXQ<1i~40{9Y`(P(o4rC!o6hCk=p1@8gDI}4P9ourDdoA03rjbz(yHDoWvVg7Y^ zfpF&m^o|WW@F58XAP;L4lcN=nYc;G!E3oB8}w#bl1Lp?y(_zNurA2 z)EWw7@Yir4=Jglu><2MS`|}RbbrD)X^?`Df{?co-LeNq*X1Tz5r9}lhu-{1X;U)p3 zi!O*=EzJ!{Rrlwbm^5;MUZOE##y1bfv0@ZJPJIF7sKul zPno~nQMbi=+a$nc)u)wF29v#i3csSK1sM}qN&oTj!A2}D@>ewq9hV|*S*_=-!qn_4 zU=b!#R8gBfDG#<@InW<^P#HwKHqeX!=gZ+z_*SEhQm|l@)cxQ$XuiB1s#17u_*IN< z%J5^ghj=Gkz9VGH^g%j1RU-d24#Py)msV(4rQ?k;?B8dK2*2=|?eB!o3QhZb2K|S} zT-t;SyvML8I)Q8bXW~{z6Uk28HZ^1iZ6NaD()j>HkjZyN)7J|H^Wgi!740;bs&nAw zW~hbhH>eWHjR2tZ>GYO4kjjw23%TLfq*mwvx(#2R*b(Z03gA;Qk1>2v8x3k4-;MMr z2BXs%nBG*}UP+La?P7}E;5Fj)O}m>%eW#!f>FI5p~kejAE= z$2cS`b=&|v1W_AUU$nTgxLJ*OXNzjlqkmW_eek2(k@+%(0&o{f+v2DY#!`X>lP-uW z9$6`?2Pf!YLiNwPtB)giSC9rg9go-cpiSnH;gXF&EENR2buVMPuRT88vJ#R^++Ndg zVugvMmoY=my3Kg3N!1giI446%W_ZPleJRvvHGK=q z7VE)zk%!%e08?0!cKNOBa~PZ3q0@m2SlYaJI1 zl|+WBr#f~$3J?1c%`eXle{Okoam-y$rg0=@s1oja*aSIezn!QEbnU>D7aeyWo+?H! z-%M*xOz5{5(vL#TXS@!cSpx$uo9vh&l3Z)_Yjs|?$LD2S@AMRem=%?)_21fhW0r2+8YKA3PR7Scq8IC^bN zdyJ=zYX!@U6`Av;R4jJ=g|o(w1iU(NCi6K1(r{82;&=n0_68=JRFFlkV;|K%ErC6P z0PVvZ#!TWy$bFnkLn4_t^X=giI7ciyFv=tQA>9hbxCw&skEH@2p-7~B@Kk~O|Fp-5 z{v{GRq@(|vy%Yg1$DubRTo#IS(#YmDZZum_8v=jTF^(#O`AQq!K44InQ`HF3ZXa$q zlD1dHq0={Hzp-6dm`OAJE9-w9-0P89yJo1f@Tm4p&nQz;$cn>c2i_)RJ6^9sE-sxf zk+^+vUT{pSgcLOJZ+72 z?Kxr4MinzU!n`z3Nl8qcBi5`L914pr>k)2T))bsmNlpy!Gr(FEt)vH@AuSFj%eIvVZ_65#+a7Pe0yoMemmDlHME~RWR zKMPcAZ51!d@vMaycCrdtT%4sBzldW3O!^k}sogTsJJ)Xav%Bc{%DCub=B^yO(@4?* zL~e&$&zgf0!ckOZE9n^iFiSRl$=9CnrZ@qs)KR4%|fL!K_lvB#Y%GNhIVqUE}eyKuq5eMW6G+ z7U;!yg4t}>HFFYeEI`>A^vq^%I?hs#g#1x z?#qbFLH6L)n^y3QP2NpQAqTuZ|5Z#H#&iGETK3_o{yt^RBFnTX&m_qX*fQTs9#@2-4+Pj>U%eX%jZ-=>XHkK%w(dER|Eo=$q*gy#Y6B1{c^hvAlzJfYVgJ9 zt{a6Eu%|=D{T`Ew5=5=@g;Lr|e#yOn$(}}i&+*7Fo~)P~R07znvxX*MP<>K}y9r~q z@PfP90v_Z`pcPWKVp}Id`cv$V>VAmLt{Ld^Ci4-)M;(ely-6QY4tb6{rXE8pSeuY3|%C(FY4cymJ?ujPU> z4MUN_gj1+XlEbBi2URl@9<^lJc5OkDMow#ldM@dh&*2xR9-p1q?zq-i#vQ4njq0MM zwFc3RgEr{J-IWYo6!qq+93pjRKQlNkpkg9b_6gBhvBz|=T*>)z+Ja$zmT4YTST62{ zL|xBZ(wE+)1<#`GUt8K@(g$DXtop){mqTW%H_IK3lff1OEZN%3Z745N|Q3@Q2MQaJSn5{1?NFZ>t7QrAYJvdu5+$y;pw|Y`}mYIXc z`=LcBsftrD@wLwxeA(3-$csnV0qi`W$g{H zG^buZ7>@q@*KT6#KyKE7x?W|b3VhxgR+iE3$oU}aL{TcwsKP6=&>bDMQ(l4z-#W{1 zGrPEX49=z$@w4T*+c`K@_^frwwk3ywvWgfenVG~wf^G)JiTmGVMubtkgJH-tyNP>F zMERalhVvtVqdamGh#Xz!IVADF{X-~870sR$o%xeC&%m4 zO3L3dElso!Ba_VDtqL8uLSLVN!IxWDv=~Kn-2^(K)RZ7@p;m;|B2Vh%HG^h z_O~N)qdv1XKB1`20ZOwk3+=N#bwv*YoJ%i0;b<^9wYkUl2(HV4*jg}BP?9SlK%+9g*Jth3m ztwG??u&Q);Ay5hZBS_W>PV8k1CBKV1@ZH{1pP0EGC(I+a&PF?~$m;O@p?i5MZ7COi zT3+nQDon!e`Q~-(U2V8ysreKKKe*y8S6#9}_JUDw96k)?`&RDB;}vT*_pKU#bH@lJ zL`jwhdHMmWU1p2Xc&Y{OcpIraU%=i>iB;xiWndAsFZH7$*KMxv;S;LA|KAY_h1{1iHC*fDS=fF48h5U@i^LV9oe9N=g#yw zUwQDY_Yr|QN$qQyFg0WCEROM7&vZ|^gZ|X@d~!Zz=dN}5-0P`M!z(=fm9!=6KK>?t zxzT4p{Gvr2oyB4FIM;*aH1?Jy1x6{=KpRZoi$}nOwN+4mH~4njxoc%07C|(f$SgeSiH;_2)8zrKru{*Q(4 zqGA8fz`vWjAgL`XTcsjlDHp}aZe;Ir+R_$YDmQnVy8>w_FF)q-%#(x#mF?{c9P_lJ zMR_S6u8gDq)!11;MY;41eCh6Pq!FcK>26p+x*GurX=xCo8>LH9R2rlkS&;7TmhOgc z)%)I8@9VwaJ)Avf&Mf=e|I9Pb{PUUZb!O*7vKBm{qPaO90PmY3yX zi;nMcucXWy>kt4jZ$N!nj7%W}hpx4V5w(nV06~us*uDS?={g-ucsJGHExFjDaC#m{ z5gCn22uHCzee?zjZP1?roXElU#psFR$;yRezADiHMLx{8cUiyq-4?*`M?K!CP=n7b z40R>d?I*}VZBoP81%65^Q6=&!qML{91^M|t^26=CXy1i$#ki>ZjQXN9v_`OHPn2~q zCeDV+4x`i)bNtPd6vd8j|Il{u=Cv`7gQwqIz;h;xmViHcS3IA@{n4za%$t&!B{w>! zOp}af{{vwBC$?4i*OjJ=H#D~oiRu%;{=-UR`!f~ro0X;?-|aHTiYj^j@g*%L$Dpri z?L|~{x(!W8+X1}td_CRq)fN^-?RXK`qImP9u#U)wZo^t9^DOEE%XWH5>JfUCPda=- zhW?vG`kXGTFA616S6D(NQ~h0q+d@79r(xT|!jg{iawVh3CE(Y(a=g*MM1QC#8dn>aFgsA zruRV+ugi&<{_uX~*$}jfAm%HLBD0io5xH0R>KAAfv*Jqu;$8UB9Rc)mbuT3DSQxCB zK3%1%i+a2+aXsM;>#v5zt;La=U^+cTUd3U0Ri^nA*W$&|&`Wx>TxYgNphcTFxwXaq zsOXaPX|zsTd#E}2+cQJLYG*=QpT6Kzj}vV!5~bqTk#QjaXg&t;?TM~p{JMNB3M+WqAj>Bd<{y?1`#&uoTGehSXi>y#RXAEyo|A>7?yvGh zU2xN$))*9_z)0t^GgT(}Et1`5Y{F{n(DwM&Bg{i7N;W2=d~%Lr@gusMbNq*zd9G-y zu94boo`n`1n>vH@fCoqIpfb6Wb0X_veEQfR-kW|AH{3cm{2SF9sX)o1tj~1{;~E5Y z-b&tEtAnaI5`O*Dv84rvdJijgIy0l%mjoJ0gb#I2g%;^0I1dV>&U;?HL1HE5@`OHr zXTof*eC13gSPUgAUMzjjEc$Hz;OM}I2NlnC7jT0NZ&3N#ig!1M7vT}qrOruXux5ywYP0kcOg<#3|Ud}2Qtzh@g;)2n8CqR^Kxn?o6) z%Y3Gd3MiO6cZ392M->k7!R*}UupxqYSF+*=`+UTo$)PHfa;JDE03M?-s}m09bcoL ziyie5{3MV~dtA5o%;APC?P;>1GW3~1r-&|^!Qd{uCW=}KOo_=@u>8y#H{slo3@JE> zTfx&wQvfFidiglpq2>tYzbm2_l3a8R)1z^Oq#D7H7v67G7w;dMiv^Ne{LOxX7uAgg z5JiN(moi4AjFP?!ExN8CPKn#53hwONs6|m7>_!czs6wYz2fb^TIVs7-!Xi(<4Bx7F7=oIqxVwK)(dw&2?KCtu0YBQZ}QU+{qZUTs~r!zH9ngD>w2cWzcDLtpdb z`5vtD*-zQgZv(K2p@CI`?1sX~f3u|7|4fd48^Ol^CPx+D^tjNlL6W0np95Q>W#lgD zEih{)gU61C9m|ZJ6_ajf&$c};z`o63`@PgQ9?!{YVNBt>G}9-YvQwb!eo!`a{9yWG zdilJpwuwodX#ezwDibR!4dw`%+L$cT$(;C9D+Rls0DBbI1%kvhgykXAPxcUz}K8cjjCqRmIYP@pWxkh|<@jA#fXXi*kw z>W?axM)@>OF|DSHvIEI6f3l-c0{#Igh9glC7M`dE2tvo7L@TCcf9{Z$mTI#c8IGz) zN{`?>J-4Vq1w#Pt7Cm$e;3ptT0!sCBa35+@!Qg+=n=K0OM{oRpdr!F8K!5Z)K?tPZ35!?USQ8{aU~Al1 z!PGF25x^jNrG`ur|LC2A2qq2xO|YRRMTzCe?_ZG}uejs!w?F`|*BAh@!;N_xAxoPjQCie|-K$+Z@3D@egTJZK%?IjKM@0==!pm z_1o0>M~}?`bVR<>4$~9^@xRXvluaL<7|IVC3vMdnW6E!m2fT<@)O+mpn1a*WZ=l+i zYPb<{#S|&B`e!-<@qgSw^K{GVHKCzfQHT9AblGp+n-VZmgk-!DOko-%t68yvA?>zZ z_>8Hf5OInu!kG@|N|bMxEfCEO26*A~jU4m5!w$gFSh5z7kKwqGmcA+qewtrrHP9xx8f9yt2mH{St%-CxaBrZ%@B z+O`GpAGW6-2HW3ku<37P<7jzM#m^xR#EOziJUMSpq8MEw=SH6i4|}ry)DZyHb$-|2 z=(&T(UDaHC)Yxlrbex=G&R^o|F^od2kTd=Jz_5H!N)^4nYEe(!nl3XHYpUJFEk>^ z4E9-4BCexVunBh~j1(MwW2JoE;RqQEze=eiAf{MH(PLB~>cY%Sjy@AL)SOGK6PAV( zYe5by*)Mt{n9_YA96sv7x3$0Jcd41r%8o*OtQUJCuoHDx)oS@TCc+HLGjql88g$#R z;EdZK`q0hDU>xn!7R)CNv1sA9D$+)JkNNlBj=FJ-)!5=#6uvVd>&>~rgbwtrOgz-! zd1Sf9n`eL;C8*#Z`1OB=igGz0t@O(rq{z-O-JZ!nI+H9=H1FAz8?u!%sr{;5Cii zG;$0&UnraNORW%v5Lrw6$IR!J4ntr0Hg)>E$RudyhAN}DzBZ@aHKt+X+3PnzuPvd~ zvY?p@`#jKHUV5moM_<5f6t&(Jbb1A)Z8pPcTc5-16m~b$Iie;{ByzOKJ2{#QTs*an;%}?f{XB zM?)V;qGm!?3d{r^tRMxKQMcVO7m~_OWH|40d`4v>+K4ZX>c4HV8Pe&O4jG>=fa@Uq zATs)Kx!oko(A2Uaai1-$LBWeR|AC&Lv*m*vZwXdJIu$x$q{pJ<+R*|jWz}(3wx}Y~ z$Hd574_U{wBV&PZxvA7i-Lt>NKgirlY5e>!GDci{YpNIN}Vtz5OpHGM_9+tCyK8hOf{B zL@Pz-S1;0)%nXPaPjOf&p3X#`jQHQr7_+*+-Z~8qzfXnnh;fgOaLmQmpeeiABM^4L zW#N5`95bZ9jJ?B>bZ>YpjN!Y%wgt8R)#Mr5m@~=ENP+KPEE_w7Hpd%CM1c$KZ?+DO zUo4y7*kspoWs z`{gb@B9DFN3|MbvYNC&4s^Oy7^D!dZzN7mJuXn|H23tmQYtYV$z|&QaoIbJvP{6Ky zsmokFiDzy5QstX)qb6>ak|ILpptu5mzYBQgh-LYM`ZLK#~20P?$7T+Wl;`;ghbVeVy*Qn-g5u z=4VbGBFG;p8?NV1BfJ|_H}2gLcMEv4g%nwRHQh*JU*#i6lCOHbBfHdXoyCs{k$}1e za?F$2UbuXiaadFolLQ=(oWsvxA=q4F3*JeXDz?_(^Y5>|JC8D6ZggQI+0%I)a7{=7 zDHNw~j8(4__s?M4U{6E`BdbzAShd*@tS_N&J#8dC>uuu=1`>En#S6IuiygnfTxe$N zL-}O^Si8vPk1mouHBSc)pfSS)CuTqO7_b8HVlVBmJhiQ`*qVIDdf7MMz)W+Jr4DbrMndv}ds-#gETitxd0;+FKt_7O*2Ty~elR%zX)l z9QCdZIpd9iA_Ma}ZgBE5i&>9P4RX9&w-t*~e_tH(A>Hc@op;R+B{^By?l*zK4?%-G zYPC7?X zhDI&vzV#w%^R?!~R&CV_yDQm3KCW6Q!hkb<&q+iO5iX&5Ko&1Gziz1awWm`yG^ zjz$;G*jUigq$|Hm;O%6I54;@%N)%JOv0?Ypn9$SUMrbL8X|bm;bV14~z2w@v1B2D? z9_nUPtN~jWO}EOfac58JG~khYzT`HJMMs^iwcOh~K=u-%?Zoi=L^zMZhG;2wH5A$> zkA!cRQKa3wk=6Yo8fkEMczS&kZzh%(fck!ZXi7Jd0YLO0Wz$eTLbwNy0 zZO^)o`f~_&qSq^X&4}CFOm8&GXo48A;V^VO2CJminOH{Nk3;)bTIepIbZ}d#pR{2= zr8@MP7;{JpGAhBJbRFJD(EdmqZ*%JuDraXr1)_#=qr7~3uUg6;R!$9$UV$BF(Z{Zu zKF`x}St3^sr0%N0mh!oJq?5L8LrFQ&SP5Em6!9k|p1MSJ+X=B$_xwyMxnG*YZQN0A z8#}W;Q?c`QTSWy1PoYldMe;LReNDCe5%R#Nlc!AT#H30iXR#Eqytv$r`v|1cFp+d= zy0L7VjE(BzZXhp0 z{2`r*6<}j=1qo076C`2A*KW233AXQRv}VGpKO5Y%;+9)7iYhvyZnCf9le1 zo__6(t;hBaY{#okx*LU`<4rTpjz)AM?McF~Z8x-2L%jROGirE3C-$ud*4L^tDQ6p~ z=QEtvDoVoljSiPN_Nyp68hXGa16!$8=Vn4quqaXk$7!V7k=$+qo`g1rFN?OP=d^l= z(CZx@zPy}XjTi_!aUdlJ)9lzuxRhY+j0Imu0R@x>?$1K12!tQSQRKwlI4E*XoODm@ zlBI_1ZZjZ7c#|6|m^4%KU#{V?ij_Zec181}EbLq9?bh!26tHW#Q~k1LXIJKW(P^rhd^S6Y}$3HuXGZo8CQ(_x)%T&h=i; z3+_v>eTcU#gWDm>R5^KZ+p50fG)fY9J@&gc)q-f#Vu&`az5T9D&xh#4>U@Z74tlH5 z`jDE%d-QTKB?2@7&Gb}W#ocngpHQ_a2)HrpJoT845jyAB9X^vHQ>Q?z^S*b9L}e9W zZPYJdq@FM&mOqB2d39&3Hi1T&PNB?9A@g!aBfgob{d{S-ObV(L(N}Iq{K=F;D#SY1 z8@90r&PS7G%C8U+48 zy_gR%Pn5MZ=ynTzoO2h@Z|((270AsyuN)73kNn6v_j6Rp$HYQ$dz6|lB6Av6k^WGp z6iN5`N6i#>VIyNOy;Wtl$=_v{bN6W+&aMp3UF6+Nplp=n5Xv@hV%dS?mhAw@$P zf<4y#97mWhgDYUUB|1T_UeuuQK?mh#D8<&B1#>1>eF#N9EwtlWUlVLh{IXHUXePiX z)2z%|q5O1!_Ms_Ck1Mh4tpBR&eSwiVuDNs+1sLh0VI7Ti)xLby*EYc{MBNw%+K8JI z?Mh(Zl!!>Nr&W5(njbVTXZbPFo)*^7`?E0Kuz6!?+qMw%8LH~O>ge*|VrfY9P3&3ir( zUY_dELkuJ(5UWmFV{a$*Y2dDZ{zT&oXTnuR4^jKPj_%7$*Q(dEjPYQo^q{(Qryw#> z-}ec~UPF3fluuh!1W&&*sU+Z2C`e5ixWo%>>w{_Q4vW@z3#}^0Pl2G4?VZlh!co6W z+%FZ}7(MLjdLL~+>(B>_e$%JzqQp6H85^@joPM7l6{pQ{+3;;MHe-8D3muI-6zR+c z)m#@d#NJu`qE9(4fI2}4IXRxCs#+z7OM4}e&txdZn4Z4YIhjb@mWq54Y!Lr<`jr2> zK4p)Qtl4vUSt;K>&u+2|NR!PJnR@b`zewPN9h9n#?Jjs6;@(=j?hpo9f6@-4%pQM;c|$!hf6 z8EtxMgNL1FS?}v_hcYU=RpN`qIf-Q1{}aHd^=CuDwI( zbJz)-F!7OXs%pPonw})8w^&D^hR4REURq~TXpNWd$wi*ono5?H@=@_$uq-7{uPj;( zr4|j`rfTixa{{zclpyP<><)-0d*5GN8(08?s<8zIo78=^vx=q6peSB`SbjdS{%R3)kKbaq z!JzAWI#jd<@9Qbye``E({%kz`#t)|*?8a(mMTKc;e*YXv z0QSjNbD3Wj#UZfN!dUNmcAZc7?&AFR%|@e31}P5@U0{n@Iz2ci-yb2Yn@=gKy}-XcW75!ACp0D`&ujOLM^fHOBjSyv-kqzXwZ5a;spymOHQNht+H zEW=lUx@A{6Wq{wi&QjsK2lz0yMf$7K;3v{ZjL5ob5A$0im*KS#9GM-7^?*DKO1vLE z?tTq>a%Sz1?n9=m_wVdYPOkqatrP=EE3rb-N)UT9!}7}h(M6A?(JSlSQR1hPOIe5MV-l}c!A38S zr4vffu?146xywWqN+)MYw}*IAqB-ad_O_W7adqMCtQ7FZ(2GKKr;i2aTYY+C5#i7r zIF5~+WHp@H1EUUZu$kWGy*w&_ZOTkEQDdN(jaMMoC2sIORAVpK? z+w4ISSl?z0Vy)xFq23Ze+TUKdze~z-{`2HfykVc9LT?3 zBC0+VziT7_0EqK9W--?1{ZqV0P?*qV_mO!d3%L&&!DoQDew3&C zkNJI@-i?hXEo{|Sb1*gQ(CbE$8=h&~j!i8HKC2|T_QCREVgE|#`n*xnUCxuV2= ztUsY_gXevDq|=_}N|Z+HL(loSv*{haeH%7Aubct*=|=Y^foD~{SPP{5(-G-jUfI)v zRlI$TeP~TR0lURb&Fz`48jjXcqwg2)<{BOWH?BH!Z8Bmt@m z$0`zsjT}v5Ow%UU_U9o9{95JC~ejEx*~&jzmXsl9Ne*xi}3 z8qIF&>2{;L?%d39JJ``SOxHRy8;&1IUdX*`1h(dxHb%0z^(UC_4!qp}3aBPp6^Uwc zAM2aYSbRzvYKBF7JQ21JTOc&IcS;nG#BOcl*{ur$f#`9aX~c)EhE z>Jl#+pEXOYll|H}ybs@KrAMH$p5ytaM$YhM>tb6~#zxEDh8!R}LQz)kh-Rg@XVZJl zI({0s3Aj3bu;p3&5Dnn{(p@{XHxpw7IgL?_wF?5lRY$p&*^RZyq2To%QfTZ-Y?N4eIqxz{irW-{?!XNLY{0uy zS&}I$7dkEv*8~O~4E48bI|0)Epa^HucAiA7N7G*8X2BJp@b|~*qTtJ5O%(;!R#g#p zatlAoG>v}ANSxl`o%0S8PJ*2@32MHOd>60%qxp9fPYP{xl!o4Tw)DVhSa!f;ZXdzU z3N1R%8_i9BHdyKkCLT?-S>Kc#=qbmTWFm(F+ra9#!UY!g2f%Sfn>D4b?I%^zTLXqN zF-zkYNH$4&cFVWDydK~+t~WTF@RF%*PtuPEnbxZD(D_l_j%BjaePm*Qj&Z%jAQ%$A za0V<)ZZ3_~y3jrG@O81NhN*QfmA8X+0A;ly7#14D2q+_Vk~N5t^Wq|C8A(9B94s*n z=mR8DG>t-4++auzN4s`j2`70%qFr@N=QMsz>=iFc(d``UGPHR(lHzVm|-*3 ze?4e3l*(tb+h3`Hj}J*b$g@!T-CjBYK^zq3I}xg{6|p2*C(0+dp>M31E=X|w(cRuY zSxCYCq;${F_!{E^nC|D?hx@23?4fw&ZQXws)2mMDV?Vp^>A2 zs#nW>ZGb0^ZkzY6y-wY$j{6aMdgOQ^F6e9-Dhu>1z5%W^nJtXenf~?5&-`y+mdm^h z4ex%AD&1MiFY|)Dq26d8+gzFWB|IbZ@~Z>gnB5)9SM4`5-;=vlFtPjD4;VybHC?x_C2dEtpcIK;WugOo0K%$jQLInb}X@P zB3}#?o4|Ht97aID*dtgR9e;N3fZ(T8H&hOI|2j`ez!)(bwz9a+`Q@}O1qhqQJ!UwG zAk2axr@aH&K;Lwf>%y6yANnw-XQP%FkHixDt`Dq5)V)VFq1Uut$?{eq+t!>s< zq@nL~{asv!iPy16!gC)C?4*}e(qua3-z@``m$Q5+f8PI1EmWE>9ax4)FiHY{=7-iGWnE@ zXM8OtxJY8&;;JfqVz2a;h{X<#&*W4EXKQ9M)?XxNt|)+D4!YDPMB{>!nV}`qNBABz zo%JCN^MgHFLzG?6L}3t7-C_N-QOqdFVsH{G9_J7|9G)`TDxzc4fO!B*V~=4SEHyON zQR!}!mSD&$pEXquW4|uD>-&LkPD?R@r=cXcBhMXGLtMpJ?C=ZdrOi|*8&5n@=95EK zd~|b!CMbWmx1vl$)mAYxJ?ArRJxGZWSO>n$N&G8SA>;w-weYSSL+Ap5Sn=vz8se%! zwKFuZCB@pwuW6{wxrRaVh1Oq;l`-z0;B23`TfW+N44eU&2%x<()hyPMTIU2^*))$! z7Hri^MTFU^yOrXydAyf3pdC9<^cpcHGzNU!m5jVb4M2w>t4E=KfHJFu=*B`%THXsP zuLg5SvRe2B@uifx1mXk@g?6=QQ>Q+adec_3e|r7`=Pg2QgdYHk=_1~{-L&TZ2s+)^ zO!i7u$#&r;@ep<e>y9A!XrVsc{{gXo}PHPRf&bPmifX|+46gL$>mE|W#@;0P{K^T{~?b+%<~ zXB66>gxb6pzPlcEIKQYeov@{?N}XHVrgXQ`D%j0}tW-gRa5470vZ5g_`E$&(>27LE z<+f+`N*gCu#=Qxkk3*U*SVEUE%E2a}(`+}? z?N7kFLgFZ;ii!Mgmm!Ip{z;8Q3CO?zXqPl97Sx-GK;?0_^OCpfJO?`bL6*n69a1G% zN!1r{RSt9E8=0&gc&6M^y zh&R&Ql;8BI3reKkfpuYf)&j#P5|kZh%Jl7 zDE8}nFLnA)pICabKRcVgvt-#~^mb=XNR3vIXXw6q{Rla4mXS>pxj;(gOD?^yoU|=} zE44-)&+9q^As*qvhkA`zgXpj#E-+(Y#jD{=7X!K3J)!3LH*A?cSS00Bk;BCCmCf54 zI2b5-4#hOrLXS-S(4Imo5$GH)2oh1KJ%+}9tspJ}5b`|;4tv$kseY%dnEa*0d=;8E zj(&-_Bg+WcmxKGV$bVnI_61X(dcdi3`N19q20?2qg;Lh5ZvU>D#TWGr%?ycD>GD@b z98q|ghCbC2)Kdnmbo8G;dN^F)@5Kh4{#3a6%_Gp^dt*Hg@dl#*&YyzJ@IO|O(8hG* z!H6J=?W>6*F|UmKnm*|6=7~CrWlu<6Dt=Ld=@LQk{=*e!&N-iTN)=Re{`G_Lqy(+L z%!2iZ6v@5Asb!NF4;o$i@c78pw_z?oFo-q?_W|?m4zPMGE!Ws&dZUl_N#nlscqxgMbJzCflT<>QXX02YghAI=jGz7{HA-QsYe$z%8}OT z0Gu99UemKU`2!(yid)h#%zh%X`Y;VPEiE1 zJJn+{UtqiSQJUBv*}d6&b`Wj1QGn3TGr)^8NyAEuuDL8j*R+4p0E0qXYGQI7)yE&H zHeA!}IZ?Z!42U-cCw+7R^F}>c{tWMy&fo7}g-yy`vpws84n?bPGq`;Mv^z_xA>-b3 z@gO~Cr=9=a)nEzZ!$NYxCm7{s1+#Avpi|I^#6L~hJC!wF=+Gul639a`%Etv-v;A8xZ&XJyHc(VeSwYleA@blP3ZK3ge*;VWvz-=^a}1os1gF z(BB({cDBlz^g|Pe4%2!hm0L7de9Rk=RSN}84)f#e8tw$J*m==5Z8#)sQUj@Dzy=UP zRxkhnxk7+k|8K27PqJ~cw{bOhWPa|ht_BByDaa`M>j5@Db1O4Gi|Ou2O3gw*Bi{>| zf96g=zU?nuIb&Dj|FCnBOa6(CSu`Y8ql;r?3gON{oMYTyWQKu^;!n2f51FkY@b6NW zp8n5M9Y0I`9zw=8VNO3o2LM_r005jn$lvB6*S``{9`+~M$;HIh${8ZD>38tp+5Zdn znf&lPg8~|$M+5z*$Ung6kQllt|7Z3KnZIE}O7{PM_BTE9Yq|H&>@d0CvA-98L(t!{ z!vAnBzoCCE#QqsAFaI0#A7$D9j{R#D<vN2|LdFPS5xa}w1(4f&_4{Xzmpmc{+q5Mfc$Yl)Zm6Q IME3&z4_ma_>i_@% literal 0 HcmV?d00001 diff --git a/knapsack/.cache b/knapsack/.cache new file mode 100644 index 0000000000000000000000000000000000000000..4707a255397bad0798c6943c7eb9d39cdf0e4eea GIT binary patch literal 3356 zcmX9+cRZVWA4MvAjaK&08AA^^$8WH+T-5|aokm@Txw@{#5LoW%$2i-gG*~JJHOd5Gti&N( zaV9bGHopy-(LE}NFbd^Sb%z1ho z)Ji8kvn)8V@8237TeN#7njk1#_r-%}zDMmblG$-D=7LsHGAf#J0Um-hPX4Fv9*aI{leoW0pLfJ?4V_n2{o$yuh7od25HZp0~ zcoyenc2HD3l|Vnc(tgzd86`Q)?vo~D=OESVywhIa8;8<+9D;e&`?7=HG1_hZM$)pj z@rK)>aS@cvP4x?4a+E9cHtpGZAPUcSCl;*IvM;JAZqfSG3>_5o%atbB2?8Oe2X*pV z0~+kk=g#Kz{o9q|)|9NPsKFt9XTTtFMd=wbYj&^P$g!Y1gxByxrH<-92tt z=*_MQ+o`R+Ij^NMaLFPx@uoDvrPPR)J@&M#(LOU2W!50@&E<5B9MaiC8daf}MeP<% z7gzbrLJ7CJOEnnzvPi-!?Ca}Xs4Ua)W${!TxuujuChYPdK$#)~4>Sn@X2`5hw{FAe z-my5bpmB3F;ppd!su(T}3hs(#|6NpVor`TiK+p)891vet_=8enu2E6RbP=A1X$+^K zn;BP3FEFPrAnmiwvfP~$r0-{V=^oET4?LW5(xPs*8Tm8!zBuN$K zW^j5nf{?##a`W^zs@*SGuO1 z$fkU~bnl7n7|leP{fR8Md3z(wuzyAKF6!ElZs2%pw5JBSs_l-`9q&9`~u={QmJIcwQoqIfc<(=u|=Wc&wu@#-%S08&s9rCzKR9je+-b zY1irK4-Ks&^w*Lf5u*Nm3aN8DbD8a(qf;gRT8{mqvu`P~vb;!@gOf6p<@*tnaC(Vs zt1rk_Ma&WI#9Qr7vX2Z`p@>E}ft9@Fis?DY(8{ye@{z$Ezsu6OcTx!W%QGWxZF2_` znQhf#|1d%JzJIO0e?)^Szop3!-+PDq<(_=O$dG(z_A#v0sFS}(Yu zQ`y)yB}l6QX+K_Rs4ch9i769F-5+%rO&25a@{|S2U=->414%gS8hV?r*`on9X5&i{ zYndHc!!6_uESryBgO8suzgpr|rr+lcJtmU*bGL9Ne!7yzUPSh4_#QqE6JaV};Un+) z+nHonPR~P_xy!=1)zr<=WDQ}=(Obnv>ZO&Ro{G2M4C?A#ipW!%cDu*^}UHefPT%I}e03|*>F{vKzL*z9Ng)uc1G3k&3sohnAjXyi!|Qw}WAK z6P}YlFQ|UxO)IH8UjEi;YO1Qo0p5>FhJ>$NCW=T}hxMwNh)7t6)q}RymUea05*ZUK zIyzSyb)B_MQ5TApxP9Y`N{`xoI9(GRWQb*$P>OGe3kmo+4^5|{@vUMTCUjTcW#ZY1wOz2a@Sd#PWzqGWS0c_Z1bwWUJTh< z(qt8=RN88Nc%){-UcB=)5=`N+U={}W!Gs<_DozA|3JLw)bEQwM!^T_Kke^GG|4sqG zc&7zJprAm5ReiAX{TAmdMDG%X!_s4%8#tMVbF2&g0KG(3@qW8yIvetO)BlhG8*#ut zm*>CYAzL;>v9~yL`Vx?jSbm?md0?S|PN3q->h;#`}gREl#sYcPBQJrwP!bi-}JKGGFrVq3eKu6Fpc#cKO@5 zsQmvZrzaIEav;e2qaZ~Nxcg-6OmSo)cA?R>aepW;0hoDAJ!^Q3$F=!9P@ym3faIMr zILli9Bi2RX*?*IffsjUUy#TOvP(6_~tXdRcSm;u)I1rVqr+)$$^{>TNLz^0E`UG;? z*h*(=gn_X%{SpC&Pm(CT4rF7~+>B*Yd& zV9Q3BzuW5zyAAplu)@AMBUTK0SZUc9_|Q_%XC*JP`pa=;0uua$g~WmY74{idxmcS3 z5@^W`waN?mn2VIk`NhLZD!K3+klX5S8?1~f36p>whUyyt-NdE40<2rD!^*W!ab6D~ zsR5_@K>cA#iwMA2@_+|GLDg1xfhIfO;$&F8n7qe$UMP_orp-#ik!1mJo>=AePf?Nj z?SM2(566u-K!S(mRe*_6NtR~4_I7vy*B%Gn5qMq$;tmAxL%*F0_}MRS^IB=M4)cV$ zvYM=!v3B7xKP$rW9XZbO!UtfW#4~);tmuwB>1FK%7w{(_5d9tm2+XVdHv99HEDvloR8xogbXZtT&tb=|4 literal 0 HcmV?d00001 diff --git a/knapsack/.classpath b/knapsack/.classpath new file mode 100644 index 0000000..e2c8cdf --- /dev/null +++ b/knapsack/.classpath @@ -0,0 +1,7 @@ + + + + + + + diff --git a/knapsack/.idea/.name b/knapsack/.idea/.name new file mode 100644 index 0000000..45e25ef --- /dev/null +++ b/knapsack/.idea/.name @@ -0,0 +1 @@ +knapsack \ No newline at end of file diff --git a/knapsack/.idea/compiler.xml b/knapsack/.idea/compiler.xml new file mode 100644 index 0000000..217af47 --- /dev/null +++ b/knapsack/.idea/compiler.xml @@ -0,0 +1,23 @@ + + + + + + diff --git a/knapsack/.idea/copyright/profiles_settings.xml b/knapsack/.idea/copyright/profiles_settings.xml new file mode 100644 index 0000000..3572571 --- /dev/null +++ b/knapsack/.idea/copyright/profiles_settings.xml @@ -0,0 +1,5 @@ + + + + + \ No newline at end of file diff --git a/knapsack/.idea/encodings.xml b/knapsack/.idea/encodings.xml new file mode 100644 index 0000000..e206d70 --- /dev/null +++ b/knapsack/.idea/encodings.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/knapsack/.idea/libraries/scala_compiler.xml b/knapsack/.idea/libraries/scala_compiler.xml new file mode 100644 index 0000000..e429701 --- /dev/null +++ b/knapsack/.idea/libraries/scala_compiler.xml @@ -0,0 +1,10 @@ + + + + + + + + + + \ No newline at end of file diff --git a/knapsack/.idea/libraries/scala_library.xml b/knapsack/.idea/libraries/scala_library.xml new file mode 100644 index 0000000..c52ebe4 --- /dev/null +++ b/knapsack/.idea/libraries/scala_library.xml @@ -0,0 +1,17 @@ + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/knapsack/.idea/misc.xml b/knapsack/.idea/misc.xml new file mode 100644 index 0000000..0cae957 --- /dev/null +++ b/knapsack/.idea/misc.xml @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/knapsack/.idea/modules.xml b/knapsack/.idea/modules.xml new file mode 100644 index 0000000..f966eec --- /dev/null +++ b/knapsack/.idea/modules.xml @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/knapsack/.idea/scopes/scope_settings.xml b/knapsack/.idea/scopes/scope_settings.xml new file mode 100644 index 0000000..922003b --- /dev/null +++ b/knapsack/.idea/scopes/scope_settings.xml @@ -0,0 +1,5 @@ + + + + \ No newline at end of file diff --git a/knapsack/.idea/uiDesigner.xml b/knapsack/.idea/uiDesigner.xml new file mode 100644 index 0000000..3b00020 --- /dev/null +++ b/knapsack/.idea/uiDesigner.xml @@ -0,0 +1,125 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/knapsack/.idea/vcs.xml b/knapsack/.idea/vcs.xml new file mode 100644 index 0000000..def6a6a --- /dev/null +++ b/knapsack/.idea/vcs.xml @@ -0,0 +1,7 @@ + + + + + + + diff --git a/knapsack/.idea/workspace.xml b/knapsack/.idea/workspace.xml new file mode 100644 index 0000000..be0ecd1 --- /dev/null +++ b/knapsack/.idea/workspace.xml @@ -0,0 +1,466 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + localhost + 5050 + + + + + + + + + 1372586693031 + 1372586693031 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/knapsack/.project b/knapsack/.project new file mode 100644 index 0000000..1b367ff --- /dev/null +++ b/knapsack/.project @@ -0,0 +1,18 @@ + + + knapsackScala + + + + + + org.scala-ide.sdt.core.scalabuilder + + + + + + org.scala-ide.sdt.core.scalanature + org.eclipse.jdt.core.javanature + + diff --git a/knapsack/Solver.java b/knapsack/Solver.java new file mode 100644 index 0000000..07ffdcd --- /dev/null +++ b/knapsack/Solver.java @@ -0,0 +1,91 @@ +import java.io.*; +import java.util.List; +import java.util.ArrayList; + +/** + * The class Solver is an implementation of a greedy algorithm to solve the knapsack problem. + * + */ +public class Solver { + + /** + * The main class + */ + public static void main(String[] args) { + try { + solve(args); + } catch (IOException e) { + e.printStackTrace(); + } + } + + /** + * Read the instance, solve it, and print the solution in the standard output + */ + public static void solve(String[] args) throws IOException { + String fileName = null; + + // get the temp file name + for(String arg : args){ + if(arg.startsWith("-file=")){ + fileName = arg.substring(6); + } + } + if(fileName == null) + return; + + // read the lines out of the file + List lines = new ArrayList(); + + BufferedReader input = new BufferedReader(new FileReader(fileName)); + try { + String line = null; + while (( line = input.readLine()) != null){ + lines.add(line); + } + } + finally { + input.close(); + } + + + // parse the data in the file + String[] firstLine = lines.get(0).split("\\s+"); + int items = Integer.parseInt(firstLine[0]); + int capacity = Integer.parseInt(firstLine[1]); + + int[] values = new int[items]; + int[] weights = new int[items]; + + for(int i=1; i < items+1; i++){ + String line = lines.get(i); + String[] parts = line.split("\\s+"); + + values[i-1] = Integer.parseInt(parts[0]); + weights[i-1] = Integer.parseInt(parts[1]); + } + + // a trivial greedy algorithm for filling the knapsack + // it takes items in-order until the knapsack is full + int value = 0; + int weight = 0; + int[] taken = new int[items]; + + for(int i=0; i < items; i++){ + if(weight + weights[i] <= capacity){ + taken[i] = 1; + value += values[i]; + weight += weights[i]; + } else { + taken[i] = 0; + } + } + + // prepare the solution in the specified output format + System.out.println(value+" 0"); + for(int i=0; i < items; i++){ + System.out.print(taken[i]+" "); + } + System.out.println(""); + } +} \ No newline at end of file diff --git a/knapsack/bin/knapsack_solution/Solver$$anon$1.class b/knapsack/bin/knapsack_solution/Solver$$anon$1.class new file mode 100644 index 0000000000000000000000000000000000000000..efd389615df2264021c0c7e4195369f0bba09ed8 GIT binary patch literal 4980 zcmdT{X?GJ<7=CWLj0uxc%8ptPgQRI86i|?aC^T)Yq@;F35QS-aNrp~l!emlfH^hBk z{((Qh4=(3GtLJ$9>^Xk%2YGz&EGg+sv>N!p=}Bkqd+&YT?RnpO=l8#U{uRJce8aGB zL02kOrLb_NYG^e}HT2|^p)GPV7E^RXj}1y-47YRFl|?0~DS9!PpS#WrRw`F5D4LQi zD^@9)H|M#j>czAxoUT_MpWx;Nt`A=AuZ<>_2}rZ} z4Tggo^ZOD*x&?18$=HL(gbR<8<|1j9vDXpoV+d)MjQ!5=Ng5Vuc)%Gx#n8cT)YL^8 zaYxd}&{kH+vy&UMJjnm?7F_Y;$>_%+;oD(hnySk<;*5!clF6wctDIpVSf|IRhGHa! z9>Z|~`80*Y&>7<1?va{a5T!nt3f{?Z+FRNP=~D6E_RBbdXNBfdM3ZX_TYUQ%qU8mz zZR9IN#zO&_2(&In%ZZm~n^?HMO9Z=UgUHC>d12-min&%IBcy^;_zZhJc&C?n?am$b zjWO(U>ej63mRjb?NwrYQ8nro%OBf;doY@JJ&+}_B2`>=%cs@Im8;&t-cH`mBQl?(S zxiH3%qrT;AbQDQAPr18tjA0wKA)jk9Ch$@Oc}z0wX*B1ovBayBHGP_FlQ2yN)$}=I zY1(jXWY``Q@2rejT!>%>FV_)s#?1*+HB8l79xGQgwV+zmnW&bd^R~MeOr=ucCY5A7 z+eZMG@oEIG;5CNMw5qFC#+jLwaTQ7gqnN8hd$F^Lz~?7SV`*8!d=ulwWMpw|6F7)~AtcJw`53ezC7_qE9KlVzPR#VU+gQBL zqBjNM-=cHv9{paFL{&(*MaJ4C**;{mkt7g*dpPmIydhX**pdsz|5!cJMIFtim#RcT2htH`?j71(ks~=R38E!N-36J*= z^xpbydgheByJWny)X&oN^i1O^6F@^h&PF8S{_OF)p(O~Fg4us~#@zRE4OaW05}Y~g z+zlSWN~H@$WXhZohGU!Z{$8?>WL*m1L(^aKoN_>A|kWQBl z(B*i}QryuWAl4kH+5Z>8;!W*({&5!pP;bp04o#MSrMJ^8EgpXZR3g^} literal 0 HcmV?d00001 diff --git a/knapsack/bin/knapsack_solution/Solver$$anonfun$1.class b/knapsack/bin/knapsack_solution/Solver$$anonfun$1.class new file mode 100644 index 0000000000000000000000000000000000000000..060579cc9fb81f434b0cf5768eb171766f2685e6 GIT binary patch literal 2291 zcmd5--*XdH6#h=ybm_8zQmI%(K?#_&k+lgbnph<*)j(*$l+O5soAg??Z0?P_cRS#l zPyRdlQW%_Zc=nHCJa;!OsVUP8Gmd0t_nbX@e%P{ImAxiAc{-`#__=|{TSC=Mb}t~xjlhcFc-$Jh{I#6-E*SSHZTEHg0q5wc#d z7d$5Xcu0l4{0As>5a{Y&)uRyO2|DR;Qtnweeb7>|@HQcHe}QniP#or=gLg1%;|$Ik zud;)4>EnBZvj?H6t4AXGK9=kJsaQBquw&U)kJeQ~YQjumICrt(-~)VU;{q-cjwjB` zDrVq~|MFlrshOvH373ukN2Z&KgJRmv9ATp7OJ6S%ZXHr-xb`TP;)a6~KDIG~D}>AG zagUm~g--~_^1UFA^5SPg?T~gxM$h4mRk5*vtAug=z>f%*ny+N>%k{;upVF!>R(xJe zoA-Lj79xyHHi9Jr(G>={6N3b=aOP`=oM?EQgXs0QoP%k+Wg~;H7`+{4-1Kmmc~d5g z71H6?ZDg@T$So-uX;116FNm2Q<4bCj#dK5ghTiaaWiV^j&5gg~rZ2^6+--}nZ<%cQ zThh~UD2SS)4&jYo+M}}QKRgJ3^o1l<@T9$j5?(LcG{`%{l`S$(u7XTMA$1wFw^192-XG^ZKea})d zT~hX{aN=<;m0b2^+^j0)iaAHs%2lx-YTS~RTq##+apReIMgwDbU35)u^R(kj&#H;^ zt%~b8-1O#rX$Da<NtcUhM|VvW(iYjO}GobEYq-QILe^=vZB_?ifUsxm}n1{EEpKZaUI8S z!ayGmbRmLM+W}=Y!~;NC10zA^GdRl-^{ST3FjL&M<$rxuh#SUR2+NUiWGB4sB^c<( zL7iZa5$v$qDWz<|CBs1C(N+SR_Pl`$$OI){q_S42SAFeK89K-5N_!Kn4a?FXP>&PA zI9$e69Wh*E=$=;6^|*DAtkTDnUf zJvQ^a-u&+>Su2uzzC))UZy%Tc_(lYSr(f8>KvoTCgAq<4}8T->BJhRESbhDlN*xYcu? z(w(R6Al)Qcf^-H@&sssF3bkv2PV9^o1l<@T9$j5?(LcG{`%{l`S$(u7Xag!XP9{|dEMpa^Cef=zGo?! zE-8COIPo}_NapRfzxCX}Xy6BqR=4r>5o>dd+ z>5A()-1O#sX$Da<6U_rqrHY05|ry#ur@wC<2=tHoPTr{v321BnKGPo!l zm+(C(%rUTAF`Aw5W9V3oXBhTvZEco_j`4ckUSrsw*t(O;Cm#`yjiMT3pkaU^vXo;u zm`Jt{fPp~_={SU8hT(?aW(hNDRk#bjEYq-QIKrU&vZ7YYifUu%PqYV177UDFRL4;q zGth?vU5Mbsc0gGT@c>ZP!090KDV$-5dR5D1m@Dqu^1r?+#0}#ugyqP1dMCW?B^Wq} zew|>SC)i=NGfLTlONN2Oqpbus?Rf(ikO@j2r?OV4SAFeK89FEEN_&&74a?FXP>&PA zI9$RN9Wh*G=$=*5^|S<8}pzC))UZy%Tc_(lYSr$DCuP9ljE{gDsGaF#MmlHN%Y@Nt9I7$SpH3{#{=Fx`8f z(w(F2Al(#Mf^?;nfBfWv_yYSqv1jf$<26DLAl7LZfFE^u2HlyjOv5z>J`h;um oTVm-yW9eb}+rh?~7&|Z%`t89i$tZMmV~#YP@&sssF5C>n4>K>cQ~&?~ literal 0 HcmV?d00001 diff --git a/knapsack/bin/knapsack_solution/Solver$$anonfun$chooseFromTheRemainingThings$1$3.class b/knapsack/bin/knapsack_solution/Solver$$anonfun$chooseFromTheRemainingThings$1$3.class new file mode 100644 index 0000000000000000000000000000000000000000..40aaa5ac05dfb9dcbf7dc9c30fe64c27c2aede19 GIT binary patch literal 1675 zcmb_cTTc@~6#k~PRMzDpDhev7RBVe(MCM8T2|WW5F^*|GvCM(ZMkId(s$Q#q$l2hO#WG-)G*eRz24T zn<|(NF;a)J|Asw6Yz25=o60ub7Ym;7#8#xO=H#WjC{~)i^*;Cui6tEep)>S20fS4z zb_n0Y{44{z8zJokA4A)EEX~lhv$Yu_I>Ku;>jlH1_|BDVF0o8J){CmkAc{VQ(332~ zk$9q206LDNU&ApBFbvesZIv*s)`hd^$r6bzijxeQC(CNRq^LHAqw&^YiM)i)_xC0@a-3^Ge9P@VPAg>zE*bjb%R32d_;WfgA?=rZnaWzDUiG#{WoRF#EA37+H!MR!pdQD4 zIb6kc4H4X6=$ui~ak+GtxaA3=XGT3G(_2vV04#C3aU|f9KQMF`OeyYp)v~Y~p3b66 zkIg)MOEnzxe$)^MA~2tvmv8765O!c@-! zrMpPWe!5BW`04cI=rrhuQj4gG@@zA(N7poe#XrY oO|i7?SXxm2Zm^LC#x_g`=MG?oY#16kF-tRz^7v?h4&3q0Pd*T|SpWb4 literal 0 HcmV?d00001 diff --git a/knapsack/bin/knapsack_solution/Solver$$anonfun$main$1.class b/knapsack/bin/knapsack_solution/Solver$$anonfun$main$1.class new file mode 100644 index 0000000000000000000000000000000000000000..b34ead6d0d593ccef26cf245332cf32adf791ee4 GIT binary patch literal 2480 zcmah~X>-$76g@AtBjSh#3;|4Nq2LnRA-DkwC7~3^0;aYpacs&~D%&CvktL%g1iI0^ z@DJKw(J~OAo$2t25B*D>>GVi)j1!xwJ4BJ&jS2C7sI9grJoUYi8rBt06*Qk0& z#}f5wIh(K>5<&v8K)9${nxbp>l!~rOhzQ7mfrI{Mf%t1Zkg!1@?3owXmb{v~r7S5K zT`}e}MaR;N`H56nMil)5n{3}mS+#7sQOZsUi1QI*^nU`9t{JMG7!w%E1zuH6T~~dl zGg(Kq6vwnC1U5Je)awhzn}VxYFH~+(epbCnv53-W=y&N`GMg$3Z1k6_*kx?TwkUeA zg96lN3#4}HAFP2fZn$a3v&^7^3`zsZws5d9}B* zh}II3ptqOsNgv9%OngBncNvKW>W-BnK;wM(itzu*MP zy*6)}3D0u4V_G$Ov!zSw(_>%Lssj1W8hyRNk~(}Tf&E<&b#YZ7>Kd!rw5~jwXi_W> z1E!Zq4H<($tFk)E!%NayiAg4bbrvoavU6-i>w02Kh>=>toj$l&7U)@GpFNiBjB%^c zu2U0u=CxL@o3e@d$@xVTVSLH%I%yiVqZm%vqn^G@nl%owoXNYWtnhMS`OSGRfq@+R ze8H_(RI9nOvFPw}%PWoMI$AVctE$duzWB6J)lHi%Kd(9qW{s(uHJFE!x? z;d@)Ki=7zair=vdZ}QBy{#@{#;EESXphu*@%aFSd(~q(HIo=WY1^0t_O5g=XR*=ab zh>fk_y~1ed(0F(>d}urpkG#Z{cw`0d|BBgo_$Bi3u)mm&N1oy2(cXC28zd?^Ym_pOW}Z@8(uUA{Ossi6W=ht_g#_j1IIA> Si1bI!qBQ3@4etEp4gUdF9A?Y_ literal 0 HcmV?d00001 diff --git a/knapsack/bin/knapsack_solution/Solver$$anonfun$main$2.class b/knapsack/bin/knapsack_solution/Solver$$anonfun$main$2.class new file mode 100644 index 0000000000000000000000000000000000000000..02d6d384f4654aab872000c2dcbeee63532235e2 GIT binary patch literal 1424 zcmb7D>rN9v6#k~PEUb$_RgikuDs53Jc(Mj&k7_jW z0emRq*$Ysq#JI`MnK^UjJKwqe{PpbzfLUxZWZp^Mc6j66E5|ZD*RW*1Y?<4_PN%uF zW*vkhP&#?hW~UX-D=eIw9{75$B+z-%fdFe zX?);yQz%F<*HyJa{Gx#FRu4y2_( zo_6Th2{nxOnJt>)by`7+L0R8et`=9)48z4gZVMVt$wZ+Wht zt|-n$&oG<9R&bu7f6kDG`;eh8<41oHmsDH;Iq0XDglsZQQH+tZe<2c!E12{FG8Dr3 z0pu9wGF^GzsJ|sfh5y34>f>Ew7;vqyObo{}*)GticpCD!?%U2#ecDt{m*K?UoKu)L za8spR-J)Fm&7uoDV$6^Vle*igi`I^Co_n%FeXHOugX+n;wNtV5L;dO+?xUdM9_BQR zhAl#gAMXWjR;eZm=BaW?i^j z7IlfHODXInlRJ)}hEJ6J!Nv?tK^)Xf^iN<2M@i~5Lop1Ke$>wbY1T+{pL8*j{kc;e zocI*jJf(Fk)RG*h6W!w^Au$w#J)`v=ooL`9oD%wi7pc!U_Z=5re8FV`%qFKgnC@V< zgWCtOQ+wE{UhI)g;&>;*o{u##0OeHpn$0<7%kL9P0Ve%z{2)!vk#*F6aR%8 z!Nd>7&;BUmY`fIj+Sm_w?sR9)nKLu@=dW+y0o=x8hSY)N4U5+f-dKk2*qR}8WkWv{ zW-`g8A$J^^taD8!XTo3%)sj`?I?tJow6(g(EmbYsl!`Oc~!U!3Z%^!oZqv=BOx=2nFVVB&e z)qPR3pNkz57UYeF{*GZd^)EJt(4>nrKY%!qcK0!i^F(FY+_bG1n!VQt=B0`YxTqk3 zQ57+qjlhS?3=zw5M@92+^NQ)-0#sbVRX6V%!^qzgXln=~Nj(#~sM7#CAX))=72}wQ z;096@W$$SgL#EVs0A2e<{qb{?%IgI7R)}G|vt=G#r-*h1=P<00H*%N3cSsqbIgOTffnhY&cf{!miAcGNGmD1`f*?_Y1w+!*q+Q{< zBZ#?RY?FzV40=fwPMc3#zv&(fLnTd$4X0ieruWZa*`~L-&KsT|DQmlu+m1=mO4)GC znpmTqq107b(+!ITye{lLW1C(=QA%MJbZ%LKCJ`*VFBj9)oFEv&WD_`zA-d1gBKr`f zb)ki!yB}vjeWWi7@}M|LC|8#%_M4~S;IU@RLA9pm~D(w~|MkLZlI!jM6hJW9Y# zOcKZ<-A@yUdq#*bPIierKUh5ajF_f8rbPm9nbb`72NK7)^%?g*lJCPGc(o0$;yxxk z_z+oOkj_~=@X!`KG$oTg!dT`j=G;;{v6MEJ(p26m^#m)3_s`3*xQLq7lj literal 0 HcmV?d00001 diff --git a/knapsack/bin/knapsack_solution/Solver$.class b/knapsack/bin/knapsack_solution/Solver$.class new file mode 100644 index 0000000000000000000000000000000000000000..e16b4c1b4d4b7b5b3c1a75a460178f423c02e382 GIT binary patch literal 7559 zcmbtZ3wRt?b^edEyQ3YAt@t6wE6Yw|D~TjWRwO4TksO0%*~tbyY)P@B#IZBl9Z3`K z?riqq2Q802zy%6zDaAlb0wk^5(iUQpRTLHK1}H6U=`*yLwzN&3lmacKZ3=Pv-+8Q7 z+O=Xn>~D8x?wot>x##@nKj)6VaQV4U1L&0*LF`1v%;wF+iQ{=ERV>&}rhD8;owRao z8bol-Bj!o7J7s2Ox<{uTu@VIh^#a2a*^y6}DN~>gj}G29w7*TTY3PdT`UMTU6Dd1m z7xoD1+dB>mBD>vN13IEQ>d+_%rA<2{*wFsq(BjhLg`Az4=~q*(ch~GrIH?q^QRdp| zbg^JgrL68DJ71vLO@ggMuO`-SAc8efT!XcORi5<0oRzeusbRgK$=BeIx#An5XvVeN zz9&9W%%-fJ8e)PLZzNaD6zsIsJ>ZsocCEeNFRy#U$+>A~^nByrb zz)qffoYcly^X^t2p-S=)-Qo z4OPwxJRMk}fmx+ZJ2}ft%nH^Anhz8+ZkqJC8efOKjd(2vm}geXN(eTc7-mA1pYC&#R)TXz1#1@Pc%$x= zLs1;W7_+!Mr2?m}Tm@Jsi1KMOm6{@lji1REth6gZd)fmq(NK4c$`9j6BksffB%|q^ zlRjXlEWursNb$L5nPFvMQrAFndfFmYc$=rv2k@ZIO&%=+vPww9F|Igc6^87LMXYTu z+g3qj@q(2z3r>z2ABL&pIHt(Yo)2n>X-G2Svu1w8I$dxX^#KFZm}!IsA|RA;hu3qZ zMI!Yx*T5q@!nnl_e7xd8g%D1HcUB+q&(gX|i! z6SFjin%*qf=xbX3&{gmwQM?5|D$wmr!Q(P+GDOy<#XpX>Dl&T;%SORzqm!*no^^}{X%XYS$RNk%Q zUHGY$OQ~zAhMy6v_Bo|3eK^sU&l>ny?A7reym#e@samYzeaw5Je!$8X*fO~7g`l^F z?TbNF6Z^|EKy?To6x>wh;D8G~SPc~IRMN_6_yrvwQZ0qyP4HA{cw7)Y z-qV&&#JL?eZy3~%;G;S|j3?NWsLGXLYHe3V`s&uAeZPobQp=qe$n_2Q6;(2;^X~i7 z_c5jK*O){F$J>}<^~z)}=izVQ<4X1uq=PIQr9vuW-~w3Xn(;|N-SJ)n&)|gGU+L;` z)~n%J9rI|^an3Cmg;|@-6*6-({8`di_=c!H%48Q|9n3jw$5>rf%vLwh++M=Wn(RB+ z6iA%6)1>5#nIB^Q&7N_5yv6|I9-?ucd2%og@JX>zgE*25>| z+LJa5v)!XPCX4E>4SWTErE=`ANsd8^E*0^blCSExgufMRd-Yz2WcBwtUQ}7QttypN zEi`lN`bM+tn(a4ONe%y~5a6VeL)J9?N0wVGEPn0sJsgwE%vxiXYQMPM2{)+9ACI-it3kAkk6u-vhKhidmc(W`H+$h;KDv~#{|#SP zVflB}m^~jQ6u!BvNC%#&@`D$z|4^C#pKN#2=4pz$z+i3k_p}svJf*FhB3lny30qaQ z2|JYE72o|UoFM&SIPj_)eqY#}40j@X6S zVJBa3jo+J0GJ@j;_F*c3T~}>We6VAX>22rtr?Z7K242Aou}tDYXx1{5hSVvVyd>&~ zTTwJ_(-T2{PuOPaRK3m10{}L%DL;rP_v#+9Z*Y=EEa=(HSjZ&&8i0*tJsOWG-RK!G}+!Lw-67~ zCO4{ucTOy{|&F-;g_{kLP2d{~Ox~GAGlPWxpvHzA~FuV6mps zS{y5P$sXmJ*9rz!&SrJ$U73ZM@3NPO3o_Yzwc;IuWuGns3SzA0DISqV99D)K1IeHc z@O)dN4#)wyTRHR|^*)5z@`D@NtI6wi8B+HA;L3JtGNQ|SG1b* ztqWLp0UNbXp=GjufnT9#aebJddVc1xZG1AMMkBntCdw~$;SPrbVT~hdk~DjP1~P09x20)C8U)R%C!IT}iq@XiIiYcdv&8FTpQ5`L~TX1KPTYi=yz z=lfPsb^#xnoWodD+ioLj&=1)nQnLAk$Pj`RE7 zp40K0Zp`R@dj{1!J*2M7O+-^TN(=L?Ci;WPLwxhR@-55XK+lz4$zS zmofh`-ihDiTn%5vTk!>sHL!hs6Mmm_qxd>b;}1Bd$_AVB_NPULvz%Kj| zb*++zY10_i^E*l#bgpi2-BmoYuf=0T(c?T3K8I^LYa``jT(yPkZQ@FsxyBZ1zm6?X zn{45$%pJHx#`uyli3TyLaV_dD<8ck=*aP)^51-YzzTi*rr#?n+r%W9`qTEyGx@Uto z5V(ipf5uISYi7iS^d5fmWKVeo^DlAJ3j9YG@WN#M9R6~oBDMtXrOD1Y{LLKxt}nbj zM#R3zfc(QezE)3HU+Rl=wr(d5>SK{E1-@@A;KP$$Pvct*rjNOEbNKcr%GTZEtyQ+} zDBXF{wd$xFvN}3(4F84iFnG;4z(A_tZN;7VABHzfr{9Q|colK?)50JE1Dvyu(!-P+ zp~Rc9A8(N%Dt}6{{zyz~4IU*s)KxQu&L-}?Yv}wd z^Bt{c)zHRgrS(MOJR++*5+COZ9@O`^`#V&1f*QVC9b8@q?)5Bb+_n>TNRN85svNI|jzMWC&q)qh|zMZ0P zdCcNb_LF! zdkkOUtX@T5Eq&2gv?M#};!RItH;3;~!{@pbzmTX)vU^@$r@(tI7G{tZWMDEDos<3Z z60hUvrM~dU@cCEX*tscClU&rHIC`lL+QHTCV{l(!-2Y3B&IPh7Ij?Fj!}2w z!C<0m$K~%V^4?JuNNEb3EKM#1=wx0UqUCk&)flhp-K%jrp7G+-2|7OPUfsvUinv#Y znOGY3;)?{$5r*ku25Scy4rG`pX31MNdFl~tBcJUe|LsMN36{s(_}=&hoRrl#khz&p*<*<9x5`{my&8GT{FX`M;z7@3i{;e=O-UYybcN literal 0 HcmV?d00001 diff --git a/knapsack/bin/knapsack_solution/Solver.class b/knapsack/bin/knapsack_solution/Solver.class new file mode 100644 index 0000000000000000000000000000000000000000..1c66224cd15e7521ba47d0f90af4edf2853e51cb GIT binary patch literal 1827 zcmb`IUsK{{6vod<2q6k+gs6a0gK-5{puz5<8+T_CRHO^Kihsto)QK1|3W<{7jIEu1 zrM4G)(Tm>fT|Z7gK&Nk%wmTiIUhGA9@*aNg$;r!e4!{5T>u&&1fC9q!xust?dh`6y zvD-b@w5@#IZeJN)77$_hOuy3eZQW|+H;>MYrpp425WFLDPE&8|2+8X0O}=ZKvWod5L zAspj>QNxg4MyB-Ta&c&t3NBq&L@~h9gG?lyKX}bEKk!XW^@|aXE2Yr4WsFMuwXYbu z%#Y~0pg8qc%d?uwhj>ADFokKlwzMBgW}L%;qFaeuawHjCsYX!nCr`ITq(WXkQUGT=Mr9{az6^RrqkncYlgq{`zSWE=UdOk z<2;k)q8i3SOsHanlbp}Iq>eQ;p-ggeG`)h00;jHs=zDFvxhZeHW2nRoF9%jIp!+9^ zxq~lGBAj`=j&!ZQA*D4nN$STLzl<6k69c)#;%Q5ZsqAoyAI!!WHWV9R_@<~*&#&~t ze332DB7j({6i)@jPdCIz3lEY8&~g z>9|TI(?@oC7j2_JJQRD#rxFW;2yAV0Ww*L2Atb5~l1dOl5au8VLqXtRV1V552oX}@ zyRJ96l6$6(weV+d0Z zmL%zxZAmf<354nYr%rme;3Pu&anLJGT43R`r_-be@#%Ddg=qxs@k*Mfw(S_+!S0+I z+lE&dIm(?=^5ICb_v$mBA`hu&_EY2`XOi4g|scCXts*333}yRxw}?*e}hb&!DqANltHph*vrE+XsaWF?R_MU?vmhUXIRVdN)|fq8OK zkR>2#9Kc&NN+L+&0S|o-qd$8fAxOjAPsHyCALIx}0RwrW8U$d%+emgM`#ROvR9~gO cKI?0`uXBC literal 0 HcmV?d00001 diff --git a/knapsack/data/ks_10000_0 b/knapsack/data/ks_10000_0 new file mode 100644 index 0000000..462213b --- /dev/null +++ b/knapsack/data/ks_10000_0 @@ -0,0 +1,10001 @@ +10000 1000000 +122416 120553 +171513 179530 +71709 76916 +30363 28113 +131225 120582 +33759 32535 +40880 43263 +203267 185377 +132025 136991 +92508 85416 +33323 32725 +120889 115377 +123919 125990 +156914 157002 +165914 151158 +68590 66466 +128882 122615 +63477 61894 +59609 58667 +162659 156404 +140255 154967 +81587 89693 +192056 194502 +64814 71996 +10665 11062 +109588 102286 +170130 168996 +162920 177197 +173511 185263 +19198 20493 +28331 31275 +11018 10705 +113233 121157 +192217 196939 +122770 115598 +159373 156431 +134988 130086 +43146 42098 +70420 73158 +171049 169636 +154108 169769 +198256 193447 +83735 84312 +53932 59563 +4199 4305 +131792 134920 +33044 35347 +179421 184727 +706 649 +136170 126958 +41181 44240 +67246 65846 +108434 114791 +134952 129706 +124205 135730 +3077 3117 +153939 170667 +131352 142408 +108884 100582 +154292 158464 +69089 74110 +83454 84752 +173593 187553 +25705 26824 +131269 126581 +82123 77570 +196053 184998 +31917 35324 +1930 2062 +541 599 +62546 67967 +56482 52459 +166450 179504 +32077 32197 +16476 16129 +93336 92798 +145974 147308 +106077 101817 +52475 50314 +46203 49144 +152536 148223 +145968 152219 +174200 187844 +9912 10679 +160709 158671 +141547 146831 +199436 187873 +204448 189618 +159658 169452 +51475 48945 +126770 121310 +18488 18042 +31938 34894 +148573 137237 +10858 11458 +120829 110971 +77193 73499 +49714 52942 +125877 138782 +99979 91834 +40251 44383 +160390 167718 +109197 106892 +137809 132886 +115953 114323 +18849 17248 +12594 13105 +182379 175112 +161402 174232 +149386 140539 +39093 41980 +187252 184357 +37349 41467 +23861 25839 +25589 25383 +11180 12376 +141743 138737 +94810 96751 +16017 16243 +36323 35626 +65081 66649 +29789 31142 +146562 150509 +27510 26940 +129515 139071 +140581 146236 +144966 142957 +160707 172169 +122113 115988 +21655 20241 +6521 6483 +143861 146919 +46792 48056 +68682 72318 +47769 49674 +171487 158084 +103165 113395 +21715 20632 +180184 190663 +156738 146602 +106642 106357 +156196 171374 +86873 95753 +268 253 +192110 195227 +39895 39880 +149501 141080 +55885 53497 +162027 156522 +93252 90280 +86355 85831 +7715 8118 +12132 12755 +9161 10058 +47245 47539 +117838 125862 +84054 83312 +162198 167717 +77936 83139 +158939 162003 +134694 124099 +149014 136680 +175058 190568 +43502 45667 +88080 90171 +111091 114816 +138296 128924 +104939 99605 +26642 28719 +135045 130255 +31069 33252 +50498 53507 +151628 138466 +184722 184883 +51937 50192 +54610 53408 +40690 38912 +136424 149383 +177456 174520 +63743 66937 +74898 74013 +86055 89370 +12256 12670 +83658 92342 +72750 79418 +37808 38907 +93895 89232 +81723 79511 +23476 25665 +40035 39087 +198759 183268 +162615 160504 +35497 35078 +49186 52086 +114658 119931 +147511 158415 +82462 87621 +114533 105867 +119231 129696 +125946 120683 +152843 148648 +65856 61986 +152027 165416 +131186 130740 +90775 99456 +170691 160106 +28855 30642 +201138 190127 +98338 95945 +29947 29387 +141379 131302 +168194 181625 +44537 44648 +140180 139430 +39446 37047 +36956 34505 +134510 145774 +95769 92441 +84403 89315 +79207 83568 +179146 186963 +43995 41978 +91102 90287 +87674 82009 +115472 111997 +100860 96025 +3302 3245 +135067 134539 +170938 156345 +46682 43264 +161280 170807 +101303 112548 +119506 126910 +10798 9972 +47050 48499 +168524 167901 +103108 100207 +6634 6515 +167842 155662 +171434 182410 +15412 15895 +51947 57589 +81641 84739 +54248 53593 +16561 17132 +85479 90796 +309 339 +150593 139023 +163815 168270 +120422 127238 +17563 17676 +25348 28112 +167018 159622 +87515 86887 +167846 179589 +89902 84630 +105956 102218 +155002 168562 +61582 56989 +159747 145398 +116280 125109 +11060 10687 +42862 44480 +126488 134368 +185569 197009 +124267 135139 +166801 177188 +135350 149981 +50317 52366 +109377 107693 +132030 128837 +13973 12817 +83138 81289 +81905 80484 +184819 177391 +107097 118904 +7568 8264 +189832 172876 +170554 160050 +116812 118442 +127674 125178 +66932 63840 +10370 10669 +206862 195480 +169095 171002 +122109 117782 +170588 156805 +178623 170766 +106268 103242 +190232 185568 +17704 18860 +133142 147249 +17082 18330 +121413 129127 +173831 190428 +55010 58688 +135627 126720 +198273 190032 +168688 165211 +164932 150741 +79720 72510 +71141 70520 +106565 101495 +145758 135907 +49704 46977 +85739 86905 +176077 162697 +196085 182903 +182289 181277 +173514 173797 +56861 57523 +138864 134392 +13936 13780 +123018 123463 +72348 66787 +117482 116456 +190776 181198 +38585 38567 +125507 116048 +33922 36514 +79444 81248 +102529 111300 +181593 183033 +102876 98716 +121800 129927 +43539 44633 +112644 108139 +112991 120484 +109876 108907 +131723 130906 +3578 3833 +50409 46053 +139725 128286 +87525 94326 +146217 159171 +19839 21281 +101604 105116 +156682 166932 +73550 68466 +116228 116853 +106174 111435 +166013 156376 +80594 74807 +82228 87450 +99579 100218 +83615 82780 +178085 180561 +206526 191711 +4431 4579 +7746 8569 +17158 18118 +48645 46706 +103613 111466 +95695 94590 +186562 171750 +89186 81878 +59548 62429 +26253 25333 +38471 40076 +161469 169836 +39780 39603 +141664 153570 +153503 154782 +70391 65452 +52704 49807 +133846 145541 +102507 107914 +104664 105898 +65878 66365 +39529 43604 +47858 43849 +84649 87123 +76845 71601 +91489 95940 +58049 62430 +82675 83567 +115775 109452 +99592 101503 +68328 63701 +96945 89822 +132302 134936 +154831 161773 +21770 21382 +152858 160733 +118786 122185 +172387 177837 +196275 196049 +186706 177920 +79395 77243 +80974 89114 +86872 93088 +139286 130103 +191052 183352 +29069 29064 +48565 48682 +156488 149195 +37297 33969 +104945 101381 +17507 18924 +104307 97183 +168396 183042 +131343 122715 +165518 164655 +61298 59057 +70478 72368 +168560 170826 +55997 52551 +32449 33048 +142221 151532 +6606 6416 +53162 53520 +11577 12421 +134018 139662 +83491 76834 +92892 87345 +76200 82116 +8118 7772 +7766 7730 +167630 169178 +139862 130041 +72736 75587 +26509 24232 +184807 179783 +80378 76069 +47061 44058 +58213 63083 +54128 54396 +21099 23393 +131385 132260 +127478 131735 +163896 156680 +202837 197983 +82049 90379 +52525 49990 +42387 40388 +155910 171758 +117947 107687 +187235 192969 +67200 61267 +42272 40564 +195812 184183 +51886 57006 +201029 194145 +104086 113907 +184504 194021 +77868 70841 +72539 67814 +79853 75206 +183294 183684 +66790 67820 +137954 141858 +99529 95523 +23180 21394 +138800 128001 +163188 179872 +158399 164760 +94279 88504 +136485 126316 +127791 119285 +16487 15247 +144415 144071 +143036 137499 +13631 14463 +88232 86092 +163908 167738 +142660 135415 +27716 26792 +12345 12715 +107189 118584 +172353 189283 +43154 45474 +86212 80548 +16274 15504 +183109 171321 +144423 152169 +172858 177609 +99005 100796 +67916 62098 +21046 19590 +177528 172932 +142982 157665 +149692 149308 +71326 73865 +94330 97627 +160392 151499 +199827 183683 +99620 92556 +125675 124590 +154980 159027 +3005 2858 +165404 164020 +112241 120949 +125454 115514 +5326 5105 +158063 169840 +131127 131972 +55059 58157 +20769 19534 +7502 7418 +34146 36296 +60056 64256 +6510 6774 +74193 79699 +203411 194440 +202796 192021 +128420 135315 +141101 137800 +10918 10022 +75944 82740 +65726 71116 +176331 168049 +132579 120862 +128541 124690 +157406 158146 +175117 178414 +148936 137732 +163112 169292 +24745 24655 +118775 121450 +148224 160711 +4565 4266 +90573 96966 +192635 195482 +29873 28146 +154500 156318 +125281 135736 +70437 76476 +18709 18215 +122774 120452 +134010 123243 +113721 115290 +29597 31140 +29912 27618 +140782 137634 +126893 120198 +188083 191551 +154283 157671 +65107 68634 +117030 115165 +43850 40555 +89740 93101 +99356 108872 +97605 94470 +176347 173939 +48472 47288 +129426 127645 +65703 68274 +149737 156348 +14952 14966 +108962 104068 +141236 150555 +105589 116805 +941 958 +114285 123296 +26904 28935 +42626 42023 +46148 47763 +101714 110864 +173598 177795 +179299 164502 +192020 188704 +73897 70381 +151829 139946 +144420 160352 +168034 177276 +195914 197000 +7340 7261 +96752 104490 +76140 69221 +27422 26734 +43527 47049 +204990 192184 +119876 117190 +137491 135973 +84525 92390 +105311 116964 +159817 159602 +30904 32814 +40733 41973 +54404 50595 +20389 19240 +153622 149891 +7196 7487 +168561 158725 +188303 193807 +100533 104536 +54339 59098 +62239 67827 +145802 150457 +58095 61403 +161156 175204 +119750 125361 +37858 35361 +13046 13941 +166818 172679 +42138 39899 +33904 33134 +186068 173512 +135584 138422 +202329 199145 +160711 162480 +101878 106608 +200024 196076 +54197 55725 +27183 24736 +60963 60037 +103158 96753 +36848 35857 +57134 54945 +208197 195733 +124135 120790 +14148 13531 +90549 95299 +26997 25451 +125353 128437 +191964 176234 +60185 57212 +3413 3469 +56849 54964 +62614 60536 +75316 70447 +166088 158711 +147905 148992 +54838 55063 +82649 87015 +474 482 +133348 122708 +131874 120630 +173821 184666 +165313 183489 +147046 161649 +68808 70043 +149185 142247 +182767 176256 +12066 13157 +111987 102313 +74919 78682 +18931 18437 +186655 182086 +29903 29017 +94007 98981 +9771 9009 +11433 11382 +116963 107905 +143436 136230 +9651 9949 +91038 97822 +156244 157522 +11150 11558 +193886 178783 +27005 25524 +92817 98259 +150340 159765 +44522 41924 +50085 52023 +115471 112617 +119188 112977 +144312 154517 +105890 104239 +6586 6609 +31749 32925 +12036 12372 +110578 106736 +160180 177697 +82633 90348 +196690 187646 +176552 194965 +29177 32204 +64221 63957 +50294 52104 +187745 182534 +184584 189597 +63862 59101 +130043 130147 +32291 33652 +106730 114616 +33916 32435 +182444 168121 +3869 4122 +126264 118302 +75971 75820 +136498 126255 +194801 189115 +156115 159271 +188197 189773 +163753 167139 +96089 88808 +168353 170375 +52711 50175 +15965 17454 +94340 94457 +30091 29899 +77291 83354 +172432 159777 +21312 20304 +100127 100251 +119287 131996 +154047 146121 +152785 165147 +165268 180023 +3828 3505 +182212 195500 +15437 15505 +23684 22204 +72656 73898 +49199 48714 +84120 90609 +168945 164638 +151455 141703 +160653 172575 +75616 70408 +138940 148973 +10079 9328 +72557 76381 +29461 28137 +137873 151698 +120484 128117 +55293 60212 +37963 34676 +128493 142608 +142673 149907 +188993 190156 +11144 11473 +145675 143429 +97894 95023 +6468 6407 +5811 6324 +11416 12648 +137969 151066 +1769 1665 +73074 73624 +102347 103498 +120970 112525 +179812 175889 +41808 43526 +138475 134295 +163228 177196 +116460 106183 +6978 7245 +42341 45652 +32038 33989 +107649 113390 +21550 21193 +52996 52832 +24012 22543 +159119 175497 +169937 185757 +89083 83316 +142247 135661 +39064 40471 +24191 22074 +90680 86885 +10567 9963 +175366 181008 +31236 30488 +156524 153951 +108917 100797 +151838 168231 +145902 151994 +93160 98345 +174508 180036 +162445 174600 +91678 85036 +106001 97829 +152115 139978 +44601 45145 +53575 56070 +118326 129294 +110860 107458 +193348 186682 +176849 173031 +141061 143097 +54180 52363 +211710 196870 +176170 185596 +71061 69654 +166838 177004 +180658 192530 +31241 29752 +62820 65775 +85222 85271 +16334 15339 +54520 52507 +172493 172581 +60190 63207 +192462 190297 +126837 138158 +174762 174968 +161436 163811 +161289 154272 +105307 110083 +140819 152061 +10162 9915 +173536 188667 +90014 87158 +156525 144487 +135543 130055 +50871 53537 +84559 89584 +132409 137920 +84299 89997 +118911 111362 +66782 73568 +109852 103086 +31586 32314 +44256 46025 +80701 89666 +158475 153841 +161187 154277 +141607 143833 +22549 21850 +61865 58913 +103409 100560 +28220 29520 +171776 157367 +134696 142321 +154647 169768 +159162 165513 +145951 139634 +53315 48689 +5325 4866 +57918 62611 +77336 82990 +54391 49669 +137787 136478 +20107 22205 +4652 4823 +102685 98224 +21688 21862 +71515 74316 +67710 67493 +95298 104078 +6472 6445 +57537 56512 +25338 23960 +62515 68115 +177909 171825 +114256 108437 +174104 185019 +40302 39822 +152306 165018 +20957 21629 +191527 190690 +138147 151402 +60861 67451 +113029 117800 +54243 51693 +22264 22313 +29668 29198 +136724 127549 +74450 71109 +41778 42665 +150356 151674 +89344 96352 +61216 63260 +61638 60065 +181391 195662 +33094 32108 +212340 199215 +162149 177698 +128194 138244 +34537 33784 +12451 12997 +58381 58007 +175800 165953 +76145 71508 +9409 9582 +129111 128967 +145667 157905 +166828 182218 +188850 182982 +170628 178384 +9438 8813 +158015 146207 +164619 177585 +102099 112299 +91679 96502 +95685 94161 +68687 69534 +139128 140077 +33266 30840 +18791 18776 +105171 97735 +72128 78977 +22887 24426 +1572 1446 +101186 94061 +81575 89584 +51861 51627 +99091 97769 +170292 156317 +155735 145243 +146624 141764 +89765 95942 +13453 12655 +150110 158461 +142821 151081 +131101 136861 +42898 44931 +35174 36843 +31482 29433 +12931 12873 +174619 190468 +161099 162133 +9559 8700 +92068 101599 +160998 149415 +97247 97156 +166019 176290 +15195 15595 +155314 159530 +73720 78492 +8028 7816 +3982 3768 +154841 169879 +58828 56345 +106287 110515 +8881 8134 +167368 182336 +123754 135699 +7283 6676 +108032 119571 +49774 46274 +53832 55675 +14398 14404 +121324 118037 +160117 171012 +94070 93213 +169924 181767 +121248 111420 +162347 170678 +181313 197086 +152470 161867 +124920 113906 +128724 120532 +67082 69054 +43568 45543 +29886 29596 +102906 102563 +88330 90452 +29054 28510 +57604 62103 +98768 96688 +15402 17110 +75638 80155 +191933 175549 +11001 11926 +179112 188432 +142029 140980 +147675 159648 +2928 2998 +183895 189609 +104016 114794 +22853 21003 +17721 19069 +10097 10596 +135956 140900 +173767 191494 +126398 134243 +167786 179418 +84033 84793 +45102 44816 +58373 56232 +172083 188546 +189434 188260 +164374 170916 +38428 35480 +138702 127914 +118996 118031 +72021 74551 +172483 186821 +143931 153532 +181245 168587 +157145 156789 +135998 150609 +183456 195324 +125765 132371 +66770 63561 +55428 51171 +32580 31303 +113547 123407 +190129 195475 +100496 102958 +121528 115411 +15988 17036 +131012 138033 +144811 138415 +167110 165363 +89176 95686 +7100 6585 +120016 117421 +109091 120402 +125056 115935 +33512 33786 +88792 87673 +191010 182772 +181326 191207 +131032 120384 +14503 15597 +148846 156474 +33610 35220 +1014 975 +144304 147375 +200710 194396 +133118 135305 +24058 24165 +57537 57324 +147971 162798 +156495 165712 +95095 99962 +191674 184927 +167193 167325 +212747 194785 +183639 189184 +60411 63497 +11545 10865 +61967 62299 +175695 181284 +19060 19256 +144352 159819 +135760 133448 +69956 69773 +102822 104578 +143196 149734 +65934 71259 +31378 29274 +31119 28488 +172134 172503 +172969 183922 +135976 128294 +152067 145974 +63089 66829 +152080 151061 +28689 31109 +165140 176063 +160166 153683 +120464 111479 +88101 82886 +97773 94755 +90177 82572 +65707 66610 +96462 102128 +300 298 +78616 72564 +170008 177997 +72021 71623 +44755 46119 +124699 126499 +84328 84535 +217534 199941 +157266 154423 +195383 197898 +18942 19786 +25008 24675 +51780 50498 +169047 162549 +71559 69322 +196058 179855 +51949 49334 +186674 198089 +73537 76710 +174196 178037 +61540 57873 +124675 129093 +121595 133363 +109028 120697 +10885 11541 +136361 134530 +139848 128118 +136743 129528 +159733 163667 +134760 123317 +141765 151704 +196871 197132 +31284 32163 +43516 40617 +8477 9201 +189131 196103 +116978 129447 +5141 4680 +120371 116287 +137409 151156 +10949 10317 +41167 38417 +48215 45357 +157141 145818 +98525 106252 +142400 150496 +48181 51471 +136954 133498 +133106 130595 +1323 1450 +125965 132578 +70121 74510 +147531 141022 +145586 134912 +175012 170958 +126246 138378 +104644 114131 +171304 181321 +114241 125029 +157408 173149 +4527 4959 +6011 6154 +181043 194467 +82402 78766 +202434 190187 +29978 28319 +21183 21677 +105139 101536 +137392 131498 +39427 38656 +60139 56788 +85482 89424 +103916 109850 +15304 16511 +84040 82001 +118728 117780 +210913 195520 +44939 42182 +37192 40456 +118289 122811 +30674 33966 +166017 161627 +201601 191879 +69412 71953 +212312 194111 +149684 152030 +158078 153669 +64701 65049 +42865 42391 +182487 198535 +207603 198693 +156039 144531 +93689 87844 +73938 69546 +118782 126514 +72158 73845 +116450 111457 +47253 52212 +119771 129507 +143665 135796 +68851 70160 +52290 51565 +165336 160022 +44154 45114 +149546 139133 +199444 190709 +21637 22357 +156505 168878 +14124 14655 +80322 79944 +64336 66198 +156539 172145 +122738 112248 +20551 21372 +38970 37044 +5194 5405 +189201 178898 +93760 98816 +32843 35769 +17716 16966 +108191 118849 +153231 140509 +163477 153750 +74962 72570 +18203 19909 +8564 8886 +9120 9830 +172595 159298 +145983 143782 +55670 59721 +147116 136880 +57709 62561 +10006 10936 +62793 66476 +41636 44644 +171530 188369 +146583 154007 +150054 142675 +152577 159579 +59937 61323 +93413 85417 +71193 67526 +148291 147992 +136691 128445 +156611 157345 +125607 117357 +176256 191755 +103457 107539 +210287 194295 +36248 36870 +41945 41418 +133949 135984 +81510 82994 +126134 137514 +120111 125399 +64941 66163 +88490 93472 +89505 83213 +175163 192479 +47788 50165 +71531 72858 +161713 151101 +145556 147255 +194040 190023 +71479 69251 +29341 27232 +140942 142111 +213232 198518 +138976 144903 +28410 29624 +129011 136064 +161580 148489 +160600 162270 +21000 23264 +106127 96687 +101671 108287 +188102 184584 +60435 63053 +57669 60201 +16449 16530 +23278 23247 +167759 156559 +194858 188183 +19942 19896 +32011 29642 +9530 9103 +6631 7305 +198639 185246 +110533 102870 +134093 145681 +41986 40892 +112646 111341 +124598 116573 +203040 192433 +175051 163542 +68619 72392 +107609 116368 +147154 157479 +63097 62886 +108333 104393 +182258 185198 +100859 93189 +116108 115942 +137388 139592 +197480 194237 +151778 151349 +74080 71419 +156008 168662 +22981 22541 +3991 4413 +122855 119025 +154212 152955 +129959 132282 +168411 160508 +84392 81473 +91259 89085 +137567 138344 +89174 84844 +180282 197580 +30644 29915 +90859 83591 +40298 43040 +95789 88350 +48659 52811 +187190 190222 +13013 12100 +90980 90030 +204328 194881 +42273 39873 +157331 157922 +20377 19098 +56230 60969 +206995 188844 +187508 188249 +53218 57389 +35073 33386 +183401 172135 +86721 87781 +198709 189019 +74311 81378 +20645 20946 +119453 128220 +204593 198401 +190258 182062 +26215 25624 +160786 172717 +61188 63527 +201675 191393 +146670 153581 +74653 73952 +1301 1215 +70808 69641 +148792 155026 +48964 53338 +116101 123557 +134880 132271 +104248 111821 +146981 137368 +60481 56158 +17400 17045 +97246 107763 +167000 158128 +84348 85403 +14511 15159 +70761 65952 +124384 131733 +142725 143285 +128237 122764 +54836 50491 +12612 13458 +162348 157168 +59690 62021 +114488 116917 +163710 175947 +29879 30266 +1791 1674 +21123 19272 +109291 100353 +20563 18858 +104904 110593 +169265 172345 +88141 93260 +94599 103427 +92928 90568 +185616 183191 +100685 110880 +154340 164592 +128842 126776 +41007 41852 +181681 169162 +100349 94855 +129509 134178 +23484 21705 +38215 37362 +9829 10632 +117386 107923 +167497 171307 +154583 140569 +14843 13597 +93752 88529 +21685 20250 +152884 140058 +25618 25625 +35894 35229 +48070 51990 +130520 126634 +127383 122171 +118648 113584 +36690 38826 +178107 164569 +31091 28586 +119241 113088 +107616 98859 +74889 82448 +93877 95671 +67494 66554 +45035 48952 +174141 185746 +56403 56608 +55180 58951 +191788 179448 +76220 74941 +165733 157193 +47962 44233 +174904 172001 +83321 85512 +113461 104130 +185372 179996 +69964 70957 +166484 155012 +62952 68678 +88637 94871 +203330 186980 +186845 183197 +143576 142967 +149899 138186 +116297 110998 +87261 85272 +70005 65573 +34822 33152 +108925 117152 +51401 55060 +159499 168646 +195331 179964 +53685 57636 +78775 86411 +41887 39868 +62425 64637 +19129 19859 +51422 50575 +146097 154900 +41139 45051 +155666 143972 +165538 170703 +45095 48899 +18961 18345 +96391 98075 +104449 98449 +44029 40169 +17333 17715 +190843 179717 +199988 185719 +186424 178063 +6191 6719 +115388 119992 +196675 190140 +110187 100586 +98567 95021 +144913 150101 +96095 93046 +184189 198679 +41588 39001 +46452 50375 +145358 137299 +100662 105951 +120086 113749 +20765 22723 +27937 30557 +191272 187186 +56544 57905 +103223 109256 +65721 67320 +25190 27949 +82144 79349 +92182 96670 +25877 24865 +68021 70486 +69086 74979 +73210 70808 +137428 129838 +64972 67868 +144044 149623 +130823 120532 +88158 95250 +96329 92889 +178988 179880 +106184 105079 +144243 136535 +167647 180306 +32290 29414 +127736 124659 +91782 88355 +158223 158451 +188509 189852 +4912 4674 +170666 163382 +169489 187476 +119167 112301 +52673 56234 +153214 145000 +459 440 +106329 107384 +69378 73592 +140558 146797 +62813 67851 +39905 38485 +66680 64071 +151386 163858 +12845 11937 +90323 94167 +74536 76600 +29355 30207 +7837 8380 +70349 66825 +140426 136505 +137870 152372 +189660 194628 +120112 118323 +36358 35456 +89503 95949 +180451 174797 +75017 78933 +211476 193679 +149468 142528 +93680 99734 +183478 197800 +197204 179503 +112843 104732 +113155 112838 +134915 148193 +79441 79414 +29042 30730 +178975 163759 +46901 47535 +164439 178755 +42496 44557 +56143 51533 +80248 76858 +31793 34174 +200728 183235 +47490 51014 +142266 147940 +88094 90587 +42103 38691 +40875 39336 +164035 161417 +42316 43029 +96840 89982 +53520 49327 +145998 155200 +5724 6325 +80404 80319 +68216 75134 +106386 116761 +72583 73161 +119480 129965 +82216 90979 +5682 5214 +133925 141743 +142332 139366 +29291 30613 +70437 69972 +2174 2058 +190285 192932 +49086 48695 +128813 126329 +154547 157449 +109533 109433 +128158 125716 +149591 154565 +125213 138020 +191646 180627 +92422 89762 +171569 156395 +21687 20768 +124114 114874 +64834 66561 +57339 55958 +101607 97992 +155953 150287 +32350 32763 +76654 70190 +138537 147635 +103981 114829 +84160 83971 +71167 75154 +114880 115075 +176079 171082 +142094 140600 +115816 116190 +117691 110625 +38679 40884 +115901 120033 +168390 156068 +78583 86340 +79386 81685 +189660 196527 +92004 101748 +198025 182761 +23542 23120 +119060 109952 +165542 174613 +93611 87705 +200427 190436 +45104 45592 +31671 29131 +69281 72104 +100893 105062 +95183 101287 +81 81 +142784 142897 +78907 84552 +91754 91912 +11127 10462 +133343 142237 +6079 5718 +147251 161277 +22155 23458 +147134 151579 +178965 178870 +142723 134518 +69965 64327 +55533 51942 +143639 158501 +41026 43593 +183648 190578 +112756 116164 +152570 167102 +94388 93496 +85048 89089 +82888 83715 +191501 174195 +57414 56112 +55203 52684 +107990 99772 +186591 191315 +101171 93126 +185902 175717 +33294 32466 +213891 197701 +6898 6393 +167335 156250 +18297 18673 +157669 172809 +212594 195573 +164825 151727 +19096 18705 +71828 73339 +47036 44748 +105552 102567 +78618 83121 +116848 109073 +3934 4348 +53288 53306 +35802 39390 +192602 198810 +55086 56109 +78058 75357 +127636 121068 +173235 187262 +81404 78578 +94673 97407 +30262 27961 +74053 70338 +185136 176901 +112194 112519 +160876 158702 +101492 100931 +86694 82636 +60412 58137 +139480 127615 +67604 67959 +160659 164504 +84592 91024 +148879 146728 +190983 183665 +76051 70371 +148604 142787 +46063 44015 +25655 25308 +6226 6543 +148697 159233 +144589 148135 +151286 164924 +41808 39467 +126277 115542 +125632 137768 +212674 194802 +218215 199151 +51950 47622 +124339 120089 +113525 118006 +180021 176892 +165757 153231 +146802 144553 +71919 72413 +60814 63518 +63950 64809 +112173 114831 +144883 139730 +100101 91020 +175775 192134 +16916 16270 +36473 38494 +17125 16996 +70558 68052 +64390 68341 +158574 150305 +100674 109553 +129750 141111 +191039 174553 +35015 35160 +98765 95399 +107945 106002 +35361 34714 +114374 123735 +46771 47970 +182795 178237 +170072 157093 +138239 131342 +162167 166380 +1253 1165 +177875 187502 +9872 9862 +71350 65654 +149326 146128 +85376 83059 +195802 199459 +116533 123292 +115111 126160 +75398 71422 +99829 102113 +30599 29219 +75945 74189 +167271 154209 +138586 133144 +69201 73916 +58584 62917 +64634 69433 +70793 72121 +66207 69056 +16407 17454 +123323 123308 +24812 22736 +139681 137075 +60609 64631 +28740 26733 +89124 97922 +183560 192323 +46510 47500 +168848 161269 +91031 88718 +177454 174407 +208582 194833 +82346 87561 +52480 48718 +176352 165762 +172442 159652 +11937 10872 +47468 51764 +4666 4575 +114442 107100 +189047 177244 +68108 62031 +37996 36916 +59802 59278 +139757 145622 +203928 197765 +8949 9281 +104092 99844 +99735 94483 +17839 18072 +153626 165296 +28459 31366 +64739 69067 +51751 56419 +115648 118292 +128183 133267 +120332 122750 +151333 138012 +131459 143083 +106761 114342 +84222 80375 +43212 42641 +35489 35358 +136399 148275 +122883 114763 +165574 171053 +121329 116862 +98910 91432 +171097 179544 +134521 124977 +9783 8902 +206700 189231 +83941 86280 +172245 167741 +163620 180829 +117360 127784 +170775 183629 +58297 59270 +204330 198354 +149619 157425 +134801 145828 +54644 50015 +123082 131296 +115205 112223 +141510 134612 +159292 175346 +116831 110761 +146587 155332 +95078 91246 +95736 102790 +5575 5437 +65681 66153 +192016 193868 +30601 33272 +105962 104146 +130932 143186 +53994 55955 +44710 48195 +25159 25226 +181780 176895 +28930 31219 +8692 9594 +40762 43250 +117149 122343 +166809 175779 +23798 23818 +117979 107583 +37913 39676 +152739 143463 +6363 6523 +68055 71120 +213764 196203 +133181 139404 +49782 53421 +51881 56305 +137132 141979 +141488 150312 +141529 151709 +168188 164244 +183841 195819 +122140 131356 +49287 51295 +170289 182039 +191787 199925 +79431 84691 +115917 107043 +94789 92866 +56140 60879 +64572 70530 +186795 174194 +60032 66184 +180434 183879 +168388 157736 +208127 195951 +98553 104835 +94179 92452 +217533 198733 +168459 176792 +146016 134777 +26967 25001 +140295 146564 +61411 58499 +40538 38555 +51826 57171 +116993 129514 +114323 118884 +158372 165506 +59738 61655 +175040 176038 +83801 87491 +61650 56051 +161242 150119 +19265 18985 +69482 73032 +146815 157722 +135286 140972 +102510 97005 +28926 27505 +187822 174351 +38625 39818 +200929 194360 +146740 144727 +198018 190165 +162979 161825 +179119 163351 +148449 164591 +55704 58840 +84542 93447 +25487 25509 +41935 38980 +25851 27436 +15225 14480 +198541 181123 +86666 85119 +23251 24402 +157336 147529 +178095 171807 +41856 45473 +19921 19701 +182753 179574 +55651 57519 +128460 133061 +42247 42278 +103172 96729 +24640 25717 +77223 72737 +183477 169573 +106904 116204 +50992 50362 +175878 173443 +108451 105689 +43603 40546 +204585 194516 +82040 86805 +158814 165076 +10465 10924 +16863 16610 +102795 100379 +193419 199634 +110821 104240 +116435 121804 +32405 32305 +29085 30904 +98624 104529 +59883 55173 +168756 184527 +107090 115030 +103390 113708 +55091 57163 +15868 16903 +96973 102247 +1494 1430 +21347 20899 +10350 11267 +137743 130894 +47556 47904 +85989 90399 +77977 73225 +107312 100761 +51677 47381 +106247 104665 +30141 28024 +92326 91839 +72091 77752 +32399 33271 +41894 43018 +71296 75107 +35175 38537 +52914 54887 +8836 8338 +136976 152080 +81926 85886 +118789 115745 +111608 104893 +121392 123708 +85032 78732 +85563 93546 +32280 29394 +34197 33031 +177143 185309 +128 141 +214984 195764 +158039 160571 +98410 98584 +54728 54561 +26290 26908 +186027 193035 +53198 58654 +14532 14472 +196921 192929 +147565 143381 +32317 31624 +104500 114283 +147713 149553 +119334 116386 +143991 141484 +159235 162752 +11120 12247 +148723 137635 +59272 55703 +72598 78086 +168107 184053 +158884 174579 +217213 198674 +48061 50373 +112419 114825 +189586 174041 +155598 149279 +87 81 +169004 167785 +85240 77956 +167510 157243 +154164 147398 +128350 123272 +50807 51279 +138065 145371 +62394 63754 +47181 49009 +129974 126972 +171633 188400 +24469 22543 +57704 57864 +14506 15867 +109824 111077 +67437 71312 +2265 2446 +44773 45090 +41181 40221 +13983 14416 +27015 24795 +106855 111155 +60350 57144 +39824 44064 +25455 24163 +2368 2203 +71731 75660 +8293 8920 +56255 55860 +17369 18094 +1862 2029 +185882 194523 +124603 129524 +136030 141742 +78534 75495 +166460 157013 +23323 22628 +24703 23491 +13660 12864 +145727 143293 +102009 94817 +76109 78530 +143239 133561 +31100 34133 +19930 19103 +170663 167656 +12362 11595 +122431 132327 +80902 88046 +82723 83998 +13474 12972 +2768 2823 +44559 41271 +48843 54004 +57936 59593 +149231 136853 +178487 169406 +62929 58855 +195944 181579 +76773 76410 +31994 33951 +150166 158365 +163543 163412 +68245 67495 +136718 134290 +61288 60452 +154892 142849 +98716 99675 +79663 75176 +43062 40377 +64276 64359 +57006 55772 +22486 21197 +140875 128637 +130253 131031 +48926 49722 +41590 41158 +173387 171135 +91685 86940 +95464 87121 +122006 131890 +55855 57526 +100994 95929 +56248 55045 +52819 53195 +165391 172230 +38882 39981 +21362 22149 +138170 140580 +26366 23992 +87588 93616 +53336 55818 +47370 49257 +121156 132867 +36021 38261 +8986 8278 +149587 139812 +76502 84104 +179249 197416 +141491 128888 +198470 196404 +135976 135119 +138407 140015 +98641 97028 +103615 101397 +108152 106508 +170657 165291 +158184 146077 +96330 93980 +172659 170014 +33742 31989 +182147 178221 +38508 39994 +72956 73804 +102737 94627 +139861 141801 +114115 105462 +137898 138376 +195630 199234 +6283 6415 +165432 158005 +76478 70253 +150258 136731 +87682 87848 +45215 49075 +156798 173507 +79528 83952 +47619 52552 +59317 59081 +183482 188471 +209338 192893 +90767 91275 +200739 188519 +75617 74277 +11626 12409 +214706 199832 +23721 21784 +145404 135376 +62538 64660 +22411 22367 +100510 99522 +100173 111206 +57296 52408 +165576 176860 +176814 162533 +157184 162814 +89419 92427 +46786 46803 +152682 157017 +64706 62939 +77036 74985 +139322 150547 +54098 50130 +21048 22952 +20228 20715 +152215 159862 +662 733 +120274 131579 +143650 139108 +124317 117405 +148717 164834 +56917 54036 +197391 186208 +141379 138613 +136651 141921 +122198 124764 +10990 11109 +157169 173878 +126058 135031 +53239 52904 +183267 199283 +33298 35424 +192334 181912 +90137 84086 +86745 86981 +165241 177022 +177464 173716 +180575 181676 +11313 11664 +126095 123364 +152861 161492 +56683 56862 +151013 147201 +3287 3507 +374 347 +4459 4934 +33661 35585 +17444 16530 +172869 169991 +48120 45259 +68160 74161 +42237 41843 +37375 34127 +69814 68669 +192813 192304 +100868 94422 +25311 27716 +96012 106196 +36137 38290 +73115 67646 +93975 100562 +176315 167926 +32784 35560 +20924 22271 +129297 123133 +175954 163375 +62719 68156 +150406 147826 +113240 109990 +205193 194616 +109320 100435 +63913 70972 +145774 135110 +10244 10226 +180275 176363 +23910 25101 +181298 179183 +130320 137898 +28897 30460 +130407 126940 +106853 103056 +60399 57877 +143424 145529 +28265 29037 +11711 10991 +181206 164754 +57412 62669 +81963 77005 +7905 8302 +66741 66988 +106785 116896 +139936 150226 +125947 126728 +63290 69847 +25177 26898 +139223 142621 +144833 155026 +112642 104688 +2343 2556 +18381 18457 +10477 9980 +104390 105600 +16863 17331 +110094 102907 +64075 62800 +108677 111031 +197617 183622 +62634 61441 +67798 65647 +49960 45426 +45679 48893 +134953 137077 +131755 144920 +25644 25334 +126954 118810 +29707 28649 +140171 140003 +72554 80052 +48885 49241 +79955 73274 +21609 22655 +43805 45829 +100992 97137 +139955 131344 +69612 69434 +120953 127214 +84619 91730 +103391 94169 +91199 87607 +123894 129243 +55154 56998 +124581 121426 +46673 43574 +132693 143652 +114142 125458 +147282 162752 +25059 24273 +122129 118540 +163682 163508 +184550 174960 +39261 39483 +12805 12479 +175712 184164 +179441 178749 +142758 130497 +133942 143505 +137161 150324 +51454 55496 +39902 39946 +109698 103939 +110644 108402 +82480 85375 +204451 187801 +97087 104063 +192860 182947 +81544 85016 +1598 1671 +62644 57177 +93099 97031 +79807 78676 +118645 112075 +117155 128525 +48813 46233 +89388 88511 +121507 126387 +83488 88261 +110046 104526 +91555 99244 +99635 92906 +24019 23890 +85123 89554 +30503 28986 +107433 109746 +51758 48496 +36288 40080 +29233 31187 +43133 44777 +175766 181124 +125715 117545 +45979 44522 +87653 95557 +212323 196447 +161998 155769 +123359 115514 +100577 107673 +13550 14521 +65729 60182 +126212 127755 +140245 152080 +126350 114936 +27538 27218 +165968 177326 +197558 187311 +24370 23529 +177424 162678 +207416 199357 +22114 23380 +65328 63811 +1048 1159 +10473 10142 +64061 69051 +104543 112425 +20903 19779 +25277 26879 +89015 81589 +118035 119526 +24249 26210 +200459 186264 +146175 139440 +183950 198064 +166781 158806 +104013 96814 +184866 171124 +138820 141735 +205339 195661 +36663 36172 +2019 1954 +73380 68436 +95008 99500 +152584 167689 +17164 16309 +35042 37740 +88450 93139 +42941 40390 +109529 115522 +112796 111245 +77361 71389 +204508 186543 +163091 174329 +86982 88573 +35449 33758 +146416 140663 +37101 37091 +18535 17072 +53812 58597 +57655 52894 +49314 44899 +115479 120448 +45664 44153 +57306 53804 +78539 83895 +113784 120052 +181460 196510 +178927 174516 +47347 45943 +60488 59899 +176325 183119 +147195 149460 +49725 54866 +94969 87856 +26956 26371 +40892 40945 +4939 4693 +170729 176149 +43556 42793 +4086 4413 +57851 56101 +84370 82074 +159055 163373 +59860 60595 +17327 19137 +57283 61908 +94274 100979 +52793 52823 +86148 91523 +53738 57096 +20958 22361 +4385 4087 +167007 181021 +66915 67167 +147262 142838 +160377 150382 +57388 56414 +207438 198769 +112855 116767 +186406 172318 +156548 167853 +65461 69565 +115204 113438 +180263 199155 +18518 20426 +150192 141676 +15074 14843 +189215 184356 +176256 160849 +195625 179859 +42811 44853 +56711 58291 +86776 92152 +52975 57199 +160404 177927 +147623 154985 +169259 183373 +126455 138728 +176365 161125 +183772 182045 +47064 50298 +14014 15056 +113294 124862 +97620 91244 +189377 193219 +94606 90531 +80180 77433 +94950 97407 +185710 171589 +115699 128391 +163208 169018 +5559 5130 +203029 197814 +179415 188683 +93310 91420 +130961 122824 +166651 178473 +199183 183529 +67374 66677 +200473 182850 +117212 118472 +145407 154437 +55260 57559 +33438 33095 +93480 86170 +138782 133357 +137464 146827 +129547 143923 +115669 112700 +168509 156632 +86329 82453 +104463 97386 +78354 75562 +18862 17385 +159814 151989 +100988 108827 +103293 106165 +36202 39532 +44481 41058 +199342 184447 +92121 95051 +120229 131157 +35312 36558 +35320 35864 +67494 72563 +121377 124485 +85070 79078 +38585 38389 +113461 114448 +151146 142342 +215417 195985 +153611 165738 +77346 78108 +145299 154380 +126191 137710 +104299 95514 +19108 21100 +97957 92851 +112842 107544 +56224 61871 +155982 163759 +152795 152197 +166223 164387 +133604 134557 +136302 143280 +48397 53171 +80997 89053 +157099 146916 +143672 154578 +142904 133401 +160524 165520 +172035 174541 +32860 30992 +159774 146556 +120637 112572 +119954 123178 +104880 105476 +134724 148812 +144935 157663 +89790 87127 +118787 119135 +191220 185700 +1024 1134 +190798 194045 +148298 138474 +140659 132615 +125253 118683 +187855 177611 +115393 114189 +160100 173795 +68547 72911 +142394 146813 +15481 16902 +110382 115471 +106165 96942 +28475 30679 +166099 154863 +180569 196557 +165296 153624 +3130 2985 +116421 106298 +163354 153802 +56850 60259 +188157 194890 +62250 60470 +11174 11547 +139187 126948 +24822 23773 +159730 176585 +185833 178944 +77376 82597 +45718 45713 +8724 8373 +16195 17635 +53082 56621 +160804 151451 +68339 66005 +8886 8087 +171834 159357 +58188 63035 +111023 118110 +58088 56538 +124985 137103 +180480 183358 +180702 174304 +58788 62549 +5119 5527 +15358 15480 +98057 101445 +185210 183704 +194923 197379 +191561 199175 +64485 60046 +43094 42529 +57666 54909 +179417 182101 +107483 103451 +58907 56980 +139758 131429 +132986 131028 +68599 73377 +44546 45697 +88865 87321 +105116 111041 +133510 148275 +17510 18402 +197995 194094 +20742 22499 +36228 33403 +188042 189788 +151576 163957 +98921 107658 +106391 107455 +193086 190203 +117330 117945 +106826 106066 +98101 96286 +170433 164600 +33417 35837 +94441 104223 +149031 164498 +193350 177012 +46192 48065 +126110 139681 +130879 128558 +191079 199036 +121515 131003 +29919 31055 +99506 100831 +170443 178692 +145132 151904 +153932 153709 +23800 22637 +175443 181486 +67620 63706 +20427 21384 +16580 16760 +134909 149506 +95147 101456 +22769 23477 +15131 14652 +35938 37109 +90162 91043 +66229 67608 +187275 172623 +23886 22820 +98542 106605 +688 729 +154401 143136 +93703 96855 +25914 24130 +71869 79789 +111338 105592 +250 256 +183235 169520 +180232 167957 +27106 25338 +159804 154555 +145769 139072 +126575 116568 +16480 16419 +63349 59406 +105614 115380 +166693 178185 +79847 74956 +104488 113896 +22488 24073 +124103 113861 +3227 3301 +118711 118947 +76892 80308 +123251 124339 +95433 98106 +185784 191107 +64118 64230 +13733 12675 +34917 31791 +77642 84150 +81373 84426 +161705 147634 +32323 35013 +179531 193107 +177210 172995 +209893 196610 +144023 159765 +70000 77341 +149842 159921 +75439 80388 +94258 89617 +48546 44159 +106330 104874 +48016 49487 +160763 160775 +60442 65296 +120035 109506 +130963 139911 +60381 58271 +98390 95566 +150270 139781 +86666 89305 +83308 79578 +30165 28068 +102075 103226 +174546 186811 +106136 106963 +54993 60325 +4364 4767 +202353 194286 +187818 182975 +131062 124944 +160345 163505 +63469 66557 +100647 102894 +71270 67340 +198526 198051 +145835 151741 +110015 116169 +160213 176555 +159331 157411 +47165 45453 +84796 84116 +57571 55400 +115117 104666 +151464 140181 +108725 102315 +10617 9706 +98330 102314 +164100 176520 +113360 122438 +20999 23233 +107043 112367 +95099 95203 +6447 6454 +109399 111334 +11108 11137 +26534 28141 +98753 105127 +91735 93884 +94523 102318 +63693 68023 +6942 6878 +45849 43475 +190690 196289 +87576 83612 +7093 6650 +42669 44641 +134071 127296 +102846 97919 +158773 153269 +185537 174793 +10107 10983 +163675 157329 +92161 92642 +157237 144086 +172139 164207 +12688 12809 +120072 132974 +170483 173309 +182236 176267 +145039 157484 +47596 52832 +207981 191200 +1094 1127 +169189 163205 +82483 81050 +96045 92296 +104541 97961 +191941 184683 +93800 90327 +92825 92776 +109616 105714 +189320 187071 +93806 93485 +49232 46494 +24259 23388 +175354 169004 +72078 76875 +186879 175603 +21881 22330 +159688 156456 +9764 10361 +151252 158103 +137820 126044 +136686 129583 +54965 59676 +108214 104616 +128904 141258 +103655 94285 +177759 186544 +26497 27881 +152645 138886 +73906 80914 +39790 36622 +3381 3149 +177489 172557 +156859 168476 +37250 34598 +153724 163307 +58678 64276 +70334 66515 +8691 9091 +131072 122888 +113908 117324 +201866 199270 +170340 188620 +83936 84883 +193929 192287 +83319 78416 +201382 193022 +116707 107169 +97177 105075 +51615 55767 +183756 182836 +149980 145975 +89471 97252 +187994 190601 +49942 48033 +99132 96766 +158243 166411 +53707 54014 +148295 162515 +99720 96398 +533 507 +207467 192219 +51187 52783 +64001 67429 +171159 186112 +192569 176331 +70393 70393 +62364 68847 +81769 78368 +116732 113797 +9102 9931 +100040 102717 +46606 43867 +112040 115083 +10426 11418 +134377 141967 +28206 30637 +76240 81638 +78969 81526 +41687 38861 +119070 118920 +18576 18796 +17471 17287 +124730 133703 +72395 77660 +50733 53692 +55603 51091 +97853 90554 +1942 2001 +141577 132799 +114405 120821 +146173 153227 +57509 57545 +170355 173670 +32783 31141 +162598 148811 +164638 165344 +115069 125168 +36979 38068 +9602 8819 +101692 104429 +191423 191330 +104961 98254 +55086 58215 +205539 198016 +102955 97422 +89100 91767 +26136 25461 +161668 172196 +12834 13071 +139968 154000 +94377 102740 +29218 29980 +9249 8777 +45176 48594 +106136 107559 +19615 18791 +47393 52459 +81970 75920 +254 249 +143474 155581 +57381 58990 +59608 63862 +24664 26049 +131468 130321 +126136 121236 +158666 165965 +146532 158429 +11789 11023 +214155 198572 +50390 46070 +65808 63704 +150358 138141 +68522 72266 +180311 164076 +116961 117733 +180369 174683 +21402 20497 +46785 45957 +112042 113955 +112956 120996 +183659 193130 +96066 96239 +171430 177817 +64266 64653 +30161 27660 +4562 4148 +184690 178040 +33783 32547 +137144 136372 +78151 82872 +40316 38366 +108607 115247 +113707 119211 +164095 171191 +127201 119631 +18966 20850 +45898 43269 +97667 100490 +161478 178172 +47320 48683 +51496 50078 +10452 10464 +38672 39677 +90513 94926 +78355 72604 +102221 113351 +117127 126936 +205865 194444 +126592 117810 +83524 90530 +85990 90682 +170503 188303 +33111 35032 +123642 113649 +44741 44538 +99086 101756 +32497 34517 +33795 31822 +71255 71450 +110510 122161 +76293 82552 +143414 141356 +74739 75143 +180705 172230 +81337 81752 +150822 141592 +115225 126870 +118048 125198 +44393 44568 +16053 15034 +189701 192455 +29467 30740 +116785 117095 +8374 9234 +68147 68291 +162916 150880 +112765 109433 +40923 37501 +116357 116383 +173159 187057 +51814 50129 +131612 125166 +82605 82777 +102597 96707 +110597 108025 +127957 131255 +104740 108311 +53193 51912 +172288 185798 +135244 129664 +90702 88621 +140767 136880 +120785 113046 +108835 111244 +82265 83413 +13582 12515 +96618 92755 +90203 85945 +11103 10742 +35122 33090 +17341 17838 +113346 120637 +115812 105375 +121574 125867 +20411 20198 +22322 23851 +80137 77066 +148123 158388 +196767 179137 +178927 184686 +115751 109212 +180044 178605 +127130 128015 +114360 104715 +51645 53217 +85832 91616 +196677 187884 +210990 195425 +200456 193938 +70318 75154 +124799 113789 +197733 181097 +113722 120080 +45913 44737 +54442 52207 +113930 107767 +72835 72615 +120560 132197 +204699 192380 +175199 192889 +106042 108110 +53352 49393 +33477 34983 +81416 89013 +149983 163363 +151287 164816 +130665 135228 +18219 17164 +172030 181938 +129865 128874 +128396 139219 +5402 5755 +159049 148780 +174631 163998 +41755 37964 +75942 82135 +89962 96369 +184584 189063 +155324 160082 +128747 132046 +199489 196488 +75928 83898 +6328 6810 +30124 28330 +170894 179300 +45062 43523 +175536 183906 +77750 73806 +10606 10078 +145576 138221 +194138 199988 +139449 149048 +66146 67967 +1025 953 +104587 96738 +138935 153407 +119754 114165 +166057 153379 +66428 69001 +45850 49493 +111020 114138 +81093 88353 +130489 128336 +65590 67332 +167373 178994 +95499 105125 +6188 6760 +50191 53170 +36907 40939 +72624 76184 +127442 128459 +104438 108318 +80934 82972 +34075 36959 +213753 196798 +155294 147490 +56079 61576 +115515 109976 +160504 165201 +92013 88497 +13315 13210 +3636 3675 +121628 124955 +207296 193688 +33495 31656 +190838 183546 +51770 51328 +48322 47678 +185149 171314 +64747 60035 +201776 187693 +92264 83877 +67038 70818 +68025 69306 +85932 89944 +19909 21352 +126075 130124 +117244 126946 +186734 185794 +78134 75709 +69307 69100 +2870 2739 +105296 111158 +42928 47219 +30806 34092 +100523 100706 +183667 181321 +159497 147506 +80515 84509 +18110 19869 +135467 145497 +177323 161215 +121302 110714 +40545 44942 +142832 142736 +16773 15351 +124179 112938 +107521 110549 +47312 49441 +194233 198032 +33930 31293 +65827 70736 +165896 168967 +140389 142109 +38974 36588 +77056 75349 +134581 134154 +102220 110920 +42645 42842 +6751 6516 +7999 8187 +87168 88984 +139101 126679 +167787 172471 +38314 40180 +29997 33003 +127107 122329 +35618 33954 +18221 18394 +39109 38010 +34201 37762 +98385 104437 +119783 120157 +62127 67545 +200633 194847 +72397 67879 +187435 194676 +178582 166581 +189251 185445 +122808 118058 +166493 172004 +60092 54870 +92395 96180 +65918 67436 +109295 104887 +83793 84508 +133021 139478 +169872 163985 +152247 152263 +104702 114372 +41103 44926 +89932 96443 +12676 13042 +55975 58402 +126099 120586 +8661 9156 +150958 166436 +170249 165606 +185140 177282 +59705 58240 +146591 141266 +874 857 +81081 83609 +167182 161342 +136021 140539 +121087 117790 +40771 40809 +95183 86789 +116867 109671 +139625 131315 +206179 188217 +134985 139033 +46499 45225 +24836 26351 +207887 199928 +130043 126581 +200104 195871 +115653 126020 +160215 165248 +204258 185807 +187300 171374 +130108 127547 +105312 115221 +80298 86553 +111912 111688 +176633 169276 +120499 117990 +99165 108028 +154277 150183 +116038 107973 +24506 23816 +18863 17244 +100933 95057 +142520 134448 +31739 30272 +54621 54809 +149163 144020 +182001 167471 +164947 182583 +65841 63369 +42809 43912 +1637 1553 +24334 22277 +28995 32001 +199512 191954 +17650 17468 +143674 154600 +180016 186635 +209174 195421 +110952 106866 +164220 171531 +25591 27311 +132033 143456 +178540 180494 +66139 71362 +4076 3756 +35165 37404 +143942 146182 +2091 1930 +148347 141756 +165323 156957 +103452 100520 +191689 187339 +202268 188885 +168556 158774 +92962 101662 +53814 57943 +31192 33829 +170094 171271 +184458 178604 +96010 92394 +60115 65619 +129998 126136 +34300 35631 +156678 147049 +103836 115267 +22075 21245 +130061 138141 +155041 153061 +191434 190287 +74575 78609 +99460 99529 +29094 27607 +99158 106384 +43626 41789 +95204 96157 +164315 173667 +63675 64596 +18129 18896 +6949 6751 +113403 114199 +71558 70055 +82059 75365 +59706 64751 +141910 157418 +17367 19276 +73107 74722 +66268 63173 +121994 133951 +111669 106819 +79359 72693 +199166 186485 +152686 147425 +59829 64963 +98754 108375 +63348 58476 +51337 51462 +110428 102846 +184740 172490 +123035 118705 +6090 6095 +43185 42849 +131104 144988 +102912 104871 +81747 86723 +122866 124242 +145438 140900 +135148 132551 +136199 138329 +95097 100594 +194301 190081 +20843 20968 +72475 67244 +126988 122075 +58139 52960 +90634 94137 +50793 53126 +11125 11233 +44949 45044 +119522 118146 +214218 196952 +70393 68946 +46813 49277 +94262 104681 +36194 34749 +147302 138872 +48474 51140 +94859 96296 +138470 126780 +181806 180499 +101642 112219 +43820 43481 +156933 170565 +98363 96288 +112409 112542 +152807 168236 +127646 133104 +73278 70039 +105063 105782 +78923 81389 +90263 83139 +130165 119910 +20245 19858 +171759 174081 +91581 96171 +152699 147833 +146465 139502 +44609 48614 +69661 75647 +64657 65459 +137141 152014 +156569 146680 +122553 113921 +19775 18612 +15693 17217 +68675 66344 +8574 8926 +79023 75218 +126282 116000 +37041 35013 +132804 144939 +134145 134433 +156345 154637 +6090 6197 +157794 161064 +149328 165806 +49989 46071 +45469 50105 +136570 130686 +153163 147325 +155846 162706 +66344 70318 +31079 31554 +93553 88976 +110081 114657 +16417 16777 +68736 63409 +68665 73248 +74216 81006 +127927 136344 +173846 166388 +60866 59939 +33192 30989 +62533 57733 +38647 38875 +100834 108358 +202630 192478 +109838 120083 +145479 155107 +34993 33075 +145956 159882 +179075 196769 +72638 73202 +175601 183626 +63860 65667 +177441 185380 +160663 152599 +180275 188392 +26522 25670 +118926 116718 +138047 144652 +169948 185892 +42545 46617 +189009 185131 +18877 18486 +3217 3279 +74410 73679 +4631 4512 +51222 50657 +11921 11633 +125759 137537 +158003 151917 +171362 168710 +143845 151185 +169687 181588 +149405 140681 +86458 78982 +20788 21419 +99266 95351 +102955 113098 +134882 123053 +97455 88888 +147759 134883 +156979 154652 +11110 10674 +65687 68588 +105021 108955 +101616 92550 +37165 35955 +123604 115825 +50030 49643 +65809 68907 +29019 31995 +48561 44654 +16958 15659 +159513 148420 +37642 34302 +26863 29059 +178918 182331 +36453 38568 +106398 105369 +29924 27852 +154362 153670 +33634 32645 +87088 93268 +42743 44508 +70965 66113 +3836 4134 +63039 60513 +180833 180801 +27009 25898 +92579 96173 +104889 113820 +111738 104516 +176526 191691 +88273 87511 +209993 195722 +94723 90919 +204758 191734 +207860 189437 +9693 9372 +99647 96806 +77094 77512 +99336 109135 +59020 53931 +78544 81657 +21597 20264 +207172 199960 +170471 156091 +42612 46734 +45016 41990 +98988 96255 +25754 25466 +173207 173392 +69480 67166 +57652 53057 +98388 105215 +75041 69797 +76716 78900 +66593 69306 +195697 187725 +190728 182121 +211859 195864 +69692 64987 +110626 122895 +805 757 +22230 21217 +156451 150962 +139582 149723 +118233 111196 +36019 34419 +58274 59751 +200461 193969 +157722 162730 +58909 60283 +197850 193914 +198464 191931 +87594 80549 +45621 45039 +133037 131656 +30822 33484 +163862 152654 +59304 56208 +134949 132037 +46224 47651 +130071 141406 +65768 70313 +106939 108545 +16002 15015 +45821 44555 +171818 168335 +109731 101605 +154577 150633 +43495 46402 +46078 44064 +145419 139637 +68985 68711 +71518 65324 +45130 48902 +6394 6733 +155198 142661 +13877 13995 +153990 160179 +17904 19173 +131209 134547 +132960 133403 +54070 56100 +117911 109966 +67508 69260 +128279 131603 +144713 136032 +12144 11636 +134896 129042 +127124 126115 +2105 2296 +60553 64028 +203526 188405 +214591 196438 +139343 144070 +114661 117093 +157295 156523 +37881 40559 +48142 49903 +108975 111240 +25607 23830 +181406 194733 +73263 77411 +209279 196826 +44172 43011 +76101 84552 +89360 85465 +146724 153735 +174729 162343 +65891 62067 +74964 71766 +99975 94358 +33671 36706 +87189 95578 +145705 136932 +107602 101161 +150155 157885 +140879 147171 +187802 182556 +41874 44667 +132558 127661 +73078 72943 +149415 157471 +33932 35169 +96410 92367 +110372 102103 +182026 179478 +149357 161100 +141252 135980 +140283 137776 +87178 86391 +130573 142979 +150583 167028 +109817 108297 +45645 44481 +9113 9451 +31210 32132 +175513 178730 +13083 12092 +58575 64640 +88586 89122 +36779 37781 +101142 99259 +117774 109339 +167217 162301 +17147 17798 +28239 27803 +2894 2859 +172197 158191 +46867 51828 +66188 71811 +154584 168894 +117728 118780 +149524 136207 +123609 114148 +129209 141559 +31108 31507 +139454 141698 +171620 184440 +177249 165526 +20823 19209 +34787 34736 +23753 24517 +2069 2230 +114652 116642 +166025 161208 +138437 151065 +58333 53319 +153734 141898 +64900 71858 +58310 56652 +27608 26074 +75218 72341 +179235 190065 +91937 86019 +116935 122707 +130426 124741 +106798 101568 +86428 89897 +180829 177974 +30059 31362 +171394 174247 +104394 110645 +180771 192668 +193897 198560 +12627 12454 +158324 146024 +176716 166268 +98181 95326 +98121 107830 +137480 146958 +119577 112357 +53028 55139 +8996 9677 +197339 184250 +146092 153920 +9292 9921 +203444 189655 +183554 175564 +22682 21264 +166481 177076 +89626 87039 +107476 104364 +33392 31474 +72199 79585 +165130 164838 +11615 10957 +35645 37599 +118718 124377 +39407 39912 +19322 17965 +136720 142183 +183098 186325 +207989 193628 +81680 89297 +72509 67702 +105798 110265 +156616 171538 +181407 183435 +33291 35025 +102287 113619 +55033 61076 +2090 1973 +170825 162455 +81450 88474 +111326 105447 +53966 53621 +81017 88513 +159411 167409 +168725 182921 +84798 77305 +83755 88036 +58159 59043 +55483 59954 +187699 181242 +149067 148651 +153561 149542 +185360 195669 +134150 132666 +29166 31647 +34990 36570 +59333 59421 +31915 30663 +191400 176417 +78598 72201 +127903 124338 +123312 128632 +16342 14892 +162961 170022 +31675 31028 +185451 186668 +42771 40917 +123579 123088 +102260 112380 +105823 101840 +160143 160908 +176010 174094 +112731 116127 +73036 70807 +125104 130010 +47592 44433 +181279 194348 +32579 35521 +151792 166243 +147661 161527 +71981 72299 +145314 157526 +64708 70819 +122602 115780 +87150 92381 +70799 69866 +27257 25138 +76850 72552 +166419 153344 +75173 77081 +24224 24706 +23749 23422 +86628 87818 +103621 100169 +22629 22941 +17248 16328 +129144 117867 +12130 12204 +169814 158249 +108782 115574 +93023 90829 +167598 157693 +17999 17062 +13804 15198 +29672 30101 +54160 58880 +206001 194731 +19058 20802 +6669 6881 +124392 115844 +72620 80366 +4276 4687 +8798 8969 +163110 154722 +112794 124091 +121946 117786 +69504 71647 +54717 54652 +136650 136441 +146374 158370 +50 49 +170364 168897 +194214 183083 +132543 124819 +128383 137156 +107677 117029 +18038 17898 +12978 12223 +143828 151896 +29013 29739 +8958 9069 +100592 93668 +173446 184232 +18397 19036 +35868 35330 +3135 3178 +121150 125800 +112828 117007 +169085 155604 +170983 159523 +101877 102215 +162683 152993 +146627 146277 +93988 99980 +30057 33324 +101727 92732 +11134 11282 +125678 131976 +144725 156603 +182444 187473 +164767 157396 +83912 86633 +3475 3343 +41015 45087 +24417 22907 +137092 151400 +175425 189055 +12689 12140 +185638 169011 +35427 34730 +14805 14746 +117788 112008 +34985 35200 +107140 113595 +107062 97773 +43785 40591 +179480 186115 +152884 146643 +21955 22009 +116601 113381 +76143 77364 +172960 189270 +32508 35455 +6073 6265 +106073 105319 +89883 84173 +71496 67958 +148272 136836 +173472 161226 +10222 10095 +158653 163728 +68936 64905 +106673 117858 +20804 22813 +200322 185467 +187622 194964 +89020 86995 +76534 73375 +21498 22940 +117010 120061 +15672 14551 +131759 135209 +7384 7891 +73630 77284 +58585 57539 +138681 148058 +71092 69115 +93331 101812 +30037 29383 +91701 85817 +78469 82728 +1980 1889 +143260 155372 +96459 88568 +65960 62440 +51681 53228 +29466 27413 +201843 189683 +118470 113669 +95538 103003 +13418 14400 +46061 50159 +119628 127935 +151759 143747 +17842 19268 +176173 180573 +104318 103828 +182062 166592 +129018 122067 +48840 54102 +101333 103802 +12572 13369 +27002 29623 +150769 154270 +136828 148897 +51923 53726 +124585 120725 +188429 187298 +38897 38066 +46715 50155 +70276 76463 +195586 181628 +161621 158356 +55998 56868 +135228 143579 +44752 42796 +109979 121636 +129576 117849 +71499 70607 +4185 4062 +186291 170170 +114428 115873 +103931 95831 +178888 176680 +111464 114920 +106991 110624 +53192 57370 +48097 51658 +115526 118523 +187129 178818 +34617 33050 +114893 115182 +184089 174074 +79249 77247 +25449 24626 +166327 174887 +129042 134820 +28712 30999 +98375 96152 +79087 76467 +161237 167028 +56379 62421 +142357 139098 +20417 20987 +99244 99678 +116080 113358 +172664 165986 +120771 119248 +23657 21520 +76837 73313 +43127 42356 +216009 198509 +13063 13236 +164529 152117 +62923 60780 +201611 188025 +142411 137130 +144548 136959 +189907 181251 +8567 8579 +29808 32656 +74442 73404 +163478 173705 +6552 7046 +168403 167356 +85654 85808 +154879 171241 +28182 28196 +86287 82173 +33470 31855 +102995 101161 +44392 42157 +130061 119775 +11455 11602 +100506 98473 +31787 29463 +67238 73573 +80680 78271 +94553 104673 +51102 49604 +66706 62415 +81534 79267 +108083 100901 +56556 61889 +78921 75476 +135222 142136 +128502 142178 +39920 41987 +45651 42534 +116991 106754 +129640 123296 +108602 109033 +172521 170866 +122101 134283 +174536 191807 +123338 117759 +100979 109407 +103124 104044 +166136 160956 +149072 153847 +150314 148492 +24600 23813 +184102 192939 +35399 38895 +23613 25620 +105646 104642 +48973 47035 +18404 20271 +103047 102475 +152298 145174 +75764 69431 +14526 14121 +91749 100287 +171930 159168 +24986 25665 +97842 105657 +113262 114361 +167532 171594 +3278 3543 +87129 81445 +36856 37820 +57954 64326 +127756 118288 +68530 73116 +9337 8642 +71333 65170 +76714 79837 +32639 34488 +42875 39108 +194964 187731 +160173 154634 +104390 98557 +74933 79536 +46102 47034 +147962 147772 +81814 82905 +60203 63538 +141773 140164 +52251 50290 +96553 97828 +165784 154605 +172532 163635 +47166 43973 +190613 198623 +105242 110401 +184191 179352 +165016 158569 +142016 154167 +189029 183876 +38734 41290 +178750 179180 +41865 43520 +195515 184395 +53781 49326 +60171 56948 +67306 62267 +42354 43616 +144418 150638 +27272 27395 +147199 143186 +17935 18570 +9474 8964 +160196 166516 +128322 118297 +22227 23626 +119006 118766 +103910 111228 +23242 24077 +107883 102746 +57901 55352 +197777 193963 +60433 64918 +130070 141614 +75923 79479 +164642 181035 +67829 69574 +140897 156468 +72037 66314 +70018 67197 +56346 58712 +127549 128021 +10886 10521 +164475 152576 +98320 92468 +47331 48947 +48861 53592 +59349 63212 +117993 114663 +102790 101291 +86679 91906 +42688 46416 +59994 66477 +26748 28626 +144960 134367 +109985 104665 +50649 51369 +183505 169722 +73901 70336 +82619 86541 +56742 54249 +206267 195947 +109764 107264 +20414 22217 +178165 184420 +121801 117932 +116396 111362 +4829 4872 +165429 156541 +61253 67193 +182340 196791 +193661 195229 +133443 147903 +170457 177932 +155127 164183 +3945 3828 +69309 72544 +90252 90326 +104196 114340 +126990 124183 +180565 167872 +152647 162628 +145118 142444 +67500 67301 +195162 186921 +177075 171708 +6472 6918 +188997 198683 +29422 29710 +106365 103583 +125473 124241 +171053 177548 +191083 188301 +65307 59846 +12543 12473 +134830 144874 +86406 95599 +78762 85639 +60993 67444 +34405 33725 +95873 87333 +28964 27120 +21721 22271 +196421 198248 +46844 47050 +20801 19912 +188246 199843 +57762 62568 +68229 64485 +909 978 +48017 45555 +173294 158294 +17923 17829 +130471 127946 +1957 1933 +131047 120418 +85136 77669 +178827 174567 +196798 198678 +193950 196439 +77307 75559 +34792 38618 +60493 58496 +126633 117020 +141212 146970 +167431 161163 +146692 153862 +34659 31919 +64327 66710 +201656 186592 +171352 180140 +42303 40489 +198061 192157 +71972 78648 +146547 149953 +34674 37886 +50822 55898 +48232 50729 +160024 151134 +112511 115451 +143282 147490 +37488 35655 +44102 40293 +20515 19784 +30498 32375 +96335 88222 +78106 79236 +129448 123110 +171877 167553 +162949 165684 +170881 185394 +143482 133616 +118120 121877 +96117 95626 +69973 74607 +19197 18715 +100297 96112 +22193 22618 +117347 127493 +120562 111607 +177911 169467 +51374 49111 +65521 62731 +187943 198765 +151020 149766 +42251 40242 +43777 43678 +57049 59456 +178897 175246 +166779 154768 +151086 167591 +31973 33614 +14832 13880 +66566 61974 +9280 9306 +81968 75982 +142167 135701 +129212 128928 +8511 8050 +35987 39506 +58177 62623 +23704 21699 +134730 141048 +7414 6852 +148353 150840 +189661 191824 +54640 52795 +121250 131178 +141067 154991 +30635 32530 +100298 91223 +56812 59619 +132979 124411 +144035 136396 +175575 187824 +163706 169001 +205508 198847 +171836 179197 +101275 112117 +43487 42382 +136155 142855 +124090 133853 +164373 179871 +105270 103745 +129714 138311 +158787 147295 +93132 92465 +17133 19016 +87730 81952 +24572 26799 +202020 186295 +106066 107461 +201599 185756 +15923 17459 +144462 152393 +158933 150515 +164310 156079 +175368 164300 +99057 96391 +196078 197032 +186564 193718 +186294 176275 +125791 137051 +54244 53458 +121463 133561 +135861 136926 +17870 18492 +139992 153660 +164832 158698 +144073 159098 +69542 67879 +36623 37896 +50689 52836 +165779 175280 +150373 137766 +49210 48307 +74007 68847 +15066 16514 +22179 22859 +118193 119510 +185016 195960 +85356 79148 +83409 84530 +141010 154656 +185569 174619 +180579 165221 +129716 140868 +145774 135327 +140199 139355 +52454 48029 +73741 77937 +88591 83190 +125029 116069 +155166 155007 +14012 14258 +156507 152486 +210859 198419 +164112 171054 +10618 10319 +150752 157895 +85683 84324 +197844 185225 +119731 124820 +172891 161293 +110537 115142 +75826 74408 +78223 82651 +157734 149381 +141559 137999 +33162 31710 +146245 161799 +186603 180119 +195444 188669 +92577 91511 +53601 57988 +95225 87491 +13037 12154 +53903 57465 +175004 168010 +35355 36006 +191761 196379 +170305 159396 +100485 107161 +60017 63732 +79713 82398 +97339 91636 +11712 10876 +81827 79676 +143595 130921 +172913 188635 +178728 186248 +97907 102889 +75941 76112 +9444 10185 +63482 66267 +37400 36862 +155677 151373 +130046 128166 +164178 178271 +149348 141526 +75729 77383 +115335 108116 +141761 139334 +94242 94571 +182226 174285 +31789 32431 +60984 65997 +47160 47117 +80652 82714 +79933 78734 +85656 80955 +143867 155368 +7426 8144 +107329 116033 +155567 171744 +199045 191937 +50922 50623 +204186 191378 +168387 167704 +126778 125239 +77139 78685 +161459 168390 +144994 145864 +58470 61059 +193803 178636 +35608 39387 +195931 198621 +170938 164666 +72189 77849 +111301 113929 +97115 92305 +132105 128391 +38123 37880 +36781 35587 +94831 96487 +145274 146804 +8863 9291 +50918 46581 +38535 40300 +102785 105567 +88902 95322 +8689 8517 +124531 126966 +84813 84742 +108364 115738 +118987 127571 +12513 12518 +148670 143230 +26820 24616 +163658 171322 +145654 134311 +198282 186549 +9070 8589 +128680 122767 +15782 14766 +46628 45813 +75381 81209 +184921 176607 +133013 128698 +92675 92834 +85198 77833 +57697 59442 +108372 99795 +179046 184696 +28549 29762 +181709 169100 +36813 34892 +132856 126287 +137978 135061 +123910 116776 +31796 29954 +178364 181849 +11402 12443 +190539 174909 +161627 159004 +202706 184352 +147467 153497 +71722 73659 +146034 153227 +27940 27146 +162471 169722 +48640 48332 +128844 143113 +89724 97239 +154264 144921 +89665 90069 +13540 13915 +54013 53983 +25306 23926 +5703 5876 +28282 26449 +113781 107925 +99175 91837 +127544 130817 +200070 192066 +195758 196424 +151111 150085 +42682 41103 +122185 117203 +1596 1695 +147672 142348 +135690 135273 +73081 78766 +102039 112602 +195349 184253 +125160 130135 +6217 6540 +124049 120150 +127359 134827 +40737 38424 +5343 4974 +5119 4806 +34153 35669 +160644 175847 +79238 72605 +95129 92604 +24127 23714 +215667 197497 +77567 81647 +123126 113760 +27165 25572 +167415 160635 +98133 93187 +14584 14453 +135654 131671 +77153 75093 +106442 103745 +132947 139224 +16061 15584 +178345 179371 +22230 23964 +79275 79938 +21499 21136 +84078 93144 +55989 61073 +27755 26371 +90205 84639 +98142 98867 +54590 55656 +2595 2375 +192011 190707 +130812 144779 +182878 168623 +143449 144594 +193085 181209 +141281 155372 +196060 195972 +175400 178629 +83851 90952 +59918 60506 +82300 86729 +39224 36248 +200859 196899 +48407 47443 +164693 166127 +166885 185312 +81980 79861 +121375 119247 +51741 47150 +133411 125832 +86333 80948 +156424 159297 +170046 181384 +187367 185177 +112278 117888 +52051 56916 +95006 101003 +140650 156084 +144184 136354 +61935 60976 +114461 114509 +14243 13267 +162452 164623 +137199 147060 +30369 30128 +150112 163214 +52489 55205 +157989 167931 +148662 153437 +136022 124754 +142720 149674 +40013 38617 +130915 141258 +39676 37929 +171905 175762 +165041 175197 +134335 128438 +207895 199478 +11695 10935 +79605 85391 +193575 197481 +109624 109943 +96890 97184 +198657 196272 +168263 158135 +117435 112515 +128339 127228 +1530 1662 +148432 150075 +65899 71500 +134186 123430 +184131 174383 +14034 15134 +128921 138396 +186370 176544 +185896 192690 +67322 73935 +131735 139545 +86951 79449 +81614 74547 +46199 42697 +176441 189186 +158975 147177 +9765 9302 +104600 104594 +127338 117680 +126377 116818 +91303 86073 +60706 55608 +120975 132376 +168186 183614 +78160 82548 +54232 52692 +204420 191814 +96306 88069 +68188 74393 +103864 100360 +13719 14241 +5339 5478 +182751 194870 +58553 64642 +102036 98923 +25667 23562 +126651 115983 +170160 155321 +77110 85248 +10389 10630 +80559 87462 +73312 67308 +44533 48467 +148470 155223 +115641 107765 +191515 198504 +136303 137142 +393 421 +127274 132912 +58939 56320 +177672 170128 +96712 101186 +8608 8326 +30267 32724 +4136 4582 +163368 169322 +95518 98270 +184554 176845 +94634 96660 +151716 150339 +31159 29724 +21599 23132 +165490 177107 +86132 89692 +53465 55003 +127262 125312 +149050 164659 +49944 45999 +49538 46321 +10293 9534 +168401 156383 +69540 68192 +166151 166320 +45707 50153 +53085 49245 +36746 36989 +164555 179361 +77927 75865 +9299 9869 +4645 4925 +36266 33800 +7093 7413 +200912 188135 +171936 184323 +141524 147301 +163267 177259 +31158 28479 +119987 120795 +204792 195759 +77827 83572 +142551 156674 +123518 121017 +194088 188120 +91431 85395 +47663 52280 +96541 102078 +71562 77198 +172667 172564 +51074 54658 +127034 122100 +187064 194229 +76649 78031 +192516 193498 +135728 148175 +18315 16815 +130916 144803 +129708 142935 +12479 13483 +29589 30038 +84269 87805 +46186 48232 +113227 103890 +196147 190718 +29392 31377 +112461 117974 +185388 198453 +50654 47350 +29112 30877 +181290 198788 +132521 140528 +64210 65541 +23683 23146 +194264 196656 +2836 3080 +165708 172078 +155597 172300 +39635 39857 +124664 127213 +63661 66350 +189442 184351 +67635 65208 +162684 168698 +47069 43194 +166400 172297 +132020 141457 +47125 43826 +61693 59012 +203137 185789 +200808 188129 +9244 8770 +176064 180853 +68081 63092 +125625 138151 +147298 155268 +168507 176113 +124047 117235 +36381 36348 +156648 156727 +164291 155480 +44362 40393 +100309 99285 +167191 174857 +84730 80768 +41989 40463 +60549 57853 +185496 192847 +50540 47678 +98068 97512 +28760 27933 +162911 158281 +95879 88284 +111706 120832 +67530 73590 +164010 153185 +81448 76065 +128668 124239 +135566 134179 +151282 144874 +43591 43470 +121570 122389 +79011 81946 +106265 103266 +187535 188253 +23843 25821 +107105 108713 +87503 91762 +173753 188354 +45097 42491 +161524 147386 +140865 132074 +99040 92396 +86706 91408 +83430 82321 +116527 109768 +39188 41666 +58006 52994 +59333 58122 +133162 127343 +119588 124199 +190514 174534 +196376 184350 +212905 197874 +114410 110072 +194195 192856 +141486 145052 +128682 131400 +20479 19594 +84637 78847 +160102 167793 +46019 45206 +146554 154734 +198865 190220 +1216 1251 +133987 125675 +111468 122863 +86324 78617 +52376 54031 +89513 97021 +145 145 +51946 51595 +71708 68784 +119677 119534 +168894 176209 +77442 80145 +2635 2905 +108742 119371 +18713 19817 +49553 50596 +75322 75831 +118817 122696 +97599 90058 +193790 179079 +127740 121233 +16261 15239 +190048 194913 +157529 164871 +119949 112586 +70746 72784 +91573 98260 +163628 177914 +71086 77403 +185231 184984 +34253 36676 +156149 171969 +143547 158171 +169194 166780 +124294 115403 +152172 156743 +69208 71289 +178307 172868 +133430 130685 +182717 187073 +144552 152381 +61326 56930 +156084 166120 +41576 39853 +131484 121804 +5235 5426 +62569 62677 +210428 198933 +90257 82659 +56001 61434 +140802 139782 +63968 62219 +163983 163161 +125960 137960 +187391 182392 +124828 132524 +114064 125727 +187493 170904 +2262 2400 +146780 161823 +113148 119360 +144 142 +67491 70512 +142811 143509 +173388 183139 +86443 80501 +10171 10000 +2222 2184 +91860 91718 +78370 75560 +65178 70502 +8145 7933 +207617 195778 +85583 94439 +59810 62545 +179122 170820 +150410 148747 +98388 105886 +30085 30631 +67329 64756 +107754 103919 +105689 107300 +48708 51772 +74545 69042 +16880 17044 +185495 198199 +176417 192476 +84286 83752 +35494 37564 +26505 28674 +194 206 +203495 195087 +170015 155265 +122165 124568 +42813 40080 +170697 160103 +189961 189531 +85198 88568 +143114 135583 +79269 77820 +161288 160471 +85904 90249 +62027 60846 +162568 164513 +128774 122975 +93285 91676 +149497 141675 +25865 24949 +122105 120054 +179477 172463 +184838 174143 +158276 159266 +165817 176826 +85003 83430 +72598 71564 +56985 62810 +166861 167650 +180463 186322 +80668 80303 +216730 199963 +119986 113600 +136264 143403 +35681 33213 +131819 126314 +173351 176062 +94421 95895 +2486 2631 +153714 144345 +75693 80290 +160748 172451 +18445 17368 +104657 116034 +69938 74827 +56150 58118 +82556 78579 +130810 142347 +157696 168627 +158264 145443 +185847 181079 +24136 26657 +69771 67309 +6555 6532 +86403 79932 +40256 39807 +141757 150757 +162715 161697 +101799 98709 +49420 50854 +91348 89317 +33483 37081 +114909 114702 +120839 111780 +23722 25996 +158029 150198 +133207 133646 +122821 120975 +201143 195158 +72549 66795 +57558 63219 +63800 63241 +59970 56281 +20665 19862 +177748 173722 +41775 40123 +26099 24131 +113463 110912 +51806 55509 +110328 109659 +109864 100511 +129586 126745 +3687 3381 +45381 50216 +74700 69519 +190169 194878 +74292 73599 +179579 195050 +5159 5584 +167516 168245 +84521 79160 +33776 32308 +119453 114107 +62912 57773 +148113 160348 +21993 21924 +135339 136959 +48534 48833 +48314 47264 +163269 165087 +103107 114346 +47606 52595 +52849 52725 +116305 125271 +28785 28255 +98984 93106 +2329 2182 +22018 22022 +37116 35231 +109646 105302 +113512 121462 +49531 54002 +85476 80194 +147065 135905 +94252 90852 +31213 31529 +196532 179964 +69501 69181 +77387 74920 +73914 70276 +149736 142810 +131432 127234 +123718 133973 +164686 167717 +140392 138180 +73195 74478 +67593 61623 +112615 121018 +87479 85755 +103240 105325 +185972 174292 +154014 170618 +25039 24153 +18609 17104 +175084 160429 +16534 15652 +51162 52565 +70713 66455 +32464 34491 +134923 145541 +70794 70590 +180929 182889 +136582 150964 +139170 138864 +152274 143677 +84783 79513 +108787 107397 +143765 138245 +19120 20181 +48184 52768 +44409 40406 +118244 112620 +154214 162084 +58243 61031 +43501 42571 +1223 1305 +147343 162593 +104742 100156 +187750 184832 +20485 19591 +42773 46621 +110731 104281 +53784 50442 +6751 6527 +180822 178884 +115097 112994 +180753 172090 +72456 67920 +176178 168603 +154521 152677 +44841 48090 +38733 38613 +165504 182849 +63284 62745 +75718 78959 +93077 98484 +74625 69296 +1837 1953 +146511 153079 +170764 188763 +98260 99578 +9426 9096 +146124 160426 +34129 36291 +10456 10510 +197530 192133 +140152 145979 +126286 121455 +197129 192434 +116260 106358 +63202 60246 +139052 152875 +130114 141256 +172376 174054 +15759 15201 +138632 127758 +68027 71499 +171282 174856 +138671 142460 +39567 41018 +103548 107152 +208184 198587 +65952 62979 +151478 162009 +112850 103816 +8537 8646 +73589 72968 +36662 34399 +92155 83834 +170986 185946 +193209 176987 +180836 196876 +144308 133003 +131261 130325 +172947 178180 +116285 111529 +34248 35575 +157748 150587 +50516 55557 +7706 8455 +34429 35798 +127557 116797 +15133 15194 +106268 107461 +190098 187610 +153627 166451 +30585 30906 +164932 180809 +67077 66829 +129191 134115 +129798 124245 +171446 167299 +121728 120597 +70013 69753 +182863 176789 +89967 99759 +24620 24498 +130596 132623 +148813 157919 +182549 170321 +66760 72252 +108648 109540 +45243 42769 +124911 118136 +17359 18535 +96116 96806 +83455 77699 +65173 69757 +175712 174400 +126349 117738 +69585 65352 +38186 36803 +28315 28398 +37653 37232 +101133 92523 +68184 66038 +84898 86900 +37316 38177 +177125 162036 +175796 192782 +159996 152875 +97842 104850 +20000 18915 +2209 2251 +80411 84042 +53311 55169 +129111 121713 +21281 22391 +135176 125920 +106998 118484 +83813 79187 +78602 74153 +26839 25621 +155738 172127 +147532 163192 +63525 67134 +198044 198767 +69640 71004 +98775 93704 +143203 134368 +33675 32136 +27073 26418 +112620 119012 +63978 64769 +37785 39770 +6629 7216 +42783 39979 +83323 75870 +14598 16117 +144857 139664 +56933 52464 +105836 100541 +103317 97605 +178973 191479 +125152 127366 +69645 65265 +45401 49102 +120992 110129 +197422 190454 +90014 86483 +47196 50033 +101192 99895 +65465 69253 +1805 1864 +109081 109031 +76276 76906 +8673 9393 +51979 56639 +154070 143938 +122031 133398 +74107 76018 +35843 34993 +183101 180336 +133711 144626 +27847 29371 +12203 11233 +60801 56057 +159885 171804 +76517 72375 +36408 35356 +133525 138622 +22110 21984 +72454 77390 +78173 81592 +132607 142074 +84438 79919 +76261 77151 +28145 31190 +3311 3202 +61669 68137 +50836 51334 +146959 156065 +110133 106950 +133346 143957 +104902 103509 +15262 14157 +78308 84047 +207262 196837 +160964 170908 +20331 22107 +118852 124225 +19709 18229 +185658 190306 +101129 96466 +40510 42584 +182719 184843 +175827 187179 +18450 19781 +85903 80876 +125454 124182 +142853 151858 +184834 187985 +86878 87535 +44930 49264 +191285 198893 +79029 75997 +172858 182699 +126782 121693 +150784 165902 +203386 196569 +62633 67078 +41628 43850 +164833 150654 +9181 9409 +202741 185791 +139225 136529 +205130 190498 +154586 160282 +176760 169392 +198038 182578 +110638 104856 +129752 120881 +15411 16459 +38070 40281 +54997 57016 +27386 27616 +177164 185631 +159153 164124 +52197 57108 +27508 28298 +163009 172499 +156294 153787 +39719 40954 +83762 83420 +117147 120039 +211267 198368 +187678 190510 +134564 124492 +130988 122551 +1426 1503 +106132 110259 +42418 45723 +41836 43065 +19931 19508 +109673 116801 +139024 136852 +198124 187387 +6928 7625 +2217 2253 +114160 103820 +75977 70632 +59122 55791 +106916 105094 +51465 47586 +122986 134594 +178714 172449 +11731 12179 +33490 35710 +175491 179558 +66230 69702 +10991 10072 +68595 74899 +22181 21133 +63575 63470 +177927 175599 +136609 129630 +38451 40711 +102469 96786 +81298 85553 +193638 181286 +56989 60863 +188888 177621 +124378 134893 +73335 73276 +90502 89020 +115287 120519 +130014 122669 +83115 89402 +86357 95195 +122159 125854 +112190 124597 +73223 81030 +103030 99031 +148463 155132 +173027 160517 +26291 24205 +198069 196484 +88641 81646 +77665 86292 +78132 73418 +103270 96141 +142161 144553 +136792 128340 +193407 191102 +153475 146171 +135827 141197 +187895 176371 +104109 95799 +139328 148590 +30335 29539 +173442 176213 +67964 63179 +58769 55331 +27386 25888 +24972 26780 +164772 179483 +163795 175726 +162199 147490 +181186 198882 +35339 32851 +80473 80639 +150503 163759 +64403 62012 +105885 101158 +78177 77663 +163448 156923 +140621 131446 +187449 191891 +26821 26993 +182885 180896 +129956 123290 +42206 44132 +141604 129075 +61301 62117 +12490 12395 +16304 16876 +58145 62460 +141983 137273 +180166 179684 +87826 89926 +52038 51389 +33435 35254 +109273 120059 +51255 51354 +166599 184258 +36538 36384 +98709 100642 +183749 198105 +128382 117104 +161198 157157 +39453 36162 +146937 140094 +173958 166790 +139611 135965 +64996 62463 +181305 181196 +141278 151134 +78861 79305 +62588 66105 +118203 124628 +33591 33898 +69091 72470 +34039 35847 +8756 9006 +69798 66873 +202065 186393 +40363 44219 +32473 35644 +152646 153969 +10003 10577 +154785 156258 +166924 152620 +34388 38036 +73227 73129 +48722 48259 +525 564 +49705 50685 +174226 168437 +164659 162333 +191885 182614 +19883 20386 +93731 103538 +7797 7659 +38849 36123 +71016 75537 +71748 71752 +182077 180449 +38583 37082 +63662 66228 +28950 26505 +83673 86125 +118355 130159 +71587 71055 +122660 127345 +72749 66168 +122430 115846 +4181 3966 +37439 37541 +93246 103269 +15216 14799 +71941 65530 +149578 163958 +2237 2382 +133921 143817 +110518 118354 +72925 75293 +168742 162956 +150088 148153 +4761 4596 +145255 138174 +163478 179629 +103580 112369 +24045 25443 +165097 178919 +153897 145853 +16759 15820 +191294 182143 +129845 144079 +125859 127296 +193720 176621 +6629 7106 +158824 153049 +42742 44851 +99067 105477 +65538 70591 +157570 168272 +197482 197584 +115914 115276 +6741 6371 +122097 112042 +4193 4296 +48784 47941 +98826 100086 +130560 132295 +117841 116552 +26960 27452 +147339 151896 +25009 22897 +76280 70967 +53207 48712 +126441 119686 +50335 46172 +153393 140276 +145444 135115 +75644 78548 +72364 76708 +44827 41305 +68362 63470 +35928 38833 +94433 102227 +27539 25084 +174634 165224 +17625 17768 +102754 98906 +66349 71019 +95678 95926 +77181 80603 +23774 23764 +120981 124461 +12943 13217 +32174 30024 +164561 155528 +71961 71493 +173074 169917 +174157 170502 +203709 192422 +127477 130672 +106616 100006 +15539 14982 +73312 74886 +165229 155683 +99177 92226 +86100 91988 +40273 43310 +13748 13647 +87759 80387 +197233 180820 +147845 143434 +134059 143135 +71830 77796 +93048 85639 +93053 91700 +15479 17014 +179040 195241 +11899 11781 +120466 128235 +140130 150644 +9579 8865 +98395 108355 +83246 87581 +202658 191151 +32864 30757 +121148 128268 +44189 41119 +1779 1788 +91868 93720 +151357 153097 +144479 160305 +14295 14053 +137369 151590 +183113 187707 +62790 65788 +127782 136241 +25018 27719 +124782 125757 +157969 165653 +102792 99681 +142061 145722 +110630 103812 +49517 48825 +34165 32303 +92909 102476 +106763 101344 +168684 177745 +66411 61262 +74045 75281 +146563 136570 +73516 73645 +28159 30767 +31904 30951 +186716 197910 +91876 98186 +65347 67256 +57939 63863 +124693 130256 +98430 100430 +125907 129868 +176977 163573 +30885 32224 +8787 8200 +159523 160903 +79766 83485 +184045 193624 +13659 12786 +104412 97601 +140833 143350 +175609 188386 +131364 123889 +104569 99656 +185121 184592 +41716 45188 +72150 79633 +172867 165800 +209243 191111 +72143 70621 +131922 125322 +52902 50468 +70303 75967 +93760 92263 +92347 100416 +151885 143163 +198725 199975 +77994 80565 +86812 87228 +30448 28390 +95094 101432 +5046 4724 +180155 194678 +558 598 +52092 51893 +160396 162923 +146332 138252 +81061 87096 +211107 196587 +30842 30460 +19695 20745 +97841 107890 +67384 62704 +95112 89059 +134361 130977 +42451 39653 +169053 167140 +22148 20723 +34101 35185 +73981 73514 +12406 12276 +142753 144094 +45132 46209 +28861 31063 +136020 126484 +136932 135915 +37083 39747 +32423 31673 +121613 131266 +192136 179839 +90172 86355 +77532 75161 +24776 26824 +81082 80215 +110572 103862 +9172 8569 +144373 137848 +147094 139082 +97851 90525 +176098 175830 +105310 99823 +2327 2568 +102729 112865 +172820 157605 +34790 34004 +74189 75487 +77088 84358 +218870 199511 +87462 93591 +94521 94514 +99029 95737 +162162 160598 +186460 190900 +92702 98242 +111207 120788 +104418 111752 +34236 34864 +43328 44071 +13780 14935 +145165 134089 +125509 131352 +69371 69141 +121845 133870 +19346 21170 +56255 61382 +122677 117978 +41474 37807 +110934 112342 +86218 95197 +44435 48860 +179168 191130 +29660 32577 +89728 89482 +83490 89692 +132319 146713 +105202 100537 +94784 100931 +56935 54391 +109814 117183 +117537 112703 +177380 178569 +192283 178209 +9509 8907 +12574 13452 +33654 36903 +90722 84458 +64013 70627 +27975 28438 +129686 128242 +7332 7867 +131952 130907 +126842 136842 +129613 131592 +48491 51287 +21895 21486 +95141 102865 +149987 140499 +68795 74012 +196461 185409 +68215 66765 +13747 12677 +159935 150081 +1883 2084 +54020 56218 +159789 166748 +199630 190423 +138108 150226 +17282 18447 +138202 133661 +77735 79114 +69173 71405 +46598 51580 +7689 8217 +131244 137631 +116597 118085 +93891 92972 +45530 46636 +106518 99957 +124782 138448 +91774 92642 +145574 145787 +156433 151878 +186116 191280 +215319 196829 +57279 60019 +134269 125285 +26389 28338 +144610 143957 +16740 15311 +116071 113790 +162381 167499 +42015 42557 +70675 72720 +143459 151130 +140253 129499 +112775 117569 +9565 9796 +121612 116442 +174317 165099 +152284 154759 +98185 108801 +30584 28282 +159278 176368 +19494 20016 +91699 99048 +18017 17485 +37064 39751 +147405 149653 +200308 187812 +146815 144068 +184772 186169 +195115 196364 +147624 155370 +7714 7529 +78131 82550 +109176 103330 +127842 135033 +140944 140382 +99908 107675 +60135 56159 +136485 137881 +42942 46944 +177090 193326 +67978 69639 +191183 199197 +168269 162759 +146387 146078 +169254 174230 +155458 147619 +101802 108613 +41294 40965 +82319 86465 +190362 180368 +57157 53476 +48527 45479 +58913 64121 +193332 178646 +20545 20619 +97003 99122 +87415 91368 +154864 161007 +151969 147319 +115967 114814 +160840 171507 +71622 71968 +131395 122819 +183071 196492 +106531 110840 +152256 160825 +94244 104674 +48516 46345 +162809 178127 +169519 170130 +37636 36641 +172222 171593 +82885 82187 +42047 41485 +88303 84328 +115183 108430 +145329 156344 +171474 190296 +198474 191287 +156098 151424 +76315 83292 +78644 87081 +14477 14187 +175165 162035 +169813 155767 +146673 137282 +91958 92792 +46405 51174 +24988 23940 +54340 59116 +71263 69549 +89986 89920 +1790 1976 +128968 130621 +8458 8373 +121794 123475 +24943 24576 +83085 79252 +163892 164626 +187321 193307 +158925 168964 +62411 61785 +8609 8802 +135321 143357 +62776 67304 +199238 182272 +170629 166620 +41090 39626 +169701 155975 +13519 13828 +66557 62929 +187736 199227 +148180 137784 +177482 179629 +32110 34605 +165488 170548 +213075 194187 +16523 17740 +44996 43764 +125981 123523 +38762 42506 +63220 68796 +55698 54471 +80917 85023 +41325 41274 +46434 48545 +68252 74527 +159232 151275 +144983 156889 +23126 25321 +119934 120910 +153592 160946 +5167 5343 +174916 166543 +156910 150467 +327 298 +36530 37439 +31449 30741 +89871 88964 +102973 100915 +20453 21989 +140968 146695 +116349 108595 +22691 23191 +133668 131702 +146401 137084 +120113 131338 +140351 151561 +17274 16853 +6809 6870 +24516 22945 +28621 28328 +109920 115802 +103917 108222 +145881 135013 +159309 165242 +89099 82778 +73399 72619 +37924 35552 +32161 31027 +187479 191076 +163649 151705 +105381 117046 +45696 44462 +87514 82240 +191003 196436 +101968 97315 +138643 143087 +35114 37231 +104406 103020 +46319 45631 +106272 103529 +114628 123951 +13851 12864 +76964 83324 +195366 177907 +78570 77110 +54902 52341 +114013 126500 +96893 105747 +142116 157364 +49860 50878 +171606 182301 +213289 197941 +92491 84755 +1178 1171 +14193 13350 +150607 139890 +2575 2808 +197366 181435 +53301 53977 +3194 3404 +127141 126785 +70476 76996 +90350 97065 +32814 32302 +125165 119174 +183697 177927 +36055 39267 +164484 174189 +7281 6809 +197581 191783 +119437 117614 +158736 153035 +52336 56136 +154664 143824 +179347 164556 +186525 198833 +160622 155416 +55829 57657 +62903 68185 +161563 170545 +83847 81801 +127154 123300 +162719 177480 +116014 105932 +168864 154006 +55547 56603 +10688 9963 +19332 20583 +185364 172723 +57565 60146 +158668 170282 +177460 171797 +48577 52943 +193230 186633 +191231 185032 +139051 134674 +89533 86418 +74545 82697 +123840 115234 +106706 98052 +119764 130461 +73291 72164 +64938 64369 +92828 102970 +186772 175950 +138314 130710 +174798 177349 +92728 91118 +198469 183931 +17800 17320 +148858 136329 +108678 111493 +51096 55732 +180725 196585 +4873 5252 +60709 59019 +73694 68803 +187033 184565 +90985 98067 +93047 99320 +181108 192876 +82531 77040 +192255 189042 +149004 135727 +119043 118639 +146209 153801 +147587 135474 +185747 182972 +87296 85763 +38950 41913 +120463 111489 +88898 90323 +147326 158107 +75354 78595 +157629 172007 +117357 108310 +194003 198818 +121443 127220 +6346 6853 +135671 136525 +43538 47680 +12227 11361 +53120 52181 +105306 98924 +179582 187796 +145842 153773 +48422 46447 +27282 27218 +63631 60921 +141882 152194 +196353 180032 +13314 13830 +15014 13827 +70095 65621 +135264 134097 +8473 7738 +28912 29633 +64549 65828 +34710 36488 +78026 85642 +47259 51258 +17960 16953 +29045 30944 +3478 3387 +31390 30386 +121786 119846 +96685 103217 +145745 137278 +170135 162083 +9403 8967 +107097 114412 +21425 22188 +115302 122246 +177585 188293 +154370 144221 +39403 39241 +93201 89482 +127076 116368 +44568 45225 +29039 28546 +68884 69273 +56688 55906 +207481 198223 +106401 102065 +134035 128471 +116829 126656 +24434 25577 +19200 21334 +58198 62948 +21715 21497 +112341 120233 +78354 85789 +60903 55447 +139989 141030 +191456 184286 +173122 179292 +167944 156418 +32878 30378 +82812 87278 +129016 132051 +93929 96306 +83579 87410 +158655 157275 +7822 7595 +115322 116590 +176026 172404 +73109 66753 +139152 144378 +144443 158645 +63967 65137 +145033 159251 +152767 166395 +149581 162242 +2800 2868 +30875 33123 +42379 42072 +171280 184137 +33843 35730 +207293 193240 +77301 71458 +48992 54265 +162851 148329 +101448 105975 +133178 140628 +72708 68281 +48181 48099 +79107 82844 +70510 64405 +186115 198956 +44002 43570 +27194 28160 +105617 113332 +29651 29103 +26784 25491 +132262 130162 +211838 195180 +57196 52184 +64082 58317 +185860 189408 +4931 4895 +75463 82998 +121406 128048 +67863 66317 +106252 105117 +94138 96341 +27585 26905 +91712 90585 +13970 13724 +156836 167481 +142450 136170 +49909 46271 +137937 147902 +19025 19743 +84779 90771 +3119 3344 +55800 55504 +80012 88833 +86729 87118 +205020 187898 +196211 178631 +81801 75728 +146805 135836 +158425 152708 +156339 166530 +106233 114617 +87896 93387 +65567 61393 +113708 104525 +163516 164003 +26669 25521 +97087 90266 +175725 166682 +14673 14509 +96512 106415 +91648 87158 +32323 34759 +68126 65906 +181766 191664 +77191 76372 +14074 15004 +201520 191110 +23359 23017 +75746 77501 +82298 86795 +89343 85239 +129517 136600 +114550 113556 +144320 154224 +85898 78734 +131615 127367 +160354 163607 +6099 6481 +82092 86414 +99151 107081 +60857 60573 +94269 102621 +213783 197425 +76776 76921 +179182 194391 +112225 117486 +126545 123376 +104573 111231 +110625 105425 +123233 113160 +142444 131065 +140250 155596 +82205 84847 +98412 102937 +49859 54520 +70745 66586 +116195 106994 +189951 198265 +144927 136656 +82948 75887 +44249 40435 +55909 58409 +109979 119137 +50115 46936 +7626 7204 +166705 154902 +36438 35714 +17894 16432 +154322 149908 +175494 173524 +42065 41349 +108944 99352 +132514 146921 +163028 171684 +136362 149239 +161211 173246 +201032 192933 +11493 12464 +102785 95262 +14750 13912 +114547 112995 +16921 16524 +103870 101755 +168763 154855 +125061 129432 +87190 88693 +6836 6408 +191695 190135 +185896 175124 +12810 11987 +63274 66873 +162657 168411 +46232 48128 +175835 195064 +80666 83260 +115224 124467 +120936 118240 +61878 65759 +63116 68858 +131621 123559 +114444 124560 +42516 46576 +11067 10197 +131171 121002 +45615 45128 +126521 131000 +86081 90986 +60076 59321 +58665 64474 +173898 184051 +72316 70222 +142866 157020 +97613 89904 +113830 112708 +135278 124947 +59820 60706 +94726 88208 +55981 59833 +205329 188865 +83442 83814 +18518 20506 +174236 176969 +75920 75935 +78744 77518 +58198 55557 +130684 122647 +59444 58473 +46575 50695 +121704 110759 +33982 35502 +170452 163033 +166848 157708 +104316 99628 +47183 51972 +45075 43424 +5230 5279 +135234 140380 +44809 49465 +18618 20395 +155810 162124 +169707 172631 +176491 184535 +62877 63520 +169328 187178 +107328 106905 +143041 143505 +158865 168096 +48487 51290 +119726 115832 +3189 3077 +151584 138181 +82974 75464 +56076 53539 +65908 71804 +166913 177954 +33618 32807 +33210 34914 +89826 93862 +74639 72008 +87830 95991 +18264 18586 +147098 140001 +75939 79613 +21442 20032 +164644 159944 +50749 50289 +205348 197380 +202465 192662 +204722 186882 +153553 168454 +146857 161433 +19094 18004 +33408 36923 +132396 136315 +193100 188916 +175721 194211 +189649 190718 +52098 55122 +60975 64003 +6452 7090 +71516 70064 +85400 92410 +59984 62001 +157850 156988 +178895 182479 +200365 199947 +143263 131812 +62596 67655 +171636 167095 +29909 28871 +85321 79352 +551 545 +81259 84697 +208575 192728 +109567 113670 +142242 145507 +176179 168707 +73875 80086 +11927 12065 +165859 165872 +102794 97885 +14995 15360 +115625 115477 +48999 52020 +3308 3432 +170887 164287 +134339 148649 +34717 34790 +85930 79102 +55794 51304 +9158 8795 +94536 95240 +179258 176407 +128937 126456 +79501 79912 +179264 178404 +192555 180736 +144543 149720 +105643 104195 +161776 161358 +96347 89635 +65208 59544 +17790 17050 +53995 57062 +78645 75331 +177035 189621 +1781 1942 +60676 64532 +200918 194942 +58392 56212 +181784 179929 +7380 6732 +55429 53771 +21655 20742 +199717 185279 +112716 111818 +51995 51771 +123864 117380 +155237 165407 +118349 126996 +152202 141178 +189932 182771 +8 9 +39465 43005 +52543 51085 +82839 87711 +15172 14792 +87254 83869 +142575 139540 +179808 170496 +120973 119903 +45824 48411 +51751 48262 +153483 140857 +169175 177357 +16294 17189 +27740 28537 +200589 192150 +3480 3594 +2930 2740 +89292 95513 +79276 73611 +64500 63561 +120981 122059 +89844 99309 +54915 57337 +33913 35254 +65981 72439 +89782 91223 +176279 175466 +118324 116023 +199081 199437 +101988 107026 +150265 156626 +197247 192749 +41621 45680 +89906 93044 +55648 56334 +113328 109810 +80419 80266 +113585 107883 +108148 107238 +16018 15769 +563 591 +2891 3010 +124582 122508 +68867 68274 +53273 50624 +114619 110106 +15826 15899 +105882 102853 +16108 17123 +136410 135347 +46304 47450 +24786 22676 +183697 175053 +11289 11810 +18275 17273 +183185 189979 +30596 28378 +75755 76826 +211601 196369 +18608 19729 +75795 78577 +12733 13477 +131687 136806 +119325 130534 +155028 149186 +60654 63210 +95190 92965 +181963 194309 +210931 196809 +107845 105254 +128174 118504 +169721 167377 +121194 112650 +5646 5236 +160217 153070 +12817 13673 +42793 40532 +154930 154887 +21619 22757 +103150 96997 +180723 188608 +88586 93037 +145686 140514 +138262 142808 +20408 22193 +79417 72907 +110779 107365 +3923 3598 +79499 72916 +211327 196389 +132495 130008 +141847 146381 +116934 110495 +148592 140948 +43455 44366 +26758 25045 +34297 34387 +64593 69263 +66532 61638 +64138 58978 +119661 130042 +148859 136101 +63480 69158 +140504 133862 +199033 193515 +184614 176383 +156099 173314 +120543 122449 +111836 120964 +27861 28064 +46214 49428 +40029 38923 +79225 81772 +21032 22886 +162935 177859 +74089 67638 +32112 33624 +211070 194888 +103870 102750 +54004 49198 +104885 115652 +45369 44759 +147927 158176 +119796 115722 +140827 140983 +172627 170239 +122004 114219 +147196 161498 +179317 188674 +88927 86725 +131254 138063 +128850 130856 +89707 94335 +142378 144392 +79346 73858 +69265 75513 +61352 59219 +162277 179649 +106811 98662 +183698 193995 +47266 44910 +167777 177477 +170580 174152 +91299 85206 +12822 13831 +97773 102335 +1669 1564 +70374 69517 +60182 55522 +164593 150648 +15384 16708 +62801 62748 +82396 87308 +154348 152657 +47844 50504 +165128 161897 +14841 13722 +127692 141061 +137536 141021 +193781 189396 +84783 83056 +71758 67305 +42616 39776 +146882 139384 +8666 8694 +79770 86254 +179620 180633 +81829 74986 +186028 198626 +6501 5979 +146046 152443 +158604 149303 +174336 179890 +119625 118485 +4754 5280 +159666 164135 +5276 5232 +92938 103128 +182132 176270 +165727 176446 +161995 174190 +158781 158204 +84030 77878 +9145 8887 +80791 80841 +35235 37363 +158250 144177 +7634 7241 +126793 139368 +87202 85255 +164767 173955 +33491 33252 +54366 52860 +57681 52766 +44845 43546 +142835 133234 +5793 5439 +26599 26359 +144327 139854 +117421 129432 +85706 91831 +181864 169324 +24809 22880 +25842 27316 +95533 87025 +100460 95408 +99689 106172 +153127 147358 +22591 23176 +73640 78672 +16393 16569 +152256 147945 +148492 151069 +54340 52468 +133062 127445 +205824 199392 +33715 32670 +172548 171484 +54179 59963 +185056 170507 +118492 121089 +176610 178084 +38684 39038 +27315 27049 +178975 165703 +23253 25090 +68984 73693 +4730 4534 +9133 9787 +71628 68741 +27403 29631 +161507 162596 +184287 185010 +55796 52693 +154901 156406 +118885 121379 +21158 21963 +24545 24567 +182672 174654 +28344 27034 +123371 124974 +109020 111624 +175075 187196 +153633 143853 +45863 45006 +94762 95231 +3275 3584 +125129 133495 +120264 121961 +42052 40877 +103419 107397 +178669 164239 +13185 14532 +10618 11389 +26287 26670 +126142 115844 +95718 94961 +193280 194870 +10789 10377 +90914 98467 +171044 172106 +27952 27702 +152972 166605 +120000 126061 +167454 183349 +49427 45238 +89773 89181 +114782 121187 +24240 23072 +47499 46602 +128445 126084 +186084 178364 +81537 76099 +132172 143072 +98349 104952 +113986 111211 +88397 90979 +86862 88765 +211489 196824 +201353 190875 +187595 175434 +60786 59013 +158499 157104 +134415 144820 +178436 193298 +148194 137650 +90339 86578 +83762 81109 +63978 60377 +76126 76953 +170182 170685 +60753 61081 +148929 156620 +35278 35050 +51554 54935 +131429 120469 +116013 116766 +12665 11886 +170411 180340 +5110 5525 +110797 121487 +28764 29577 +142399 130990 +44711 45860 +70234 68071 +41924 43703 +63752 62476 +113108 107458 +13922 13241 +178849 193040 +59883 59965 +153673 148397 +64133 59427 +167854 162949 +72480 71452 +90800 84379 +19779 19914 +35165 34018 +146456 159083 +105376 102396 +63879 61600 +156192 153356 +41898 42393 +208308 190714 +30719 31840 +51947 50946 +143180 140798 +170313 169401 +59332 58317 +131499 139550 +20497 19932 +9858 9689 +158393 171128 +212778 193939 +134656 141853 +198137 198641 +46312 45625 +39089 35758 +156920 171993 +61481 65619 +25355 27635 +185883 181142 +133097 121615 +141307 131277 +75895 77458 +109520 120461 +19504 20584 +62481 64547 +2207 2189 +65103 71854 +191254 177273 +72400 73559 +138854 127910 +117198 123439 +131716 121343 +84729 78563 +174507 166502 +29674 31198 +162407 168610 +101525 100668 +16000 15396 +155454 148582 +165956 154932 +87744 92750 +164696 182333 +167075 175689 +92081 87025 +38844 38404 +76589 82778 +21492 22372 +50815 49151 +124473 124734 +125950 114799 +47548 49263 +103747 103340 +84165 86106 +32446 34935 +108969 118780 +99649 102069 +123340 121005 +157637 155067 +112047 106230 +139573 140091 +96080 88009 +22777 24394 +45157 43233 +40593 37965 +162039 167351 +43130 47360 +85801 78046 +119850 110050 +29707 29308 +76467 73601 +112840 113509 +78295 86173 +141648 130453 +26833 28284 +193649 193162 +84616 88393 +34940 33020 +36515 33578 +126886 118650 +75708 80349 +89297 85922 +85240 80653 +48274 46653 +104357 109623 +62237 59381 +28123 29491 +202011 195315 +170136 184290 +176908 162713 +89327 98803 +48261 52697 +21947 23633 +86512 82288 +142778 145389 +102254 99203 +113796 103779 +166990 184660 +15890 15051 +244 225 +28874 29407 +207919 195161 +45272 44228 +198407 183612 +5779 5367 +7585 7950 +179430 185877 +125848 117431 +35871 33607 +62072 64442 +83231 86472 +94675 86352 +168629 164756 +159709 168288 +64180 69550 +65113 60126 +145571 154126 +47915 45053 +207277 192102 +132567 135506 +79954 82384 +91346 92871 +120918 129942 +198141 185912 +133571 145117 +11450 12205 +29070 27886 +143408 140340 +12674 12450 +24735 25179 +129568 140074 +126514 121927 +113008 121721 +142768 154996 +50788 51854 +116151 127242 +139855 148321 +49897 52632 +153424 151273 +99261 97263 +168479 182728 +4253 4126 +139460 153108 +10935 10565 +93910 90755 +54831 57752 +71960 68466 +17027 18546 +55952 54994 +75295 70291 +135521 150064 +28932 27128 +27507 27103 +53663 51734 +9446 10371 +85247 90930 +175935 165053 +95516 103648 +142936 154094 +28842 26454 +103867 109866 +116598 125867 +129886 118715 +101817 101042 +132857 134415 +49305 45549 +113143 105407 +196863 179760 +44555 41084 +50518 54228 +5748 6232 +207461 189588 +209373 198638 +20742 20999 +132750 131824 +89986 90682 +149286 136139 +204695 186537 +169807 161977 +35985 37402 +160977 172131 +159346 152151 +198333 193584 +79013 85510 +873 814 +72033 79398 +101153 96476 +102215 103656 +11512 11281 +108682 105128 +169734 155725 +55710 51142 +183396 171611 +76583 75557 +19896 21663 +173575 163584 +28382 30913 +36425 33828 +71054 78518 +89788 93198 +72325 78632 +147756 137569 +99980 106306 +98514 108036 +75503 81049 +92724 94934 +67559 74746 +94883 91599 +17849 19084 +41560 40180 +113731 109090 +108888 114166 +181657 193376 +125548 125160 +90831 98822 +15724 15067 +73775 79006 +70777 67736 +4690 4606 +154935 171258 +53536 55675 +144289 145829 +144325 158378 +123779 133799 +60791 65812 +100234 95465 +154273 150005 +78179 78225 +21980 23281 +152898 154680 +55559 55124 +139899 144259 +176017 178244 +116706 124512 +183446 174534 +25337 27935 +175524 185897 +19390 19370 +131013 138386 +47792 43811 +39187 40165 +79674 80728 +191578 190990 +147705 163749 +43877 46313 +131644 139815 +143377 134420 +41565 41752 +134951 126350 +81927 81356 +132732 147468 +108856 99847 +12695 12696 +102519 97273 +11772 11302 +124300 115223 +32704 35957 +157143 157910 +45173 42014 +128127 136326 +89048 90237 +194136 186570 +84524 84345 +85389 93104 +127321 118257 +84255 83121 +13995 15108 +96710 94918 +124087 119421 +57641 56612 +103922 110810 +130580 120087 +63679 58807 +43461 42743 +28784 31580 +60663 55688 +110036 109920 +14622 13748 +24448 26360 +164065 151005 +60489 61792 +33729 33122 +167264 174116 +70100 73072 +7271 6987 +86201 89538 +88958 89953 +139325 142390 +7871 7456 +148658 143245 +48819 52730 +60868 64511 +19434 20806 +151155 147321 +118295 118852 +32653 31284 +148678 147726 +41905 45875 +183627 196062 +124779 120793 +48781 48438 +104848 96740 +5642 5936 +25309 26442 +89279 93474 +92273 100206 +33602 34221 +39193 42367 +178125 184547 +92515 90286 +152467 157266 +190838 178378 +31296 31025 +162585 165177 +52391 48166 +161079 176213 +35007 31986 +178587 163808 +96062 100282 +74758 73190 +186716 179571 +152660 165806 +109831 120808 +124497 113820 +134665 139563 +110530 111718 +96574 93334 +47559 49649 +124578 117948 +68171 67975 +39642 39346 +96601 99383 +138822 136243 +179052 189322 +95401 96052 +148084 136989 +150994 156293 +84523 86112 +25849 27745 +179045 170729 +138902 129042 +83816 80502 +20924 22147 +125202 138365 +161455 162468 +94412 98994 +141045 131508 +5415 5653 +78617 75694 +158860 148660 +178907 190418 +159074 152068 +39362 37326 +19897 21720 +35516 38985 +135603 132348 +7810 8461 +158471 165425 +23130 22926 +28724 28100 +122918 117595 +115285 105613 +11742 12640 +167463 178817 +79246 78357 +174604 160501 +168712 182535 +76549 77680 +63939 60481 +131323 135787 +57036 63255 +92103 85031 +66541 70670 +188372 179947 +2255 2219 +135775 140505 +110702 114263 +7504 7276 +48552 51499 +112059 116561 +139413 135582 +38250 36190 +48137 45832 +23192 22003 +142955 154450 +200973 191276 +119653 130045 +195556 177984 +184193 195787 +27537 29817 +121566 121614 +159817 161680 +12186 11467 +174290 179620 +9410 10313 +35396 35543 +181490 196604 +155597 151941 +121106 119241 +110210 101090 +16230 15030 +29511 30063 +50462 49136 +66474 64025 +135046 136887 +149682 136174 +121349 129770 +24704 27324 +98388 106666 +53435 56776 +88726 93806 +42407 43368 +68663 71715 +34798 32830 +54539 59197 +157378 160209 +103704 96159 +60092 61257 +75261 73571 +84895 81927 +182153 199686 +28892 26911 +191826 177075 +44586 41203 +18281 17450 +13788 13805 +87004 87053 +127753 137496 +178689 182087 +119159 114332 +41881 43290 +178776 197524 +56929 57603 +142605 147725 +79521 75211 +110444 114590 +131665 137901 +145059 139999 +128105 120159 +13362 14023 +25376 25343 +73776 71078 +143335 146011 +71717 71035 +109195 112541 +196590 179187 +174066 185617 +137203 148287 +76770 80277 +140323 152784 +149088 141980 +21332 21490 +180224 177182 +64897 60482 +189428 192672 +161218 172109 +38741 38043 +101605 107719 +57361 62412 +27663 27237 +102074 98391 +87984 88151 +21428 22041 +188623 175141 +131781 121865 +35674 34149 +142448 138633 +1776 1687 +165380 156623 +116385 118493 +83683 78506 +38791 35546 +174903 181108 +193145 198885 +177084 163091 +149839 146410 +203275 198891 +75085 73501 +141453 152420 +115925 115164 +150228 138195 +184437 183585 +146056 136391 +27664 25440 +157781 144114 +154762 155523 +150512 155059 +160730 164224 +153337 160892 +50690 47635 +148568 149093 +46589 43293 +80672 84620 +100170 104409 +93754 91110 +71440 65719 +196614 179495 +72128 77335 +73629 75274 +32749 32867 +72279 74941 +76415 84425 +107181 106221 +46751 44436 +22381 20457 +2270 2278 +148914 154741 +9713 9556 +216873 198894 +133701 135198 +50760 56212 +134414 149065 +117925 117817 +52350 55899 +10948 11653 +165483 168499 +64518 60452 +150638 166722 +18310 17996 +42043 39594 +168273 183086 +146892 139377 +27441 30136 +10142 10529 +100286 96645 +7951 7232 +148250 163298 +128079 128359 +63949 67582 +181764 185666 +42292 45677 +111846 113699 +3856 3609 +3862 3779 +95079 98566 +15784 16085 +86786 92543 +76463 81288 +137063 141730 +104745 112346 +27466 26501 +50701 51924 +119692 114500 +101470 100518 +153280 153641 +150217 144223 +187866 172278 +172279 189576 +37946 37457 +80396 74206 +160373 160844 +62785 65963 +178915 169873 +96141 93824 +101662 112679 +4694 5201 +97655 104803 +100450 96429 +116625 121005 +187800 178840 +65067 70574 +185209 173063 +44427 40979 +151216 157751 +145539 150337 +180357 170666 +132526 129493 +147584 155711 +33457 36118 +123515 122221 +32071 34106 +94025 91611 +121634 132817 +65270 68575 +163034 171858 +161824 150610 +25051 25985 +72418 72205 +6477 6585 +71372 75266 +183957 168603 +31241 33848 +28610 30547 +130248 122788 +18720 18884 +49671 52513 +31589 30608 +56950 52557 +40084 42373 +96757 91903 +169107 168871 +156213 171624 +160161 173142 +157106 160325 +86296 92444 +90568 98302 +129145 126101 +15749 16670 +19453 19963 +100100 110832 +175754 171981 +33211 31346 +196575 180316 +157861 145913 +60684 64562 +156670 143457 +129167 142431 +41797 39470 +130926 125729 +45637 44100 +106270 106223 +19080 19903 +114901 125730 +190295 182128 +100358 109249 +60151 58293 +186965 197159 +57905 62132 +79311 72851 +109027 99123 +64252 61452 +92795 85335 +67251 68015 +1786 1848 +128313 133015 +180020 185757 +50689 53799 +153725 142041 +162226 169526 +142629 143937 +51726 50136 +95315 87368 +133064 129861 +153170 139514 +65584 70889 +144353 134161 +105694 97224 +203813 193591 +149147 141579 +29064 27289 +33212 35242 +42779 47140 +90157 91192 +143843 150880 +78540 80838 +30736 28261 +187711 181749 +96626 99197 +133164 127068 +26935 27480 +184713 195153 +160305 154022 +116210 106904 +156332 144533 +131443 128614 +66732 61863 +103230 93904 +85923 82926 +3316 3541 +41485 38951 +177691 162308 +82096 76337 +154856 153164 +33220 36648 +139052 149982 +47506 44963 +11555 11136 +103175 94214 +179508 186289 +67256 61892 +97819 102901 +42063 46388 +95732 104124 +75530 73871 +131268 124019 +183906 197828 +26803 29606 +140516 149944 +58087 59408 +93364 98653 +150841 167041 +42292 44142 +54143 55594 +156933 152132 +57067 63112 +63501 57880 +21080 22443 +165563 170582 +153560 149218 +199992 196634 +122306 132613 +57216 59593 +139454 130015 +44468 48847 +64408 67318 +146932 146032 +94438 102711 +126980 127053 +186700 199363 +29174 32374 +6981 7632 +35557 35110 +786 765 +129225 138655 +36654 37367 +45109 48099 +7630 7425 +182216 192460 +124158 120419 +128346 127305 +113204 124017 +40267 36853 +145552 139318 +199326 191381 +183519 168190 +71649 69934 +91899 87997 +73669 80513 +29415 29855 +57963 53604 +73703 78573 +145359 155327 +101784 106776 +111721 108703 +127632 130150 +177806 187962 +39623 38902 +74323 69632 +152883 146417 +193572 196314 +87656 94223 +63892 67457 +100109 101507 +90503 87422 +84224 78341 +179407 163898 +75158 78330 +164714 174593 +173756 189377 +30192 28631 +169005 185116 +94473 96823 +154330 160825 +130451 127140 +99984 99677 +108744 108526 +51257 47532 +169702 163204 +110743 116055 +183195 169648 +11460 12386 +119309 112499 +38338 38864 +111135 110653 +189424 179758 +184166 175113 +108846 113018 +36277 39123 +113658 116206 +174832 175223 +21202 23532 +33114 33639 +210245 198279 +203204 194825 +34151 36523 +90379 89259 +57585 59115 +212485 197348 +180286 175205 +42957 39560 +170590 155412 +166013 154951 +110312 111730 +185933 194402 +24798 25992 +46778 43979 +165640 159827 +105284 111449 +97302 104212 +45328 42917 +136398 134197 +39620 39876 +104431 103443 +46267 50528 +25670 24364 +90558 84405 +45955 46503 +114734 121766 +107372 100666 +50648 56249 +46347 45179 +116205 116790 +176928 176583 +188290 182167 +55071 50825 +149538 139564 +124028 113704 +156194 160014 +437 399 +190695 179837 +19716 18394 +34438 32985 +205596 189978 +26051 25721 +189024 182469 +126693 134764 +56741 54141 +16451 18058 +105097 115323 +49712 45365 +212653 196696 +83259 87473 +12580 12152 +6066 5726 +18727 18963 +148619 147278 +14845 15969 +78583 84487 +15682 14498 +44297 44758 +99478 105927 +5968 5582 +67912 68736 +78374 74204 +131884 135139 +187393 177352 +125689 138805 +170459 178698 +57642 54041 +56510 51398 +138866 148562 +5873 6182 +55586 50679 +151531 143763 +107809 110578 +67855 69968 +95201 104628 +171231 159859 +162919 169529 +172828 173376 +22615 24243 +76962 73955 +70528 68566 +173631 158538 +49570 50943 +211985 197021 +23833 24514 +175166 193499 +112812 117710 +62241 59090 +181193 166143 +180892 186939 +183706 187776 +85792 95151 +117428 117083 +15611 16650 +25191 24607 +91275 98907 +207379 194989 +56018 52548 +70968 77087 +175185 191029 +97945 97876 +69177 66239 +117823 130076 +169776 156567 +52676 54589 +136211 131370 +157206 174048 +28684 29271 +199603 184475 +192866 182414 +62026 60572 +144746 143171 +10242 9518 +56167 56974 +145238 155115 +9374 8674 +5739 6015 +114829 107560 +135641 145940 +155828 154487 +19344 20959 +77639 71474 +117845 126663 +186110 171391 +49218 46957 +161762 167775 +11618 11356 +39218 40815 +196345 185295 +70345 77818 +80385 83161 +191853 193145 +85571 89461 +89469 93352 +148956 147242 +92249 86917 +22120 20634 +179563 164648 +78652 80552 +155660 161402 +34840 34116 +186611 192211 +21374 20245 +96096 93940 +161845 150785 +122466 129565 +58302 57628 +128794 123277 +186555 193130 +129205 128468 +76514 74963 +85339 94678 +40147 39778 +58907 59166 +68169 72633 +52334 55836 +52663 57429 +174228 159997 +55742 51450 +111887 121445 +171244 181499 +168030 168076 +72486 69992 +94969 91186 +28533 28308 +112148 112640 +114412 113793 +51417 54461 +62576 66411 +102781 100969 +185959 180526 +165377 161913 +153048 151252 +109496 105008 +167944 163519 +106997 100503 +51115 49711 +105200 99481 +125714 132017 +56430 55549 +96662 88165 +186777 174144 +166490 168042 +139917 130062 +180908 196072 +5815 5597 +48064 46137 +19141 18151 +127451 121908 +8795 8770 +139508 146776 +80048 80925 +54541 57682 +151798 139609 +188818 191339 +45108 41281 +99770 105810 +111477 114920 +68747 70593 +97191 92574 +78467 71757 +165120 166030 +112728 109583 +115988 124983 +41285 42923 +108352 115333 +32985 30138 +133698 143179 +189227 196184 +63155 65760 +9189 10059 +73296 80275 +62890 65870 +84390 92619 +82933 81210 +99652 93504 +91705 87376 +184606 192976 +178560 193381 +3808 3711 +75730 76586 +172661 157774 +18437 17367 +84009 80246 +131953 137460 +111030 120897 +53488 50052 +164934 180294 +95124 93198 +32844 30463 +131578 136137 +201887 196168 +13039 12899 +125359 123767 +46676 47918 +118561 121810 +69265 72487 +192838 179633 +200798 190821 +157623 170289 +71945 69851 +153138 141010 +194110 186698 +164490 169518 +190352 193222 +43588 45783 +137335 136098 +72207 72587 +14324 15738 +32174 30998 +102427 112606 +162678 164805 +122221 128854 +14025 13250 +85217 81111 +7658 7761 +69995 64960 +94842 102182 +182876 196625 +112288 103840 +126277 138601 +77165 75558 +50034 54777 +99660 91357 +93490 85579 +1768 1667 +52545 56553 +85365 90859 +29135 28971 +45916 44245 +74005 69665 +141140 145449 +164130 155672 +72674 76368 +65330 59405 +202999 196975 +56371 54700 +80812 84161 +180271 198275 +41255 40208 +176544 190024 +26509 28624 +52211 51267 +30782 30040 +131440 138521 +139237 145470 +169646 188023 +166160 154701 +43429 44823 +82525 86758 +86996 80434 +199043 182512 +93167 85954 +20448 20925 +70036 70579 +42620 39547 +122549 117349 +134622 141715 +76453 70048 +33133 35489 +22 21 +66095 60625 +125180 121101 +87716 85906 +25472 26609 +112266 124666 +140301 144117 +69930 64182 +59626 62945 +59885 58028 +162652 175549 +146511 137839 +210193 199128 +74376 69470 +184227 174133 +63203 61017 +176772 166163 +75406 81249 +100831 105304 +154984 161612 +110467 120409 +162037 164129 +141973 141064 +61070 65366 +48827 46587 +63264 61859 +37244 35605 +82778 83522 +63946 69011 +52720 53062 +173331 189045 +79358 74261 +171302 166726 +164757 151364 +169961 161418 +147648 135309 +50382 50052 +79366 72623 +55735 56417 +161934 148579 +152046 142212 +188867 181174 +179449 187183 +196423 178759 +142599 137866 +148079 143647 +131656 134996 +202693 196264 +13688 12515 +110001 116428 +106312 109785 +112188 119339 +64936 71973 +88250 86776 +123571 134067 +79788 87025 +130484 125361 +28625 28473 +198577 189119 +25195 26067 +91061 86835 +48606 51965 +51924 47494 +143239 143911 +47601 51102 +144537 134542 +197474 185656 +70380 74682 +88881 95985 +170748 180432 +151172 167410 +116364 120597 +76266 82742 +96182 102080 +24228 22266 +192841 189497 +37270 40256 +117382 114036 +3104 2943 +108657 98789 +174293 159594 +185730 181023 +84213 83995 +186946 173645 +51157 54940 +55989 52427 +162387 164114 +74195 80290 +34794 35975 +210634 197662 +60369 58642 +219380 199630 +56878 62181 +146715 158168 +112035 116211 +191775 177014 +20232 20028 +74937 82513 +91577 91894 +73197 71608 +107000 101594 +21757 21181 +9837 10376 +46541 49900 +13030 13207 +159949 176809 +30064 32509 +197790 189477 +13601 12631 +101529 106300 +138528 143191 +143750 143727 +158551 168263 +84524 77177 +64812 64894 +18035 18960 +22178 21662 +159732 171705 +67412 68934 +8209 8712 +1609 1627 +195975 194227 +204576 199214 +128068 139602 +163224 160138 +104924 109366 +59211 59101 +189011 179491 +101236 107265 +19823 20779 +6900 7269 +17541 16680 +4637 4892 +193212 194965 +117518 129410 +49861 47945 +28844 31495 +119205 129170 +81351 81497 +198788 190634 +69788 74162 +135606 133337 +1635 1531 +195433 189478 +76318 77531 +185107 169787 +192362 198113 +209939 193876 +18664 17866 +69999 75875 +139398 143343 +139174 135492 +90531 93902 +26274 28312 +123582 134387 +176620 193920 +76509 70018 +169419 181176 +27476 29476 +16375 15501 +82849 91916 +95287 94013 +53041 52197 +84955 79913 +228 218 +124958 128377 +64202 60609 +190923 199692 +185472 186277 +88431 83674 +164848 164846 +107754 100649 +175274 184623 +203544 194408 +72004 76044 +130506 139884 +192863 176646 +106441 115332 +119339 112165 +180162 182139 +29465 29888 +38727 42665 +77997 83244 +14871 16118 +9225 9855 +203382 189293 +166990 153664 +78749 87224 +24795 24877 +45429 45310 +46931 51751 +78419 77717 +152117 145918 +128772 141350 +88962 91861 +170036 156316 +45814 46386 +81947 79496 +179618 187940 +46880 46101 +102735 96785 +155888 167523 +214616 199387 +162078 166274 +42462 41199 +78086 74124 +130007 127018 +199806 191775 +113319 113511 +67075 61621 +69229 65449 +74970 68194 +95978 106594 +90964 94890 +108095 116894 +8449 9085 +198993 185423 +88736 90448 +42881 46261 +42635 39577 +82899 77482 +152870 164071 +163290 175943 +45401 46747 +147509 137975 +3924 4231 +173987 176854 +179488 164129 +46283 49541 +149199 144611 +179121 168141 +99793 108611 +154336 145388 +1875 1738 +179656 185153 +121638 116500 +111463 113489 +50002 48803 +6836 6836 +4819 4383 +169406 157663 +1937 2094 +40642 44046 +165068 180012 +105780 97486 +2895 2695 +6649 7076 +197265 192122 +209326 197982 +175894 170084 +108502 105780 +129937 123396 +73763 79897 +162188 172116 +168604 182948 +151027 154175 +57085 55574 +189387 174335 +52294 50951 +13594 13975 +11451 11250 +23202 23274 +62535 59225 +174700 164407 +77035 71894 +25260 26738 +189963 187328 +28504 31359 +147094 134966 +12577 12813 +59996 62667 +156549 172365 +48217 50510 +121919 131854 +85275 83252 +104191 100919 +106376 116453 +179008 189408 +130121 124192 +34143 32973 +3084 3339 +33792 30855 +168363 173083 +191189 189401 +161128 148444 +62621 63716 +16389 15453 +91236 98272 +191526 199804 +212967 196079 +73398 68169 +179772 183519 +18187 18586 +217651 199549 +20308 21803 +41249 43536 +5811 6063 +111917 120825 +52966 54519 +120688 110565 +177783 177918 +152840 141228 +81333 89935 +142124 137033 +61897 61075 +85399 78390 +8883 9083 +103686 105188 +96815 105350 +154374 159252 +147693 157551 +30458 29205 +93468 98359 +44993 46234 +188123 182794 +156180 147127 +39608 36048 +123148 116344 +23521 25043 +14561 16158 +84004 83784 +132355 121783 +75936 75068 +114111 112089 +3474 3228 +67254 63354 +74381 73057 +150098 147887 +24079 21974 +142807 132992 +41339 44423 +33385 33188 +157553 152136 +8692 8926 +156691 160479 +33204 33907 +174688 159823 +126613 134022 +79592 83394 +45329 46290 +97404 104978 +26341 28676 +151835 162996 +164099 153523 +48653 48833 +56694 59901 +101442 97058 +201320 185535 +113164 106328 +19951 19760 +126239 126694 +151906 159578 +1906 2011 +35618 37751 +65020 69631 +14955 15268 +4392 4186 +143369 153668 +111700 111644 +83199 88244 +26602 28716 +146566 141350 +284 272 +171439 170012 +170098 174517 +111079 111427 +127768 117852 +139598 145770 +107782 99873 +107986 108317 +3680 3561 +33727 32418 +152177 146024 +47345 44943 +119595 114125 +103029 104582 +29091 26634 +155915 166735 +113220 110211 +60258 60752 +117410 113170 +85072 90475 +171362 173237 +21871 23348 +20354 19669 +86753 79502 +55323 58099 +19864 21345 +157297 170609 +34140 36153 +182167 180795 +78811 79678 +97072 99280 +174336 164236 +123420 132785 +180997 164911 +60318 56740 +198527 191228 +97522 96357 +90373 92046 +123191 132650 +91712 87900 +174911 173074 +92326 89268 +62314 58089 +100239 91990 +116786 128218 +117761 108591 +15105 14002 +64945 70385 +167554 175309 +76978 72971 +90119 83935 +156346 142666 +120576 131553 +78937 79308 +135486 134741 +197881 183324 +174024 172024 +28152 29615 +68470 64452 +65867 69946 +2216 2335 +118743 120430 +17990 19427 +181387 174929 +44226 40331 +203231 190906 +109249 100342 +2425 2345 +170622 176411 +22598 23681 +60168 63626 +137011 147042 +45182 43035 +181213 195623 +142045 138933 +1809 1785 +158453 173756 +67069 61006 +14616 13336 +6256 6082 +158438 150623 +179012 165200 +98162 106270 +159561 160813 +150645 151689 +196059 181185 +42165 41852 +166583 174146 +51017 52461 +88450 86767 +5569 5105 +64769 63591 +176731 196071 +26793 27236 +144183 158405 +70439 70935 +157203 149053 +44469 40672 +25345 28107 +178379 192937 +140287 143518 +72596 70689 +116315 128032 +30125 31056 +123384 124580 +132163 120668 +173928 170731 +112340 118359 +191229 187219 +184117 171842 +57669 62493 +161063 156387 +90330 82457 +78339 74686 +85909 87728 +162303 163379 +36396 33934 +38272 40372 +174954 185258 +69808 77152 +98865 105075 +18825 19713 +137187 132121 +115235 114463 +164104 173876 +164641 174271 +175021 159637 +172898 165115 +146917 140264 +173436 171583 +83158 84482 +154312 146269 +128322 136397 +28131 27918 +124800 119245 +109006 110598 +123914 137614 +200633 183154 +141820 129260 +161754 150993 +201053 191615 +212089 198252 +162453 168161 +186214 199476 +110841 111709 +68643 71606 +70696 66165 +125659 133629 +19830 21520 +68729 70724 +179382 166398 +136329 147478 +46550 44394 +172272 172036 +69994 73435 +125005 122882 +52192 49958 +56608 52063 +76024 69620 +78663 78560 +16795 16044 +89677 90872 +136161 127036 +5317 5157 +166645 180489 +113552 111721 +6745 6321 +195284 188644 +17312 15993 +141667 132427 +184537 178456 +28375 29127 +113431 118623 +61817 64364 +69271 62979 +182749 183060 +97625 98505 +183630 186904 +41260 45772 +160467 155946 +105029 111406 +6417 6162 +39776 36825 +21073 21763 +62824 58033 +12103 11353 +95022 98968 +163835 158898 +160034 160413 +215085 199206 +21595 21378 +88926 89892 +68159 68456 +44064 40618 +155841 152345 +104078 101920 +115302 126962 +153595 154834 +195768 189739 +140469 145673 +28578 30788 +31558 31172 +173588 168590 +50416 46424 +55306 54484 +122306 119329 +108341 113444 +47538 47204 +49812 52349 +147688 143747 +79185 82006 +147985 157659 +113584 119625 +169901 156478 +74989 80283 +39398 42504 +8810 9128 +22222 22048 +63187 65263 +46086 49037 +200431 198968 +76310 70386 +85549 86942 +183197 188199 +59674 54269 +78328 73782 +25751 27384 +40759 44783 +62267 62631 +178536 170280 +172141 158876 +41988 41301 +140475 137296 +84631 78272 +111642 107247 +87850 93989 +70881 69373 +15794 15340 +196911 186147 +186997 189123 +35210 33178 +87331 90712 +133775 124851 +189117 187184 +85718 86720 +137523 130279 +71384 65699 +122124 114948 +170037 156849 +7103 7288 +200088 194562 +174771 168563 +116249 125527 +77165 73443 +156371 168040 +123658 113227 +166250 171402 +152869 150204 +7704 8186 +64436 71410 +199283 190793 +40159 37133 +39525 38627 +162419 148457 +111613 123773 +161404 167965 +62469 64731 +27799 26125 +36165 37819 +132375 140204 +99436 97505 +47489 47954 +39806 39680 +66373 60598 +91491 96514 +65787 61192 +15946 15732 +191009 199918 +91767 87441 +153702 140568 +37770 35242 +104401 105346 +165519 175726 +51436 53702 +153975 163868 +174825 164763 +138292 143234 +139349 131886 +126486 124528 +57706 52571 +12762 13055 +57417 58888 +162265 164727 +110801 108126 +163242 174241 +120625 113189 +120723 114730 +74181 68987 +165227 154161 +86276 86321 +30792 28142 +50490 55427 +85200 87230 +172159 156657 +146243 150287 +85546 78142 +118824 109220 +128114 137412 +188664 186757 +170631 184498 +149234 141895 +109389 117668 +138592 133811 +15130 15197 +94914 103382 +145445 135716 +30505 33765 +103173 111800 +52800 49482 +38434 38512 +157757 145231 +6244 6004 +75320 83046 +4541 4626 +25461 26706 +98186 102654 +161371 150582 +185434 199849 +13918 14328 +97517 92860 +194244 178706 +193725 182129 +76578 79157 +90484 85070 +65564 60825 +155856 170495 +52273 55206 +106276 109712 +107473 102968 +146969 136324 +148170 153107 +35400 36500 +181748 193187 +84717 80199 +84847 81709 +147281 158442 +166011 153368 +10421 11309 +175206 188626 +130103 128410 +183793 185569 +70609 73202 +30958 32134 +86699 88678 +63225 62415 +76708 76271 +203216 185210 +93877 88906 +163485 169729 +125205 123540 +53062 49607 +101051 98493 +172288 186205 +70307 67436 +96336 98737 +178678 179312 +38544 37587 +119490 111709 +55479 55589 +142598 131083 +50893 52319 +76105 70247 +178751 197693 +18365 19444 +55034 58885 +72462 74786 +124327 121080 +171006 159305 +99742 96405 +168313 183938 +178319 174980 +126625 130146 +89203 86438 +83741 78356 +161202 178294 +63804 58642 +901 924 +113697 118080 +198332 193870 +86823 85989 +181565 179363 +73435 69830 +193247 188406 +137794 135009 +187388 174579 +176326 195651 +122465 116929 +194608 179718 +143507 134924 +122272 121579 +2979 2798 +193670 182480 +30595 29625 +196743 192398 +145265 154659 +182083 185393 +51428 50255 +9159 8661 +376 372 +33161 32025 +87339 82668 +65633 61571 +42648 44635 +10000 9520 +194816 186682 +65825 60726 +71771 69503 +96226 88024 +29535 27051 +133315 126309 +168761 154159 +76421 71533 +82295 90300 +148875 138209 +42301 42662 +67650 71814 +73567 71924 +117418 123670 +133868 140806 +162372 155443 +142436 139939 +161793 163272 +38144 39391 +15559 14654 +143016 139173 +16040 16856 +107630 105702 +156177 159852 +203981 199774 +141525 150271 +49526 54912 +153804 155515 +76677 79038 +167967 170639 +5216 5047 +203750 185955 +74043 67516 +133768 122487 +70521 71744 +151427 149911 +87170 92124 +14792 13757 +85562 87101 +22621 23747 +89982 97643 +84554 85379 +132247 126262 +104656 99597 +175146 183743 +78465 74180 +157652 149408 +190111 195861 +185445 188409 +198302 199381 +51248 47512 +183961 183863 +77412 85764 +43683 42150 +104600 96358 +117552 128389 +205269 192277 +2836 2689 +90684 92989 +47761 49743 +14366 13738 +57732 62919 +94087 89655 +4654 4636 +29295 29389 +111916 114167 +20199 20378 +120846 127088 +186089 199825 +57114 59689 +43804 41303 +123023 121798 +72797 72849 +120784 125004 +166626 183815 +90127 83989 +191979 180277 +139070 150638 +181191 192302 +151875 153997 +188433 176301 +36904 36594 +49659 48058 +69063 72472 +166316 152566 +183136 196696 +49065 54019 +138245 130651 +181555 185234 +32025 29690 +85096 83658 +89047 92212 +149153 155410 +40194 38603 +79190 84667 +127647 126395 +50368 48077 +73944 74840 +189071 182125 +87359 89795 +27207 26727 +130043 132552 +80025 75678 +99066 96983 +188781 193997 +45093 49310 +133661 124921 +74706 77567 +131364 136501 +69276 70323 +185091 173521 +45077 46395 +158525 162337 +45915 45054 +126551 139941 +62377 60356 +25218 27866 +20688 20557 +140549 147932 +165456 170575 +120743 114422 +20602 20043 +74898 68296 +87161 87115 +14074 14035 +60402 60410 +6935 6629 +55630 53919 +75513 70876 +53878 59576 +106649 102424 +111186 113583 +173109 177281 +136406 132667 +183871 192945 +77228 73046 +27885 28736 +35646 36054 +199008 192875 +133879 146155 +193080 177632 +156194 157620 +28336 26537 +7030 7550 +112292 106866 +96709 93914 +93445 92025 +33499 36377 +55018 55569 +54188 52710 +53677 49042 +52392 52225 +81671 88736 +120872 122708 +156976 157526 +113364 105395 +27324 29136 +203960 185781 +4088 3730 +13332 12521 +51225 49113 +4675 5111 +83996 76539 +159956 149845 +99637 95229 +114429 105826 +103299 111345 +108336 110114 +152181 139082 +136878 144663 +146694 157118 +75827 73592 +144606 144598 +85330 84305 +84640 90965 +79738 78774 +159131 165315 +89675 96165 +6030 5973 +115340 111607 +7635 7546 +77002 70979 +47147 46276 +137436 133712 +178430 172273 +201351 185652 +217655 199062 +164121 181261 +133553 147111 +130834 139163 +72738 77093 +18634 18169 +79053 74276 +108896 99126 +53730 55096 +108512 116308 +186856 176764 +27238 27805 +40246 41854 +190639 186844 +118178 124426 +13478 14079 +169402 158354 +99207 97159 +102755 112183 +93507 102761 +159569 160182 +38037 39045 +89481 85234 +174816 169664 +178462 184601 +100517 105344 +72600 72182 +20432 21123 +119461 117395 +154080 152028 +19959 19081 +125935 132876 +110948 101990 +147722 141241 +148503 149369 +109609 118733 +56255 54716 +51400 49134 +147331 137886 +165326 169493 +183264 173357 +176790 193037 +107319 107421 +94515 92491 +37253 34423 +143194 151588 +153177 149921 +126751 118959 +165834 159174 +115557 117687 +71074 65506 +16310 15517 +171207 167147 +17544 19337 +172800 190623 +190946 189194 +51325 52903 +75917 83794 +68456 70437 +147010 147655 +1686 1567 +2586 2439 +79278 80711 +43574 45051 +27745 28198 +101109 93462 +22986 22437 +26202 26859 +23123 21880 +23541 23145 +25447 23911 +117361 129972 +107153 114938 +65894 72992 +122138 117057 +5623 5516 +49017 46077 +92460 97305 +13468 14959 +46096 42054 +28063 29114 +180269 180586 +78367 76343 +192454 179212 +127474 141142 +6123 6114 +23198 22699 +22957 23909 +108482 117277 +77071 71565 +94381 102566 +91150 82990 +178440 179335 +133529 123375 +30816 33740 +28559 27426 +160748 174839 +176585 165829 +148661 144268 +35005 36885 +51833 55160 +191133 194505 +93541 94111 +180046 194930 +103078 99265 +160359 148909 +92454 91272 +46918 46913 +77977 79920 +51957 54556 +53768 56083 +21640 21285 +35765 38992 +75677 74941 +52862 52247 +136060 150552 +35809 35412 +113603 117617 +175697 164150 +48020 45679 +148348 144731 +164110 164882 +165658 171418 +95777 101849 +164175 170668 +186483 199412 +81959 78199 +111184 115937 +131514 125817 +35273 35238 +90159 94286 +190552 179881 +154424 158173 +168395 171183 +59603 56869 +86347 81327 +147389 154400 +80277 87443 +76321 84561 +182730 190218 +191338 195055 +5997 6348 +169758 157294 +45030 47596 +38871 36838 +36952 40019 +156131 156578 +51300 50334 +7707 7496 +49796 45398 +144912 138080 +10757 10248 +122925 114882 +159152 166657 +113718 105568 +166735 184936 +5672 5805 +171864 171640 +151736 139626 +56080 54232 +24544 23243 +190869 193112 +18284 17994 +133717 136906 +42907 39303 +148211 155643 +25917 25178 +17347 16205 +184328 195383 +97355 92466 +182186 173565 +24952 23303 +166652 169179 +141982 141194 +13420 13023 +38036 37498 +143217 149469 +153256 157130 +184368 183096 +111038 114753 +72048 72336 +173951 174321 +205887 192328 +153298 140113 +179502 173682 +29513 27066 +113202 106202 +105917 117050 +60336 65132 +117408 115160 +111813 109314 +172573 174310 +76544 78990 +147984 147260 +128525 142369 +17908 18518 +20275 21973 +124738 118220 +156560 161885 +15522 15082 +36689 38887 +20089 21035 +155626 162987 +99503 107415 +94638 101267 +120405 126134 +139402 127431 +148110 138160 +175929 169428 +169750 179714 +47006 48632 +96036 103135 +180687 175372 +157257 165921 +38231 38350 +88467 96554 +82387 76299 +123398 136796 +65403 63013 +208767 190704 +7411 8198 +104063 107639 +122183 122786 +197854 180779 +132678 138914 +75850 80177 +17641 16882 +177868 164270 +108037 113256 +215466 198019 +78019 79503 +160514 162086 +192901 190672 +114878 104862 +43872 46076 +202775 192667 +47020 48697 +142232 134319 +96764 102854 +9521 9069 +161057 161900 +68039 67037 +11228 11395 +180062 191064 +21829 20190 +1831 1990 +135101 144660 +80365 87986 +17803 17260 +41526 40263 +82141 75620 +125203 129706 +6286 6366 +148961 150515 +71867 72680 +101833 107913 +101521 99750 +99519 109080 +49801 54366 +180973 180402 +37512 41504 +123662 134463 +143228 143632 +212548 197474 +153494 151121 +69296 73515 +1324 1424 +194808 184306 +139412 139050 +124006 125270 +159572 166459 +3426 3538 +117576 128068 +98685 90681 +23777 21816 +48245 50766 +61580 65187 +134640 128002 +129547 133880 +196719 183818 +178481 164213 +61065 56220 +202133 194933 +126373 134599 +207260 195410 +58407 59245 +129365 130932 +121941 111134 +30843 33403 +160753 169681 +127215 128325 +122282 132097 +29120 30300 +24117 24482 +42996 47295 +23859 23230 +9695 9931 +102611 101361 +116995 129862 +204830 198141 +131746 130825 +85923 83467 +49033 47687 +104497 104767 +5014 4797 +55975 59126 +181974 180792 +114693 122527 +25531 27646 +50994 52979 +17188 18010 +105822 113682 +144395 146248 +104896 114555 +37034 35448 +96572 97128 +145269 133634 +202468 195524 +149046 152551 +96741 100490 +192897 196608 +137785 134395 +70663 73862 +141169 155707 +30894 28861 +50190 48713 +55913 56189 +32305 32410 +49067 46302 +6957 7184 +78509 76735 +173253 170524 +1776 1651 +128334 138541 +13329 14026 +1934 2003 +162090 167927 +157538 147829 +17799 17891 +145643 135019 +20856 22221 +48907 52985 +131802 137308 +58930 57705 +133953 129475 +80497 86020 +147564 142095 +5423 5740 +82682 79952 +52416 48409 +170969 172321 +21407 23022 +154697 144214 +148063 136055 +106726 110466 +26862 25538 +84204 78754 +167589 184983 +40410 41194 +72976 72917 +114885 112617 +8135 8362 +44341 41435 +95327 88302 +82706 83531 +11132 11101 +130264 141219 +17834 19375 +6952 6482 +90274 83862 +68097 73176 +16177 16659 +43094 39537 +31351 29174 +2636 2779 +48778 52976 +156704 163526 +115888 128150 +61706 60696 +161836 167284 +115293 110170 +96178 90124 +87002 84994 +18940 19818 +61721 66205 +168993 180773 +94277 99225 +23220 24244 +162586 151532 +44113 43626 +142335 149093 +17004 16783 +156974 143757 +138633 127709 +41287 43385 +190021 173332 +143905 141935 +25130 27578 +166487 156275 +12880 13747 +164553 153325 +127111 117816 +155688 149944 +84563 77879 +63232 59209 +20313 18503 +102914 111482 +123715 125639 +51563 49906 +3771 3986 +46307 46643 +101293 103392 +91935 85952 +152228 168188 +126694 130985 +3032 3037 +26441 24564 +106787 111588 +9073 9322 +18774 18737 +52233 57275 +153562 155490 +164608 176911 +123272 134954 +154975 166250 +98558 107721 +205704 189754 +20197 21549 +176685 187456 +40236 38693 +165890 165849 +175987 179966 +171872 182952 +15740 15676 +60845 56610 +177176 162423 +71264 70262 +41507 44559 +43083 47191 +82556 90125 +12635 12071 +159724 155351 +76507 77185 +176392 164944 +151340 163875 +108260 117514 +73084 71223 +1770 1960 +196221 199420 +104142 112213 +103083 98838 +43051 39707 +24461 24046 +69593 65441 +107177 100807 +170606 157444 +66610 65759 +69408 75600 +150281 148669 +180375 194715 +78621 78494 +139130 143422 +44168 41078 +141967 141489 +121608 133029 +177242 172546 +64144 66679 +37415 36850 +62582 60568 +112191 119148 +137569 135635 +184295 186594 +20118 21957 +212791 196102 +19960 18274 +84220 86626 +176143 171619 +135135 145301 +77076 80075 +93560 100075 +170425 184085 +119034 114391 +118915 123068 +149031 146512 +173143 180966 +188947 180421 +128944 141294 +92942 88061 +57878 54412 +61540 64719 +135278 126052 +95548 101307 +125090 125672 +178762 181988 +57402 55082 +151482 162859 +75935 78593 +30890 29993 +37611 35159 +54298 50952 +153273 160846 +32856 34943 +203781 188877 +129970 142120 +140102 146475 +43327 43583 +118548 127734 +143253 143225 +137955 142495 +161229 166718 +11073 11078 +181601 188357 +40154 38430 +25068 25227 +203062 191741 +161309 166768 +47786 46787 +18706 19246 +32513 33956 +109081 108278 +43873 45523 +201633 188777 +183297 197004 +31237 31984 +138254 152702 +86378 85304 +123865 125972 +189672 182157 +214003 195423 +120197 131602 +128094 134946 +95273 87323 +8081 8633 +45875 43495 +142344 135078 +128469 131709 +131801 132217 +70066 70049 +101265 98524 +165606 162355 +126452 135352 +70888 66213 +193626 198984 +144707 160535 +120861 111288 +40658 43665 +15605 17273 +35604 37660 +198943 187492 +2465 2493 +164261 171080 +151805 166479 +143076 131922 +183787 188732 +22772 24472 +135374 145816 +101472 108034 +170257 160730 +193644 177941 +119582 114359 +62789 57821 +29968 31197 +118586 129527 +195747 178057 +80078 85048 +160301 153221 +133285 137405 +160678 163073 +71679 75537 +8579 8653 +171407 169140 +185604 171633 +23011 23502 +53559 58666 +62804 67312 +108053 110081 +48903 50114 +11726 11400 +157492 164751 +48223 50868 +45356 46698 +162857 178706 +110108 112315 +160951 172712 +36057 33321 +13069 14185 +45426 48806 +194147 182163 +121942 118482 +31697 30505 +65579 60685 +125942 127496 +146431 160156 +60369 57513 +39700 36726 +148555 145202 +107910 104236 +110129 102073 +80516 81972 +44641 48662 +33498 32721 +100005 97635 +79415 76000 +132021 120530 +70946 74688 +60637 56044 +104480 104927 +89816 81762 +173908 165214 +5383 5777 +23903 23776 +66611 70295 +29547 28724 +106121 105164 +2824 2716 +193115 180704 +109414 116474 +154093 144847 +62525 64598 +36726 39683 +9804 9998 +176340 161222 +57276 52315 +103032 102305 +142174 142011 +73812 80598 +95796 92289 +104843 112119 +149691 157365 +58927 62754 +6204 5865 +174928 179418 +166378 166489 +48851 44482 +126769 138365 +147272 153016 +106087 100079 +176320 174155 +171929 164468 +42714 43163 +140523 148521 +121300 116172 +20007 18508 +2306 2470 +1761 1635 +68352 64972 +93953 90636 +200917 189847 +125926 118947 +104633 99279 +34974 35721 +171571 160837 +160976 150295 +88160 93136 +18769 18719 +16588 17506 +30562 33307 +74 70 +53136 53557 +168129 183864 +174233 192946 +125566 138977 +176216 192316 +158287 173229 +183913 196106 +91993 93707 +68921 68910 +129994 136640 +144082 157819 +85434 88296 +89723 82640 +85578 79947 +133381 146950 +205487 193176 +155643 154034 +105560 105153 +153197 158615 +24338 24242 +79607 87595 +33392 36335 +27568 27460 +214828 196297 +45382 46687 +166146 154620 +14633 13325 +71560 78760 +158650 160187 +120640 118570 +2853 3036 +114446 122519 +85458 90421 +159488 165780 +92124 88196 +86517 81685 +76522 75150 +42025 41456 +19340 20292 +37734 34717 +87858 84113 +148909 160653 +24979 25603 +177142 165475 +72442 69523 +130445 141907 +152225 153811 +66773 68694 +86941 84595 +121284 134151 +12259 11887 +135429 140061 +82842 78937 +160873 169670 +81234 74302 +92582 89180 +96440 92216 +21274 20998 +51126 47376 +159313 170350 +60730 61645 +157040 142938 +138917 131741 +157475 173353 +11666 12575 +3817 3948 +51955 52939 +27053 25247 +24956 24831 +23771 26371 +93472 90918 +76441 76825 +5801 5703 +179174 174205 +58017 64296 +12642 12828 +137808 136092 +196753 194515 +170622 158576 +65778 61413 +69283 67182 +41737 40921 +44710 43084 +171417 175854 +38807 39989 +164086 169276 +27774 26673 +95352 101609 +76721 72307 +124254 133700 +46724 46783 +44291 47489 +12874 13814 +120100 132497 +51764 51135 +193729 197790 +201389 185940 +119077 129248 +70358 75494 +178913 178207 +142018 143790 +130532 127749 +66433 61394 +186376 180703 +131358 131119 +91568 91696 +181279 199625 +17926 17074 +24617 25356 +159196 175978 +77024 80126 +43502 40614 +24603 22532 +165918 163094 +184306 191822 +36121 34821 +160381 157727 +184467 179186 +165713 157628 +143091 136399 +164088 170801 +156420 155541 +27480 27258 +92463 101122 +4849 5269 +98630 98737 +41542 40162 +106081 100052 +101674 101747 +53413 54095 +2813 2998 +117900 119540 +13622 12540 +78883 82135 +166625 153125 +213090 195342 +86062 91242 +117076 121863 +141019 142087 +159402 151110 +76630 83587 +77617 72377 +98448 91037 +195223 189817 +166957 165141 +137696 147551 +116080 113300 +34624 33473 +96110 103225 +78336 82824 +56529 59008 +24122 22447 +9886 10513 +188649 195738 +44362 41315 +200662 199185 +146809 152715 +62921 60695 +73067 75621 +33388 35243 +129407 118429 +143732 141978 +102905 110875 +90261 96639 +127353 124937 +183113 180313 +45623 43842 +86583 94855 +31266 29976 +505 482 +78786 77762 +149688 138935 +159382 147744 +16370 16543 +65520 59949 +55601 59581 +49420 53248 +89124 97236 +8591 8703 +98790 93251 +47170 44192 +71810 79518 +90541 88797 +48350 45161 +185628 173620 +138335 150707 +85029 79064 +178262 196109 +186449 183927 +56736 51942 +945 873 +36813 39428 +194634 186994 +179785 164257 +8838 8628 +134862 147040 +73542 78004 +106849 104746 +107414 110470 +141805 140905 +87894 87448 +101650 93985 +199230 197337 +52897 55014 +40725 42180 +111996 105022 +180125 199437 +24435 25811 +43414 44326 +173906 170645 +116683 108270 +73221 72234 +101087 95735 +135214 150163 +183731 191282 +168753 169820 +108507 113082 +128873 119551 +69404 72633 +58102 58519 +99233 93499 +101347 100348 +1825 1933 +104883 97919 +39023 36625 +193131 193283 +1889 2081 +3562 3638 +75010 79838 +141751 139819 +8524 8340 +115177 112278 +143489 154123 +23239 21155 +107918 110509 +47759 45491 +8420 7887 +160306 155123 +96095 101150 +127567 117083 +105706 105127 +193565 186816 +138990 141634 +157027 149527 +5072 5532 +27795 26503 +22150 20832 +43674 48425 +91471 84034 +124288 137631 +67315 73384 +50491 47374 +121273 116660 +28250 27833 +149662 136242 +16564 15291 +44627 41883 +17801 17377 +40201 39108 +9244 9186 +46533 48550 +96684 100155 +53198 55882 +106756 107243 +191249 179473 +47834 51400 +182518 167773 +116100 120317 +142339 141024 +143780 147361 +116958 125503 +78498 80180 +67660 74402 +166201 166648 +194756 180955 +85993 80554 +97903 95472 +75474 82268 +48243 52935 +149099 148400 +173025 178797 +134189 148339 +87808 81794 +85108 87379 +95286 94149 +50465 51838 +84577 81400 +148574 160089 +80951 80943 +54469 59418 +157635 148283 +49119 46979 +164517 163885 +78071 82684 +35958 39305 +181384 184139 +132331 133321 +104255 100978 +129021 133002 +138051 132645 +155879 163992 +193084 189734 +158414 160401 +151780 149247 +139593 131128 +131708 145115 +78878 85784 +129921 123266 +38373 41490 +54719 58736 +80963 82502 +83623 86800 +31618 32794 +14388 13082 +78323 82858 +77042 72710 +103969 104204 +147337 163371 +141442 140350 +25995 25721 +119946 130159 +138607 133647 +111730 108198 +102402 95290 +69691 71507 +50100 53131 +94493 88176 +158050 152724 +25522 23636 +84024 87551 +81827 79764 +29250 29130 +73910 71190 +127142 120226 +80896 87347 +140544 136795 +80459 82696 +177283 189002 +4303 4378 +183668 169856 +119552 120547 +32914 32291 +83282 76733 +173091 166250 +163715 168682 +159181 173940 +135540 134142 +155287 145542 +89652 85610 +125703 135712 +50743 48293 +88149 84282 +13945 13176 +103616 106080 +83453 78887 +120533 118119 +26109 27383 +53409 56267 +146397 138273 +145789 156583 +81258 83345 +59023 53775 +5768 5723 +49657 54797 +160341 176507 +26066 27383 +150180 165639 +136610 144681 +39281 40371 +17441 16357 +166808 160384 +186475 196547 +63529 66883 +74308 81814 +194116 182542 +16501 18269 +10545 11389 +52982 56419 +7593 8415 +65913 59956 +92291 95166 +28450 26044 +63434 68749 +118453 121981 +130253 137325 +178899 174892 +12604 12955 +27610 26857 +89750 94842 +208385 195162 +151414 167225 +164019 159139 +185806 195361 +100156 96387 +39698 38078 +55607 61518 +85794 82663 +12230 12867 +165703 171115 +76463 80585 +100012 100249 +6763 6987 +12343 12332 +57602 53485 +62914 60817 +157891 147113 +34803 37591 +121395 113739 +185922 188530 +98746 106629 +167379 158124 +35874 34991 +125566 137961 +166518 162463 +114212 109589 +91053 100194 +17637 17260 +7792 7252 +10448 10597 +28625 29217 +103052 96188 +53168 48664 +13675 13659 +29419 31401 +35591 39460 +13549 13371 +49846 55197 +4099 3756 +163079 172409 +84869 86566 +24311 24063 +132550 125274 +11277 11481 +107984 112796 +65753 65787 +78471 74587 +193519 192238 +113633 121787 +71734 68600 +97442 97105 +99641 105514 +89126 84922 +101764 99846 +104057 111321 +17171 17610 +103735 100011 +176425 160925 +17871 18248 +158341 153173 +162906 161528 +57529 58083 +71611 67311 +184010 184284 +101099 108839 +5117 5604 +12808 12504 +22369 22649 +24879 25815 +62172 66586 +39070 39816 +140330 134162 +51729 52737 +104693 102500 +120682 125501 +150014 162580 +41845 42810 +145340 156432 +24188 23728 +9631 9466 +186386 169838 +144362 144211 +187916 173266 +47945 53189 +12258 12196 +149613 153292 +133782 127887 +128413 141059 +20379 18686 +203436 185671 +126027 130767 +91229 96266 +34327 31351 +81618 88118 +172740 173810 +194144 186939 +25471 23908 +39841 37815 +8584 8465 +112273 120705 +19216 17846 +46576 45522 +122795 118466 +74558 78673 +108537 99764 +42823 44602 +49159 54034 +9545 9706 +173258 166275 +195027 189577 +12713 13706 +20909 22676 +46831 43999 +194707 182406 +16076 16300 +90839 92066 +148906 154401 +63759 65696 +199360 187700 +27728 26993 +21395 21304 +52131 48305 +88281 86873 +162862 152425 +64433 60594 +128114 129341 +181153 168203 +39684 36664 +31201 28466 +90771 91058 +143463 143604 +15119 15772 +125841 131128 +14780 14376 +111100 105657 +175240 173783 +204825 191646 +59381 54752 +79055 75192 +147110 139386 +144232 155863 +88139 95879 +46210 45193 +134938 129181 +90158 93233 +90508 94257 +13618 14527 +172129 185442 +126037 128913 +42881 45471 +58541 59942 +19362 19767 +110227 120507 +176936 165500 +73021 71108 +142066 138578 +11219 11016 +7273 6617 +35875 38425 +5882 5940 +64522 59055 +38530 36790 +61139 66529 +28927 28777 +121810 113919 +73312 70010 +193396 196622 +126793 135620 +167951 166881 +27556 29559 +83015 81296 +33598 34853 +59028 65304 +178255 163625 +48859 49295 +40089 40436 +6338 6529 +103183 100469 +156880 153772 +167737 156260 +12978 12629 +199873 193836 +127205 136646 +87815 88691 +145419 147620 +100104 93063 +140099 135408 +61152 60902 +53966 53658 +199253 199658 +12414 11645 +81279 78799 +148192 144444 +99827 94498 +208975 192439 +126817 133449 +74870 75625 +27527 29161 +205752 198881 +125836 121527 +93100 90010 +115972 122079 +179565 183673 +662 714 +139231 147108 +106881 103568 +80914 84666 +121689 132794 +72344 78578 +180938 166002 +157841 171539 +67761 63945 +129855 139213 +163821 178405 +85552 92979 +124 134 +29487 30550 +15710 16499 +89189 81855 +32233 31237 +11321 11016 +172718 168783 +13260 12311 +142160 146152 +12558 12088 +51656 47384 +179756 187414 +11307 12315 +104426 108502 +176804 191892 +184676 188049 +150241 163089 +175384 190443 +76774 78839 +97131 89044 +91019 85578 +117480 122971 +21781 21147 +81811 89194 +35764 34575 +98989 95394 +164002 174791 +91219 98452 +65776 70385 +16383 18200 +164632 159467 +65908 69390 +26826 25935 +44499 44463 +179749 163423 +114203 118223 +138561 152526 +96930 106609 +125304 137129 +162460 172870 +50921 50016 +181539 187524 +39303 41525 +80895 83360 +116998 114432 +160629 151335 +108015 114332 +15683 16318 +933 882 +170461 188532 +104903 109408 +197932 183297 +30591 32197 +151515 143326 +73561 74091 +195541 186240 +64894 65810 +186143 174514 +168209 157141 +183692 186958 +82831 89404 +34941 37591 +135503 136221 +76836 73718 +5748 6383 +105945 96912 +174423 170540 +15861 17152 +175280 177058 +33077 32630 +134444 125527 +30798 33643 +87805 87959 +54025 59008 +68463 74204 +53135 53170 +16085 16728 +175390 171196 +49309 48545 +44812 40906 +8118 8377 +189799 194453 +46889 48889 +17001 16991 +26340 25562 +92154 97677 +96880 102816 +113850 108956 +194979 185380 +171373 159745 +77892 71676 +159520 161890 +172453 161865 +28422 26666 +60441 65820 +23520 21517 +56204 51397 +4027 3992 +35321 37882 +135457 146227 +170089 166433 +23296 23095 +171570 160186 +119989 129958 +168013 166444 +18047 16843 +4206 4074 +22334 20335 +98716 92738 +45415 48821 +58424 53314 +24770 25299 +202594 186759 +127343 125165 +36863 38499 +122154 112719 +89024 82986 +142515 134215 +28319 29850 +135835 150237 +38593 37988 +3468 3185 +23754 25499 +115843 123695 +191192 181560 +90693 100400 +64833 67784 +191918 196336 +131346 127090 +106817 102824 +168934 168425 +184715 168968 +60235 58922 +214131 197907 +84171 82098 +30651 31958 +26059 28864 +127206 129030 +85308 79426 +183854 172149 +80033 81183 +3781 4081 +83953 77728 +60934 60473 +47201 45116 +60371 61469 +134736 142462 +111151 108785 +194540 180501 +29934 28333 +89403 97464 +159053 161572 +101719 94067 +84646 83551 +177190 179731 +5868 6230 +108432 110250 +119729 127626 +135515 150272 +28904 31874 +60800 66070 +99320 98464 +33843 31448 +169548 165790 +105430 103966 +61909 61482 +45212 45599 +88519 91804 +172979 168947 +131460 120528 +85378 90178 +159756 147621 +13545 12878 +74785 74129 +99447 97435 +101808 106078 +198611 188023 +114780 123140 +41734 39593 +39001 42980 +67014 64634 +185242 174879 +27814 27162 +211573 193873 +73661 71281 +13051 13677 +163966 162436 +96669 90998 +117903 129023 +36356 37400 +60221 58213 +65347 63137 +163335 179473 +160385 171039 +46584 45863 +121329 127766 +4096 4061 +180430 170398 +177568 171487 +116558 123415 +66116 66020 +204474 190731 +175818 172555 +22471 23326 +5050 4785 +46364 44212 +89145 89106 +107414 104415 +148768 137560 +154636 167811 +13711 14725 +157677 154441 +51902 55226 +191525 185916 +31902 31842 +57690 56387 +51616 48958 +122577 118907 +30177 32333 +21510 21233 +85455 78807 +91180 94393 +92365 90454 +169286 185005 +179887 190850 +45660 48134 +76229 83512 +130211 135760 +79629 76614 +170441 173837 +1767 1877 +133756 142441 +39729 40243 +202578 185492 +110912 117372 +85381 94081 +70181 77797 +146647 136827 +26282 24869 +162513 179807 +86220 95425 +163211 175226 +169395 173874 +7858 7359 +205641 199028 +99291 104677 +141700 131566 +60662 62875 +81324 82361 +34147 33577 +135262 149902 +159434 174309 +69169 69905 +11776 12494 +75995 71476 +178870 177928 +93492 91913 +137241 133620 +119460 127016 +98751 94123 +18279 17529 +106476 106712 +174137 178783 +195268 187823 +58957 62402 +23176 21838 +47702 51127 +89303 84270 +24632 27213 +147738 149765 +69007 72246 +114609 127100 +194492 190416 +73511 69704 +164426 163023 +56441 52903 +151663 163401 +152937 145145 diff --git a/knapsack/data/ks_1000_0 b/knapsack/data/ks_1000_0 new file mode 100644 index 0000000..4b704d2 --- /dev/null +++ b/knapsack/data/ks_1000_0 @@ -0,0 +1,1001 @@ +1000 100000 +11264 10483 +3619 3407 +8178 7509 +14020 14670 +14436 14975 +12955 13037 +15258 15504 +5733 5615 +11573 11176 +3854 3597 +12351 12375 +14252 14094 +14893 16415 +7743 8479 +3235 3336 +17432 16240 +12031 11200 +19057 18379 +9910 9036 +6524 6929 +16201 16528 +12129 11283 +17895 17879 +6264 5738 +9223 9120 +2115 2291 +140 133 +5387 5707 +5469 5449 +19423 19202 +12708 14077 +12445 13535 +4039 4015 +17476 17040 +14556 14447 +4138 4428 +14709 14111 +13840 12968 +18602 19095 +17559 16178 +3181 2962 +4366 4461 +11277 10553 +7636 7177 +4496 4138 +10605 10270 +5754 5829 +1531 1477 +4301 4370 +10745 11315 +8288 7922 +8831 9747 +20397 19968 +12886 11935 +13644 13860 +9823 9083 +16368 16948 +16507 17102 +8456 8839 +6646 6045 +7541 7992 +11862 12006 +4094 3923 +18307 17718 +5058 5567 +6027 6055 +14515 16104 +18273 17146 +8405 9063 +14384 15981 +8622 8369 +19918 19513 +5685 5753 +21016 19978 +16395 17091 +6352 5884 +38 38 +2881 2638 +12467 12412 +4882 5126 +15580 14217 +13646 13409 +19153 19334 +16726 16437 +10088 10633 +15724 17413 +8905 8851 +5780 5823 +921 1013 +5257 5430 +16771 15328 +84 88 +9063 9448 +5522 5515 +11864 12051 +9134 8712 +9506 8772 +6421 6420 +9883 10815 +18961 17495 +4080 3736 +13077 12571 +14824 13565 +13782 15011 +20925 19132 +10517 10213 +11094 10674 +663 614 +16588 15762 +15303 15632 +5582 5486 +1268 1266 +10959 12004 +914 852 +16522 16616 +12721 11574 +5356 5802 +7068 6980 +8840 8324 +5479 5912 +8068 8419 +8010 8236 +17378 18159 +6726 7386 +1007 920 +8771 9746 +365 383 +19226 17751 +4595 4670 +6962 7062 +12902 12789 +14625 15012 +11328 12466 +2237 2419 +16307 16412 +16238 17489 +6923 6556 +4789 4382 +7427 7785 +19180 18183 +16913 17710 +12851 13092 +5285 5178 +3650 3818 +7638 7716 +9630 10221 +14215 14137 +12565 13526 +9011 8516 +15475 16797 +12491 13784 +10189 9444 +15038 13885 +9187 9231 +17232 15925 +7717 8131 +604 617 +2270 2394 +11363 11957 +7923 7680 +17028 16620 +14418 13294 +6735 7401 +17592 16065 +11624 12020 +366 405 +558 558 +6398 6147 +13816 15129 +11737 11220 +10027 10278 +6067 6145 +18156 16732 +1704 1833 +2780 2882 +16093 15150 +16292 16841 +18073 19180 +14625 14329 +5524 5591 +2174 2262 +1509 1456 +10701 10479 +15543 16547 +16212 16932 +663 640 +4820 4689 +4469 4628 +13251 13531 +9782 9892 +3376 3637 +14537 13446 +6393 6127 +18091 19387 +1007 924 +4719 4973 +2731 2623 +7361 8001 +18781 18450 +7485 8216 +9681 10194 +129 136 +7447 7402 +14375 15186 +14861 14138 +18704 19040 +2223 2307 +1925 1949 +3543 3346 +12981 12688 +253 231 +9354 8855 +17008 18338 +6065 6300 +12008 11561 +6213 6550 +4830 4567 +4938 5279 +17459 17412 +16362 15693 +14750 14733 +12605 11952 +11942 11616 +4622 4295 +6006 5927 +6941 6655 +17838 17646 +16131 16040 +6518 7186 +4877 4758 +11131 10888 +2421 2577 +595 584 +6092 6105 +18411 17908 +16526 16219 +12241 13224 +3340 3144 +14182 14848 +9390 9304 +13642 13824 +10644 11066 +4466 4356 +2603 2454 +10392 10597 +7346 7010 +2234 2213 +2395 2397 +17634 17463 +6501 6027 +6445 6862 +16564 16302 +13834 14528 +4588 4445 +10163 9590 +3926 4196 +3998 3987 +3314 3255 +2390 2366 +1856 1908 +6930 6862 +17224 17876 +8160 8434 +12400 12398 +12125 13146 +7655 7241 +10318 10245 +9013 8251 +13327 14408 +11594 12226 +7908 7863 +7858 7454 +10983 11347 +18153 18026 +6887 6704 +5399 5557 +960 994 +12768 12929 +5096 5508 +9668 9751 +8968 9060 +9286 9733 +8823 8622 +5024 4630 +3718 3571 +12738 13068 +9113 8790 +17931 19516 +10972 11124 +13488 13158 +1150 1101 +102 111 +332 339 +2796 2813 +16837 18190 +14485 14222 +5471 5353 +10015 9621 +6774 6493 +4878 4467 +6273 6679 +5822 5774 +11833 11049 +9377 9456 +16007 16842 +1336 1375 +10170 10858 +6281 6612 +11622 10615 +5176 4826 +20988 19301 +9063 8773 +13178 13565 +17630 18381 +17941 16773 +13622 12709 +15146 16200 +7554 7100 +12055 12577 +4613 4912 +16116 17769 +4613 5066 +21495 19995 +7256 6905 +14024 13920 +7120 7374 +15524 14333 +10670 10674 +11541 12469 +1208 1280 +11355 11675 +19585 18402 +9217 10104 +17452 17611 +2779 2773 +12244 12590 +15347 13958 +8243 8410 +7508 7475 +5097 5395 +18521 19876 +15819 14509 +8774 9449 +14418 13159 +12593 12291 +19647 18594 +8278 8723 +652 701 +980 1020 +11509 11246 +17117 16407 +3521 3775 +15894 16115 +3112 3203 +8734 9607 +8558 9300 +17881 17110 +3342 3210 +17426 17662 +17669 19481 +221 221 +3937 4264 +8743 8576 +9075 9961 +15538 14505 +7189 6778 +16607 16508 +3323 3255 +18143 19430 +5065 4794 +3040 3147 +2180 2321 +20323 19499 +10685 9945 +9099 8399 +10119 11036 +11468 10774 +9830 9093 +8643 8119 +5190 5724 +18158 18770 +13656 13922 +19054 18839 +15079 14058 +506 466 +14043 14418 +10122 9298 +2248 2461 +11989 10997 +6792 6319 +10086 9714 +7929 8004 +15029 15554 +10762 10445 +5739 5227 +9524 9768 +18379 18251 +19221 17691 +6865 7229 +6035 5612 +12226 13419 +6081 6240 +169 177 +19458 18542 +9604 8873 +8516 9407 +7692 8473 +2079 2181 +7280 6933 +5176 4725 +6580 6098 +13574 14306 +15025 14728 +6335 6456 +8897 8738 +7135 6897 +12044 13094 +10055 9847 +4408 4283 +17513 18167 +18039 16476 +20129 19422 +10923 10488 +14504 15027 +17026 15715 +6297 6352 +14642 15197 +15359 14303 +5263 5245 +7286 7437 +2944 3194 +3120 2893 +10587 9941 +1042 1100 +15535 14566 +9594 8972 +15226 16161 +13275 13823 +19585 18629 +13248 13442 +14114 13665 +14896 14931 +16452 15075 +3846 3985 +14234 14750 +7271 7663 +14993 14456 +8085 8852 +2524 2766 +9050 8434 +10053 9956 +7115 7680 +7578 8108 +7596 6998 +5306 5329 +9212 8869 +18251 17186 +16850 16209 +3302 3254 +4707 4781 +14857 14592 +18186 16817 +9095 8752 +8840 9625 +11764 10787 +215 221 +11866 12201 +16200 15145 +10481 11185 +2781 2744 +13203 12275 +4913 5074 +16875 15993 +5685 5823 +930 875 +14670 15686 +12566 12214 +12547 13927 +16109 14744 +4067 4114 +12956 14186 +5335 5826 +18266 18058 +2838 2841 +11759 11460 +11450 10424 +16208 17181 +18123 18299 +16836 17404 +8559 8592 +7075 7478 +456 466 +15966 17524 +12166 12166 +1449 1602 +2106 2065 +7391 6838 +3227 3124 +6685 6779 +20820 19941 +7730 7354 +19210 19467 +15569 16804 +650 694 +9142 8318 +13546 13304 +256 234 +16447 15396 +496 462 +11241 11138 +15964 16931 +15815 16811 +18435 19291 +15739 16071 +13879 13776 +18917 19009 +4171 4197 +18277 17540 +8504 7996 +13710 12469 +1999 2004 +1294 1292 +20384 19451 +3040 3023 +3220 3480 +6064 5517 +14218 15534 +3142 3189 +17658 19342 +15676 16976 +4988 5116 +11528 11457 +16862 16379 +4910 5176 +1407 1336 +2623 2733 +10573 9744 +5411 5087 +12321 12863 +17654 17417 +9667 10630 +14295 15645 +8003 7896 +411 449 +18780 17569 +583 579 +1662 1844 +19157 17977 +2513 2543 +12311 12313 +111 109 +9236 10002 +9191 10120 +14781 13446 +4367 4552 +14223 15300 +19190 18861 +15119 14598 +1425 1397 +18115 16708 +5212 4810 +2728 2834 +3005 3243 +2996 3197 +7701 8373 +10910 11294 +9781 9966 +5619 5976 +16210 17614 +19562 19284 +825 779 +520 566 +15474 14975 +14572 13435 +3066 3128 +11561 11090 +116 124 +5962 6100 +1665 1814 +5826 5441 +574 545 +17976 17176 +11581 12176 +12459 13459 +17492 16152 +18730 17629 +2358 2203 +5680 5617 +17287 16690 +16583 17520 +7465 8022 +19201 19294 +19806 19883 +15151 16314 +2500 2601 +10397 10584 +1167 1096 +19158 19101 +1999 2151 +19810 18487 +15571 15609 +15359 15542 +10264 9485 +3967 4202 +18341 17465 +10563 10202 +10481 10467 +9540 9566 +893 875 +2995 2810 +14799 14231 +1147 1144 +13871 14716 +2556 2625 +17325 18727 +235 247 +17922 16902 +1194 1106 +2384 2453 +20172 19317 +14688 15432 +9998 9196 +15401 15826 +12859 12901 +18889 17232 +14644 13896 +18870 18901 +303 276 +12151 12819 +1294 1207 +10467 9667 +10860 11561 +12040 12753 +10192 9926 +1928 1831 +11804 11654 +10697 11636 +8573 8699 +19125 19096 +16828 16486 +16636 15151 +4412 4118 +3439 3127 +3924 3711 +1453 1472 +14127 13267 +11868 11568 +13130 13503 +5521 6095 +17478 16101 +18248 18998 +11437 12177 +2990 3183 +11320 12314 +8768 8744 +2344 2327 +5240 4954 +6802 6363 +154 145 +18476 19438 +20286 18807 +12451 12042 +7184 7873 +635 591 +9875 9685 +17941 17059 +5009 4662 +12070 11722 +17680 16655 +14816 15229 +12464 12408 +12399 12618 +11973 10951 +3157 2920 +7041 7670 +693 725 +3652 3596 +15973 17359 +15830 17515 +18920 18347 +5293 5110 +6126 6517 +12811 12989 +5309 5540 +63 70 +3010 2873 +10942 11418 +4265 3956 +15408 15113 +1531 1395 +10782 10010 +20756 19593 +9089 9651 +4046 3862 +6818 7202 +12684 13192 +1210 1254 +5291 4942 +14880 13565 +7089 7573 +5534 6058 +2375 2337 +8944 9762 +5247 4777 +6971 7476 +16733 16880 +20948 19187 +17473 19036 +12052 13153 +5240 5620 +17428 17977 +3407 3770 +3240 2969 +5783 5443 +2618 2475 +5198 5199 +6565 6158 +10376 10282 +20666 19301 +4790 5150 +11236 10492 +17084 17074 +17154 16755 +13099 13122 +9449 9577 +14627 13660 +828 837 +10949 10133 +9165 10147 +15795 15271 +1269 1338 +13044 12776 +5259 5638 +5869 6311 +2810 2935 +12795 11685 +8649 8214 +16718 15565 +11375 10990 +18021 16657 +17074 16126 +19169 18947 +8845 8506 +12900 13421 +17177 16185 +6694 7342 +4791 4383 +5271 5650 +14498 14727 +970 1068 +18028 19564 +12257 12914 +7577 7668 +732 757 +6066 5722 +14076 13532 +9951 9302 +10142 10044 +16076 16139 +709 694 +18104 16718 +10387 11021 +4615 4558 +15895 14722 +15740 14947 +16809 17102 +3173 3351 +15721 15323 +15349 15884 +3898 3904 +931 873 +16319 16663 +18163 18916 +13895 14460 +9571 9261 +16535 18372 +13041 12341 +1812 1975 +7697 7546 +8746 8908 +7720 7408 +2328 2560 +9683 10189 +4409 4307 +1977 1937 +6068 6303 +13822 13711 +10688 10133 +16223 17117 +13504 14678 +11270 11444 +5615 5419 +13576 12548 +14954 14945 +1117 1176 +3510 3428 +12272 12417 +14207 14007 +13519 13057 +1242 1325 +17535 16407 +2295 2088 +2626 2754 +18348 17388 +16724 16691 +15243 16926 +4240 4628 +12331 13374 +17792 19054 +20437 19230 +6930 7452 +4978 5182 +7331 7530 +6446 6662 +13826 13641 +11818 11391 +4555 4914 +3898 3822 +14778 16020 +8696 8238 +11898 11676 +19307 18281 +9461 9312 +9973 9651 +1357 1288 +17539 17091 +12570 11609 +17460 18542 +14865 15039 +8316 8353 +18937 17431 +11989 12155 +12438 13782 +7880 7206 +4048 3705 +15936 15312 +14380 14410 +1036 1098 +4995 4556 +12709 13212 +16140 16676 +11113 12162 +1177 1092 +774 808 +6409 6132 +4510 4413 +17640 17791 +13586 13463 +19709 18584 +7659 7779 +10587 11687 +11173 11206 +5357 5843 +16135 16598 +18955 18797 +17872 17166 +10671 10391 +595 658 +12788 12624 +14642 14559 +13155 12606 +12327 11822 +4804 5270 +5676 5669 +1512 1418 +17616 16729 +1025 1072 +2439 2501 +15284 15114 +11325 12256 +4409 4119 +10534 10909 +14153 14486 +9884 10948 +3311 3539 +8477 7913 +10674 9839 +666 646 +11945 11408 +19969 18426 +3425 3778 +9498 10461 +6763 6952 +11765 11519 +1252 1316 +16627 15516 +8728 8638 +13338 14717 +8676 8244 +438 399 +15160 16735 +6214 6008 +13733 14621 +14877 13787 +8319 8736 +5844 6474 +6003 6131 +10419 10211 +13756 14020 +12327 11432 +4761 4659 +4786 4959 +17934 19509 +12358 12130 +15642 14277 +12069 11396 +20852 19080 +8698 8770 +15387 15995 +9147 8609 +9856 10556 +2189 2249 +17139 16049 +2966 2717 +10975 11384 +51 54 +7001 6988 +10703 10620 +12183 13233 +11535 11008 +1377 1373 +12065 12057 +9799 9667 +14630 16249 +4427 4605 +9084 9579 +18208 17973 +9639 9963 +19036 17520 +1 1 +17013 18840 +9359 8732 +18992 18180 +11470 12584 +4079 3878 +17443 18859 +13877 13905 +1521 1537 +12553 12452 +9526 9170 +17167 18712 +15910 15501 +2928 3074 +18412 17572 +6230 6917 +191 195 +17767 19511 +14399 13581 +20192 19054 +1336 1430 +9965 9415 +18732 17655 +19385 18931 +17033 18129 +672 639 +18893 19073 +11129 10590 +19187 19644 +18242 17461 +14627 15495 +14525 15682 +15872 14774 +5669 5962 +10356 10879 +9214 8414 +18858 18842 +1516 1513 +15308 14807 +10505 10809 +9319 10204 +3602 3559 +862 826 +5498 5828 +16308 15275 +12785 13283 +8409 7818 +19704 19677 +8703 9550 +16842 16068 +11524 11268 +6731 6600 +73 73 +7779 7904 +13221 13633 +19409 18902 +17945 17239 +9218 8945 +5202 5681 +1257 1247 +12165 12334 +14820 15167 +17988 17663 +673 649 +15348 15712 +18514 17083 +18209 18002 +4969 4928 +10919 11030 +7951 7391 +16640 18087 diff --git a/knapsack/data/ks_100_0 b/knapsack/data/ks_100_0 new file mode 100644 index 0000000..760dd3e --- /dev/null +++ b/knapsack/data/ks_100_0 @@ -0,0 +1,101 @@ +100 100000 +90000 90001 +89750 89751 +10001 10002 +89500 89501 +10252 10254 +89250 89251 +10503 10506 +89000 89001 +10754 10758 +88750 88751 +11005 11010 +88500 88501 +11256 11262 +88250 88251 +11507 11514 +88000 88001 +11758 11766 +87750 87751 +12009 12018 +87500 87501 +12260 12270 +87250 87251 +12511 12522 +87000 87001 +12762 12774 +86750 86751 +13013 13026 +86500 86501 +13264 13278 +86250 86251 +13515 13530 +86000 86001 +13766 13782 +85750 85751 +14017 14034 +85500 85501 +14268 14286 +85250 85251 +14519 14538 +85000 85001 +14770 14790 +84750 84751 +15021 15042 +84500 84501 +15272 15294 +84250 84251 +15523 15546 +84000 84001 +15774 15798 +83750 83751 +16025 16050 +83500 83501 +16276 16302 +83250 83251 +16527 16554 +83000 83001 +16778 16806 +82750 82751 +17029 17058 +82500 82501 +17280 17310 +82250 82251 +17531 17562 +82000 82001 +17782 17814 +81750 81751 +18033 18066 +81500 81501 +18284 18318 +81250 81251 +18535 18570 +81000 81001 +18786 18822 +80750 80751 +19037 19074 +80500 80501 +19288 19326 +80250 80251 +19539 19578 +80000 80001 +19790 19830 +79750 79751 +20041 20082 +79500 79501 +20292 20334 +79250 79251 +20543 20586 +79000 79001 +20794 20838 +78750 78751 +21045 21090 +78500 78501 +21296 21342 +78250 78251 +21547 21594 +78000 78001 +21798 21846 +77750 77751 +22049 22098 +77500 77501 diff --git a/knapsack/data/ks_100_1 b/knapsack/data/ks_100_1 new file mode 100644 index 0000000..0ab4e28 --- /dev/null +++ b/knapsack/data/ks_100_1 @@ -0,0 +1,101 @@ +100 3190802 +1491 3882 +399 1298 +77 654 +969 2638 +8485 20670 +55 610 +1904 4908 +703 2106 +657 2014 +932 2564 +1201 3302 +1697 4494 +462 1424 +1201 3302 +111632 267364 +9044 21988 +147380 352660 +31852 76604 +9044 21988 +9300 22700 +8660 21020 +174684 418068 +19844 47788 +9044 21988 +1635 4370 +62788 150476 +6932 16964 +6308 15516 +50 600 +4600 11300 +565204 1351508 +7463 18226 +2988 7476 +9044 21988 +9044 21988 +4040 9980 +137732 329764 +7150 17400 +9300 22700 +177 854 +372 1244 +499 1498 +15108 36516 +11108 26916 +2468 6236 +1133 3166 +1490 3880 +865 2430 +2468 6236 +2468 6236 +5974 14648 +5972 14644 +9532 23164 +1872 4844 +3964 9828 +2799 7098 +527708 1261916 +7212 17724 +3002 7504 +21004 50708 +47728 114556 +565204 1351508 +100600 240900 +118920 284740 +2822 7144 +612 1924 +6324 15548 +9508 23116 +9268 22636 +11636 28172 +210708 504116 +2176944 5204588 +930 2560 +4481 11062 +50 600 +112 724 +14434 34968 +0 500 +248 996 +48 596 +820 2340 +278 1056 +643 1986 +1413 3726 +1408 3716 +0 500 +2581 6662 +287 1074 +2040 5180 +289 1078 +1380 3660 +372 1244 +0 500 +472 1444 +360 1220 +0 500 +622 1944 +3504 8708 +5924 14548 +2784 7068 diff --git a/knapsack/data/ks_100_2 b/knapsack/data/ks_100_2 new file mode 100644 index 0000000..20bd25d --- /dev/null +++ b/knapsack/data/ks_100_2 @@ -0,0 +1,101 @@ +100 10000 +339 342 +1629 1514 +697 696 +1299 1433 +1613 1762 +36 40 +1737 1635 +473 442 +1859 1899 +2055 1960 +362 378 +1104 1177 +1880 1970 +1349 1434 +1545 1691 +132 139 +341 371 +1430 1350 +1878 1775 +1870 1980 +1536 1651 +818 814 +289 282 +1690 1573 +1437 1587 +310 302 +53 56 +720 726 +1707 1820 +258 269 +1842 1680 +757 842 +1642 1730 +1149 1243 +1970 1794 +749 775 +1904 1810 +2 3 +967 970 +1310 1261 +1004 997 +1295 1192 +1056 1036 +51 52 +1320 1453 +1580 1673 +480 440 +604 624 +1766 1813 +1198 1326 +1762 1637 +2046 1902 +315 323 +714 746 +434 471 +1461 1366 +1652 1511 +1876 1785 +906 1002 +1483 1560 +1355 1403 +510 513 +2114 1958 +1479 1505 +1618 1538 +1472 1378 +310 315 +1478 1493 +970 1066 +43 40 +1231 1172 +1792 1972 +870 956 +1484 1541 +1049 1014 +56 55 +814 793 +978 985 +1215 1311 +720 737 +210 204 +460 492 +1798 1961 +1944 1952 +208 204 +1836 1872 +882 806 +239 234 +141 136 +49 49 +1352 1363 +915 883 +1318 1259 +72 70 +937 886 +1783 1843 +1253 1319 +1268 1375 +1144 1234 +878 818 diff --git a/knapsack/data/ks_19_0 b/knapsack/data/ks_19_0 new file mode 100644 index 0000000..d829b45 --- /dev/null +++ b/knapsack/data/ks_19_0 @@ -0,0 +1,20 @@ +19 31181 +1945 4990 +321 1142 +2945 7390 +4136 10372 +1107 3114 +1022 2744 +1101 3102 +2890 7280 +962 2624 +1060 3020 +805 2310 +689 2078 +1513 3926 +3878 9656 +13504 32708 +1865 4830 +667 2034 +1833 4766 +16553 40006 diff --git a/knapsack/data/ks_200_0 b/knapsack/data/ks_200_0 new file mode 100644 index 0000000..c79f4a2 --- /dev/null +++ b/knapsack/data/ks_200_0 @@ -0,0 +1,213 @@ +200 100000 +90001 90000 +89751 89750 +10002 10001 +89501 89500 +10254 10252 +89251 89250 +10506 10503 +89001 89000 +10758 10754 +88751 88750 +11010 11005 +88501 88500 +11262 11256 +88251 88250 +11514 11507 +88001 88000 +11766 11758 +87751 87750 +12018 12009 +87501 87500 +12270 12260 +87251 87250 +12522 12511 +87001 87000 +12774 12762 +86751 86750 +13026 13013 +86501 86500 +13278 13264 +86251 86250 +13530 13515 +86001 86000 +13782 13766 +85751 85750 +14034 14017 +85501 85500 +14286 14268 +85251 85250 +14538 14519 +85001 85000 +14790 14770 +84751 84750 +15042 15021 +84501 84500 +15294 15272 +84251 84250 +15546 15523 +84001 84000 +15798 15774 +83751 83750 +16050 16025 +83501 83500 +16302 16276 +83251 83250 +16554 16527 +83001 83000 +16806 16778 +82751 82750 +17058 17029 +82501 82500 +17310 17280 +82251 82250 +17562 17531 +82001 82000 +17814 17782 +81751 81750 +18066 18033 +81501 81500 +18318 18284 +81251 81250 +18570 18535 +81001 81000 +18822 18786 +80751 80750 +19074 19037 +80501 80500 +19326 19288 +80251 80250 +19578 19539 +80001 80000 +19830 19790 +79751 79750 +20082 20041 +79501 79500 +20334 20292 +79251 79250 +20586 20543 +79001 79000 +20838 20794 +78751 78750 +21090 21045 +78501 78500 +21342 21296 +78251 78250 +21594 21547 +78001 78000 +21846 21798 +77751 77750 +22098 22049 +77501 77500 +22350 22300 +77251 77250 +22602 22551 +77001 77000 +22854 22802 +76751 76750 +23106 23053 +76501 76500 +23358 23304 +76251 76250 +23610 23555 +76001 76000 +23862 23806 +75751 75750 +24114 24057 +75501 75500 +24366 24308 +75251 75250 +24618 24559 +75001 75000 +24870 24810 +74751 74750 +25122 25061 +74501 74500 +25374 25312 +74251 74250 +25626 25563 +74001 74000 +25878 25814 +73751 73750 +26130 26065 +73501 73500 +26382 26316 +73251 73250 +26634 26567 +73001 73000 +26886 26818 +72751 72750 +27138 27069 +72501 72500 +27390 27320 +72251 72250 +27642 27571 +72001 72000 +27894 27822 +71751 71750 +28146 28073 +71501 71500 +28398 28324 +71251 71250 +28650 28575 +71001 71000 +28902 28826 +70751 70750 +29154 29077 +70501 70500 +29406 29328 +70251 70250 +29658 29579 +70001 70000 +29910 29830 +69751 69750 +30162 30081 +69501 69500 +30414 30332 +69251 69250 +30666 30583 +69001 69000 +30918 30834 +68751 68750 +31170 31085 +68501 68500 +31422 31336 +68251 68250 +31674 31587 +68001 68000 +31926 31838 +67751 67750 +32178 32089 +67501 67500 +32430 32340 +67251 67250 +32682 32591 +67001 67000 +32934 32842 +66751 66750 +33186 33093 +66501 66500 +33438 33344 +66251 66250 +33690 33595 +66001 66000 +33942 33846 +65751 65750 +34194 34097 +65501 65500 +34446 34348 +65251 65250 +34698 34599 +68451 68450 + + + + + + + + + + + + diff --git a/knapsack/data/ks_200_1 b/knapsack/data/ks_200_1 new file mode 100644 index 0000000..f72444a --- /dev/null +++ b/knapsack/data/ks_200_1 @@ -0,0 +1,201 @@ +200 2640230 +31860 76620 +11884 28868 +10492 25484 +901 2502 +43580 104660 +9004 21908 +6700 16500 +29940 71980 +7484 18268 +5932 14564 +7900 19300 +6564 16028 +6596 16092 +8172 19844 +5324 13148 +8436 20572 +7332 17964 +6972 17044 +7668 18636 +6524 15948 +6244 15388 +635 1970 +5396 13292 +13596 32892 +51188 122676 +13684 33068 +8596 20892 +156840 375380 +7900 19300 +6460 15820 +14132 34164 +4980 12260 +5216 12932 +6276 15452 +701 2102 +3084 7868 +6924 16948 +5500 13500 +3148 7996 +47844 114788 +226844 542788 +25748 61996 +7012 17124 +3440 8580 +15580 37660 +314 1128 +2852 7204 +15500 37500 +9348 22796 +17768 42836 +16396 39692 +16540 39980 +395124 944948 +10196 24692 +6652 16204 +4848 11996 +74372 178244 +4556 11212 +4900 12100 +3508 8716 +3820 9540 +5460 13420 +16564 40028 +3896 9692 +3832 9564 +9012 21924 +4428 10956 +57796 138492 +12052 29204 +7052 17204 +85864 205628 +5068 12436 +10484 25468 +4516 11132 +3620 9140 +18052 43604 +21 542 +15804 38108 +19020 45940 +170844 408788 +3732 9364 +2920 7340 +4120 10340 +6828 16756 +26252 63204 +11676 28252 +19916 47932 +65488 156876 +7172 17644 +3772 9444 +132868 318036 +8332 20364 +5308 13116 +3780 9460 +5208 12916 +56788 136076 +7172 17644 +7868 19236 +31412 75524 +9252 22604 +12276 29652 +3712 9324 +4516 11132 +105876 253452 +20084 48468 +11492 27884 +49092 117684 +83452 199804 +71372 171044 +66572 159644 +25268 60836 +64292 154084 +21228 51156 +16812 40524 +19260 46420 +7740 18980 +5632 13964 +3256 8212 +15580 37660 +4824 11948 +59700 143100 +14500 35100 +7208 17716 +6028 14756 +75716 181332 +22364 53828 +7636 18572 +6444 15788 +5192 12884 +7388 18076 +33156 79612 +3032 7564 +6628 16156 +7036 17172 +3200 8100 +7300 17900 +4452 11004 +26364 63428 +14036 33972 +16932 40964 +5788 14276 +70476 168852 +4552 11204 +33980 81660 +19300 46500 +39628 95156 +4484 11068 +55044 131988 +574 1848 +29644 71188 +9460 23020 +106284 254468 +304 1108 +3580 8860 +6308 15516 +10492 25484 +12820 31140 +14436 34972 +5044 12388 +1155 3210 +12468 30236 +4380 10860 +9876 24052 +8752 21404 +8676 21052 +42848 102796 +22844 54988 +6244 15388 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +387480 926660 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +15996 38692 +8372 20444 +65488 156876 +304 1108 +4756 11812 +5012 12324 +304 1108 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +304 1108 +1208 3316 +47728 114556 +314 1128 +314 1128 +314 1128 diff --git a/knapsack/data/ks_300_0 b/knapsack/data/ks_300_0 new file mode 100644 index 0000000..97fe8a2 --- /dev/null +++ b/knapsack/data/ks_300_0 @@ -0,0 +1,301 @@ +300 4040184 +31860 76620 +11884 28868 +10492 25484 +901 2502 +43580 104660 +9004 21908 +6700 16500 +29940 71980 +7484 18268 +5932 14564 +7900 19300 +6564 16028 +6596 16092 +8172 19844 +5324 13148 +8436 20572 +7332 17964 +6972 17044 +7668 18636 +6524 15948 +6244 15388 +635 1970 +5396 13292 +13596 32892 +51188 122676 +13684 33068 +8596 20892 +156840 375380 +7900 19300 +6460 15820 +14132 34164 +4980 12260 +5216 12932 +6276 15452 +701 2102 +3084 7868 +6924 16948 +5500 13500 +3148 7996 +47844 114788 +226844 542788 +25748 61996 +7012 17124 +3440 8580 +15580 37660 +314 1128 +2852 7204 +15500 37500 +9348 22796 +17768 42836 +16396 39692 +16540 39980 +395124 944948 +10196 24692 +6652 16204 +4848 11996 +74372 178244 +4556 11212 +4900 12100 +3508 8716 +3820 9540 +5460 13420 +16564 40028 +3896 9692 +3832 9564 +9012 21924 +4428 10956 +57796 138492 +12052 29204 +7052 17204 +85864 205628 +5068 12436 +10484 25468 +4516 11132 +3620 9140 +18052 43604 +21 542 +15804 38108 +19020 45940 +170844 408788 +3732 9364 +2920 7340 +4120 10340 +6828 16756 +26252 63204 +11676 28252 +19916 47932 +65488 156876 +7172 17644 +3772 9444 +132868 318036 +8332 20364 +5308 13116 +3780 9460 +5208 12916 +56788 136076 +7172 17644 +7868 19236 +31412 75524 +9252 22604 +12276 29652 +3712 9324 +4516 11132 +105876 253452 +20084 48468 +11492 27884 +49092 117684 +83452 199804 +71372 171044 +66572 159644 +25268 60836 +64292 154084 +21228 51156 +16812 40524 +19260 46420 +7740 18980 +5632 13964 +3256 8212 +15580 37660 +4824 11948 +59700 143100 +14500 35100 +7208 17716 +6028 14756 +75716 181332 +22364 53828 +7636 18572 +6444 15788 +5192 12884 +7388 18076 +33156 79612 +3032 7564 +6628 16156 +7036 17172 +3200 8100 +7300 17900 +4452 11004 +26364 63428 +14036 33972 +16932 40964 +5788 14276 +70476 168852 +4552 11204 +33980 81660 +19300 46500 +39628 95156 +4484 11068 +55044 131988 +574 1848 +29644 71188 +9460 23020 +106284 254468 +304 1108 +3580 8860 +6308 15516 +10492 25484 +12820 31140 +14436 34972 +5044 12388 +1155 3210 +12468 30236 +4380 10860 +9876 24052 +8752 21404 +8676 21052 +42848 102796 +22844 54988 +6244 15388 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +387480 926660 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +15996 38692 +8372 20444 +65488 156876 +304 1108 +4756 11812 +5012 12324 +304 1108 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +304 1108 +1208 3316 +47728 114556 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +104036 249172 +5248 12996 +312 1124 +24468 58836 +7716 18932 +30180 72460 +4824 11948 +1120 3140 +11496 27892 +4916 12132 +14428 34956 +24948 59996 +41100 98700 +28692 69084 +826 2352 +3073 7846 +7684 18868 +5604 13708 +17188 41476 +34828 83756 +7540 18380 +8004 19508 +2648 6796 +5124 12748 +3096 7892 +166516 398532 +13756 33212 +9980 24260 +15980 38660 +9056 22012 +5052 12404 +8212 20124 +11164 27028 +13036 31572 +23596 56892 +2028 5156 +7584 18468 +5772 14244 +4124 10348 +5368 13236 +4364 10828 +5604 13708 +8500 20700 +7676 18652 +8636 20972 +4588 11276 +4152 10404 +4860 12020 +5484 13468 +8636 20972 +5140 12780 +236380 565460 +116500 278900 +36480 87660 +16968 41036 +5232 12964 +13280 32060 +138032 330364 +9044 21988 +22028 53156 +4632 11564 +13196 31892 +65404 156708 +28940 69580 +865 2430 +45988 110276 +670 2040 +4820 11940 +41356 99212 +39844 95588 +897 2494 +4028 9956 +7924 19348 +47756 114612 +47036 112772 +25908 62316 +4516 11132 +29460 70820 +7964 19428 +16964 41028 +22196 53492 +68140 163380 +80924 193948 +63700 152700 +20860 50220 +1682 4464 +16804 40508 +3195 8090 +60348 144596 +1901 4902 +67468 161636 +4772 11844 +11196 27092 +25836 62172 +49676 119252 +6188 15276 +15588 37676 diff --git a/knapsack/data/ks_30_0 b/knapsack/data/ks_30_0 new file mode 100644 index 0000000..921db22 --- /dev/null +++ b/knapsack/data/ks_30_0 @@ -0,0 +1,31 @@ +30 100000 +90000 90001 +89750 89751 +10001 10002 +89500 89501 +10252 10254 +89250 89251 +10503 10506 +89000 89001 +10754 10758 +88750 88751 +11005 11010 +88500 88501 +11256 11262 +88250 88251 +11507 11514 +88000 88001 +11758 11766 +87750 87751 +12009 12018 +87500 87501 +12260 12270 +87250 87251 +12511 12522 +87000 87001 +12762 12774 +86750 86751 +13013 13026 +86500 86501 +13264 13278 +86250 86251 diff --git a/knapsack/data/ks_400_0 b/knapsack/data/ks_400_0 new file mode 100644 index 0000000..6324d1c --- /dev/null +++ b/knapsack/data/ks_400_0 @@ -0,0 +1,401 @@ +400 9486367 +31860 76620 +11884 28868 +10492 25484 +901 2502 +43580 104660 +9004 21908 +6700 16500 +29940 71980 +7484 18268 +5932 14564 +7900 19300 +6564 16028 +6596 16092 +8172 19844 +5324 13148 +8436 20572 +7332 17964 +6972 17044 +7668 18636 +6524 15948 +6244 15388 +635 1970 +5396 13292 +13596 32892 +51188 122676 +13684 33068 +8596 20892 +156840 375380 +7900 19300 +6460 15820 +14132 34164 +4980 12260 +5216 12932 +6276 15452 +701 2102 +3084 7868 +6924 16948 +5500 13500 +3148 7996 +47844 114788 +226844 542788 +25748 61996 +7012 17124 +3440 8580 +15580 37660 +314 1128 +2852 7204 +15500 37500 +9348 22796 +17768 42836 +16396 39692 +16540 39980 +395124 944948 +10196 24692 +6652 16204 +4848 11996 +74372 178244 +4556 11212 +4900 12100 +3508 8716 +3820 9540 +5460 13420 +16564 40028 +3896 9692 +3832 9564 +9012 21924 +4428 10956 +57796 138492 +12052 29204 +7052 17204 +85864 205628 +5068 12436 +10484 25468 +4516 11132 +3620 9140 +18052 43604 +21 542 +15804 38108 +19020 45940 +170844 408788 +3732 9364 +2920 7340 +4120 10340 +6828 16756 +26252 63204 +11676 28252 +19916 47932 +65488 156876 +7172 17644 +3772 9444 +132868 318036 +8332 20364 +5308 13116 +3780 9460 +5208 12916 +56788 136076 +7172 17644 +7868 19236 +31412 75524 +9252 22604 +12276 29652 +3712 9324 +4516 11132 +105876 253452 +20084 48468 +11492 27884 +49092 117684 +83452 199804 +71372 171044 +66572 159644 +25268 60836 +64292 154084 +21228 51156 +16812 40524 +19260 46420 +7740 18980 +5632 13964 +3256 8212 +15580 37660 +4824 11948 +59700 143100 +14500 35100 +7208 17716 +6028 14756 +75716 181332 +22364 53828 +7636 18572 +6444 15788 +5192 12884 +7388 18076 +33156 79612 +3032 7564 +6628 16156 +7036 17172 +3200 8100 +7300 17900 +4452 11004 +26364 63428 +14036 33972 +16932 40964 +5788 14276 +70476 168852 +4552 11204 +33980 81660 +19300 46500 +39628 95156 +4484 11068 +55044 131988 +574 1848 +29644 71188 +9460 23020 +106284 254468 +304 1108 +3580 8860 +6308 15516 +10492 25484 +12820 31140 +14436 34972 +5044 12388 +1155 3210 +12468 30236 +4380 10860 +9876 24052 +8752 21404 +8676 21052 +42848 102796 +22844 54988 +6244 15388 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +387480 926660 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +15996 38692 +8372 20444 +65488 156876 +304 1108 +4756 11812 +5012 12324 +304 1108 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +304 1108 +1208 3316 +47728 114556 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +314 1128 +104036 249172 +5248 12996 +312 1124 +24468 58836 +7716 18932 +30180 72460 +4824 11948 +1120 3140 +11496 27892 +4916 12132 +14428 34956 +24948 59996 +41100 98700 +28692 69084 +826 2352 +3073 7846 +7684 18868 +5604 13708 +17188 41476 +34828 83756 +7540 18380 +8004 19508 +2648 6796 +5124 12748 +3096 7892 +166516 398532 +13756 33212 +9980 24260 +15980 38660 +9056 22012 +5052 12404 +8212 20124 +11164 27028 +13036 31572 +23596 56892 +2028 5156 +7584 18468 +5772 14244 +4124 10348 +5368 13236 +4364 10828 +5604 13708 +8500 20700 +7676 18652 +8636 20972 +4588 11276 +4152 10404 +4860 12020 +5484 13468 +8636 20972 +5140 12780 +236380 565460 +116500 278900 +36480 87660 +16968 41036 +5232 12964 +13280 32060 +138032 330364 +9044 21988 +22028 53156 +4632 11564 +13196 31892 +65404 156708 +28940 69580 +865 2430 +45988 110276 +670 2040 +4820 11940 +41356 99212 +39844 95588 +897 2494 +4028 9956 +7924 19348 +47756 114612 +47036 112772 +25908 62316 +4516 11132 +29460 70820 +7964 19428 +16964 41028 +22196 53492 +68140 163380 +80924 193948 +63700 152700 +20860 50220 +1682 4464 +16804 40508 +3195 8090 +60348 144596 +1901 4902 +67468 161636 +4772 11844 +11196 27092 +25836 62172 +49676 119252 +6188 15276 +15588 37676 +4412 10924 +26564 63828 +16412 39724 +8108 19716 +6084 14868 +9884 24068 +4224 10548 +14660 35420 +25708 61916 +39228 94156 +40748 97796 +40748 97796 +64276 154052 +114356 273812 +14724 35548 +4540 11180 +11612 28124 +4972 12244 +10060 24420 +14548 35196 +3136 7972 +9132 22164 +5752 14204 +10100 24500 +12172 29444 +24428 58756 +3336 8372 +4356 10812 +8652 21004 +14492 35084 +8796 21492 +6408 15716 +6056 14812 +10124 24548 +387480 926660 +18188 43876 +7732 18964 +9492 23084 +7300 17900 +10052 24404 +19604 47308 +6644 16188 +107364 257028 +91812 219924 +4620 11540 +42848 102796 +33268 79836 +13260 32020 +6564 16028 +6524 15948 +13596 32892 +13596 32892 +47844 114788 +226844 542788 +226844 542788 +226844 542788 +226844 542788 +85864 205628 +170844 408788 +56788 136076 +6628 16156 +10492 25484 +104036 249172 +14428 34956 +14428 34956 +22028 53156 +22028 53156 +22028 53156 +25836 62172 +11612 28124 +11612 28124 +11612 28124 +85872 205644 +1377 3654 +1365820 3265540 +562272 1344644 +1445900 3457100 +501060 1198220 +106224 254348 +492496 1177692 +387824 927548 +151320 362140 +109924 263148 +105696 253092 +96404 230908 +107732 257964 +42140 101180 +102896 246292 +4036 9972 +19616 47332 +100948 241796 +1417728 3389756 +62604 150108 +491820 1176140 +33740 80980 +25216 60732 +111716 267532 +400156 957012 +108800 260500 +1211040 2895580 diff --git a/knapsack/data/ks_40_0 b/knapsack/data/ks_40_0 new file mode 100644 index 0000000..cf4f40c --- /dev/null +++ b/knapsack/data/ks_40_0 @@ -0,0 +1,41 @@ +40 100000 +90001 90000 +89751 89750 +10002 10001 +89501 89500 +10254 10252 +89251 89250 +10506 10503 +89001 89000 +10758 10754 +88751 88750 +11010 11005 +88501 88500 +11262 11256 +88251 88250 +11514 11507 +88001 88000 +11766 11758 +87751 87750 +12018 12009 +87501 87500 +12270 12260 +87251 87250 +12522 12511 +87001 87000 +12774 12762 +86751 86750 +13026 13013 +86501 86500 +13278 13264 +86251 86250 +13530 13515 +86001 86000 +13782 13766 +85751 85750 +14034 14017 +85501 85500 +14286 14268 +85251 85250 +14538 14519 +86131 86130 diff --git a/knapsack/data/ks_45_0 b/knapsack/data/ks_45_0 new file mode 100644 index 0000000..cad6bfe --- /dev/null +++ b/knapsack/data/ks_45_0 @@ -0,0 +1,46 @@ +45 58181 +1945 4990 +321 1142 +2945 7390 +4136 10372 +1107 3114 +1022 2744 +1101 3102 +2890 7280 +47019 112738 +1530 3960 +3432 8564 +2165 5630 +1703 4506 +1106 3112 +370 1240 +657 2014 +962 2624 +1060 3020 +805 2310 +689 2078 +1513 3926 +3878 9656 +13504 32708 +1865 4830 +667 2034 +1833 4766 +16553 40006 +1261 3422 +2593 6686 +1170 3240 +794 2288 +671 2042 +7421 18142 +6009 14718 +1767 4634 +2622 6744 +831 2362 +701 2102 +5222 12944 +3086 7872 +900 2500 +3121 7942 +1029 2958 +52555 126010 +389 1278 diff --git a/knapsack/data/ks_4_0 b/knapsack/data/ks_4_0 new file mode 100644 index 0000000..8e653f3 --- /dev/null +++ b/knapsack/data/ks_4_0 @@ -0,0 +1,5 @@ +4 11 +8 4 +10 5 +15 8 +4 3 diff --git a/knapsack/data/ks_500_0 b/knapsack/data/ks_500_0 new file mode 100644 index 0000000..4dd5076 --- /dev/null +++ b/knapsack/data/ks_500_0 @@ -0,0 +1,501 @@ +500 50000 +384 412 +7060 7285 +8475 8103 +5028 4876 +9741 9369 +3360 3538 +1426 1394 +2084 2204 +4865 5362 +1885 1779 +8191 8376 +6296 6460 +3292 3193 +10227 9957 +5744 5513 +2163 2365 +10738 9786 +5099 4865 +9193 9406 +7777 7455 +8538 8090 +9597 9224 +1275 1257 +6317 5831 +7598 7177 +2241 2297 +1398 1271 +4083 4216 +6033 5634 +1694 1560 +7563 6878 +12 12 +7406 6872 +7679 7142 +6619 6945 +9222 8778 +1869 1785 +6809 7485 +4961 5033 +2616 2719 +6406 6156 +1703 1826 +6415 6795 +4898 4790 +7601 7620 +2145 1971 +6559 6310 +1691 1874 +8734 8092 +9570 9321 +7649 7955 +0 1 +5652 5146 +475 517 +8789 8341 +1366 1400 +3325 3230 +5487 5443 +7316 7097 +10232 9979 +1788 1873 +9179 9259 +3790 3940 +7820 8611 +4462 4552 +832 893 +6798 7209 +5467 5319 +5573 6065 +5489 5010 +8246 8770 +2815 2918 +8766 8355 +7043 7760 +8834 8052 +8549 8969 +6511 6415 +9253 9812 +831 861 +4587 4755 +202 210 +1022 950 +867 823 +1989 2194 +2813 2594 +1711 1642 +9343 9828 +1840 2029 +2772 2575 +6035 5564 +8815 9345 +9329 8485 +354 353 +3488 3792 +2701 2645 +102 102 +3711 4046 +10505 9897 +8471 9201 +3406 3157 +10171 9442 +6862 7425 +3747 3887 +7132 7137 +7386 7590 +3073 3179 +7566 8244 +2269 2467 +7134 7291 +7750 7078 +8126 8991 +1803 1824 +8229 8894 +9725 9514 +1468 1498 +844 771 +2939 2868 +7538 7210 +380 406 +10182 9845 +176 188 +8874 8977 +5461 5808 +7833 7831 +9668 9122 +3381 3255 +8534 7808 +10002 9684 +8881 9703 +3503 3884 +2774 2742 +6546 6754 +3368 3227 +2269 2521 +3229 3149 +6703 6895 +9740 9718 +1660 1779 +4724 4906 +10161 9765 +2460 2712 +1221 1161 +893 956 +3922 3736 +3837 3854 +4564 4211 +6844 7195 +7300 7204 +550 509 +3347 3315 +8141 8090 +7173 7121 +1386 1366 +2216 2053 +4182 4310 +6496 6753 +7540 7923 +6576 7072 +745 774 +10510 9710 +5294 5494 +6752 6259 +3818 4235 +6704 6462 +212 222 +6247 5995 +7948 8543 +2763 2688 +5698 5186 +2307 2186 +7426 7303 +5292 5134 +9295 8645 +2578 2430 +6097 5571 +2925 3243 +1223 1123 +8720 8978 +4240 4139 +4344 4244 +6250 6864 +6547 7189 +4989 4641 +732 753 +4440 4445 +7861 8726 +147 147 +3066 3394 +5265 5044 +6723 7050 +7443 7655 +6062 6387 +3793 3529 +6167 6689 +1965 1918 +1479 1530 +7177 7624 +3624 3782 +6602 7203 +9195 9398 +8667 8091 +4802 4637 +3317 3035 +10496 9631 +2441 2467 +8759 7973 +320 325 +3459 3770 +4805 4396 +6153 5990 +5076 5513 +6003 6084 +2143 2027 +2915 3169 +6150 6074 +5077 4948 +3335 3361 +8400 8116 +9711 9158 +1375 1467 +6421 6150 +8784 8277 +3085 2946 +247 228 +6182 6208 +7543 7284 +2056 2048 +1198 1190 +4033 4380 +2527 2603 +4158 4618 +2552 2607 +668 609 +7843 8591 +3986 3670 +8463 8184 +6382 6242 +3103 3422 +397 385 +10619 9845 +8138 8106 +8370 8192 +4321 3974 +4514 4964 +4041 4063 +6558 6871 +397 438 +1943 2122 +319 305 +8557 8465 +10517 9695 +7573 8139 +9981 9433 +8833 8354 +5854 5944 +3796 3761 +2043 2109 +7288 7949 +7280 7744 +2163 2065 +2469 2264 +5532 5066 +2318 2387 +7179 6779 +8381 9284 +5665 5694 +3544 3303 +3108 2872 +3050 2801 +7307 6760 +528 536 +8598 8444 +1282 1404 +1912 1919 +6096 6018 +2305 2211 +3787 3723 +7142 6631 +950 965 +7389 7413 +2823 2941 +2097 1979 +7066 6576 +3447 3779 +2727 2493 +7624 8353 +764 776 +4578 4617 +2503 2653 +7276 7099 +6643 6991 +2786 2972 +2422 2349 +6811 6498 +5584 5951 +10727 9755 +3882 3987 +9566 9211 +4396 4126 +8930 8192 +831 849 +4712 4675 +657 602 +2738 3006 +6995 6708 +5598 5844 +8939 9020 +6861 6674 +9795 9952 +2090 2208 +4661 4726 +3258 3155 +6520 6999 +3040 3298 +7137 6758 +8379 8963 +7682 7553 +5225 5634 +5653 5459 +6605 6957 +8226 7939 +7947 8831 +6663 6956 +9263 8743 +8527 7914 +110 116 +486 526 +916 863 +6285 6030 +8658 8005 +9627 9516 +777 752 +5208 5569 +7641 7249 +2961 2726 +255 252 +6656 6447 +10101 9887 +124 133 +8303 7584 +7576 8318 +2428 2643 +4008 4090 +2645 2517 +756 717 +3980 4407 +2950 3236 +9529 9690 +3644 3814 +260 276 +7840 8345 +4601 4493 +7423 7117 +1692 1817 +6957 7465 +2923 3073 +1677 1792 +1138 1088 +5317 5247 +9705 9127 +840 838 +1209 1309 +2481 2369 +7686 8119 +6022 5554 +8029 8016 +5418 5101 +646 613 +9511 8848 +2350 2335 +2544 2444 +6819 7518 +1055 1044 +7563 7599 +4530 4369 +2249 2154 +2244 2095 +2976 3034 +6533 6184 +1518 1625 +2484 2603 +6100 6072 +6326 6297 +7341 7384 +8751 8748 +7195 7352 +2487 2548 +6846 7003 +1049 1102 +3670 3525 +2538 2691 +5378 5906 +1530 1403 +8675 8179 +5411 5421 +308 342 +8138 8884 +3751 4000 +5392 5535 +8288 7690 +3425 3797 +6599 6118 +1855 2050 +8516 8028 +5331 5379 +8180 7989 +708 746 +1217 1315 +5753 5983 +2918 3035 +8370 8675 +9502 9840 +10584 9793 +6538 6077 +3678 3780 +5013 5327 +8374 8415 +2038 1965 +6129 5741 +6622 6292 +7569 7366 +942 963 +1259 1194 +4277 3984 +1121 1021 +6333 5974 +8989 9647 +9265 8860 +8344 8231 +3112 3138 +3347 3355 +1352 1450 +9712 9502 +2307 2209 +5520 5095 +10137 9833 +4583 4634 +4444 4676 +6024 5990 +2481 2671 +9522 9498 +9993 9209 +5687 6004 +420 414 +5365 5480 +834 836 +4767 4745 +2409 2497 +1897 1847 +8698 9047 +4612 4405 +3524 3486 +1156 1173 +6516 5996 +7741 7139 +8546 9331 +2349 2219 +6095 6103 +835 872 +724 666 +5288 5114 +5659 6134 +2847 3042 +9627 9511 +189 189 +1509 1378 +3609 3963 +3802 3926 +134 139 +5689 6206 +9097 9077 +6347 5951 +3007 2835 +4305 3972 +3155 3228 +4130 3764 +3904 3631 +1915 2109 +9014 9897 +8504 8943 +651 708 +8947 8695 +6239 5900 +8311 8054 +1412 1422 +6513 7166 +8244 8159 +8127 8361 +5552 5782 +4068 4325 +1013 935 +10274 9984 +2977 3181 +2751 2876 +10479 9715 +2260 2159 +5603 5520 +3074 3065 +9406 9789 +9416 9939 diff --git a/knapsack/data/ks_50_0 b/knapsack/data/ks_50_0 new file mode 100644 index 0000000..0f4c2f1 --- /dev/null +++ b/knapsack/data/ks_50_0 @@ -0,0 +1,51 @@ +50 341045 +1906 4912 +41516 99732 +23527 56554 +559 1818 +45136 108372 +2625 6750 +492 1484 +1086 3072 +5516 13532 +4875 12050 +7570 18440 +4436 10972 +620 1940 +50897 122094 +2129 5558 +4265 10630 +706 2112 +2721 6942 +16494 39888 +29688 71276 +3383 8466 +2181 5662 +96601 231302 +1795 4690 +7512 18324 +1242 3384 +2889 7278 +2133 5566 +103 706 +4446 10992 +11326 27552 +3024 7548 +217 934 +13269 32038 +281 1062 +77174 184848 +952 2604 +15572 37644 +566 1832 +4103 10306 +313 1126 +14393 34886 +1313 3526 +348 1196 +419 1338 +246 992 +445 1390 +23552 56804 +23552 56804 +67 634 diff --git a/knapsack/data/ks_50_1 b/knapsack/data/ks_50_1 new file mode 100644 index 0000000..5653266 --- /dev/null +++ b/knapsack/data/ks_50_1 @@ -0,0 +1,51 @@ +50 5000 +995 945 +259 242 +258 244 +279 281 +576 582 +126 119 +280 303 +859 913 +270 279 +389 408 +927 925 +281 305 +624 662 +961 938 +757 718 +231 250 +838 767 +154 158 +649 595 +277 268 +180 167 +895 957 +23 22 +930 948 +93 102 +61 62 +626 604 +342 349 +262 279 +215 221 +183 203 +958 889 +205 213 +859 835 +171 166 +566 575 +779 758 +704 706 +196 182 +26 28 +726 729 +621 671 +800 864 +580 579 +535 553 +647 632 +168 163 +90 95 +679 745 +440 438 diff --git a/knapsack/data/ks_60_0 b/knapsack/data/ks_60_0 new file mode 100644 index 0000000..dc62def --- /dev/null +++ b/knapsack/data/ks_60_0 @@ -0,0 +1,61 @@ +60 100000 +90000 90001 +89750 89751 +10001 10002 +89500 89501 +10252 10254 +89250 89251 +10503 10506 +89000 89001 +10754 10758 +88750 88751 +11005 11010 +88500 88501 +11256 11262 +88250 88251 +11507 11514 +88000 88001 +11758 11766 +87750 87751 +12009 12018 +87500 87501 +12260 12270 +87250 87251 +12511 12522 +87000 87001 +12762 12774 +86750 86751 +13013 13026 +86500 86501 +13264 13278 +86250 86251 +13515 13530 +86000 86001 +13766 13782 +85750 85751 +14017 14034 +85500 85501 +14268 14286 +85250 85251 +14519 14538 +85000 85001 +14770 14790 +84750 84751 +15021 15042 +84500 84501 +15272 15294 +84250 84251 +15523 15546 +84000 84001 +15774 15798 +83750 83751 +16025 16050 +83500 83501 +16276 16302 +83250 83251 +16527 16554 +83000 83001 +16778 16806 +82750 82751 +17029 17058 +82500 82501 diff --git a/knapsack/handout.pdf b/knapsack/handout.pdf new file mode 100644 index 0000000000000000000000000000000000000000..c5d5315904096d39a6f186b813cc950b3c8db806 GIT binary patch literal 140380 zcma&MLwGJ+u&o>0PF8H&wr$%hwr$(yif!AroqVya`#<;I-P)&ds#UX|YRuLgZ`GJ& zilX9lO!RCpWb?}->o6>YjD+^a)`YygFbuM0b`~y{gv_k0Y=r-Bz%Yng*|?ZF5i*F| z7`d2wLFaR(VO#?6JzZc5S6~bu?>zy8TQ& zdmCoPYdvfTYnQ<@ebv*v$Tj59BShH)B^4!z7%usCs+K6n|tO%=2Opx zr>m1DEj=sx+}U0Yuhg@TY_GBEsNg^xFS%-sL0q{hTVH*JkED6m)GbAK)(=`YLEV|b z)V7@Wu@e>Fq)>IubL6akJOA?)W)XjB9VuLWAH5+=15LrL12q!!BHFQs*7i!4HRQ=S zUrFr--rIiV+e7n*-9VG5(SI(N*t?%#q>%LCyHNZFaivmsVUh%k7-eBlkJp^AD?91U z29$q!F`fSJ%LsELom-;LaYHpnwiC85yyRte0YW}CNOkK!@!Bprr_`Ftc-?;wjJWh) z-;5j>ak0%|?wnGIHHYN~)0JDU1rpD(Y`Gth#+w*3cuJ5QgQ;1&Z6g*j(ag1BEClwF zmxKboJ5tq+d|8x`s0TMR+EtRL+@&^83TToQ1LK~I%+&?p7oTbRlXFvzx@uiIJ|nh& zwCyij*XNHKfuJifI)36h8=Z-;i={>raD{)Bu|_q2C~km=f=?N9K%jNKaV6_Vs&{2TEw3Abpp@48 zvfe6-hf171#+5vhAq6rgOa%#4AAtK^lqKIP+KV_bYH1B`BmD?MNGZiwbTEDMCyvHh z704gA7AHs}=7uw5U!aVn33ceMMfUF5#sT#;%Ep zgi!;0BNjtER)z2`5s!(I00rELXkk)k{WKYBZpKv=lw6=}5~3+ryyQHS3pmteXezH+ z=A|hSB9CYA6#Rm12y8R`Gj#F*7$1R@quTc0?y(1(V9G*H%?a(t?F`4%qWZPTe*D_w71lmgMK&`X{2lfP*FJAZ&5QS zyI9!CQRBC|ka+s}6YvlkQx4l<*2hy4;fWj-iAYSRF;1!tA{P&18oa?mnJ)Xi8(gmN&c4>;rFQ4jcw7LockeEQrK!;>Q1=ORS z4#@bByPq1k_JV7|rA!k&Eb`8|-~9MzpZ9mKa&9q*d21N1)8|B)TzR!=C>AQACNYHE zB?S${jpN)2B$JZ`t^0aq~LPmW|jwuuQ-lpb*!`OO8fp zE}*E(6B}P2=$rV{PtucNYKy?f$Na1}^O! zNc9uvq2;{OIILnFZV2wbhh@H#g;|?Pf8CkB*2};OLjiiy*fTKrK7$!KUumAFcNPD(>!HQ^Q!7GE$-cZo^&G3QAm>D|58M`>^JqsY_OW83&fIuk) zh4lfBrUZMr3CNjqj*u6p?H%!kI3c$KvLyzR1uBv~_Dna!gt#~lSDA7h?DM%)Ie*N- z{*+$iaY(o+J3i2me-QYYoU^<;yjEbVM;aIIi-TBY%KMZDU9cjY{r}30xP~d7c<^uq zD-q*ZCKktj_hscyG_WT_y>Z((X*mGeKAor5v9aD_o%{?R0AMgKEt!Ib(JV;Hvp8PpC=s@NlrlDvB!M+ zpe#tetO3KN@299pM)U$H`vBzP_`Djw4B_A#-kX|Td)fmZT4aWX7%s0O;yyk<9G-Hd zMra5=?u=|8fc@u1!+HrX{&r5+(v3#_P)M7}M*WqgkX?Td38(*S?)r)Kqj<=U*@_0r zh-F)k*UWh=Vj^T$e{?}UV=KC~j{xQIvANv8pUu`WEn<1@=$U!v zew>*Q@R^W6Ie0ftUUfM}SggoSus44VKf6fM)<8-r)XaIr-AXlhxy7IfxQ`@(o3$i& zBUu^Wb81;mXGh~jmUo$`2~&Jx43Xm=qIoTq;40(Spx4S{=1WeR-bj)`*UX!K;KWTC z4%Ac6{*72cBcP7m;IOh$8<1ET>l5SV`Aj|zlFOREgkzKb_~C{ zy1QaHSx!&@JP7I~5> zvel3WiUH3YW)c}W*HFPw2sXWbaFK;DRat6BoKQ%>xzg9;!OeI0JS~_7w8HSAQBwpP ztQ?$fm>97xZ#8Bg*z*y=lsBEL;%(=NJ!1ZipGOAjzWKu$N{AuY97iJIa*V{J)ZMfD z4e8rQ7XWjS4$I_Q-j$&?!kxwb>`*F0vwKpi_YWvnM@%O2VX3^G8qJevi{N14Dyft8 z_d4BeDqL{4>l~Z{aJc<-cT zul9Av?zSOSl2Krgz=sImZ5l!jJN91;QzLCqSDi)yAGs+#;6z%$GSslqUP4-9hhjY0--)0W8}1mTuIsu9nfC=7eQettdf$FU&I)i@aoxXwbskH}iALD!<8|b4j=t z{u3gU{$^t>&eu8gJNv&Xff#M%Zp61HBmGh2QMg8XU*;3sE0K)xbJq4f44R~$3wN*I z$5yIBVIkCK<9^kATVrh!G*tLru4`&cM(=LApNjKde31pn>WR@4`z(bqxz91_?CTr) zURUM=_M=e}quFkRCj#g7#u?vhth!gcBcH)W%=9@r9RY% za46d0A?0v2di+B?lH&GQ<#pC~)JM0BTs#;Cov(lMEYF^}92__nxWO3$Ozu&7wNcj) zu6XPNq8+cLb`A23G=y1Cce-Q!EC*zp(9RZIDVCO!9F*^s-$~Y%`h$U0`k~nL0y?j7 zKIW?g$EWp4r;bc@S$REFMf`Le?>aa{efRXQ^d~CuI@_~JVrr{H6KBvd>}CN=WA#rE zID~>*LEsRLmZ7{;wM$M1Jno2B{`V>(E|B%V0&`b&DA}sL(v$J z`I{pPF!L%cZw-6GO?p3IFl5btl+?xu@QV;g5^}X__NVUCbmm>VwfU7N&Wox@B&WqibUhYIlucyx8t7 zaKl_IU|nT4N4xz-WVX4sHd->m=n$Y%o8z8)9h#`YQr%;ngq zq(Qi$sR(y-Ps@A6*t~tG>&bJz>*1Q#BiC)LF=}Aq7@PX%q{zFxdw-oP35*YeiQ@ZY zYGCw0{mg-WZl`ff^T({|75Oz$lx$&1Co;bgqf>jvKt?nRz8W4vx#3}rr1>KiR?IbR z)%H{^_ai>P%4Mx=H6VWnp;9nioyNPt#9-$!2*b=$lQOKisaMeh0Af4e!s4)li)&7* zntlG@+Ir(zKFwSdb&M=6-cFvjL%g;;R+S5F0tM!Hd})!c^&{Cg-572p19bk6CX=rD zE;yO~3>8Tq;9@-e;{#Az3>zFIoj~cf!bzt2T z9cCm4+V&TSn$K6XXotE}4v=4n$ROGy<;nc$S92Xb z>sbT7QwSX%9;fciXrLiEzM(Ic^oGI4WED7mGDgF!1}vGwr)A>O z_Y#{Mp5y9zvFtCA&#=Tu8h^V!9BjFG8-0cIE~cJ*8)2NbzPUbjP*@*h4)u_nj+7*I zgzxdujDBTTX?%ldY-evtpT9zwe*HBr(hgwBZ8M*nom?HEB8b2?_}6CW18W-wMVrgP z13(HTluB`NvF0UI zQ6Z=0RShe6tJw4Hh@;t#-IlqT4HKSF`dKOcDIcm?(C+4~uyBFst%6UngD0dWfwqcH zCh%=!OnOVMW33GSQ$uj>-}%9NIWVYS`Ba-1n}svA+Fm2Dgu~CB%-`yn-jVMRBBe-B zdi{uc!kC+;daA@+GSe!eAR3;NyVyxL;rQmL%YG(izl;3zPWS8~mC*UIE@-xk>owVrKe{ z)A#y`ck?zACEY9bx#!c{{WJaLN}jM^-rtY6G_%K2EsPVX)<~-Z754;V#ZU3DEmpIE zg6sv#9r(9o$lD`Y^~9J#+g=(F=f&U>XlIl#x8*F_T(>Z3cgUT#ryZ#kQPjESY~fZU_myq;#im;_f}}J%z$(0upWSw;JV{+!x?}8 zK4K?Nbp8Hn`#o5JseGFL)*45%*9CN+B1UXp+6s4c+l05`DAJs-9|UOjCVRmZ+BVOl z*|XCW2R_I=DPZKO2Q(7wk=BD>DvQ5!vOXJ8dDUC#U^1Syrc+xj!5@^4b7wuI149bu z`T|v}mUGoaA==l)t}5EF8E!Dgn#g|Pu)YoqQ-(|`$xLvb5D;8Ffj?H!1CB&T_189) z0UUVtR7|x#W%fsiBX)gAA0d<+$`l84psOLUs`ek)kz)$x2q|hk$5ezZPrx*fTTOQ< z2o6Iph@nlPD|!;BlyCDY-ZITWKgu2Fm1Bk|XFa#kt3P>>n7a#xZ$VvU1A7g>M+z+d zb!I;%9=Pd>^*{0cOA0}^(N%KsEQmf!)2sv)n-7iVs~GV8IKat3CEWF`tM}O<&})%u z;I^0T05GX0h|#{%XbvrlL)M7nUDr%@APgvCrOmabzO{SF4PJ~;T+6Qd=BX>4Z&HEu zDneKp9fG_QLGE~Y_Q+vWG!Lym9BNc)JZuoR(3@%~Zti#`#D{i|M4bw9L8c}kjeh4j znL*Eg@yV2$1k$@p{5bwG0fU}npC{E2B#2uBlZTJbLQ4w*2L;*bA()|LQWggx+CVr1 zZH98EO8JeIPSG{4Q{!|wDVJ5^uDDpI-7>ad!DEExGSP1xKjaf145Frr%(XoUn2Kb11Q+7WYS^jH zsfE!Po_4O7mfpS!jk0;+n~fI52K%8M&d)g&^v6aySw@-`w=Z5RN?PXif?O7C?;V^w z370$1DHASPgxZ(Q$HmcRWK0L1ZpwjJ=>UYli7BI=HQ3fMg)8~Ce&W%b<$RpPi%DPlc z-}R@_h-^;JaLJO*`FiknZmZ(8__VO+8KJT(*&&;}HYn*+8FPrbGDw2+slC1$e!o&Z z@C13zzU9mgI%#Z?Qpz1ST~K3Ou)`TE02yPe6dTB7ohzK|i>+c1%qtMV%cO*NF3A01 z3CfU(&1kebinkZq!T3~O*D~VsF(fwR2{8xpRce~sjK{e(p7Xf07?GLx;|d!OjyL@W zuFse%e8`otx37MV+4ceNA5R$p&6Y>Ew#oNRC_lEd-}jqGhi-r;7{>X}n@5NM&#B|{ zz}ART<56;>Sxh5SIGegTR$Cq1Ih;J-*VES>gB5@Q{C~>^3;X{XVVMY-IG9-e$E{!{ zWMXFGWc;7we=74oDIpUJGdtt|rH>KY46eANg#v&Fb9e6$bZ~cH+ei8W!E|?rzWFcc zfrGlbbnXbD?L4Qiu4b?N3|73wR!)BZ^7(dtDke}@Fh^vpV+WF6+vH+vVr6;)8^aW7 z(@q1Ko3o3X|HsTQFl?|Ef9Ly7?$B@uB`9EdbawqUBe;SBW^b7t3m&@)gL3HvS4VLA z2O$g(j?E4Z&CDU{nOPpcw&rIOF$ob|S{Q*T89_|1ZG`0S(2U()@Z&JdPiJpEf4-p$ z*vo+H@9rEHe$Nq*8i2X9u|REsVFWFl6K1@{O$yiqS7z5ij<4Z_UopA>lqurD7FoD{lOaR zzn8ErZEP$|5SyRt>)Ajvv^9f)@<~syb9ZvjfdzGv1>gc#<=t+71T_jm+2#Q|`*X#h zz)8fDK>OaI{wrsuSC&S%SHl2Pqi=~=S^p5vK67XtR3@H3e-dh%P`?PyP}>~9u>1D9 zAeRhgS{hrNo1Q;7GPT#UGJcf8BBS||J8MFNVI{@C7_!}wzxJ3}5?BI)Gcz-zlm7rY z0R?Qw&Sd^b7MvO(eyB`5gunGb5HNW2cn6$&V-pgsP6d8`9!p>OjmX_vSMmL` ze>aTD$>_kb(QP~Xq<`X-RhMV+_DA{$pbZUA3?b_49U4K~cLjWYcxL_W_V-qPsnkHU zzg#>0MN=BsT0rlj9i8={l&c)t9}fp0 zINIsy-(v4QE|aQPiIMXj4gX5PTbk!RDl(hcYuTT5zSY-WP&~Xq{JYy4e+q;E%&E_$ ztbpy`99tmN;+ovYF4+AZPJ)1nT#_#Wypv-P#>j7?56pX@4ALJFU0NXWioQtne$sD( zLqCetzH`2)Q~!vw+yH-6j(`b(z1N)K7r{rdF?q88zPI?iKmvTf=?mg95Tn)?=pLxf zFX$f0)>rIp^nmFnqEEcdE257;@3%k#UjOkMqL0Gy6XG!#BiDajQ_k;ywDwRtej|TZ zuuL!|#$F{Z=TP-?r$vg(27uL~b=8?PGze5U=<78qquv=(oqE!LbnSK$UM|gV8ThiDdRt5&v?X zAn8+|Vim0vyMRh#Qa;2sVmeL~;>O9aIDR6E-h#ed1xaGN{KB(U82h>k(*^{ituZjC&*bIyuL}xmt+-y z+X=ru|8cy15A{NB_+pWxwYQF<(_R}4Fw=AtP4rhaca9$~vt?m0-sD8C6tSG86v(v9 zO-7kTfFYXeGk5>}hor61D=1*`3#=TO5+^>N9d9Vx_R5lxNs2ZJ!@1u(e$c{mV5>If zPKBbdyxJhOW`P6DK4*{nLDx_|$gZ8?oRom+v2K<*z2s`rG_LN3rNY&G>xC1o(eBW?b$Co_)0 z%4>0ld^OEX{gIdY`FDDatABE85qo-2dzRyRfTz#bEmen(@EvB+HBc_SPuVTvU`;Xs zSqo-V!1ox~Z2-$gNhwb{s_j8C@vjSp08E#*SPXX?lQfc-xB81Erl#q`3FRt@UlNJY zq2C}$S5U4PT{?!=(S<$=3Tf3e)%2(%zXG=FQE~1!zWGexd@vzZBx$AR-7reEwCo2v zl+v*DmXAD852KYN(#T8|`Wo`xl6DUYK^dK7*}k~sSPcq@zx^Oqobu;*J(_(hZ<}`& z!oq|o4yjg>bm)%wrHe-lD+g+U`CtAt87d2K%x{MAYX9Q9aTG|gcMjZ; z56IYaFxEfjnt1Wtd*XPLNO697>!a3@O{rZgo}2d11)hi3YMc*5&x{h$9_%{l8s(uq zg{$Bg&8Y69CRRn#hl7 zLIjf@eES7Uf;M`;lEWEd!}%&|0>Y*=7YtqvCFRr&^`mnfb^w(G1o#6!$*pbctE2YO z2J@f$kad8op59VEQ%x%RrQgqZWSt0R0X?!1|1w5t!tZ$wj#X!%(wCV=uUj36#%r3J z#@j%0Y-MwZ6M1G6DIki?6_mRu93(1^`<;Afa<3j(!{;-$!U&9bBMQftm56+m8h)i0 zV`Jk^{#Ug5mkQ0@zCmAuyZ`lxC2T!(@aD_#{Lvd9zzHn#J|Cg`}PY zv4DM?Y3L$5LgOey^G*vTpXk}&@-J5}3Cc+inZU`i~oY`eZsVAp~rRk?94Y#gLjWxEFW`oy>mDZua3L)ZtyS^+|6p z8=VEM!(*f=iNK`M4$GWAG#Ke{M;gR}%JgmVJG618Rys5yr-2pd(gE#6yNBO`8>s}V z{(s3lY!Y`?+Pvam!Xgj!Fqm20iQ3MKO&x%sKR_yK7(n}d=49NfB{dHwR;Vpr)xvH^p1UyKnd3mhgkkzs<+ZWRocjpbQL%@cjsCcLOs-5n&u zlqLNO-LDJIY&x8JbX{YFpAgeScCPa3YEHxXhqp{?Cy8Pi;W9oYqYcO-Dsm9R_Ay&i z#&?67o83FOntEjirUcTl!y&%ETCyeSIatBX*!xOPzF0Qjv)90H`xgx-&`>7c+J|va z>y6VO#B@s%v&Xz&X|?R>x-!UzC224!b`gHz63kdF7XJE@pllMMzg~=17Yq#9qhPdt z9Tda|Qn0Peelm<521jikzi~})(%!*z+}2p11!TT{J;Mk>zjJ2jaz+!E1<%_GAO%j! z_^F`pPDPN+VNU5Kv&Tz~+3^yJ1Io0FDTuNeTh$SDSAE2BMqKoziFI5_#Hm%p4uNEQ zL@v-iq&qF!*|6;QRfKbeFDi>n?wk(pFWp`8;jRpNS6 zwO+OzS@#`eXJEFul-Pj^$Xk(wZ-emp0DU`29WM>&e+oXL6Fd^!yN!G4iv6Tx1N27S zl?U9+YvP?B>FD=a%ETeJ{mOn;V=O9n3VZIXmHo4RN?qi0f%W*z$ZeL)G8&t58s^;# zmP<3hhVq?iz-IjN@gsj~`FPA3*^_HJPxZPkpX{!)uI^NA=V{Nfj{v7b8Y~#nz7PWX z&MO$Lwi)RF5k8pZc78gt8Pdj6nwY<#^YV-W|KmDXU276Uu9V=9c|FFBqQmp5$IbH@_x6zM_l~J=`N*^Zp)vH7o2TWLNMDkEGeVZZp+q|Fi{z) z;>hI1Ei)W5-Q7-+!4y=}K7K3R`H%MnD6I53aF-mQN zzD0tBJsGbONqx)aW#!m>ad|t{#9Vq9z#V7VNa&ZHFIO)^oi_mVxNZPrP>P%z(Y|<% zq0a)#8JU%a-Uuwo$yrSfzT?nz$uJXlUHCK|b=HamV&Kt^^cubCWzk(JA;Ypj(;}4( z2ZxQjR;^)T#lVBt-)DMwii=e1RxNtWLn1sG9hf~c@VJRHF&N4>;SR?+d`JP$gRkWT zK)mz$r>MGMnIgtjc?x25{T0(>Tfn)OTRZf|n{vf`*nONX+>L-8HuT@)-7$A7SawcZ zIhD?!`~p<^s;mhmw(THe7{zmOdT>A&n|bSsQ*;wOW52@!?4#079waRScKIUF<)Cd~ zXRsl}lyQyYk*AH8k`sk18S5aYh=A83I^ioa6fWseIdM8%G|u$$E2z(UwS^m=fW!22 zjs$ZK3S~^s%bx<%UOAa`?~H>6!+Dh~>LBE_*hVz@eK!LD4~Ay^?cK@yE%g=XW%v*F@v zxBdJRF*NR%B}v13OWKTJe~-j&i@0ja+FpJMg*g*UtGv-yJo5{X)?k9rqhWBH&*T-; z5O1D^M~==Eeghy+0O;A$?NTgoYm$2fhv4M@*!c`El*-rJX{$gAJ@uck<%qHy#%bkaWBF2S;~Nc+Zc{rMV9?pkb7onTyw2ApgPmZ&E;!8*^$TM5K zC7s+BtgZL{~(-8kO&Zm zcCncO2~mA5%CTL9*8O|W>yI;48DPh*vg^YC4&3wUsV9l(gxk=5h;U;(WLcZCe%g#O zLKg1nsYDXX4t@1FE^gw;K)B*-MgAoLP7mrgS;fVSTLQ$`viziDFQ;w5aHzk~EuZY` zqmmcHIvU_*ZAxIVye#^(9<9kyNi&jrkM#4yq?i_|^V6)n4696! z@e^=_!qKvlGcvRvo>Tt{n~N7eEc?nRUw>m6{frlhlrXs4=i$q&!m{=mOyB@rYP31M zV=a9K_GDkMyE&g@)>l=F8IB@V@U#AGd`jd+@^pKASw6p}w_OS)#gjUCy7_7Z2mU+Z zf*ezRpu{(^{;MnSPPWSMy6c5E$mTne1%q$PfAo#Q33APnrN~Q!&kXxRf3P(Qh_to+ z_Hd{X08gZl{N;*Hlzi|cses}oNeAJTsw5}}U24qEL%9Oc$#s%$sSLtCVa+A1WR`33 zTCCP_@2k1xBL22<#z>mY$!0=Jc4=;wqWt0LLPXoy9|p+zZI(u^4=eNU?O-=73%ctS z`Y+5Euy;tRfY|wY9-ZJ)j|&y)A%Pc3i1mWpOl9|NN8L%>syWVFJdMBqAtxIVxBSjD zW!EQLp&rT69LeecoHRNsVK|2vmUEYv zSv?>m53RQ$NwV$N;bzdrQT@x4C!bAg;Lb_=NlkAMMG{FG>CIW zo+ew;M)Idt12+JH3X=Nr#IIR?cTaLjRf(m7e#-Tt9#LEOoqlhrS;5mNvAYX}9`Y%piOV zC&JARm2$d1FD!A$_ucA%g%rg4^mQNd&-F;WX&rHNCpc>|0y$(tcx8!xhl=MYSvo=6 zM3&QZt)}Rm#I+nUo2ys#_<}&M#^zv+2#zEJse2EGjvBd-!QO>9hWo%ynfKOt_2zn( zgtP>c^Rs8{BghTG^Z4@vWlJ`sY`*fzYsLEy*clGGkT1GVu&VSEUH+vhyAm`@<*az| zdM(P~9026pw|%Ny+(OEPoi*{(Y4 z+DS_Z6m>Pay#Eb1NVnSG_V1CZ0;UIcDDu~s+_#+)xHX$NrA`FY_CD$^WTkld zvGJTJpLh!SG|))I6QO$8oNz#E!S_+&<>6-=^GDP>k8zqxKrLF% zzaMiMBzOI6i|vOQ8*YkzNU3#`rJGMsd72;Tf;{ocER%?8R= zltJ}5Y~A~n3F4jQD$Y;7K50m2x5=i?b@vGfuW~v?Je^d83CN98cO)Q=d+l|^bk^N9My6wL6F|*7+q;4 zl42nB+4N4L4(`NPt9DwN!^VkBuYx*=k&V4>+2=9bkta=KUp*wwRU^GYcnT|kE5=^> z7i}dQACW2eCYLv#`Fd!p3e2sihVXiCPSE}Mpq6;s8D)2GA+779gmYX|wdneauYhk`#Q#`3Ke<%3{0_Ud~$ zNE@YHg(b%6t_}*Ty-u0K+%j2fPTtc@oEs-kdwo#th$L|Iu8&c;k_}Nd+Nt*=Xw>V- zo~Al!7(IoD6qbffoO4Am$Z8#5)~%0X-fdohg<6W2bX`?yzX`L-;9)28*ZtosKm>R@ zHacf4*UqB~Zf0P=Vl+mpCK6BztbbeE1J;4`6EF-OJ*TRlf(aGgzo$uoT!$PNQ&R*IV|B;qkFZ| z%8EAm={VRYyv;}S-(a0Z(Ah^UQsZL<%)VW!Gk;mp3o2_7nb5KSTKETVK5eDMh-i|c z)b8JHjsriU>BisH>NrQLAF0qb2)8_LqV!y(W&&3S>O12*JBZFu1uti;9tK++G3BPX zgV^*|2n7}Ag{Xdgu+JOu(Tvj8E<@NU)oBg1-nmm()41k(fT}FbWOXZ%W$j5FH%{!H zR7$h$b*h#nASt#Qh`^h@&WQYa^nAEiX%)ua%7+;Rv;SSbzmd&wCtZ$N3yi3CtZbYV zK`siefqurA^h%TXm|NxHxt9`YfzfKv9FH|vEXtBrZ)4TN^wfT|Qa5V|p#5 zWjdH``zH$iN#&QjZh2}|*r+kjND$Jxc`iq*=g<))AGi{{vF~E_WG`FYua!eesOo^I z6$;wu*R!ywruX`C0fl@06HTzY)p7Lwe$nC%&tOke36Wx)11Pt@$Qv*IvnZ}1SfTA` zb-YPHz$MVgGv&@!ygG>!$T8$Tp-VZrA4O-z#R`gjvbX>UuS5p_9zo&VuSquQ_~&FJ zi;7H{eKo2H;XdUVj7Yb88Z@=g+l9nNxZ$$}MkW6ld{!m`)pQ3eDwaRjK=0FB@rdaF zmBP60H2^L*glGs)V`sCS$H!l-%69bxAp00+8RA~Qo}~Z9f+*Rm*1y?rAh5BHh>U_r znIhopQfTDFPSH3}x@?TQ{!8HUmu1$zUj}-|{stK=C+M!JI7?SsyImncaoz1oMZbMv z7!QCN-bqEkuH>?ghGg%STL77pp21i~)7w%(^nj;F%G*{Dac@L+9vQvX#b__wk48+7 zLDdI<^7!bBMW;DgnvAUAA=NwyBm=)mRxp9V(`s2GB3z*C*ZcKIBUUA<{j-`PL`z(b{=pV-h zd-^-^3#7yL>Y>kXrSQ>nwS^FYY~C_A^E1&NWuw3>Z-_|F9myhzcUhco9@a`0lYFW( zh|k5_vM3L zVqm-M#UpVA{pM1txS-ZIxcd4c?uhW$@n9YIbMpz=$mU6~bP|6u4QgXcQe7*V zEG8rCr2breaj+nd_y60ltxZ&_e_QqU#Z(Q`O@BkF_MC?FbmEa56U{Nv&OMr$%XfN} z7X6EC(}c+4_r(O-v?pV$=ttF+rJl};lnNecv^d%p^#=-OeDIHYlRx{aZ7DGf$CdZGSu0Zo;7JlM31F$#$CAI}U-$)^L!C~x>$sPnTW`!M zcO%{{-S=>QsT68zg|eO(USAFdtQ4W=XPx)aAT`56J7=LJQOK_53Uw3fRwiHnRffd3 z$9KHw(@e~SJ(6qk*_<20HT3TmvxK#;qs4$)n_+wEfmhfLr2+&p_WOxl`qqGjcym^k|p(H~F=&_Ei2X4CDQ8*S7YX!*2Hq4%9(f%aJs@ri(K z;6nlI2Ff$f*y|c1HCyj^uTV!hVQ!;mteSoOV=St5wBY_CA=KsUZW)^R><|(KZAzo& z0vrxLxl&Eg-0|W>M@9Fn<|1zfgNv`4cY2gfuAc{P8afciG|&D7m>}aZ;bT!}+)wrz z{jr5YCcxE-G zOv;@?R{sxmZ(u(q2Bv!zn=x)-<9$ttr&ICl-we9dZ}8n#~$10nPc0 z7n6fSnBtY$jFYutGvkzza?3?G51hz+Ru9pmT8K2~FlcD>cj zXReS}R;!?l3C?WyT}Pr*#a!TSBY5+B7gu#rF%L|1n$h1M`o8O88<%c*LARxC6OnZX z%|n?+GT=Ao&bvK!V(y^Psn)Ee&I%hGrZ`DmlSxbQZs9+R@KuKv49hnOKi zcBWb81}n{@$JDyF+8vKYqNo>0Na9kJ$_W6A%`NEaS2jc?b_pfZ5Lu)0D@8N@xq9xH zi^f!6OgDd#=5f%?DZKK{S@`&9$&A3apto z2-@=Nu1rJzo{sRR#l}XkRNro*XMqEUUvK?!7#*yD|JxFk_6nGC|LTc3f?2FJcb8R` zqx~x>)+B;=Ms1!&7ue#Nd1G_O;r&1`Zg zghR<|Ap%#=gsV@Zwcj#ZQnF@bolcNq!~fP!B422`PDg(s(L@JyjtRc8B(DxAWSivI z^L|Tqk&zO2h`J{)IY5Qb94KfPD=MC~=dQ{}kb~@Y^)g5#&L$4MH-caDVZIaFu}baJ z5DFe=S$zi6I#CDGBWDI*mKfJcBrlaC1u?sy^b@el^>ag$gzNu8aZx`i^gNVaNKltl zWZPkCY(gJF*>X^U2&??lH!_<=sEl*?HNGuv)rk2lotseQOA<9ksr|k!t1Vf@aAONY z!!Ao{}{KPCNH4NA8YmwfubahD)=gt*xwaZSqa zxFxFbb;kFjIFea zbtkSk;qAg>SkOc#)3Kkl*2Qv&CVvLQvw3E_QYXtOwL~~+7Tvae#ke!I)en&D6Z9~)Q`vz)~-Fb;YsLP}fM z?SoA|t~XPeAMY-{ z7l@T`Z}sGhAylzP2BbB)ncGM*$TmH+(Zr~}HvVRbVh;m7aM>uNBm=j<{JOAn8xhV6 zSQ2(P>~tBZ73Pyn-(0IYf(!c?{u)fZ%bg;_bKC8vD>I%N4OL-#i#}3eyWFIS<|AVb zU!OvcNU+H?85WYO^*M#?Uf0QxxYpsB-FW<2OBRoH)Nn+p}1*)X!Gi zK4t^;5kTXa9d56IVagT+8o6(O&8uzjUt7b|`tFnIJG%t1_xNA9xxV3ds)X!8*$ndV zCprQ2&98LBacg)E?2oPpT|O7@un;=Dh{){TqtwEYeS;3OatsN#^NF$SR^&-Iv>YXk z+VTiJpt?3Q%<+%N-Q%VzIMY$9P1ApkZjYar;w67(V=bsd4NeP@zdRCm1~7LSk3=?P z@~U|W>2=zHE!q0m@AzR_piF``R+N@lY+cP3Ma|%Oso#(t8<<2F+b1UY@*=MDWszt${A+Ny@rGgzmGz}fEeD|2 zkjm3B;sQBf>8^1;EoIpnXy;!-4ZeY%Es2f>e%G|SBF67njl*}PvlGG~{fXp}&F=iP zSn@heZQ^LtaT9^>K3voCe>@x-2UEKH_TzT8{%%E(Jeoz&Dt1cIwxrpeC)hiMV~0$T z!cGPR8A?mmhoq(FE)JVd%4H6!CTS9G9!$cPA;86*ssg3yy8KEv≫ra#%B{%}qN( zSQ9uKr~)>EltiaW2plgji`^BaC~B>DOI5u{XPxYL5tOeKncGt>x@gP-4&IGcfQR+d z>r%Dx0CG}p0(?!E*Q(tY>lH!ms>i|93^zGrEZ$3#x?*LpR4zAv1bL*tJU-$*OcZ4^ zP7<4bxD_W6Fy3!_Q?2#Y1YX#Xu)C%$8ARY&q(b-o8DOiafiGFb{N zySImJ310Q@a%M&-1GY3IHHA+fW})={JaUdAzS)g(@1MauiyqlXn3X`R;iq2s>cx5; zSc!m?RzZJ_*MsxXbP0i&^^rr9u8?>(QR}o*w$4aX(t$Afk!vF7o>pc3**w2a%=)#C zIQZVM+`EUCpd!UqNuHVg1Jl}n{BM(I%za3$yN;!~qaP4p_I)1Vv6wsFJ|SirVZwTH zs)`BxJ;eW9yj6Hy+3jqo<$h2Z7XdANVEcf^`2ZlA-k z;6ug_&pSY)3y?QL)3ocMag=!u;~4MQ+V$0QP*Qsi#?@GYkM!OlL4CCT7hx%+$5O(&g& za0CnqO$dg|$#t>XhyQlnd-Rcf&D4-~k}0rQY4<%NKRU>W!u#d|F_(i! zw`MI4WC?qF_1O{pogFXJ7XEz5F`Byy$6oyUO#PcPOxorOaZ1SrO#Y60B37zp2ca+G zVoFA;4H29_jRdq>gWu3{XUVt4)*R~XgEc4R%PCwXk376=M9MB`5s5-dT6|5s z+(87_4%>Y=${+`ShjIE0gqVb%@ft05u9F9yAOoXS)dtw;j3G%4_rpODE-~Jr;Ir2a zyWb4BEj9+F@_1x;ZkoZKbLFX9D-;wjEjxeFMiYxMS+`hRNw)5bv&GGaR-50Sn|hhZ z<6=TK#DVwv*qN=iUF!1h;duRjuh`VBQA)bn z+56la_}sTBc;G2v3yM1gqXPj<^r(w*%g>`sZ%C>!`86A)EnrE`8uqkks+J6s^{GOn zBz2m)1UK3WHrNPa*2pF0Vwbp|DS+{bFhg+5_Vg?_{B%m{zRl$hA49Nf&Kl74r1Y16 z6g%eu8&tl4k3i@jNreRgq+6@ws=dtp3o24aN7hx4;@%Ri6~|FBccqwH@%EZ+@~M5PueQf1L5{_Og9q%yGDHl>!C%*0QhhigvrD97T<(baKi0W$ zy5r`CjC*Y%F*CrZ+*!ZYtW14MvdHQMcE^{eHnuaa?hpqgJj>KH%6?1Qh!EqnGqj$i zKAM)cyKo7UCHV2mNH1HCih(wL?==OWSVs^j#OmSjxa}Fjo`-v3aZJ))B192h%SA$F z8cQ-RN1TO`+LgIjrf<^|+jYh#YUyMjw@N*LQi{g6UdOexrJ6NO2~3e}g8Wrn+R4q*ge4T~-Li8nF`0V#%hO@&F`->_we2-ERhy?7UHq2e z&~8wACDdE7&n-XgbsaI6N=``LS(<#Xy2nASf*0Vb8Oe=w?*7N!JcrisrA@?8kM7^} z!aUzXN}pP86Zc(YGEhHQGJmnONY2Z%XYzVR6R$B5S#L@6Kc`h3N57ocFiSrU&tMyP|u2|Jv6xv zvdTkAq~f@JXb(lfv|j;ce)+@)%(~E*vNRZA2EomSp>3D)gKYDqId+4@1Ie6`ewHYL zfZECn4V7@8W3SmkhQ=OYU^iE$e0m0Im_PBJJE%G;^J1dCrAv|kwsev7@a!DaTlruo zCPzgXWm!m0q?UZn9a7f!r#|7&MxW*q7O~6R!d8e6pvVt&(0VA!l~#!io1t98=*g25 zS)&+j;^7nxSR_Pf1QfWo|8B0N@01IITz#|xLBYvmm7?q)hMEYy%`CMN{S$VRsMWoto?b-i<-RnR}0v2$T0H z20!}08BbItgKk*03qo&TDnhuyXP3Zni6$t|zceG& zEp7p-bBJi-WnKe#0^VU?&KzJICoZfRcz5fiG1lhV8YqJNHrLmU#b^i<%OWuHbT}90a=TGCEA@xxrEQs2%(*6+^rXm-t88B~ac109(LXRX znurV6jAtdvNLGLKMng~5lQEGVhD^>687wS!c<+UA=qD}erMnZ5s5XlH1+L z-=4}HzYbErvp!dGNwqtgF(Ho)KJGD`$SIxJQ`gz)_zI#Z_4@qtfE#qVM zVzR1zm7pO4++TodzXu!|o5r-L2OcH##3b;Xtyl=nyO=Y7Ptu;;f1`_B=iBeFS-J%9#F(Cu^o zOt=VbVAXvnO(;4abEwH_-*%`Xlg}fwFfJHtz==D;Asw-?eFv9bym(2Wo$S-s(vzT> zKpTE(mlO=aPF?1WOOnn7tF0947l{=brnr2Kl|xlt=Wmo!VDIezm__F*p>*(w>)eYk zqD+lJvXtdk)$OB2*S$dGBcz$}MX4Q!+>6ajSdg!>zW=E?99(|ibrYwqcNoIOe+7PV zpKXd-wLaMHbQZoY9&m%5FP_0sBG(e*z>@QFcrvaKF8?aoQ>28q1aJpU^o(hV9A#v` zH=+GD&MIwtkR)tHu~eW*@#OQ!%R9d}DvF)gB9K^7>EL1NH|B`PVxYyI>=rOju{Png zcoiQgFe3FbtO~DL77%llb_1%AR?0tHu-t3QYi->H&#$Lj6j{;ey2$v9DM&kckMwYL z{jCk!5eBJ~4gpm30UkGQvS&QMkG~;wEyv0o+gY((VrUp&%2!#PK>}%9WvVW_$Z~jT z^$j>2z7A!I3u`6!md=RtL0yTB@VC!$c6mvp|E4eagSQZ7;$JQ7J8Y1Ls4DV%rGB{= z^CcH|E_?GQgShdHWJ|)jhOuYTPb2Bj3igMN^vCjfFjxs4d3^K}C(Fvl6p$3)`i97l z`$0e+)L(dz{a_v;I&SY6*fM^mD10xq=ZtjhGN?zjHa>G*7oz?E4PMnGK%^(uM1OeFYVOx&nf>#NfDQEJYV#ct zMLTb-Up6z8=DNaOK;~=~VbG9k*+d>nyy2CbVr?y~zkMLnV4qh>_VkEGexOBi!bi^i zjpMT3*MAE}u-otPYcBN^1cryxKy(%u-{Q>X9dhNk82FR<1+}gO9Mvi>2jmXtvowE_ zJ_|S>BTJMeBvAZab|&+|YlfUoKj4G5bHAhF42z=j9nTsuEJivAx%#K6YR^uIMkub@hs z=O3U@hjMv*_T)%gkT*5M+7aLo2wU6RI1$LMp$9g@*+8HXidzwa^^;TSjEtwh-j>^) z4JrxWZ$7)HD78a&3DGiOzCI-eP`@ms;!^|5S0M*pi($&@0;>ydG2xeCH zemCOFnfha75I8k^e1*d}1NdiZSs>#cE%W|ki6-Fmjt>lvPYm?|>+0+7epvrmB6xg2 zhu}0I##Mt4tzhec)q?>i6&YtCQSCdvD#8$^(HG|3=^4IXQW+G$)5IOEj|^!Hf~P5&30c@P9=5-4 zk~_DFxTd20KS)&f4-!4#J&N;T_mkG1-T;1GI#gR-Z&`K!L{8zil$iL=(K*+HTdWCS zYxOZ8`3`qw#QoN%2WA6iQBhHCX>|eA0Rvpw7yy11`geqKzsZta;+?3%@#ws`yZ|(+ zV(Q_AVZ1#9uTMfAL4mPxcKCUB{anB422D*s(FLcm0Y~+pAZQ->R`h1{oBa0IzTQIE zgP%2YO~j(~J&a!7+J4kRF*Y|gzr2xs&He?e5IZ-ksATvOezz@6OUvf$iwsZ1=^Gju zhte}PJ_WUNYyj~3X`R_6yVHH~)kx-#>n$;SFD;0wPRH?kvlmBAcIceKvkUzJA~M zSr9B^dkJ-(uMWOCu%KLOu?#+a1)KYR)y?QREf;?;|2d*=o3OI#_UGME2>XP9wm|7* zf*DfOU&MJ|+x_M?<{{K7t5cBoLw{gB17rPn-k#NL#(EF$GPdrWC0#rW-I7 zJOfFbls!Z>p4^WkW~GP4pV9la7tU($dJRscdk`c`>+!v?$LP~OEUfEAcIUooRV$e~ zUJ&FF&-IgqbdO-?=D#3q$|etg-Y9EelVM>hTZvfJ%~36d!#V7g5nbvak>h1?QAYrlBsZ5>^j80Xb(xiJEFjMilD4cJ24m?LyWPDzs6LXmzdlgKf zrtIXJNh5hl|Bae!#%sy_$hM5f_qFp|bxkQDxF41!){mcNn^kXJg+BUuDS{69yxB6f zkk~+_(0rBnJ`9ihU_zdU05wZm4xkFf>pvsbv~;V(d>od5`0!Srf{DsHcH8c-Y9-r{ z>@|3a7mvGZ@bA0nhM=4paRqNzC*joP(Uc7V9d>O9ZkyB^ za%hR7jg5A81gcFnVQ>m;8cIT%`mo`Vd|Ch_;dPg(DYozFaT4dtkSyb2F(>AX?=7nWRA9-nzmP;SYA|)bQz&iS*^9l!~P89g)|LdByN9VI+sNW(bfTw zmV~I^!YZ0>(eZM4;@VUNu9KOX&zatae8#c%f}d=+i8H?~p1&}uMs5J{LP!pTgnM3~ zh;Jp_!bN^6SgW+b@u0VM#`TO_QPXd5vJi{3OqavBCSElZ!qH0m9mQuCQthnW5hPsy zs?d@&p0{9_-s0GjaBt6cW50ZqbbC@2MgE{t;)Z56PiZq%s>QBaphw2;LegpFjf3Ws zCI5L@4hmU*!WEC-7+R9}^t3#B6F8oC9m6KK-x&GY2%Sm|MX+hZBu}3XrwHAUA+w)> zy%mISfZldYBbh}caxdJ(nmLSBkNg#e2j}Ya>)%=-)@%pD(Ii_;gMh|3n|I=$yuO!3 zv#iB>4W65XT7X|u@BO>m=!zQ1-DuN9t*Kim5nBmX!n(03H`w4LcuTLiBB)85il99- z*Tp)8j0FVOIJN|iFn6wgI!rN`hBvaiR}ht32szLoblx`=EF~C0ONiySobUR0TvjOvk2FWE$gwO zd3Au2tNBOC>l@Verabl7dCYBvk8zjK^9CW4encN$)bS{^Z;e$rB|a#D7D) zN=rm~Z3+&~70A5Awb_2hJwJat8xxy!nsZq0gW%EavG!#}A$mfzI}VeP!b=+`?4uFV zc9x*!{S_t|@z@vZ=%_cpq-I6N;H7|R3I!fKu^E2fR9_E4=A9>U3u5i8X-(l9J%2x* z<>wfhKzG7!Hi3qbc-aWqpXmXZQQBrx#*qMw)VgPGZIW4d1cx)0uQFnVKD6nDf4xEw z&)w@2(S9P#G@RW;R?gy-IIxYa>quzF)=?wSz2;W-*LVdtld|0amf#Ozxa*7-XF(M| z)@y{$h(Z13)()LIK_Wh>0<}j)BaHsiJUEoOc*w?!DqytTN*lvqyP#N&2r|)xUId}2 z=qGe|(xAghKxCe=3n7wf$Q~CY9~nvn)dx-GD6#LIpc+s(>=hdJMsZN(qL|ce+>QBH z9y()@obsxxK-qxMG4*bO=JQ^ZsC1Ec+z<)Q$pTm?u2 z(6R>*Quc`%w5YZ|qUr4hOioK8Zwv9+Rc|blIbv&LNOYOun5Xb8Y>Gd9mKrj)^M_PY zsxJjkyQ9D!Yj_qahsb1#k&=Qr$y z++7qMR9{5jS>+FEze-j&mnwSC>7^$DqY za3cpS=%S%HfbMqGjy@CnNFxyl4_kXoaZx-XSA?j8e%UQ@1wdc_?lCHwso{7w72j*N z^-}Z>7o)sT^xZt-wNVIF>vzdQ5JI^-BgikEyOW829Xy~-PK$Y`5^el@jua@$Ei+Sx z9C1yeioG2ds|f4F=Go@JyBq-%hUsOkxmbw|(Ts=gUIyL9ZwoF>k;_|Ml84R)+)M|I z%3ad&-O+oHim$EW&{Q_&K2HrP!xwBO?vw`Ayone9pX$tTovHvq&@ZLugm^6Uj&{g) zJAnn|>E=*l(~i#Mu3&s!?<{NBbby^Lm$d5oI|W^Dzw zWR;g46JCRBQtBvsAi?f-$r#b;eebU}86=*dOJQ&`A@XejvfbI{&I;v#;Ec@iG|hC; zi66-TIjG#>xC?sXVMrci!&Y*ura|b;$7+thN48t~U9#nPMW2&s%s}Bckyh|-ae3RL zT>5VD)>p`EUlvpS2bvEo2lvDu;+Mg>`rTeu6wVb0_`jop0ittc$BAZXdb{xWvgvzW ze`7V;jZ`tgZ$@J9N>K)#e(6pN8Qj3T~q^Qw7*N z^@CDfz#Vc^#(9mTm-D9cPk}Tj*GPxyB$!Ft%#AM1GG{izLm5nIDx}nsja3d=ZPTkA zl~3}hMT^R)93_&m;?!j~xue%bDlVJ8R*})Ijxqu@!9%Ce$5s8VdO&q8-|%b{+8_P5 zx|IVAU8FoKlz!qnv0BQ78Vsa`2wOVmXtfHcz`aqq*D4gcn%OU)%XIFW-j~dK$yWsr zzTZY_s5&5Rb!!fhb*MaF5F{Pt(01_@hb8;>%)xr8LM3fsJ6XU9A+XBtt+DUN1Fn_9 zM>9p{ZogXG82{!KIF71-^6Xq}!1-EKbYN-(hI0!H{ItwBw<8QWioJJc24F`qQHQbH z3P%Ze*|eCTW~E}HWiy5-M608&`BhxK=rqK)9(hD4)N{M3Ori3@Wo*5eaFgdqG3w}jjLZnomt~2pdNV%j z^}iyz?mn3t&Zfk_yU_4iSqBd=s{Q?|L(tB-xxfy+E=H*P2KHF>*B746-^KkUwWDa$ zgqdi1qtjALZ&Cy~E{@AA9;O+WWsYwRs9gPq}MoofRH>qOtZRU?6Q* z9>$xuEs142f?=6Evl#g##U@nY?ApD?w?d~hZqWgYwE=Pw+R^!8CA06WU(^N4kDa

$+ZR)J5VGi zgczTlx=prNJ1uCjuNfF9{MN@+1K_^`gc_u5-7 zRlN9>{>Ns%6Y1f-HnA*im$Hw(+^bRaQQEB6B*sWWY zs*ma3Jn^uPLh1HU(OI@1813zP;jbl5)jO+MuYGVN7h@)RuJJsEFNgzP1wb{UQ93q5qN{%VkmsPOoe zl3&qA{&8;+ebi2RAE_9X@?P^!CP^x@+iDSP_dE2nE8ijVKLytE?!9t<)I2 z)(F>n_zc9mf2xfn;xBLW@#kOAdcx3tKyXF1Ds$bGB|l%~(9!Xy|5mjun(BWmBjYj@ zWRm8v#q=P9;a55-vGv~kK8S98J#IRojA3%%7NpIyeJB(`X%u+0MK=am+*2IOlITMcvSz!8vPJ9Z^PaaZM+*mh3 zdIqeuvhVArgYi352`g3U?W?r^W`9!j7HME)E%Rsj7{;F+X=#`)KZK=uScpyat3Y9O z=lC|7y;{75BhdJ()6I-Y_BCH;12CMPrrfP&+9g>yWcI zOWlxE6|CNY7HBBj<7I=X1wgK+{#U2swVAzMK!sY5^1*V)#p+ki^(d(WyZ)<8u|ZLN z2B!3Pt1>o~mTx7~)4|@!L<)rWssTk1o`?XFbQ{UBz0AS_x`Q z)^hcA0a!#=2iNuHX9~eW00sDIMgLx}mA+zIyUZ_1ldj%E28uCI?jRmP^p}AVO{c`9EaJf`dLQy z0|Px`ySUAsY-H)=Zmj{Y*tiDqh{4$_XdE&ER(S3f1GF+lu4&yplPjNf`yec#7xb-C z&?fP7tZQ7aUvY=Rz~y%sG)?STWxRB53H=|mK)}`OgQaTW7d|xgz>M6fkS-5NdYwI6 zmRLSgXkx0Nhjz>pk2X8+Lkm^WpBd?yu*!~Vmf5zqS8H$yUS8Ig4Lv6*y!unNIRm3g)F&b3)Yv5Rc%&nr6i>^!udc8af3&kGzjrj1e6+ z%Lw1fVN2VcT3e!A$#11~J0RubV|IaspiGA7hY=G9Lm|s5B0&-2p5=Qy+$mt$V=zLoR8H0;RPF7o<X!Bs+TRACc5YD=s9NMIp{3T4YgCRUOMS6KV2Q6*4;j`|#wR`9|Y&DU; zs3GWh?XFY;**fT+%T{}+Q*m(qYlz1eJ~`7SPB9Lg;I8vXl6o!Z&ZH(d`}D=qX7M4SCc#!k1_@9Uw>z3N<0V`T+5 zduvXdVY2?14mOJ!VZ~sm6Ie%TZauFP_dhp~v|0vp$>LV&eC5t40*qsQl^>#vE7a0; zGa6T+L$WH;IliDpbQ_rMI1~}}O2tgeFGT;Tk&N20D>pHZ_%!~B=zk2{$poZ%#n_|y zDb_UPcip~gOK`XcKD4?=e8*f}7qU4(N|5-s*o!i%<2`Id$})iN)C_J09X`ppbRJUH zvI_uBiO|9$pnQ&ggL^Sbub#8%Vjce%%l}If&(8)T-UV2;+=u&nlU)j35qGi)BNw!} zEKp^l-boCd{+W@4I@FKEk2C9F7YuUNhp)UU#oFLO&zP}Qn))^^=AYUkOJTg?#D*&E zI{9S6|Bkz_(#2$}&k98w1u}d6QoX(ST#?d$RsRL0AVj}x%41}|{nco{BmqsRKBl9mF426bwYU8x6Uk1z`6Os+B~fJc8k%#XN5p3jJk9Y zUkt*q_S-=3`(J?LDj>artlOe<;PzFQ)I)8JRMY&K|8E(TY?u&#B$A@gc(TWH=id{E z8_Fx0yzuM}6{2%T5Ncx@HZ|n0ujG({+0`Vk@(sPfpmBL`-iDv5k}r8%hV#~Hwz)uF zjJ&fKVADJ{wmKc zeWQ~Bz&4ObPm5d=YmJJJSk+M@k(T@xJH(@Rm-R{lunFH3VONC(+yQh=-qp9D6P%s6$6rr=optcG=$_oKcwFxsq*Q^R=##8WiAl})@ zS=BJ{k^VF+PQa)l&XBC4p!JU8tVhgo-LrOOT6@TS*Tj_6NV6(wior!G+CA@ktmpZ# zR#^%fy!G%~cl{j;?3=k8$50(R)2TZ*&IMr^gNsM?0eHdO1UadY@`-ouqGnYjpM;^A zy!Bpz*Hkz^Yn2NUTVUMhNTrdG)s28;MCz3%{gbniG#csOL6^Bp40S3TYOLk-UeAkjQ4%09DiqTD<>0z;|;xC7`I7DtsAC@FWJ!$c)3)nKn0OR7reN-U#YPKid6 zfPJ8v4t{(yw#lWwKCj0Eb1%hqCMZaA{VHKkp`V7}QM53uQsbqmG>|&Ouwd;Wi=+Z-t~_ zyHU`u$SvFJ!Rgwm5R8gv#dKF#nigsDEFSayy{ckUQY<&3?mTv=kVc}a5Wgy>Sb+;4?W9CG`H=orKbN}6V@|1MI?w}amDyOH_S^8Uy zZ9j~RO|V1Xar{j{H}^NV7sm z9b4UTVcK~$B=>%dybM%nII7=C&&Esm$*dUY-CW-w=Z6KGNRr%?** zYNtejE5;t^%bTe|FNEtO0;&x?i))OyN{eQCm@-K2@{+-gPN&s`J~zbCcZ zh4#BnzLbd2?-8<5sLr4QrLzyMZ@RlGu5KacGRJC1;!>F`adKBRY&6#cQQd`$%sc2g zm`?Aw4=nrv=<5D)Ix3+uHe=qAoC4Fc-cK$SQA)Xem906h4C0n>FZ20;6bbFE3lq2< zsv^1>2ib3TW}yAIoGV!-&o~Sp?8mKOBU+pCfs#{pV=NBYfLBZY><*s#+s}x0x9!HH zShF=bgZFFt-9hF@ZgM>RYNT_o$-qp1jmK$?)F^zC_4in#IH6hYfl@bzkwaR;!sUb~ ziA%-nk0td7cop7HkxQTarlgA)K!h58{cC=G7?xt1K^jr|J{>8TXJ9g&1NZ7YyoJn@ zn;7IeahsU4?Pf4-;tlOk3m-tQlBB!M6MefxGYFs1pk(7N?Z3oNYLrjNB;bL%w}NI`Mg~+T(&Z3?n5z(AVGLu4~zL$ zO@lZ|e=E`qak=S8eKH=MCL~zkdCPMqK}J#zrK58s`;}k0;Nsefq-D!)NX1!j4w^E; zi5J5UO909RiRVDl>wNfk#_Zj@yqpN`CJwsw6hwTm=I{i*G(RXUy6S(#a7TGh(z zC$L9zLl?Yl1#Qg`l+HgMi%wwiu(}}EG&Dr7Uxi`K>qWOaY|qHu{EgZq0wib5 z-vnec9%WvPsLFU=-qEdI**i%bC@q@*lW1;bje#3Kml*sfhO>PO%Me;(E|wJmtq$eg zGb)abqr3PDW;igulwmJTLCUD3ye5~7$M9hwZd^zb#Iv+H1|Gt^uRW5f#s>S)-xER! z5<^$%&9~S1nqS_|z*<7~?R{qmcwmD@gIOOl1-p)+#bQCX&>NiYTr0?&8)-YLZ!wZ7 zIy5B@ma^5JHc=li9o!)v);ciF z&15VUn92$5FppvdZIi)qy~C;b$e;{}=FiE2a+;d8v|2Ox^uKT8IT|2i@iCPsrVEOWM`r~T744J4pDsCLVcTXo-0 zq*tI8Dwrj~Jp<1B!e?690z;e+>6k06AXjr8Hp1>7z*Rz0dxTkYNJ+3O6(Nou)7pLf z1kK$i?R*9 zI`&5L%9;{EPUGIf1!Oy}joq_Y`jDaUSh)=w+9-&2h7G7D9`7?gH|j$)?59p>-WEaI0OZtsiQh?zBDN`q&+3#V#F_y_21gaW!SYxEBztvHRz0TbD=llg_M1R{P@E?vI(QsY5;TVZ!I4xrA`Goaq zSw`mR6B{~^ysIt1!&oeWaHK`sxYTKej2&L8+V;Dw5y+R{w+OEf^5m$xR5U^@8(jSJ ze$;PjzFhs45}b<%?zVyc2w&ug)@%Q9xr6JF?5$G)yJtbmFOOW66n*`6Aj08y_X4n% z@?v$>dFQ-f>QczhwYNgNW8n@@y4Nj;FkY5@s2aJx#1KyG$7R$>ZN_$>0-S#YlWNzT z@PRZd1XV{WW+$#&IZ{{NOS~3_WQjvTNwiM0iK!E8k(@o>l@Ge2RGL&RPXJ96Lhj?H z7d##oWHRbcOYoJ3S+;f|tGg{rXPW=$KMb6Pzf7QSEI@zT9P^cBM-@_VIU}%PIV%|d zm?!?G7dkEf1zNRfm;|{;N6vm~(SY4*{v@RchXhcfNXm4@VS!&p^oN zU}&DXy?{J;U!f7^7SIZpDwzdwS{9P}x#bm)&UelzsTzMHA21hF!OvS8Wt+3mBq>Ao zWdCHNH`7e-`WtOYpa|i z4JRcO9P!L7;2v#gPoU;ZvG%R_qnMt4%@=;PDRLQporMa4rccJOWk~-OLR!9LWHl}q zi1ztoci0aok>!ZqiG4`b-Pb*ANBqED_3hO67}PqdN`|D-hrYVC{2>`v ze1FJXfq$rfgH5g*fX$AVw}_3R!`e5!+1#Yb10kvB6d89fC3bhcL$W-ci33UKcYd0E z;?YQqEq}0^k5`+Gb`(PRn%6OGfou7i`Qw=nbP1{%y;O=9IXx?(BeB}p^7PWWUvY{S zt_7)EzHL$EsZRKTUf2tsDQb5ROKr6w-Jd8*yr|TeP_D^g43j-&3g8|H0JUW2XcbtV zo%h`ra$D*?_RwT8@r-?$>j1x6^N_(&cCuA+0rMg>z;Gf0m|(Yg+uQF(*7Kp zvVmCt#?SnZSti9bw(?gcc@3>`6lfn8y#CF@f`n=ISwvMM+))EM{U%$qWU#>mbPBPJ zA#ML=4LjW`n?OmIN*`~*V3{qmbfm=*iWB;z+qLRfiy11#qdfIU>=sWiM%oyae5|Q& z=Xg_GQP_+RF!r7o6Br34v*<#%PjT_=!A~(*AhAv^gsFG+d;a7r{xmVlC$Z~iT`lQW zA~Eq558d?FUui zYrt30;@tC0Xp2YQn2)7_!toc<_^ln}TL{>cKRk}*GObm8Ub*XS=OCoXX(}zfqKyJ- zp<-Qzwu-ZA;S{7H``xu&eq&WBTHWml5V`<+X@$-{TiFxCGW@RR8I1@p`&BQ|29s&q zg&m8%iXjeiz=}@lzDFcv?AYnT5SinNN1TuUPW7d5h#vw6w%MMC1+A5J3ua#bI$S>q zxv>+85!xg0jK{sz*j_C#)#;;MZqgY%tk-6|MsBL_V&yBRWX4XiC0jDuX|XT6^lqc- z9;Zali4?ju~}6!_I$y-wYXH#Do# z-xUEG^EQ+tbcQBz1najP!&!t?!gMX@!Ck+p1l}oqYgwd7R!l#&VilZ1|M;zaNdRBx zCMfC6KCKO|<&ohkjIP}5p#yX~9xDt`Vw~|0c1MblQ{Yq2jyo*$=*!COt<+y-dBJ3N zAO_qz#3rLHt5jE$9gDhcC}~p4gj8tZX+`e`vqq&xrvRXpV;XtdA%Ci&%pEfo96KFH ze9A0>O~0T9gXU7>)$9rF3&F`VdyVS4t|{U&l)H15X>u`$#_3&GauF#;4T}3U=F)L~ zIyy`e#0Dbl#S}(ed7hpQJrk}&jglfK5MS&>A@P3}+eZ(sWOE1G-1N_Cr+a2AL|T5n zR5l5a9AZc-2XAv=eRa?JSPj}+{}ETX4NM$HlCfaaSItD(Wwx;4UvaX8!BOFh_yEK< zJrn=x8Z&jq>9|*k5UVod)C$Dwwo(zgEz^92%8%^d#72|*LbCl(Q_? zd{_Qk;Q%*wh~{wVJ^l{TT&{5U&m;az_vu|Olz&Q|CJ}V4G-}MOuJ`{+R7`qQa(|+uo~I-chOJvAMG)rGe}vqX7x;? z>>lM-&sLTH+E1u1u=Uf#CifCNybQSQ7!?=2Nd`7oCH{PUOMchPJHVVvr`dPF!tw&1 zNfi{5(oo>BTYzm!vj6abRL;9s)-RHSuaKmj)|ahIoN%r!lhF}o;z&zgE{*PGLKHBl z1?pQN2D9V1Oyq&cjv6LAI3qlSZf1o)$E)!oC^$`P*TD;;XS!U$&YO&{PLjd1WSj3z z%ON~~;W1^9)L%KvFN{_D3#r5-0y8)3e+aGl6r{71e{z%%SyT1v3jo53qx=7>7ACs? zrdpWj{)cK|{`X_}k8$~*sD*|7-&vjiEoyNFS4zBWVCjYwqm_WfUm(bT)hT|h2|~b6 z0ud<7e}y9yE@tKDP)H(OKmi2=JQs#o00l)hXYJTN&GP>7t@c!1k?3(fNl$-GPd|lb z4UI34i}*8uSP|}57{oWgr|y^06M_N(0RS`{#4@3bi2maZg1@JN8#|go< z6dI)oyN?nU)ZSK5=ltUXawqTxfRBMe(Z9P7fFetd1O_+=_@S5dcj=ENBG3y!8X-O% zIprN5h1;>VJUOj8BBHOauYO%=EFI8!!5A6DU1+`*AgLT4`2zd}$eS>R9>7b`Pd=&C z2rQjr@cY*>oiJPBSHBoJfaRSZf1VwlRT%9SWCVy_C9st96F`WL+?%dmcP~JIAMZ4v zUl9MV#4Fi{Dk1upD;+uzznh2uzCT?XbRWhEASk-U1!N(RTmS&w);Su&)qY^#NZ@xL z9ef=T>fPsso?l4>JwTN4TWBsk2;`%{JH%%nmybR2dotLj32a*;=D^|~DAD)0?~Ys! z7|39~Ph9c`)3zJCAYSb6*KrImSBD?r!SPkeA#AwEm*9)C-+n!5qu-WxK6wDh|HIfh z1c{=A$+~UZwr!iIZQHhOyZf|l+qP}nw%&P*iI~M*%(51>s*0$J%>2L1i!MtpA_2I7 z^#>Es7WGACfPM=6aSim%sK*hS(}ke-XHErU0Xcyg{)x}`>GPEbfI5eU75ptd%q3?+ zfY<{G$^(d%N5{yz+Fh?VEql~6yZTC~1MsIs==3E3xLZBdlY3c%brS011^R{k^(13+51zl0rdvm=*c8?B9Lz(~9Y<<6r%Z zOXjjS0}(i(M_YUOo*ufLwY>Y8hsEE^MTJ3F3kd~s|Ly*D6@VlF`2_vouiSGR`?Y)b zGkMp0{q40YI=|e%-<v;fHM{!NY8fbVY|Kv@zZ45-7mRoqVwFk3e`3>>S_Tc2;Y6Mzr_!CkMn`nup& z{Vgi~Rg-ULp1R6+V-Xlmp#29azXGHr07e7|c%ngA9Iqt696{Be>^#8URcrzxn16X@ zLI9d>1b=2A$rr1Vg#`dad_B3@-CADqe>Md;#~pg$6}}2Vj|7Qoa4$QcS4i({FDiTp zm;j-1<03@3cGG6#*$h4`75jGxLm?D?8)GW`d+=Q27~O7e@{or2vq!?@7;;J#d$%&Q z6;{{igwqxJS$2!*LE8)X2(DTG>FZ5qv=P^s=?DhcZrXJj3v69t14IU;9f+PgxRyxU zVe7u@?mpeaOG*g~RsQ$>ZY}B@i#+Quohz16mjZpc*L9br5XCUhI$eQbV&@v8Ut~lQ z1nH2}fSeP8z0bqj5wPt3C-n>WE9jVztJ2WKJR5aEd8v|M6su_gUv0V_<0eD>0sdka zc5jU8_EgW{8={Uzk22SI!o`(Kaj~(NAzFar>-1rQJ_89mkbh6?8q{iekHYkZiYNfH zIK_?zfdpLB5s_5y2l~wxPmRK&+XAU+e_XeXnN8@)LuLM*H}DzBLVUdCDwGZ#8G1NM zBQ8ap2TckMTl_46*XC;8nyyRs6I}9#=K5Ljt_D5*bg4T}IX4Ga^50OR;2Sn?OLw^~ zVmuHP{)05C)`#auzb&~0ZAR?R!Q&Ta#hCYZzHEQb>SC$)zKI88`N zR$iuD&30?hv^T2e_zv|bj!IinZ+PU3ug6&Tr`V?{$_;uYrQfn6@wGU)SxzTmD?VgX z>reid%6AqPOgBk*%k}oF-TD50!q+aNW8a{qY=RV9ODh2&ky_n&q~0MG^UoEe{o{Jq zG`HI*obO=2f3TF}ko;vJR14A|wYFy?0hW25Aiw*$QI%uxxA?4590;QmMQno!N2kZ zZQ?JaCZuD=U&mmx44s*gM8(-;^H1|y*?G4cjB?mOcE`zELP&}1yIH7c7BKeM322kW zM0`2BdMeRSie2^dE;=Wj{q8xrb6aY?3#dI#%#DgJzAJG55Df#WcB!FqDUY#$_^7?P zbsvhM&c&Y!V z7_do*?W#^Sh&5vA3OD(IfHrswS&@&;;6f=bQ;~7VU#+zr5g)B@)@l8OPlq^g0KED z?f;$D(2G{U?NQrkl^$>nyQWjWrUSg~IMV_aGTkCLeMqc*9;eD4e6>lr3Co7l3pon* ziDybb#YPaqeN5S|UYXnP?u^g3^YX!Dn141LooZirIsWrH-6|NRbyg5!B|+6}G2dZ( zX%{bN32Y)9d<@KL-RxdElMX$i)Z2Qe+317Ltdh3gIdeT9>THtAx@uUa8+lIG;cXlE zj%Pi?<4?82!^vtMCHb5F98_`w`RR5mH5Xlew|R~f(DY~TGMM3g`4;u1`t}RzqJ%fX zrsp;dy_;~h+KgZ6tf9%ixyN_&2uWv>5R0vMu6?}G$UoWGXEFUGUGYfQl|Itq=KKw7 zTy>CCnDDT&lwC~K&0UvrlsO3OTy0o$E*bozBkFwr_0P=B^ovyh`gDiN9j-$dvbaB6 zO2yf8gCSI@g$#PaS$5J@hs!XtfATnZVz9zCR{4=iU>N@5g5-!9hSyZtkuJOS%?i|7 zWND@E;i$p*1=&3sd`c3P<-iZ);ix**eunSA8e#>SN=NVWIaT;ZH%%W$`!w)uZ`&cW z`x?$BbrlA5kfwSwR&MDGMdae32}%mTc+J4ysx%U4Q#zg;LoI}*yjTj$cT7r#t$v-~ zYrJBrVe?KD`rYQW#&iHropJGu)17Xg5p?h-yr_m~mm;|x4) zsGFo7I`LK!;M!TXq{gp0u=pb3O}}wd@%iHR0zZ+=vaEiLA=`M8!GMIMo)VtcFA26U zpXqCxd=}kj3WB?L!Q+6&PAqE1Y#R{+ofjbhrw5`qApz?+lk}I>(pCL@W4>h62$m;w z)*pvbO3Gr{P!pYfv`v?L#=5O>?s`vZ8GK(yGh@cWQXq8v1B(NOT&Ib|g0ch3hkR`@}bv^tOLHX8OlS2z&aiOcka1?V-d6wOl`zU?hC|3Okoh8C3r>RSbkA5F((3-3uaBRP?IHPNI8T z^<&7TZv0K#GTw}EkBqwp@s*7FjY!R2GlR4Sj>NPQA{0>+toW^750G+{GRL{lExp&m z!zpBp!P7N+4e4RC=RCv2L)Tp#o5H436|+}`6X$Tjz<%@~;mk;diLtev@IElbZ;P4*~ zhz(eaWgcuzzD*=)*4lrpw~f61wF82Vwp&uEfNJpAz6?W|nROi82a8vIVo~`(nZy(} z*geCN_Xi;Zp{d$gujX(-(KUDmn!Rr#WiW}6m^fM^VPAz!a&a9N243Q>h3-<%Gm_+g z!LhPSf0>CT6nF<2Rb4?^FA`k}S-pk&#vr``FY!(U7|10NnEzwQPV+HQ9N@RcCqy<+2z7_ehkoNkv{yZO^sjswp3i-^%{~{R<#xP+0&iNDGy?1 zWuVmt`qm=YBp&uisZ0c7^n{o>^reL?2u%?wCc0v&+zL%MZoy5Aw-*<(I;p0Ib|O-` zy?v$(ag`@Sn>vYac+MO~TiT=$5)p-Rf6BT^8{^UbiiDoJ$broubp}7xv_(R-fPJww ziMe+*XnqnBd#(G#G%h{H!GQK$9O=3@caI+WXMX!!>|>2IiASggfvgYvtV^i#*0L~G zIM!(oWj=Kqj%ROc^Niq?cG?Z8>(+=x?R@IAp%w={qST}e+c`@Vt*7U{B4(-S=tl75 zUL=&Db3EDU!}hXRtX9W%TZIv(HDK|!++#+IQ)3EU>rgle9wP%2%?+nCt-kc9wjuPI zfAGtqG=pEV-aop74y!#24~t{Exyz?mnHW`LBM&RfsVDo}Y5+985NC^(E6R<3gmKLAS+)r5wf33iRY?^772(tKb!?P4|+|kGB8?xIz z#%nEpCa427ye;bMNfrF?qwB);vwS*MhdqaiSIZ?j*vgo%f%S!}QOrVtrF&6l1Ms(E zN2E(bebPxzAOh#Uo`Ms(bfJ$eqkFJhEVPsjfXvW>m`%I0dYU2Vt1k6j+qnX5~39BysjMKqJY(}54nXXHwwo4kzj zpy?-`)gduON$Vn1u@>7SM^xfSvV~$j6gcv?RoZig!dk79}udHk`!`= z`BUnB#0=9pcH+#3&a)*POj954OECmit`Xrpj_h#k_JCAj#Ca9t+br=x_ zH^xz6yyMzv>~C>RN^hcd`;1}tkL6WO1U_|xhjc_;#{AxB({S+2n>9k&v1H%2H*re! ze0k;Z+}{{{(2n=3;GQy=#mY}OHU(-;GB|5f4LZZl4c>!)Xc3Q*{0=e{I2CBbn|Gku z%CvN~w6e18K();xGz4ng4KOj09Tv#*B{%Jn@FDVnmljp2`O>WM+$g(OWjlovKq3gx!a}b@s+b8xOy-QU`}Z zX06<~mHeNwLyX;)h~_iPUPGO{GNnME{-`pA6S&7qjWCemx$f+Y$66bXh5CuFhc7AG zOKhA`i}QibfRGoln(}C{MWf|If(^HweIGrx_?0HQ&snS|rA*mSz6cV91?$&%-0enB zX6BBadq?o|$-;)KW9VX3cdx7`vDBEE$%gOSQJTNv} zsFKDf_xrOvjV<`3b}v0!QBmhEotY#}j59{i^g-YY*c-_cST%Zos4_}TRYO#YdQqSg z7exZqD$5|Kgcd|^6po;(qs!4B2yz_iFlw+LNnqJ8Vsa?>N&L8orws5IURa;FmWE{e zwGEoIx4jA#UdJ6ru7UJ2s+j{3Z?_a&Jl0JFqeNs7Rn%FGzZ2uV==yp- zi~?~4b!_DL`Q8DI(80P^KjugnTH+0NO#h9(7tbF0UQ8x+B9`982`VS!*onrUo{Y=g zmE>GSJ;JtO@y*VWuee>{=UZ-$L3C!3?E*&Nvq!0Clk&U*vNHg3zCtQjxLIn3#^#TS zS5{-ot_NDj=FVRsFmYK0&WS@mUv_&`v&&NX2z-cKG*@e8+eOD!EkWT_eqV$m-~1nMSO; zDrkswO=?j~9H|?*rCDP#3z<^TO~Q5K>v-8OpHEzqJ52={66ms+DHT)O(Xr!=b>KcL zJx*BZH+cIh%5N}1HYP24HWaSE=r%GO><6g{jhJAiW*c2#%?6H;dPB7ZPlqoFDwFk{ z4G;YlTW{2gA_P*9_^H;MoT>}lLLRs)=5iXn;obZc*yAJRbsK7IP#)}P7?)m) zH)kq+Z?xRK=~Hz|bdc>LGL@U8`gwX$?Vi3lD7g*X9OYO6%z8^=M zP|Pi_6l|5UmGAJqi;%)=pS`iUb>a?oGx4ej!Omy&$5tgj!Al5mg7*EoPLBwykt5Uy zit3G@ecfB1PNnynJNN0}DBsZjWP9V9V2YK*(Iq9sA?xv79 zm}ZDSXwljjyZxCj+)i!@t>I-K+*!~tTSh%Q#<=n zxIXcmi?z7cE5%N;s@}XHb^9~YZ9uUHw+MIWfmG1pxHpQ7uaQvl;~q!W4~nw1C3O#7 z;`KfFi9#Z}Hkm=rWPVMpAc3G4DruA}mzcT9RZaqvEwfmNMqxR9 zG7^PywlHa3-|2a%SR7G~OvoUgZ(014wjFXo|C2Rr?zG{i74G4X3uuuWRNlcg0Y)E~ z!8tM+$ZZ?BDp&2fF%Aw-UZ(O$I{0q8PcgPTyQD9WmNZj0hib4rOia zG#VWw=|R*1Wfz4nb3<^~X_!%3$q2U#oeRHl4Fj-y>Id;Mt7eH{DR*OE2Xw*Wive>ZNyNDn;~usT%RHznVv%q@?`0OhSMj8|2xOdR=J6Pm;=lf|^{7uguaEVf zF?K1m3{jP8qH4B5_*9phR7^2ag=;WC*7&*FpG+?(z9?cgPY!TH6DE>8Cu%vBMBPiY z8doS!d!ju%JA_hJy9xtmJiB`G9KF;rlf^-0Tx9Q#GD@y5%X~ipZy{Cm)fK~TCJa%8 zWYSGMXN*~er+)tEwt#HZ|M1idjMM)5B9a)wa{R zShJWl2xk-0J61bdn2O>lTakv1v7pBBS(P>Pnlx*87n!_WI35^=0`m%V0pjx}>1z7=P_@rJ5j{JSNq?4u&vJymK~8Wf8?RqNkeK{fR6LJt~VU;=qybdf+CJ<3H4#NH5bv z&FXHgl>lEzHWWED=|jZX+4l-atTMOs+gzVtjW90kI+b>FAHI=I^2H1zyMaz@JL<@O zxiUv7=g1$h4pu{Sk&d`ML=$2dZ81HY1b^aypd}fzlX5XGg5BrEx_2`I_(mE&ZO0aN z*RmrwC%v`*7PwVR`C0oAIIkpjaGyl~V~m#VRkqoO#{Ux=)ct;nYCeFE`#ruo45KBb z{au&5WCFjRtJZJSd9gg`fMbJtrcd%V>3}bQY&H!^_1)Hb|Bejij+NB!9mn)vb{rey z|FYvaSU4E}%Z}q@Wnub1+i|U+%8S3~?6JX#iA2{DkO48NVjZ0%qZkZ^*wzb_go+E> z)y`oM6i85k1cciXkPDPNi*~<1zfL))S6SEJ9q&D_JvV09+>Nn(wOO_H zDVGIEAP68KfOAawJG352^40mHA38{-6~r61#~hYKT*q!b#! zi0Z464`m28RHX1tp5auF_2CyP$fA*GOBHYb04StTA-MOZ6u>D+h{5Oe;Mb|`k9{!s z)+xO)c+lp?H`U+^Wa2IYoRbfbiptv}7%|b8xGB6SaBzTOL7?FPKp8c_1*F5?Z%SVu zG~{t{?SJ6oepwKP^lk*qXq# zf&GAAfzgnBCqIMfk1d0oKPG}OKLWtp<@4(}&>({r$kzHL{^Kt33Hw~U+RDP=d*e}W zN*o>`4vu=cDUyWEoVeFo19In+j-& zkDtoH4>>Ex?-n*)pP!OBAwzH|5XbL+FQ+^PM1NPnPj2g8>*O!~yPxQL@58U3UD4Cf z`g?26BYe)62&qknkC~`IMtM5d$^Qubz_889sJ3WOE3w!@FKJ6;`wc5@#E4 z=vfy5Az$7cz9WVd-q&>vI%jzE}h$w(QTU&j4AsA8y35-490h(IcrAPKt zz%Orm5UfL>zNIVhI^j0NAFQS_27kTpv@>>33b4J_cWg+0kUOK)9nh~<5Rjqt!5>gj zfUnqJ0hpk-{og^uU4HsWbXFz zDO3>^AuSfoZmMafmh#LzEE~ED!mVphm{m~t3tO`qjvk0zI3_hSyD;xwBdrO7mN#;0esv-FLt$Z$zA5lm0 zEM;hC`nvYN^IFenFpp;C7mfg#8kWP^fGrF&!wYKy%Z`D9N^TjHr-c4H-k#?jDkk2S zd$f)Tesep&A;x1(RLHoJ@CV(p%zIh-Dehg+d%v=bX{M3-y!8#T&pORiWDtZUks7&a`5?3 zQi(HNEEJcX<8LQuZrnW4@T%7luVN?h0rR3k-6HnhOb9K{hyLdrXRAopyua~LIQDy` zIr@k0(CjL?a@=x!E|*Zl!VkmpYe~z5(?h5>8j9fjx)T;N7>T9I9X|co_w)rDM`&^eQq{*&0Y7&NopEcEezER@IE0>YJBj5lP*+ylHwZe0$bHW%gDmdoh9iK^GG7W-fut8M zmU0pq7Xp>LD1T=I(D%dxx(;yHo3L9!{OL!kAv({qL%L$v;8ylM!J%=ZRp;f`E4XZ} z?RJmaNQ^M_76$=q(s!Do#SK0fA1V6t(dn}s@zurFhf06%ZtVKOk)Ok$G{E19fO=V+wxWSh>;S??GrR!a7wq8J_@&krMBDGd7*)a4_jl;J$(>= zzIf0@sHvAS{hyQ@G|cKz?UFLwjjs*3tLXpkQ3mAmV5$xhg(g>7CPem&XrC2XIavr-~^@2{pQ z2>7fyiwEs=>irYhyf0F7!N92P(@jq%sq02b!mRKN6f3!rY7^C8ZG4qIL=V%bDU9x2 zBwqOLG+C)T(MfQk77%oG?CUXh-r2J2jZ+p#}rC z?5=DS=V$9VB$w`1b{y!;T{BL^YV&zKXcg~vO6OEp4n20KYl_iq0~`JanO7BAT0paV z_K2T6OC6*^FTw|j%xAdqfx6BtUUXi(!S*jXq7&q?bBcs0vS_N+E&m$-C ziEcz%4qkctYQ8SkIH!=@h;pkT+G0TT1Df!?e@m&TzFfAhP8ZoE|3Ipwm9XkU%+AKd zrf^f{fHr^FDLPDbW3}zMnP@h~tmIa(E-+Fgf{ z0sv!S!}9x{3mT9|+WbYP)QR)HrdF`rpR`x?yf2CXe-Bk%!s23bfbGV=wH#NgIk&=# z>vtWMC@D&^O?rjN4z5M2y@7O;<7mKgGoiGOtQK#$_PgJnHMLcYO#kITnD-WKWh_o0 zFhCVBugt6}nOIr-yJfO5`koTHAv)`l{ZJ$@9Zj~gYnuggx*v4Jl+aP!shal~NdJQe zP_U{+kNJeZH}0=H26Bju8$B80I_t&h#HX{;HqR97o9zW}w#txdXy%ojm((&P>KdH+ zLA2t3VQ|%C2<@6s-@<#V{P4C(v0#}3dxSM@Q#TbJCJ)j_9ouL5JJI)wf}A$ zkc00J76@yZ7|r4v3*m)X`RNHBi$hcTb(d`^vPGnLZy$ed(~#Uxu12bKk=Ew`dH3sOwwOSAp$;vOp`nYE?;i zUnxx6QpBil*0$PkWY+TyAZF|~!<9G33J5A|Tn>D%y;_C*=$T5WG`2Ej|GKQ2?B9(s`e zAJw>s4S(9-lje1;E>m2^9ejzdI@RMYbwPCXFwq*Fa--%l{dmp2n_AKtP|4pNoK(mo zVIvOJ5!com_vb_4jssF=`l+Cobz@A~bz*^bFwk6He+8;DTTD4aKaP?A_6k>y+3jq6 zyN@J~$d%Q#LAie?^nru<^vYy~D$!-AiSG7rJ}tiD=E^}8ePldRGL#TxH3oZ93E=Uk zn4KaEGY=jeo=}ru`y0iI#8~#w{ zlHtACA7(BS+**NqE2qo@5hFCdBe_vUHFSAhlzUI3 zqle0Mh!*-FrT%a@j@DahL`>+HTr-aYv)$P;WbZgXb579J_vGDGwo4NXK?axYYe4t; zvDLA~VZW(5JZ4PW9s$2gtp?G>*5#5VYgK?^@)nl2+1gE&V(;>P+jl$XgNv$nj?%Yu ztnY|USi{Gts+w%XjKlA=Ydku34P)G5V6u7cIWzOS*U8bn;$fZ^g`7ZLgK$y;VlLmy zRGG*R-=(`M#ynolnbA{*4k}9984Q;ZXxg<@mVU?II`#RIe?uHau{-kD;Yw}Ei{#r4 zRNpW0k!o{_wlu>~P;*5)R!wd}!hxd5(%Tg_=FV?T*$6k2~7HWrfkx#s^hDX&73xA|J5T%VNM$0aPnhv zskX7j3Cb9PTFhMIIDPq~fd!?k)Ly(4kNXFgIJ#G#sM+Qw%8bLQ9jh-eQFNLy`#8qv zWvPaIOeq-Jr1d-}RR*CF-d&pDa9dgxDx*-XsdJ9}1DBEi2D+HpWiCIZ=F9c7!+||s zR}`F)`v?82lJAk7Jro%cGpIe8ke=^(kH!u?g0eA*UmUK*XYImbVGH>;(HZXdJr=eA zzfF{W>Whl66Ez*Bo`n>9B5Uicw?N=7L`5c$gTSu$=K~;QGTo?MgVu!pmzckX&s_Dy z+Q(|(GgYK1MN}v=lmEo7Qc7sq$@hs=VYR;_{7YKF(m~q3ACC8P1I|k zf;d{Y9cdJnMJ#|&a> zLA4+=KH6_XEj$t@0@>?Ynu1-~ZDD^4VA`8Lu#-&x{0GH@ZCQ@Pv`G;}5 z1QX|rADas9qZO{7kJZ6vjc!2*dgMMx}@t8tt@GeE}hQI;b4n zU+q3CimFI1wMskrdhOvbOb-6pXhQgW|GC>aFRx>Ss=HMkX&#b3Q8& z9dz(3(hnDQiypkb-?a9xdCl&Lc+jpKbm%Je6cj|tAsUcma zOlGWA1+;5*Uflu4lrc;f>R(Sot9Eo$wfdnAQUB_A51#f>#R_y0styCg8v0g{=ip>% zs)@fb;#E@8vHD}8&ttad`J~cMCcAJwcM{^)DE-`^vq+AJB)B*a@X}U6OedAFYH3Ge z7z=@0va7yyU4Kl6MJeMxiWc&cM}w4%Y+ZE{qoVWjvb3E@Lz=~WlEg(k`ywyStD;Bv zMD0eC$tZRro2NSK&?M;KjuRtR?kUZxgq$v0OPsgI;e?HRWN&Cw5O9(4Ua5KgDp@+a zUcu~4U;g?Ps*XODUb=+7Q_Ge%&a^PWse^d8|J@O+e?AdiQl5Z6QEEYeJHd zneL)h)?!FRESd_%BhSs%tOtq;GyZ%%<#P1wG$VpBfhO4r{vAy!tBY}d=^*EM_F6Z0 z%&TSRE(>>=4hw4Uw8_}1b97IO#&JpNgQ)mCzkf6d0A)wOjBMxfbFO@%ST&ydad%$A zP*RuI)~hbXJlJjNU8<|>YIXv+J8^K(XQb)Gh4rMv7z!{F9iOAK0`IqHV`u^p{k^t193s%5;cgbtB~(m#c#z$)`ZtYRK)WMjnuhL}J2t%-M?=a9EI z;dVIdFU#Ud>g#4yIkl3;HNa(h&`du>7=p{+I6eGe@yT%GlAMV;WFD%Zt3v-PtUCP@Q&pwS8UhvtEy z2k6r4wF7(P={>B;Nt>$Jv-MgSeF=hsY(V+t0wNK~^d)%U^d0zXP*# zy(bqb>f_*rhWo{-@|0$3WLA0-hG)F)X;T18JVJHc_(3r2tJ_yKySs~HM=5j%_#EWu zWZPFrh%oM^>f4r&iU&x353A}*C3~iy8c~W>HPSl zg<^&ksx?&${1DHMvDRsLwHV}&v}VAzqeXxl$)Vn{xKglLN9FXf&$qlwz1DbI&QlvX zYRnGCrW%(}pWJ9h93tEd9LX^9;F&mie(M6+5^9TKpZ3|L@a?q#B9~Ofk{ss-b$>A#^-S%tA*HFfb<~w3a2jXa$vb%R)8xtH zwzk!YFb*YZq4Z+#_@<^SXqMT2sXt+%=l%yMjfH}<_V2k#KW!zm9{8&S=)PtuH<$U! z=chsCHSO%XEyXGI&E91tEaodYY*)b|QNo~47t0lzXG_!67vOz&hG%CyDf!w_>4F6M z?Kz(s9bIPe`SmcC-Ws(3&LkeE_P!ORqs`~E+=G2xI=fqYAHtJVk^M>P@R?GH%n{&G zPft5lU+YW%5c+BYw>_+OqW|KU)cgw;Y7f)S!TSU;pihuNT zqdsLyQhaM?KpMrzJC0;-hXDJL#>nt3bSPy-uB8kEGO zR1vUq!?62~Zm%hlTSB~Lkq=$#UL99&^=GDXL{4*=f2!P6L(F(c5OZl4i(TIOgR3-< zC`E589CYMv^ssx5aN_QZ9BXQ;b+l$B2!s_|?#;)okpe$N*xV{Z& zdzy)g+{$Tw+|@eZNILh8M_6Ifo+dy58;kgpSNLb zHob-l0t})%(d0ZToVjhEy0JT>Wr=vmKpTuD<~3Zl@xzgF!^;?|9+FYZ)mwO>xh{@j zA|;kI9>pelDdSuOtxqpNRTzu^rTz#X4VhDH-)CZAo>Q{OQ{POmv6365;sg6E@G@c=2WuDjxVk&NeE6pymG)T}7UMQ_w z{>p`4dxH|WPZSkWyW+SR;zWTB^5qaeE=NWb1Gy=5N4W+`Z_@u`VP*1<-*Yi~1aG?_ z{yvQ~dyUDzTll#vaKH_na0l2*i)&B}pC`YNlL8N0ArdCyy3oZGz~}ns-@n-rEw>BHidSbf2ealu<9g^8q;lw*q25u8GqMMWjDoz~i|Mk<7ht$W zB(Sp)&8D5No<=eAUUI0+P2!qe)MCC~jt}YjSAWSc%xSUYR6u$ABQ z{?VV)W_GEt@X@ipneX%%BjN%Nce_0Z2v#hll*~8m7{BS1?{C$!UgTkobBUfFQ|Mksq* zA9_YQgE4}yB<2biWq@pmt)gidFA9Nohs8-7@=%s{@ll|g3 z$}2qJk7bnHAIpgwGI1H_@snzgfEC4y%*uj#Awt*&i_Ht#ms#$;&?c*raXYN&8e-wB z32U;lVz0v>3Ss`hrno4Lu*wjOmK2xJGJgMx&4v*&9)5z53aqhN-Ma~SiCr%<+ZzGg zv|fA>!`>1#9x3Lq@3+MP>aK3(Qyf=Si62@o zi3v67xNCVFX_$8$qe=Gc+Ns2uE zN3lYK<{DP;!Ke1Pl>9n)CIjNdSMX@Y@IEq56IX0fJ{frg6P}IbqiRm-7`P1|o-u z(GgYmo1Yq;cYVy0dH6O#rR93%eCDCDh}EpVZMDCWJRQncv#^N@pi z#i2$@h4qjn&cAruL>&lj6A3n{5DRKNk?JvHp{r$LL7zmbKM|#N9)=%gI+aFnT0Fg+ zb+*44A`5Xv@APyQ8Hm-KTLwE(?Zs}I8HD`bcdl1!XRYieY1mfbq)h_bf{Z*r*vlCo zvTn1yPWjtvansiDibpaSY7?XoJ-Xv^>B9OAm2N>77qi9iz5${=jOw@#`ETw6VedxY|G5>zaRSngwM zk0I&@8A6hymg~**jq#(SOYt=j=}Iqy%0bka#=k4j3EWvMijmF`-xin@fUMGiNkHrV zjn;wdhwDs(XwL49*#p%PAIG1Ixqe)tT*lse5G20f9tskeKGjA|eR6ZCrEbs)ngvSA zB~={Wr-DYZS<+6K`k%{A$eNw$K7-fl%&6;MVg~*7%@$Gp1i(0c>d;-D$Y5p~_S%_P;qfr-II34oStxaK zDAyaLPqIfYYsB76NP~Gax$(#gP}4k&rNPe7;0v^jancy4)yN9ISFvbeG26S}_Nx>* zrc7g}Pn3-3rBp@4Y0E{1gE4M)ge*8DUlBeW4`~MQ5aVo@PrK<pGZyyQ=l4 zeY?dv?m^C;5#jFc4akPl!9vBEMA^uDQpeUaS<;B+6%{w(Y9Ow!#6R#u@Np>r5#D0? zukaQN3)BC^wU`LlSeV)VXZ62X3hXS5?EmNRmNPiZmep!4!hAv0pV0YB7_qn=FS~iL zAO%x~Lh)N#PsoB+1X2jea5Rw^T_T7;0zm}cgG`dstXJ;a?teX@rq#bZowvJmW41t#Lj4I40 zLaw4kVZG>E`$G}biUqCQ(0Ud)s1jq!& z;24~P3Vu(a65>ttOVD6_6kB^Q3?NX*`!V+Z9)JwPfT0(f06Op(2XT!n_~3kfdTzh~ z(Eh)YuWC=VBKAYK`eog`3){evcVWa?0I~M$7y@dd(g4?CC%^)TaJ@MBwiF~}co)DS zor2d4aJ}4NK*~qYfbuYXy?gmr-FtZE$pBC{FVF>hwJhmcs$v`zMBCd2{|}EqaK8vN zittx|N^mcjJ?7f|1^-Oe6@m0c1pXyB!VwORzd$&6dk7jM;GW(vb)~;tFe<|T=$v3^ z00bm1CM+%tfO!I7e)i6SztS7|d%%7h!N1fP1VMowNDqJ`1_Ue!?g+#D5eA~5J}>~< z%NrIH_`ePRmI%RMfCJnf4X}eb!4ZW2$&OLO9RJ9e;d{aT0QW%{?tuZIU%!7&Rv3CY zAQ5i<|8f64UqNFnQ*#wV-am-{u_-7Z{Q!adLLvZuAqWTn27^HWG0Y+8-)a82fWN{2 z*6Kmwe>DgCPeyfwBNBkg@*4!^_WlOq^A|6;{@NLCz`slAATeZy0l5CdeM=AoWRLlP z|GzijcgX*Z_`kCJzqtS34XJp$x&1bC{kH!fW~e*d&Hpb4441vpn7z#;x zKfA0AbAWrh|F=~g4aMw-Ji-aXaelCf07&GI9*$Ch`@tOa;Ang2KTP_27ML1ta0E;b ziGu%naxhUK(0|!5YiI9@c~(%EiTyD_QJ5V>{~qoy8HU-ifA^t`utz%lT1O#>C;;l^ z1@$My5CS7X0D)l4-a5eieiI%bD1bnsF(Cj9<{*G0(u?rdn86?jKoI^*_eaD~KoIed z2oVPedSE7pboj0MS7m!|FE31MzX^kB>u>q@s{n)f!R!g&&m!%mB3&9I+s_*08GQLS z-bv%`=bV`d@xLpx#z*%lPui@e89vTm(5zN@-wRf;cInhn@H{J6o;wO$Z@Sy;FLJ_v zS7poTu3gIP^~sIt^uSX_)>+aQSjDDU3e1v~UVT`4ObKLN1hNiJeTM9$4>%j&%%}Tu zP*tgjX+*W_baXXT^cFm#ve_swv%ZPMGFf zPqy-QXkd}Nm#I4;Ov5L9;~QHvnp|0GfKf>Vuij*_gyctIrO%gKiaqRh*OkLa?*b_L(Aiim=#s6F1hO(1q=k zlXE-V>KPgxm>!dyW*eS~eStxwxRSHDMD>@;1Gs0wiq|5*Es3?67KPyK>WnR=1a&+7 zpU1ash(!4w-jiBPK=O_29II>RyQJ9s@G(JRZLklJI~P|D=)-RSUgkl}q?h}vpSq=k za_@263%;Yvf(US8@+<~3j1$rWI`1F<;IXg(b+I*v6b)Uv|Xk0C7F zhj3cTEP4&e{BOd(Y-Gz!7v&_Vn9R-1ozEof56VKn7fd{VG*iufO`NAo##;Z?*TlCG zr19wIV@rISg$CvJRfd*5$HT~eQay5lZQzhT+Ow07Hq>28&CQ{7w4e2UBA{4<)i;6RcxeaP^vr;lg?jO&O|G$u!9J;ZSO|H<6F;Dynv;MZsJjBAjz8s7qh0 z17!ec8-5_d1nqs9aLHUBdry4s2{^OJd_TMyzFZcR*6cB?E+* z0=xD4WoH*%&xfv(o|9>M_ZqWfFWjjLX@khwj442Kc=mCp1C7(#lk*}d_}{)*!d5Mb zt^s#zF~mWPyHr5imy?k)JK6>`w{Ogmj)S=!JPS^teA08_cMY;KCwmks%|qVX6gOrS zwZ5D_151^dJQ*~-bHQU}_C)rQSoRd36-(3NMQlVgmW!OeIA;WGxedK$*7iJX>xJ|p zHOc(>t1p?Zn`D6}gD8Fr?80JqPtPc?UUdrhrD;zrw4emEFj|Ky;g*xV#Xj}4N{nM2 zlhOmXsvWHq^Yd;6ms6+Oi>QXY${^eNZ{eTv8=To_ayQv>la18+_$fH0-#FQ*m<33> zub+;bUUmYL??0xKYz-#7XIZ6uvVJ^OWA_#hmlW^I`|4F+x~1la{zt`E?BkDE0v)S^ z!?=9ujf1rNBSnAUQ!3nj1j1e@+5OVD+`v(S9M*1704ZbnV$nSHQ+sGb%PuI}I{PNU z9&&Tg?@;3cXJS-++~`UwI75nq-0~uoi$MEUz@n=mSL}`R7x5aU6JTp&mvy@>ScIrh zI1_KZr0k0$;`#w$j_Y;)Z_2OD4h8SGUzPfF=LNLNX&!VWIs$jvu0rs>FY=;PQlv(L zKvkOhh4q%Sor@(FWcds%BZ|RWBJ8K3A3k1_F|MePU0q8V+~RuHjU~?C$O~ zgFjD6zZO8c+i-AvfbjvhZD^mH>Z;lN`QUov$zgM$Kv1_}AiY&t%B&l0T?+xtL?t;Z zHJiSV^5m4sYsQs+^Fq1d-5%`EL5#fY66aG_#^j(wy8e&L*V^_3Z`OL-B42~8uj+5l zkxx6+Fq+do;EYH=kEoIU@!g!fkXo5cw@g}|pY3L23g_TbVmFztC3V$#hl0A|&Gqn* zh6lch;;T)@c~f0QM`Z?b&*TIY3WKU{mM4mqM1+M44V4;2>Q?prWC*8gJs`H)Of%7W zE5FX6K0P9206w~$CrVmalB?>%8&)W!W1ah|m}V26PvcayYmTq(a~3y&rC_=PlA*g0(0`Hg5&TygPI;MVmsp zJA(#yxLCGnHK}+S7VDWGUgf;VK))HX{){(IadD&?1QkGK;8ccRmb-;@pz>C$gh|HR zTZ~gY6-mA>#M6|+PG`dlnRHo+$b7AhZg%rvYzyt-t8RcKad|;=%8QZPZT+9SumDkG z-%ei_CsGw`wX^84bd0$rfkA;P-RK=ovz@Yn)V9x>*uBcyICJ8Ys~hO!+2L5OA6SL@ z!gpOQs)z`3VwdxFibWa=$Kf^-)MLyX{-v>~AvgKyp=@EE*fnf9KUY3E><4~b>x+U# zk?$v_hO*|kb!VmJqk8Db&Ayw=m?YkmjEZ)%a_JFqGjOH2AoUCLOerX{+`b}nVd$GrdeLrN+CJth(>ZM7f)2YV%{O=Q*IjEazDIlq#zZ}*AiTVRK3^T#-(0b6 zn!h?_zMw=sY^ih!|!Rf0HDh9p^tBm>#-egp|~c2%_2_G-UtuLzDl zEX8)3KCyqCqrX+vynSC_HAPZ@mF{U|TT{6oA!EF&MaTDNEA#B^Sd=y@9vYQ;_o{JT z4!G5j#w>=deBoT^r*lXp=lyuUDXQ|SIzm$F2&->cR`*o^WRvQYOn00crAFI-TYubx zdgInl>(ky=#5=7f7Oe7O2ioocM(GUUyFCx*?ZqO#b$n(gAyhQHA9wJ+20^Fvh2SvZ zQb=r`L+B}MfRV%lmuFhTEuTH8tu71}o{iBKt7DZBr159jKXTJtG-q&1j_egiO`(} zByktoXF|(EqO|}n?2@l=_+lJntFVy#b2+%`h{3!5;;iPLh*{Qa{Ey>jXRQoMt3jGS7JtKrg-?tx4Lx#;v_fRl1^%t zCkq?qhD}$|2pydA_3*^awJadmmrX#lS=}#>9B0JtZs>^Qu+rfCMC6lu?K_;;`qaB$ zqwVo$d5mmaLxet}cN!L84eSp>T+|}VSp8FB??HU2kO|XD;!}a)qjTet#}~=@G15tv zBo&$>TYZD-hg3IAEYpljaf5?v%;IUT55!rzPlS_t%eqc$OA{m%z1e$$bwb|^v~cNf zu?DbQIIfg(z>en@GyE#!HhL?X<#B^rngF?QHshXK zsxLKImI}0Sx=xceZ0>%}WW8tEUX}d# z8pCjLW9*%WeyLUwKB+v?88Bl-Pr1HCj_ps10+*Z!)3ONqLlYTGnoAHAh{aGq z9NQUBw-;pP_aofLz_FDZT}oDp(t5X5cDba8dYoiqDi;Fm-FjXu2G`B%=o~Vue7}-` z<_N?KwS!NVw6&QdOu9X9z6c*FT46DZpi91w+#S7H>iffH55QU@x3WG#X*EGwq#;ri zvoq;ssQhg7HZN8k-*XC3)~LkOiYz75pDK;4(J_kGqjK=Y&HK~Y1adgL!Qx>V^e293 zpOk`Xfp|(s<0dv@B5S|iK=*B|Cfn$T@fUZ2TVWO-Huy#luJkW|8Z;KwjxDs@>?e*3 zjU}xEJZ2ALjx-#w-vzC@)1ac-@tc~4U{Q)MKQnAb7%4=a4g_kcRU}hs@A9$ zKE5yBkICX(P3u{m@rT#db_bctVAxPu*PsSmS#lkl~U6T#R@{Gx=R*Ce0 zy;9sCZeJ7cj^^L99sdxaE`3DCV^VvMYgoMG49I)NyWuakEsKyHXIGLBMU|`8F6T%I z7u;F>3Bj@EaT&;0`*Lm^55~hnF!u@OkTv*XH`yAt~)qL9h2$VP9 zv@ck5XTI_Xzd4yab(H_Diks~syQz@0>y$j?8@uH0I`w28?R@F@v>A@XF*(0gav+Dz`6ig& zPAn*ZBf17CV&zCrHAoNPYH*W&a{JaOVV4pIzZGS}jiM>3XQ{eJK9qD7=vv(Ab z(Nd*pIe>_)hwKyr&>JFxZQcC$0}efG9I~6%4BxH`ZG3cvzSet)z2C`uF>)EZD5zk4-pz%RoR}#`f?xy2Pm+SHwX1YmIzT+Me#Z~ao}7jjXXyy zvHp-CAWiW-pf*3@axLv)qJNd5^6<|CjgKYs<9;=h^|>0T(>#{S!>u1&<4l>;IWlVt zPAIF>cj8R8Qh5}&rfaE9u@PlGd!;R;W6355?PsVKCXdkZi$1p7dsjkHqXufSGy;HPkEn`5U^lN0Sr1M2 z#S%5S&t^2KQKhi9l%X(Ae2LoGU;idnxjTl)$~VfbHEs^n3n>$J9~hz z{_Jl=<~UGX_s^kk?qR2lIUbs>0eh(_lef|RE*t@FQR*vZa&+jtu)dEkR$4Mb=))R# zF823cV{^*bdi4mJF*D_oJvZUn#X%vfaiUZsdko64q7J(7XJDMoJ!=<5WqbuWo}v$7 zl0?)Hx0TDE6MB2Edqzk&GR5M~1@F}5(>3Ovd?i#)_v10oqrf}euVS0u=;>Rk=vqyh zDyb;iEjZc>sgB+UXF#q8X!9*)?o>>jI5z+{!4oPYNtOk+^0lsbZw+N#UZ|~Q%}eqc z-d1XrwBl7OH|m4XQ1v7@-buAINtU!6a;iNB4eZxFSp#IKPd1{12;Vd#mEO3L9-^*g zc&7)cnR}Jyuf!KGARmJ=-BuHK!wvc5_Dd`Ux=+lCmX`*0B)7+;C}MM4Mv0b#fCK^A zQVz2Bwzj>@6iD+*`t`hXiG+Gr=p8QZ_B|=uSgY$TEirnI-(!ipYG2=YRP~OfvMig8 z+>5eRkH0Q}yEOP+%)L~+pM*GBE3fvrpq7FVNh8ud+clxJ5(|`LNB8APJR~3ZdUG?j zI4y&PW*wL3vBPsBwK(OyAPJG+YFFU2>VsyRG?k{YP7f+uvOHrU?>nVTGf8xvoX7bM zP&d?5cVcpx$El&}uDPiSFfDg!!t5JeN&6$x#N_oyb=^Y;8l*S4a4*W11=53Y(5gb8 zj(%*)dbGfO65rM!{1=3N4(=wXO@BTjL^sRF#(77HTPWMrGVFJ8@Rm;Sc8kCJU{e11 zsj=&LXv5c`@BRB@3AsW8lKMpLbD=BKxu$_mT6ZaKB=&DEYY~hW)C74ee#kn51hHx! z?)KS{i%Cs&Ylc-=`|s}UKATMV@|PFW2UL?E6_cQ6GM9x^!p-qYg*+o)}qF z`^HwtA=VrkTd93|z2k3(k3DZCX2!AVc!pY2oAt}*{)W=e7CGP91 zRR1e_F*w(A*X7v+n}j$PDx(74cHUlkK`M6f0h?m|?NLwFs~o74S|mYlfm8KBiV<^A z`&-m#HBzxnxT|6a>w$r>kP{c)50TMX)vzFgL=}S}Cy;Zf#Cj8%uTTz8W^Z zx@?e5Z@9ygXZ>TSj%(`=vNUTr723^731PSrWzj*y+X`iFWOH4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V7O#^#gR>|MCV3$4O%wJ8Ep(w(abw zv28VK+{Q_hG`1VtY;4)zG1(*2C*nVHX*oLEtXPRP{G7$|OM>rBT) z&&UlBkyB=31TZqP&@(bJ!;+J$S~^<;{}scMs{Hak?TK(c8=TtQ6pDNQ-B;jK-$h0=mbkHVrTE+XlZWY z{GR4NKLL~`Q~)L}E)Lqi-2p;2Ku1dxBU^x+k+TKR<~^f{ku^ZY&cqVv?D0P#D0wZM zo$a|97~I_4=#6Zg=03mc?vMMeJ;BfVR#~uz%Gj zYUv0xd8gfj;h)KVvbA%w_4*f>S=ySK{ndo2i#>yyt)+ttP)hV)lXns9Z<#sJ8NkNK z$jHIP0suMyfbJ$141a}J^{@y2tz`O3{N90&m%W`m!0f#VppT^)@clnnFDD~cAi&wt z1?c1Tr{aGREE5yJ)Y8NmU<@?3w1xd0{ap++`v<=d-_gtd&PTqg|aQ$~JDE~bfD!~6{khgo^Wgvj^_v-61vN4*x z|6%(7d;ovD{QukgzoPuVt^WTlNZiHR`foMm-}?U_wULdbwa32(@2l+M{C*a4cJIew z`+rR}fd8Db9MII##peHNrJRl4&qK)8-1@&XS~`hax&uuWEuBp){@J1bOyNDewWTdk z(ay>8ug3;J_dc-yqkBI%lTYstiqre7{#F6s@9BS2irJdjnf`Tn%xvrcBS%Lg57_t7 zzaut)7t{NNngZSbUTpvay{(<|y9?mGJs*IXog?gD6J=)uFbMr6`UkNC7=(W#P5^`G zZ^Q*)5c@CUU<5FT|3*vz28rK@8NeX<8?gWwq<$k-0E6^z^qx=lH{t*=$o)p|`4s+( zIN$Rr{zmT^lz*f5n5w_gdq--&(R)md-{?K2)_)P#yUyr0dhgcwH+r`;`7dI7*O}N^ zzYpm@5?0o~BsMm`{r{RCgXtgeofF_6@V#WS-|$^&_6Ph+Y56-H%U{s-w>R5gdOH`# zKf=A6nEwIa%dz-f+&cvp9`+VM+dnMcWtM-ycjm4BfbUd%`UAfAYyAg&C&T8C+TR=f zBl2Ip*!|A^Zu|ZX{6qa-yZvwVyRZHGcgWTnXy*J|%Ji@FpV#8Q;`bu$-`@zk-?Xy6 z_ib96WE1WI}@H@tLosE`${1^ zH@clMzF*`!8sDhsycQf=T^YOTL%(I&;j!jOR+JDzj4u4jGO%HUanz>d53rFX8=UX{{XKUFVN zYmQ~hRU+O#j-(siuL~Fu4hrevx;5S3ye%ma*2g%oQ-3%wa|;(9jM-4-2+sN3rtF{9 z;iQlvAruMr<8EuF`-3s*iK?(Gu}#AlBn?%*$F0$hAJ1;I#Zsc=6!{Bsy)p;9VcrXR z^P>6PIFrfO}R_tDB1Rx;H5_3Y+F{4&n9LP&rQP0GJ{}M>13v&TyN$3 zQ4vR(g=hxCnCzoO!hme?&QPEaRDVgnP)1W^5z8SCrsJ+cp+H%Goe)BlMyjBPT|G&3tXgO_j>$xt+eC_ZQIMk3CZ_{Hr)C7jH= z;w`{ViFa&o>?<7Hq@iy;Zw4U~W05yZ${TO~>Y&B>YIg8i*?~VyU0r2m zn!q5Ap}-YK@)0JS!ALfwPEy+yr@JCAE7J_Y6`%9?y(GvY5&{oihcql^pF0n1aW zng|G_Wh!Q^q;pyIrCa@{qDGk@KmKX+LbSY3&A(hTmTetxe!tEqU# z=-V}k#ARF~D7loAevr%3Vk{l`;_Ah|hMhR8d*2NJbIfuWOeJB#;kyG*%ut=ulA&Lg z!9EZHYz7?SZa3K!89mdI>{B_!}zqC||4p$P3}R$%<4{|W zYh9-U`)C?CIv9E1j|+n%Gcxy)ncO_lxWY5+PI?3bYX`&i+cKu@krBBluy_VD=KhVHp}mUG!hfugOL@t*4^TPW2dx7LK+ z7P4$pd0mmlw7juJh1=P2!F&y7ccOhx2Vz;%sq!s6yCdIiCUu6W0bxGDs_VxSV#fGR ztsFbg2eOlgiMxJ(@}9Ex$w3UajnxfiJ-g!WH9)z+i-45HFp|rDj((Pjr-O;bgicAU5`$DcmY`y`C_-)FOmlmmK z3;D;|S+8vcQ~=^PCU99-lNlQfNXxn(9UlisE%QEcp7Gs{Wp%sf{3gxcdWmxl+dkuHM zQIh_g*Mzhchl(eGi3Iru63pqPJZ~3|(%GYmMY(ODaSmoI^y(+$Z6^n3fHwQz$EvCJ~IF0@G0rLk5WW1yN!>iw7ZT%9aU|oZQ@mM6dSC ziVnC<>Dy1w@hEXWs1K;t#SbMUUDEvJK!|yD;V&A(_&$5;RIw1tDg#TFIJ7xu0jmXP z%BYx)nE9>E;?e!!#jT1N^!u%{5R?Ay_Ygm@4Rppd)x%M+`me5)vMUf&72j@LgR&oB zfkU+M_OFY$L5_YF36G5lE|?dw!BK`Z)?TBiBh!9JNsl zgUYN?^gitpCPM1s?fz)giZ60B{l@nGp*&tI#UmdA%9L0z_tn*T=5ty`!+#+npq4Ap zD`c))(zbANmdp|c9e0O@m}l|n@~sP!h_64&h~KN`7(acBYf}%1v8J>(FYnA)G@dGp zULkJWFP=%B8P?r+c`#yCV#2B%@dcD{Z91suHx`WK1LB?ZKb?GcGL1>IMf8m8gn=&0 z-OJ;i#h1A%!NEl)C2RTmF+phF9B;$cn%x65O!~tY zafP}|UYQR&M~b6)3Nb=L*h8+N34W_n*55zw)!l3D%+*pXjDct@PV5AI?D)C z!ANDW{9w5-SgbH$lS$fc|}1KwU}oL+}D zS7n~r*e#L91mIkhcPbCW#kRl~9jRV{>m^AcCiLAd;i2KM*UfWt$3fNFlz`P=VG}DK zUEWW?K*i58SZ+#|sJq)ZKk%m{6oR*IK$|>eGF;|#p}%sWIo(RB*CL83?M>5Kif zz~1$;6Cr~jG%n?KzPFIxQJCv98HR16mPnZh(_@cwv8f>GT_GpP#3%W%=}4JM(ClA4 zxgCeo3DyDPkCaew^HK6w5kEkA);ID^UrW25PC(F5y>+mM978E#Og!ICv9)J&5+%rwtT?unWRiuY=5PZ5mfyPS6M`l(x)FNw7xLvYo zW{oqnYPXe;zGDS0C)iG!+%eLuc>jXM7&6Vx$-BpF3fUB?fqtt2>xB(<>aOrE>_mYm+9 zQ%J?p^RewY+hWW%1HKC9K#O8}$*8MdwfllX)6s8wErr3>z%~h7S~dq04u&#W5Vm?R zdw3!hwyaK`z)MEFZf)sY|5KXrYM1SyFXoA%Y(=gf%#u-_S`KJauh(-ps_W*N?Ar3r z-S4ak*G%8Y{Lmb2gx*e_lF+;Qt}bo0*TAV$n)!yOU}cNwv4;nt1qL(H8NznPNJP3qoOj7_I~l;yQ=hd^yavPOgVZt_j5 z<=lUyAM8o4r!gDr_w*=q4I1f^ZfcSqqJXMfjR+;p%z!enV21 z>%T=8d1Ndn~y*cG|kvoPGEuu5OPWdM1Xo7@O zZ({&Akun*V9y|KS@JAbuaw^L@oCw#!1FNoEjlxLT9%W&D0%c(%>-Hn}8iVXPtqksJ zHo1Zdh_&6*CG@ihXtbE-GNd{@zrrU5tGtl)-h~`upH;)e(<9N38uNyz~$ zrl>#hlPX2ic_uPXCU2|*p6&d$OMtVrtO!$rW)FaE6!$BvL)=`C!&H8Nz;@(>CzFT@ zO$UCiB2%|7A#e~(g?SW?r9?VeZgD6$$(r)wR~;D);ZNY8(hh@-y*!%?2dKsiFv6Rv zdue{vC_*y1Y+bwQR|Ts}w3<(QZ_i%$bs-eG8eo!mI9V&{_z>iQcp6j+W?E~f@K+X& z4EerEzF0-$G2v4hpYXznlpdbH3KY{Ffb{CuPZSHp5gnyaS&L#Vx}88@6~|W(BQ}7X zqLxI_%mfc17eq9^9z@Y3mKF$RWQZV}fBeeYBqc8FeR>=}Tt;_L!=R|7RoHjrEhh{| zj6nD0WY}H$F8;-d*@}vs@lRjN7p;4EJ|u#x66|?kS8I=hUdw8^LabNtRLC-WH&UHVB zOb#?2mwTQ4yuo1R!?Ko~b~OB>e~Uz-C>f<}kHSpOX%c#xNK-@Y#pn69uAxz@r!HC_ z&VPE2+-kI+ShDRbQbFePBN05dB z(j~*W30o~Mt0hAgZ)-4Df^x&36jIjNFMjJ)#5=XmIXAx)yM~Uv62C)VPx1a6qoaGJJdfBy(y#_8g*Oy+o*ymFP~0&C`D;?PaQ*6HC({Ia4qlktdm z-*JqnMq38j=A2EnYsoEnncb!k(z8TaZU9sWI3N&LZ+mr^fWqW2b=hCY&_`YQ=>BdQQFOmD}{f*4LvHwsLEECX!FQ|wZ}6NHb(;HcX+Lv zS&^EuUYmnD{rUrH2BzpS(NTL5<8 z^@AF^+zW!?dP9^R6Jg9Qhws;>_USLOGg9*+C9^#hlEbqu?=&I`Nn8V zjp&6~VJ)Ctu__QzV7p9>UfOV7p0+%LV07e5Spcyw$-C%_)5Tfhd)Z{Ju2G@13fVp$6%Q%Aq2&0VJIcTPCS0`02Pzp0&E z`NH4j$Hz}_@E=q4oGV%cZ;RbQXL^lbw^pXk(V#lHuVFe8SKIECz5(2@; zsRf~ZX?U8F-SGCNW*F2(RKA;J`J5dxcGqeZTvaWU&!kJ(<+5MjSjP%Z_XN^F#wl)( z@46rS#Ia1(C1hu5Y4$LkFiIs}^Vanl8jBoiyCDW|K`o+skxCyPvsrl zfT*cyS^IMHg8Wugyc!mU+j1@8{BLvL2j6@*fa@pfqS7n^Nz~#5alzC6)nW9(U5l7$TM{B9#&DVk={mlO^&-XX!X-2L7QO zg}2jm0!Q`LfG!+&y~-x27JjPDv#icKJ%8(r2XHpKHe{W>oC$FrWLo);g%oI(MG?AH z@=Bdjmy*+?*Aqk?0;=36g7e~MSbOqsaL_86ohyu0yropl7V8?bPywy1W=-Ov4HjRP z=;v!x!Kn0AASdYvLu}oAUTERMwdEVdFeXGmuPg50wBVwZA%S(CUDLPcEG<*Iu=VrK zC$Fzs8*GMOu*7{0(%d_vgTU1?y(1|!wLF__9S(=B+dl z2DV%LxC79>^mOtL3G~VBk)5ekL5s-e_BSh@v1uvj5@I>~GJCE9$K1n*6E~|z=X?f?9MCdbXEXRc2C`5>r14Omxy#3R$S`Q02w-*xfP9kl^w;% z(Q=_;35uW|%%0^(teT0b5wSDo`3Xryj!vu!!V|4+jX}C<@j+BExwtvK)B)kon^|P2 zAbJEDgj)wA$CZA${iKWiUZy|n-6n$xKrA0E4~gtxxEvEk)x2yy zhke*p^se|64Yp1&13uTO0$P+*?UnN7m30at?iB3uUNjWgi|I}KHp*}XiTCEd?hEPT zmSgS7z?CnHH1Lo+FOSTIG&4Z%s?aoQbRy_9qU8V(j^ICyNeYu1|6+tC@|Adi6XS^~ zCLBnCkpDU*-h$C_y=`iD|6tK8I`080(jdvAWV^WoJL11hJA!DTgDLV6;X{3I7Kmk* z_v`Bw@SxU-k4*wsq^H!0r-{b&0|8Umz>U^-NG((zh96UqlKA@5CJh5N7Ks610cSjF z;I4>$_}UU>8?D)UW2Fim2M?c3p4F}PVafqR<<44gd{c<(6iYo!BZSxbjzZIqSBaAf zl8$-YkKzfS4~lpT$&D+hj|5vrp#ejM8740j0XlufY{lQjB+r6*gzHm!Nj>abD>*A% z5^fDHtEo@wG$;=o%#~ogKtZZFlfFevOcC#4ExNfX<9wZxMpj7-O2U~OTFu8id(4=zKV^zEg6d9&Bg?vbDBL+^tS*fuUvwdEgBNo~GVSt1#igBphl_e8e!P{QWHV%4Uaxy=cM$a5$yq>nmV zl2gU<9&J4N8lX3Nr|!HY=PT1k#)$7Eib<)Spcyl3fuCV2V*&#Sb+0c-`$%aFsSZ;KUJxk)VYlY4V3MgO5{ z>*G^=pZoy*0OYfXp>jt=K|ZGB)jk5NjDgPrMu|iCTgz5im96%ug3VO=tEQ|nL|t0N zu|X|tZ*lMj?ggDA{_VnC(}~M`>p48%X?@s&|K-YXS2YTnT{o^%>#Be>I_T8K`e#I3 zTh1us!gjd@w3w&2GMs`5kf_T7co3ux0w!pRv>P9B)(2b4&Ig4KT92B8!=kL}VS)lG z`U5u|bJzUs!pBO5Xr#v6?yZJT-8Puo_KU&3Z}C@oix5A!a#EFy`1!5G2OFv&X<=34 z&=8sEC+E^ba98u`O;=6PXge>p5$bOmKdVHKP|e#tnCQ*-y(l6nhN|ijVz5)GxUV%f zoe*28Xy79>WIg?Y8;I?_7684(+yu#b)wq?h!|ML%B?to54`H%v)Yx}}psig^+=+I- zjb7k_6IUD#QvZb5@m51<9zaa6QWXd3bj;6-3}=u{-_1%gShkA9jUvL+CX2+OpaV^X zG7=z*qb73^R<8GT;X{~nmo}Uy zB>mK@I3`PNlo1>2{nQ5pw4h$Nn%(Z4%LcG>e&R9R7qqoxun@FO8TtqRd+xGU+qpLA z4%|@L7K6Q?Y&G(YGBcZxHbv4!`H>*Ca1l3NQmB&UYoGh0olL2|X$FPIZPg?8;$VbT zr!7XN>2U5yVv+|>or=L6`#!@N!R1xlWu`7G9A}_Z4ij#ZKT=vE#Q)k+fev;_sifCN zwf`)^e>qDcWQcOsonz2FT(ygiZ5%%>H)3V^Ad3SlW)*(Jh32W+*B|y{Knj=wM$(g# zi}RBAQS6a_blbymFd1}@+@1hdY^rTD;k<)qQ zLTsN5@V1evBSMxj70jt-;8{;oy=Sx#&Z|}f*B#TVx~itlGxMtL5VZZvknxYcJF&fk z`ZrPtDc-djbuF$kmF1V#3%u;5>X>KDC0q0jZg+5#N?^uUtn=pn z>g0Se0AL$x%yOm?1)=?QkybE9NOqGdecCv4tB9sF9P09mP~4t@)jj&Tj2_y zw5SY{E1NxzhlW(?b3g;*P?p_Rz-C}A9Z8!l;unJ#DeTM6XoMV2-l=v27=m4HnK=lm z`%K~4A|hKU4-C0)B0itkmQ1vT+A^N(hXl)ud;M>5Bhg{yt(8i7hj}cH*3{K6LD}{A zVpr``H*BbYpca2KF>E1~tPnUzDV zuz#h`Nby6sM0VhOI3CzBiC;KkSh37i9z_>QRJ_#S|xUZe$jIo`3Nwh`@`gRSOtE!kmZ!Ookz^APnO@^EXXTY znplri3O^w3n6QJuAa?4hR=Vhz8&l?*kmr_r=Kv4-nuK599LvR->!KVnzCL!}mkRTuPBlZ>51kT6WtUdOg= z+qP}nwr$(?%saMi+qP{p-y%t6@h`IMMX$Q5tNY&joTDFGN%P#5ffVBs_!4#mrD+?k zN&FaCclazNeB0hucs)gNoaJayVa}6E{pmKFF3l$9W;E#;Ni(k&CE*oNK;pnz+GKbV zqCYL^tMUsxz;VZgF?f+rikm4<3}uGHO~k1@}mFP)s`jqH38dOT|zmF>k*kVT4tK$h=5PW4x*om zq^?@6)p4)@LWgRE1j>VV;XgDm^=r$6H(}|X7^>ctphGB<;T^U4F?zA#RWUr(6wZ^; zCY&N%LF?RyHkmpEJ2j4vM)iwm8e5|o?|WGV<&#-CuPkA8hZwS3KM>CgGbDv#)=ht4 z{6v>mdP!SBj!<62u_mNea`8KZEFL!LGGp>HYuy0I%>XwRP|}`wkW(4?kD`ZsLyqSyyp|7PP}rbQ>g4}O`6z~^=uIO*Q>kfRh3%eHHc5p4 znaa}6O_rdmRCva%1{CRyp$<>5SF;E$>L)u0HUD-0MFH|H@`&IV1Hs3$YNRe$_y&@a zNzqTBY1PgO6sw3NMI>97dN44#q$k=#iDibsX-aHR^LBgRKRFwk>HQ_A+@^LM94EAX z*4xjl8A@Hmm%#!5G*Oe0e9y6?ssGwu+)PW&C*1pby9b-5EjKp5yH`;JRjI*#3rIe{ z9zY$McAMKUc|oPhwhCFDA}*HY-r^K3=7CZv%J{yI>P32QL{!xOJQR)>VVSp2AD>Cu zQ*I|heDmf%2(htndVy9ZU{Mt zO3g2a_30j%>)xp`fXfx`JtvqlJ>tX^nRlsLxm&FYS8z%+t*N^FgSi>B5ZZq`@~3Kw zeS9{TK-PhxZRB9dRP8$<(eIadMFL0o@(hafSAI;9>)gN^k#F6GPH&8(575sfK4`}? zk&P!;Gg|7z(e9x#O|O*fA`z?NJ=(SrTZ*UDo1w!k$DoG>E2_|AZ!DNExYc z4+_b#_F+tGXgE6o5s&FAjehPDPu^M%bBv*o(a_N>WxhFhUcXAa82!?-ZZk7}RpDp< zyi`iUhWFQHDST)RC?Rvpi2o}*B?mh^KwAT!UObDka6RQgEZW3HY5dHVjI0{76@MT? zky6Mb1~G762xro#5;kRoXtj0im@9lmziwrF%fs8n2R=)a_%yvhkZ;7{+InC2nkoho;^~^cE2Gy-Y8s zSc3=!#G5(O{x~-M$xJa89a_xFU^DPHmiy*A?)5~NtBtOCaZ*a z&hOK>r1I_S;OIedmgarC%g;x6-o=%?t%GP)q<99z>s_LF8UD>ukB`At6Syl>*cRpO zvv2G|ee_RnM9MzH%*sm3qAfFV^EcL77|1Z_Y&JLUUC@sVUg779nG4Un8f^psf{j}e zr9GKa6~CR=P>#XR8y7o60yns9CeX8fcZ?Z#h-)_7Zk})_-LYfp;Si=UL2-SC0_iWX zN#6*-0*OFlmli-i3vvW$mL^0-ljj5HVJmWDoyhLT6gvvctl|@@tjAV-`~kiT5tR^# zzzQ1ue;Qk(N>}Nr9nl6G&Dc#<|o@+EIFph1oiYC{jrmj)@+88Vy_Y zG*i!LGN<|xy6>kmU^3e3_Ly3UCQW+@ZzV<;AfLkKzUt3gVd9|_zcdI*C9unv%aF{T zYIK=jw~;D`^&sds`#r^Zkn=&t<30!nx#VrE-X#5{82dl5H~?nnfEql zhwbygm&}|j@XlNQvq2f4r|*u;4==9nESn+%R@ZD_I5>H@@YK*&*t1S1Nb3`ft;KQP zMZ-x`og#xGuGKcz)2@aXy3+Ko3KjA{xj66Y;hw#0TfJ|>53G!X^wnNaFHsU{@9=Z+0+ji;n{#m6t_=oD|2NvkhUMEI%7hje2XEpJq0okQK&;=`JE%?m^ z^UK9eYd-bYSmtA8Wg$_zG-<`}>#1R+ixc|fD0!*_b58~8nD!+|+4zYYs$YmwC+1!0&xW8cXGaUOK3wa-kAHw&~2^!`F}bl7TsUTAeNaIzHOfr69^G`J^N&g|ZlVWLO}XR(~RE)NnipYaNX zAAgwcb5S~^dqbs#OC3ZohN@-SphO>*olerRi`=%kcn3vQp$^Qitg zh$s;nB{ci;rS4Nopyu{)Kc4>;jI0U&Ulx9#gqn}gTu#3vtpQE?QGd83rmAwTf%hl{ zcYfZ=6gnQX%c726?F=Il&b)G!L_WV57V5hcGD+b?&OWr4WEbb!rLU4KPZ7vj$Kx=u z$e2sEW|lBc`7m-f@93aF6=r`B0F?iY31%a{>qYi1FUtdi?QsHUP*_vm2@|KpA0j+M z7SVWBoEXC#QkugjN#_pG&rY9Z1&%%Bs>j}K2ZsuCZI9^HSXdGKB-aRpu-tIk2x9lV+EWImr}!sN#y? zFw3cKMt{yK-G?w1GpAstkQb1x*10uYD26^FamS1zlpwEQrd2m*uOhNXpG75gGdzlF zuM^D87Je6kqg#4Og}%7JX?5-)k}=Eg>88);SoB8tHo7lq0w9juk+(z7z50lF7&^M; z-8%U2XJ}TOE$xn*k^B~7GcV@U^5X@MeV43He zUGLRQRFG?a*6ZSs7egL+aEyv+um?6A0@qm;+mMm7OCTTp4udgnY2-t$E4)bCug`E? zO$}D39oMQ_8LnRVpKo9Ljb3FQRV{x~I)~}nG)Mb9Rc08AX4E({+U;Xwrq?j$?^vR% zf`biUNM^X7^qfURXuR8Jk^zRZQn>5D%!|fszRLbPa~RDX)9&IeYk9tqnm$mJbTDJ3q|^F8lz@tn zG(sp6Pka@s+-22SPFQbq7~gFt>Uj(g5Z&b-?E(f0#5O616#|Wd?#j%DuM#zEOssV@ zCE9bpydaWqqkO8>x_a2b30>m{PmmWhSG5E2Y$ZfoBI$sPI3(>coAMRZr0CP9GEAjQ zc0L=v1@1B;`^;;d#Yu2SPlLuYiT~-Q^5nfEd0sTWT3AF;QQFe;{KLIYU;26*6w$op zsy&wRIkQ{2k3I(Vd?;R1iN~f}u5fbuE#U=ao7AfV!zo}rk&KCaKLZ#<5u+g1-=6lp z3TJRWJT*ckv;BJDFm&k%hwQoG0>TFrZKZE8%KdI_$AnPL19_OS?4Rg{jqu7335pYE zk?ICe8N<94A#v5fB0*^nU$qzlx(1Y8>}e_^vLiNHIJ{7k(^P;O7-P3cF{D+uKEwI^ zO%!i|$>IT9x)XvzmDB(Dfx#7H6t*San{@ryTbANmM>_QmM!0UvL~N zan=vI_Kp8f`lmk##nqcVr8oms)L-SS7cop{mpm3Tx@va_qIA>jGf6uC(^Kfv{&bo_ zoLOP{!D`so8Lf3o2}lQOG6u%HTpU*fJd_kpcZsTo7ML>N1t@+T@@FA}VBgT`KTr8O zFbKcb_gL)UTYGjtx^5tv_MVA4XF2(8)NV^cBK1XPrGaBx=gG%OdR)IPE#=`cDGSu5 zj!72#0=M(T3tW394$^>w&h|`Nr8JZ;s$}5|+!X?^|M1Gh(dEUeHciHEpsr(K-TF}_ zQz54tS;;p-WWkViAK?b-rbA*nGWYY0g`evJm+kl%8=%gC_NNuJ#-5Z~=kzz6Ur@~{ zOG;+>>`1syMa_(QdK#XIN;{x^QXX3CYsJ3-odDu9k9NuoaxrH;BB5hyIqkKuNiTAy z()nF;eYx9&FKIisxqcO}J_Rbl?e%kGqnU)FuzOF=a-sCiV zGlHlg(#^$4IIW%!;*aWhq0@V!A%Z=NU4Ko2F@Kd91z9BUje)Y}dqZh7jH8tos*D=D z&Q%1(5V1$Eg-=qIhwu-azL^u%_eDtkL{ci%b2J*2a2!mb)fd@K)l;og0eM%8_YJdl zx|!m|HhD-P{L`=@#W}(?H@wj3*oS`8d2e}jLz!vo;yU&DhEY)ZWT-tVJ;RtVQ?%~1 z&hu^~w1s>mkRhfWby^eC$Y|a)ZrT>skHgi-^6k`CPJWW=dhP%LW}rkEe=siY>&wGIRKbd_(MfOo0v10JKt~weW zI50NVhf&(1AH)j2K-~ZwX&13YgD)1ct5c5#2fxKitQZ@X(^{}NQhDl9^Zv_a+IoeP zH^ymNPu>yBBQvPmp`bw5DE=|+9c_@#noTstsS38c56+u0lA+EU?G2PH^VUR+Vi@1* zpf!0O8FK>%f#sA^fu$jr3Li=V<_biTV78jM-i6UI2L|ugyrpP%SJ$bCtu9Ar5Z+~T zI@21T>T{&?Zp-pp+%m>4FE#kB&~NNWD*s#v8KxCz8&f~9ZRA-B;gD@m93arbp#`~( z-C0@AXbsgGP!z}Lk%^ACJ(f~Z5S^E3;V`O6aGAk??q91WYEGzUl?PAeb5@^#o`c{y z)ND`w0|_V%UOPxTnThz=kwDf6dBwuWN93z2D6h3;DcW;Wz<6d_{7)G=Kl-bscxJ_A z&?;Rx`|K;7*Fc+c-6Vaw-OBo*(+Z5#;hyTO2b+2oPvbV!=Y&TDmrhvD^Fp;r7X zUiJl4T(7~lr8P+ALJ~x&LSJojM@_I>j%0)!p&192;DC3~^eFR8jAx?2yZBNpDK_~y z$9SJnbDUc7E-`yY9HN)rRwPn~qDd43$dYrTMgbL3^wc<$8b@k~{XxOcAPNE0qT*u! ziYhUdABOU6X{6J(cL}b?(UGx5vo(-($w0sToW66#_7wIJdOKpE=@uA*eHF|YUx19Q={cIw2(NjD7 zAYX1zTeugQMo+NhCBG2VS57i*oknt)pb{O6~GJE1oF!(7$vLkiSrT&OSrZO&dK*8uURKaW;^nA(>d|<_t9$jcprjWrp;+E$ON>m88g@(}%7aDn zWQ4uN;GIq|+RN%U{+GR(ou_;gM%6tup1;eEkTNWJA8nN{GuYh^Wp~cRM&jY*^9}KT z<+hCHO$w@g%dG|zIE^NpmcSpBJTEQw|EO500z?o5(O^nSRVvUNvoGG$#%niirV1`B znM^}9Jrx*=C*uoLjMbToIt?x$$@uaD^@6};ia5eUvd{J%NS?!AY3}W=|9Mj&1uZnN zU-GEx+rJTN3S+ybk{bmm}Y4F_6x}_nxr| zk z{IUi-zKefJ!y3XdfD`Bp+!<&nVqxZ6-}W$H5!v3NyLG%@t=fxSTXqU|X zqh``W&wTT%sb5c4X0p zi=XLGpu%0q1HXjDDAuF$>e85d3m`xORTkNY9ol}&IdMU+h-UN^SPv^lS)-b+{fQQf zfX!03dj&^R`PP&*k@YlWUKPOYxAo`KCGL{?@F}q})k5rYLz?ANBP8g1=g2#8RqYSM z$mS77V^2r63OX$STA`GO6`a#SIYm|Ee{4}$wT-n}z0fqI7)$W}Mu0Hk92R?VUgqC}# z3xX%0fv)4x(vN?&Z0G%?J~BSE%SJJ$pWdyJq;93Z2_?i?LS$f3ph`4~UWw?+6zo=T z7?9$~E}T0WVeA|S;tQjJzigp8P(Ci{oXL$)#`vHS0oy97QpmWdJ|;hl=MhxA>7mR* z*YF_fbnP*7FRvZdf^PDO=3|!ApigkwC%_~%uoLtKE-|#2ts0y%hR><*DU&y{xJe4A8xUiJ-(TQ_k}4tZ{TI=du=nfMTeZa0;w&GY>@jQOni$T4{r zPPF>mqEPN>z*THRNEGt6D$TJkODA`tmlgnvDsi0A-qR`-1w@}(mO6BZ0hsoG$F5E{s*!yE`rHWsHhKQv_gL)Hgv39nmS z?oWV@`}NHnWlo%+UqtaN#A(AR(EsL*mDOk6U%CR!ghvoY-QIF0YL7R&SB~?l2w3&7 z{JO`_hT~b{=4|bsB66iGqzm-vK1u&wS%D>+$>vlH3S!%imeSS z$0+Cy6U!ZD*j|b1U2~V4dyDd6)w3V)lgjeVt)$0?ltKcL>UpkTU}s|?#TC~+QYPg= zaR;@;OvxR7ljwR?oDuHE>h;3o=qQP1#6w&ab2G=^)52YaVf>_Qp{}??#%#QTglZi1 zPwh-r_Z)^OHCB9uU{(_8^~yJOMz|cQwl&VdoBz8p7@CiZH`)N7-sZ7$jIWMqKzi5% zgktSuoHSn$PeM>}R-={j=}lWPv(5Zcc!>?cdIzi|IS%~U6p=~hg2kIJR~d+w335q1 zbuetI`Avyow~b3n7YEd~w=>HL*RkIjq-TomDW?qU{Hu3_&L5aF7%8}GAjIz34tf(Z z#$m`c>r?~Od-7#+mfNJ3ORO+4R_Sv0ozXGV4i40p?4}umxN5q=ncC#9)ScUi z^r1omxo=U`E3&EiJs4=wn19MZCzxe?v5mxUoR{~rjqV-s~D&4&IvEX7bZn5W!{wY}Rgb*vM z6b{&~LOF+p*rWhRH{mX+ASN{huDlRSbcIUx-zSr2o6Zv5+OAzfSXB4I*tY-W4YH-q z1MaCuJBIoiKtr9RtHp%UlycHcF&kZ57o-?{atzw>){TapYah`t6uzTZlp`*lK|UgK zx(JZS(n}VDIn%}C`RtVgoSVY~a4$c{Lif!v3$^F2^rWBkym`hC=rR2aeu9w;G4v-s zs?iOW9=X-$3!#skX-nVn`+mnZ-Rb9uHzb^dGOjj-A|_xXhhzJPfs)8v(>N4J-@?Bc z`{~?|!W+I*Rj?=gQjz|{Yi@%TvmQ{qd{>tsvRnO*>zG^u%q=P+f`t{_saL8dFy&L7 zY)T#pqpaDC8T#s%n2+=Z@d}vAp*;Q9?P!RhO8E3i(FNE_mBAoGszO z+hscgkBSZaw!~qp?K=Kc*_k9`E(yV=^X>p7qs!Cajq6g)$zv#={44ueAe)6a;^NgO zUJ})2Pk{sG1l2Sphl&pf%&W>En^Mk@07989({ReSGh>;Uo$&wd&aF}XY{N>Mj4gv` z^mnh~2M*A9g%fVRoUru*kTay1MB&ttaJ}B|{z7vsvr~62a2Cv%6LE3($|0sG{PO)4 zqeGW6>r8z5QyA_rmZ^LrYNfp$av&<3uz-Hdz*`E3P1AP6I=d|bMUi}LmgNpX{mokr0f-wT*U+X`g%Rw zUAu>(W9vbM2{cSOOH&yUkVT)gk-A6@B_q3qkLI8u$HaBhOf)+cT`w5Ks-des z7vP?vyH+s1iFwKb)YKi^9Ubb!v&ROxQ`}kz_2ge>NGL`^m+V_RsD&UXaWA~0!XgvSt(snKrj_}BV-$Yi9 zBJ;@zeHw!S!*4$@n`X-v*7)3a3WdpoUKmkFx@8b!i!z5a-7s zUWj3a1uWDNJKYJuo8HVvz(*m~O_lm|Cju%BNKh#eo$Xcc5K+fntDB|2UDZc$_wlD| zOJh{A!pR|*WIS(Q!x*fg_va>oL2t({HRjksb1lSdyG-zdsmtB4ilQ9OMgSgOO-xsU zO-W}0$%9Fd?mi%?h}JfGRENKS3R?PD0)*_Chob#cfIF64C<(Ccg+nOcL{J3{p+5ZVNY%m$XR1>P%XhDR09#qwoqn#rU zbDnbbsHD0PcAb_uDL^)fNENt`i$RaHFy=lO;vcQ^W7Yvo*dhG_x}rD)$a$=gp!UF5`&nDwi4%C&zmBMM}OJb&(K{>)mi8kL@ z3)hahy<6Lqu?SUxxl}yx6~$9)J9Zl!D{-gD6I8x}2P3)5W4fbO1}|iA80O!7O*V=x z0f^nawcJBBs|!+!@^z>qwF+5)pjK9Cp_NsO>mbEG0ZmP0lMMy`SBjec2O%& zZ*$@}K%aV`04g7Fb4y(x*JBK8M_=n{!-*RD>B2ryxE>llo4aKAiKvk~mMgx850Z zEZIMl12{UyOwVf3yu|yB2&F`xviF=F51`eXbcpgqDgtaqZkwcOgupVl*HgrScrP6I z%OtG7ZK5%c9&>oYY31py^Y!tC?scI2mDFwarseB<7x9OX-XZr}-kT6p7+**nV#$DY4LhVDhSK3sMKmQ*&DfLUK};P4Cn` zamvmam-qL=ykI+-!Vrr|RJZpb{%hC}eJ7-a$y;poi~1wO&;L|lX$9PO!dM{0;3nkV zr*y>P$o=bX+$El1fHnm4KB9JYo@bl<;ypqWy!?<88|6N(1MRGd3{5VGmOv!Z5z5rT zx~ty7S7!iQ4JEr*kviv`%(@YmZW`}TAE!m4MD|zgK!^Q+0Msnep^QmuehmoPKL}y8 zVci<({ib8?G|NJ={JhIK+hTQ*yfI{LFu5feY^8-OC2LJYO*QJ{qy*Rj`F2!g2-^a2 z1b?qT9ysD@3U_5>;mktk`3D8KEci`3f(6}i5g(N!( zjhpHzDBkq}$6;MipyNs@%c=7qS&*ug$|byeH=2hZf2hy%1LpRl!sAV%avq3Qym~Q# zKn6C?P3>{@evqRTi_;u}=9#}7#MQQNg2Lojv2nVR>!NwAKp&$`sm0fTmsSW~J6ZsL zl;^%hs7Q}!TdI~tk$fxfJ*`Y)Bj~QK(a`(vaRbx*WOo120@0pFpx4Bi^0-pG)bui^O#F3*m8-%1i?Pj}PUzU2z+ z3BsF-V>8?K`^o(%0IaHYx>H)PxW*vlNx+(FHDlRhFS?zzp^jq8vP><}U5OyJg5a0h zcfl0H3tbs&$UTtm$ORfGfFC{oIbT3{O#Fyarjg_PW6p8dnatO-&g@QaI^6kVP{_BB zE)iJY(eXA)wSNis{8%ZWl~5UV0sSTW$N4iUa($5AVdb3fN=bfo*8CxNEkhDl5=Dld zkAJ03%f}X96{Z@PX+AbvI&hwZM7? zo+A=*uvPlrG};OFU9H)+K#*?1MXoWJ_y$5-MFohQaMqz*oQCk2(K6z#L;g*cGXjc= zQ`o34>KLz2~kSuP}m0tawX6A#2{mPGS4Sn`~J0Spj~h41FOD ze~ET}iEW%2vp}CK|HXRxnQ{Y4mAiZg-5cT17O}BhiTxtxTeWJu!@^-<)`1>4Ph>}f zq>ddyPm+q@Vn|_hyYJL& z7>8>PeOCCzsgQUJs7%*}lnnPP`#~%P^#qoE1o_v3DbVytFUo*P#UM_fSyFNY2T6x& zllzVSJnaH`P~X7wnWSPum&Dn@w*z{h;Lf}ak@spB8WyoH$C}^eX>m1ZgA0e)ZN3n6 zn!0gvW?oA@zE;czhf0YAhYP!lRrD8}k&OFpotqpCj5XQmuOFl_gSBKkGw&S&#*GE1 z9KUZke`r>&ig$R-@a}3jhw_GEf=%Kn@!#Lh(U@+nak4bfuD>*YZ0KxMTG|R7Nu_M& zcRo+ALVWS2pKkUc@}Z8-4Li1RL97$0(c|XBp|}BD5BLuDI-sHI;tV0%Uv0LPWZ9Zm=K zMJQ8dZ)$ZW9eWDGX7E$CO@x-%8x zw2=V*vYEHC%lZ)%nll@*5z{N{i`~wK;F$fjhY;BWaqvvJ?Jg24umvuc!=;Jx+JVR? z+0gnAsTK&WNFp5Gm5VXWqOEnRI|xW% zNJ|jo3QIyv&+qK)-~z+IFuSlzLYCSZo_Si| zo$btZtuZWGSu{y+_Sf_~Ib?8ilY>h@5Y$wTOuz#KwsQ&)(8-92;YM(ye3xM+E5cu2 zf&~tR{Gt-vK()H~*H374@())G8Um2QQv@Vq_fL=yPtbz^0k#Ve4DWnB}WQ;P!qCH~swtVbE>@-~a{v*zhX@CduuuMX;8}5Lj9KPXhB? zU(`a1QUKc)7O>;{s~n^`yt}ib2?6o^_;^_F;&c?ywHet^577j`t*goE@Z&g+9&Z}>-^R_r9e^GJzwVKXZ+3rAZdU&k91PHJ*1NQD ze(>43=@|rgTbH*V(C^Rf8{N>!3CMV({Kc8MfTT)6%^I!u%=I>Qfa-av$?|OS1z>Q81K_DR4v z0^;31weRC^C2pZ78vU1#_adz@2{OUmp~z(9~dv?`rwxV zwemiEBjCrrobNqeQVn!VfG6j7t?JzFg8?^*f%|;VHl%$*uzP?F3&Gv`i=X6qjgluN zT*$xxwjKL;O&8eU3?%S(?2W;GH4gqQa%)KQ$1M06hO?FXD}G4hzng;2}NqNpL{mzK?(%z~YVmy`JV+|GqG5i9Z1u z1mL%7c?bdU;4erApx~*G03P7{lm1;{<3>LL9>C=f+==)2^Ed48qNpXj>T2lbQTq&@ z_80oEce3BWzMl2;8)jRJWBWkZo-#V{=wRa_mlU;0^JFAaab4fTqss)S02eEes_t2lxGNL-FHBD-@JJe)t~jIOQm$^WL0of zz)VCc)JKeg<#$>B@=KdENwcr$t-&J}Cs?P$T`IG*Yazo~s(IQ>dm#TCM=XUKf8si? zZj|Q*OuQM^uLlB7K$!nI)jG9SH2$aZZ(CuvOSySNG8rlF88d$f3v%xKyZG;R1&ZJG|{x$Itw$P`B1bzWItgkc(2-M>yvO zHCFP0{Smt3?p~qKqU5pdi0+@epDbEa1gki|oh>1;2Vu++3Y8NkJ8@ZYryp~rxvaJx*vGTb95(4SIoRuue<1T z>(xcr@AE6B{P>%*+MVlHTUoNs@A@zzItEc+a?H`cVrV5q=G~Gu+RX&U8I+JFb`msV4Ki)6iJNYIvzS%e~ZdKI31qSeWmewd%>IIPdCRMR+~Z^ zgExi3-SjmTln$JG&{x}2E%)X4lkVNsJ>sPJ1UXObq>k4ss1s91?5A#Qim006{t$)iEH{P1qsXO!a`k(hD@|}>iGe^xZCR$$je!U-#vDGTAA4lwe%Wq zeDJ~93G4Qqr?QFagnWf_YfpCTWA}aCH;w-)5WjxS<25!$?@mD+VXu8Xq=TzFFrn{n zmR>2+K5*BUd&r5gXVH{hc#5#lH&gj7t$HC1xEK|dX$3qka3qvMaC11GN}Jwm-HR}H zoo>51qzXH64>MhWsWq>2cUR(Lnk`)kYeh}-qo}nBG{;`2s>IQ^T=GAI+BBTKhn|@QCWDy}xhno?utFa^ z8V8d{b1fNyPh`iXTYNX=m##bS{#^_FYL?k$(~T&_R&&$YQd9RU2G^9sKWgjUCFgtf z{qbCIi{TckyogTUJ5vhLGMRgQrIE7u`)4 zm{Ua1Bo)Rd8;V7dbG{C18vybC+0^1HjKK4xp3D;ATw zN9SecEE};{=>BoYO1Qd`Zf+lB86%8)3eKj@e48#HS|r7T1YX#8w7WV=`yvg54{p&u zk8{yYcXMLC)2fby=<(TF$G+RkU?4neAgd-iPb9MN)j8|+bT=D*9s)iIJtgy-a~tkq zs<4s`gDqWAu+lCwU^OZpyRu-%9n$t5>0TkQDmyD>lh!3djrWXzfS4Mam73KXjryIo z=9+u7V8TFL3CfqzMB)2v&Cx7$XO-167!ZI!d8%nU%%AHtc6u+B2pdJ40oVxgKkLBU zJGVO1$iO+AG*6tTpEFikqTTVBrQktuZcKRp#*|P=`xlZbO6>XHPg@Q}Yl^G5C&a;N z(f@(YvO`J-Koy_)Lz!Kc_tLI<;@@Y(@dX*f> zEU?oORvDUAPu*!1iQ=Tteg2ITnDptvHZNSt^$UzJz-vq;89R`Yr0h%p0t%OJR=03? zvXG2N((Pal#7y(csh!_WDxfMhxx#VdOqU<@+P)qaZI_}e|Du3taIJ7PhbbjECIvX= zWu1S?<%qQ%2i|2;{<4^}DJ;3>@B8*ZrKWYa>GGkj6XlLX0ObWW*7xu|#@#oZZK)?+ z{xxvX&_-FqPCaNX?VB3-^1ktm&`oAf&nEF`BJe+}iwb$^u_!<)T>9dc*sZC$o75$> z-afiRL$!<2P5Di_cv-UPQwo}5;sbC~;bh!rvEnRpz5rDF^=@#kmG@vi-v#N1-@vZr zjOWV_8Hv8DBrLlY;B7=vrpn$9x>PeDf?rRSV<~79gYMyczD!|El@7B-l`BxXYd`11 z5#HJ-J6ZQw={y8eCX0Ig2kh9+sZ7K5?2kv$=rfJ2nQPjiC(gnuysSE9$aUwL%U(y9`sQ9V)`q3=ae8Shjz`0d znjzwWa&uoCQoC)tw?xP5mvoKJbK`!Y{UeO?@pLDB)WH)%Dxh=qLFFr_H+tqYcU8Q{ui%SiQdAyaZY=CCGdQ{AWb0Trq_PkgCf#i1w zv3gH>4jxSzvC9upb|v8q0vG#4l8;OBH25(!a-`i9+77*47EY}rDsw_ICkcyNo+B*R zR=*%;ltBD(%q6odL84eXy@(d^AJX%1B#**#S78hFGObdw&?Bo0w-7-#FNWri?Rjw? z=N1cxUfq`Cw20|sU*mylB}5&D0l5bV&JsdRoo=T4478B_;`Oq=h^m!$pf>j? zboy7K>2DveQs3OG*!6kc^#dS4eOa^5Q%yvq-aQ41^AAnw(u*am#x_Z>gFsVaWZW>e zXcH?aN!S65ymiVvqv_(4PLUW+kU5HEuC~q?Z$3Dv;mK~Jy`T%H$u;vT1Kg3ASM({` z-HJ&2H(#?xv@#~~TK2rx;oipAMMS(;R}8j@%JB7uN#v#La9+!IxM*9k=pdFhyi$&| zt5qos){yyvXGDRd`>c*E7f2}yZC(|di zUrR}QO}6mes|oAwCb2KMj@{MFjd-9z&=myGO z+0f;!Sl(D7OouVzoFl+$`iU#glRxrVg(bv>Sj|66tnt67$W-#c*vo6POevJ=BjVAU)lSLsLOqA>7B~#d13XWsfvqP$Nk#0 zg_TQu0%jztb|ta*XXi`MTpxV;R~Wdv=ER%NN`EO8a7lrpX-U6}MdHL$s%K%T46 z7F*!RYIWCIs?y#&LNw8++T_OOL`c+G4Q{?M|EbaV;l=}MQ~wlUU|+=!e&r=(4EE47 zcCBN@;z$B3qo+zgF5Y!U7J5p4XUWx=uWaGZvDp&MtFGe z63-}|oIt3Ysg^+|knWxq0d{3v_<}}Cr1QeC!e#Gf(?dOQWD+`sW8NRvmrtsN12q;$ zdR{dwMKpKWk6aY3^cB|CHVFNoBB}xl)g)Ky;HhDm(yz}hVvH1mJePpeYn25 z+?U)j;)87|6-!4jLG@`>?fyI*Yafa;OsdqySaBxgrD)5Fd!NGxhpZ&=@BN{|%-RsZekIl9?^*o z#YH<{-==lLx*OcHJx@JB0ainWLJT1;c2#CZ7@xifd9GFbck zJK~ERyf~_}?1RRInNFnUA%&s7Qwwck>Qfa_nuir0AGMZL^__RL-}Rk+oS1O!_L60Q!2kSx?E3`_myn@ zr4&Cju>eZ7QFPmg-5O)gY^e!=pGna(PgDNS0}hl|qfw3*6DAzWZ|gRtwbEtnv5C&v zZ5>bbvFxTUG*|N?8VJE3NCaA8?KM^96+Z3-sqN zb%u-98bh4~^C?1p3__w@9qz#0dK7y3`EuD9wtYX;3OicVBy0%ah=w!fqUWq|#_-$0 zCl@v~y2Nv(^pw(tXKE&YC1dGLZjG@C5t`D~j_8w!x@Ui3(6aWga?0QWm+M1!odQNR zgc4m11iAn6r(D#40mHNE9y>K(SrvMrTl>+sSPgC^(Acrkma?4n9n`xE%0`A5m16V7 zVt&u%Lm8=iQ=%|v#XU&8a64kUW8BKR$GYm`a<9O#-KCVstSpQ@g zoO!72@0<_nMY4O_D=kkHm+0+dM?avP7aU|Im2_wLxEVYbRAkWOhrnpyn5xE^iE`&^{BF| z68#4@uC z+L1A)*|Zw}KsFZ!#_EMowTJP*u4usuahdQjeplf50QBsXkd{6{+OQ37;Kw3vuh z+%344+;z86h0q(9#L6v+Ab{83gAWI|z<^$IrLH?5+9{mNE+)jDevB{yj%ju~A@RRD5HSuk@YA zZq9aLY_>!P5-B+%3gfiKjctM;j%F||5978))Z06Fjjbq!u;&fRNq(x*VPIdcI)n1X zr@g;KlgQ2}k=M0*%AQ()2Iw2aBU+=|aS-m=w|jQObA8>u+-J{lOdaRxb7IUZ^)n3R z5G7<8cf=zsGBGw5s3id%RIz@zY1jNel8bSJ%D2a-*6}$s>s0Y9BaRmxnV}S{6UYKg zh{Vt0C^8MiS`m;#|DtH!Ymw#DBG>xl0T;u@En#3#FP8cpK2a{7bLl`J;^*{yIl_HE zYQ2SGmBg(uZ&skA(;TBIu3zNli1e>Jx~gTjF4}Tt^bpohwPG#5rny1JCcV$&&`L&} zi=p5eILs!n%B#0GG$$&-h~xX?Cot#-qju}6r$DM=#6ojs34o^YV7Q54}Q|t zu!3*!B)g<=Sw8E!kVJd|e}v!6Z~x-v;*GFe@Or5r1By5L4e!9g!< zqMxUGoV%Bs%1<_fT_O-F#eWj@SQciR-Wr-z5UM_t4KBP?8i!CdfBEyg+6C}TR3?e} zem2G6;bD0*fq!p{UsoOx-q=)*>o1ahYVx)EOJ`o}35axGeKf`bHkoi&`|cf{rS|D1 z^Hr+4H6o6EC89(nFzLoQ`#9vs7A7L>rWW-_j*2JWufT|S0Av)ul4z-D(p%0@fd9nv zNt%|tkBA6=9UTRiYUc^R*dw$8@g>p&d-#Td@UO#SCEpxiOPo<_hVQK8qr}YPy5J*9 zVIHzV5qN@adJOS;UYzB*;lF)r&nL*+1tI#~FQQ;uxk%$LzWH9I$U8sHE_8C*N7=U= zwls^Gr5#AsA-)Xaw$ufYef6W)gG@#;mVWH}vXI2yFwd@_lOAOd)<1<>e9uN{(_b@( zUlPL6J^?ky$TJV+d|q=`s;_oPXHCw+p3r${%*OON`aHYP#VJz%l5S2mM_XF;L7bK~ z)_KhJkn)#NH@$+u&<=p?*SUt`aQ`Iy`^O9M0r#nbsV_ebk|i{JS7QiRv|Nmi>SDO(k#%}reTlPs* zDh;c~hFzG&It|bWu^T?J4rsk*jp;TaS>a`rs+Lyd+}c?#z~8@>3V^;pzeAQflk!(K zT5nqJ6!_$br`C=C+QEsptoLfZC28(6cy4)5X5Qv$%~aYVd|DMsvXqhr(G)juyZ8K) zTqQuvCKg;4K)7%CGBM}I$G(gTp1y)}fsV{ao)ouiYtL-5%Tm@jK#_?iDT`h&Ylh;* zzlOMhn{e`iPS$8J>2_g3T;}SbHJ7=Ok4Dh3B8~igB7J0%U>`B;b?A5`F-sqAa+N#$ zR)6J7NFw62vsw?IYux&tsAY2&jWo<@%d!i0B%F5~LZ;V$Ku`b4GPrptefpg|=4)iA zr+v;2Bpa7Yhlf3u5D7G6RKVojox14>C@p9tv`U3IqSvnS>cFZvVALwqa1`9HMqj1F z4v2kBEG#b-*kKFP7;5vx3tXWwfnSO#1LEqjR3o7>&sVnr*E^c>alhGO88r4TEk<&z zGV_;1{~i@hECoPR*M*1to*y96qgpIebxOBL^Imwm`unTbf#V%@Z7rn3%S6c^8Bj^S z*mEG$S{oMP&B8!6YxYf%5&ZY+E95(o-NBe2e(e#B10lD|MG2s^7Zf0sD#enEmKsB4 z+f`I|@qG8|Vbc-(6ndIh4ip@@NueO#Y$Tpz>Zw}!K5_;7+s(ArslfEH`1LQC{N176 z7r2Px$pklK;FL%}Zn)V{T!ETC6)GA^!#$X+ei3?yP1|pvsN5V)V}mv>%4<9CnYM2( z!YgFz$;pF@_`>3p1JI{zPMEMlOKYN!1cSv&);Am7zeE0-1l{FFjG%4zh=hkJy@v!UOn(KaXTV~PXSSsP!l|jZa=5(yy$g6 z*)Cypi6c?TMe%-soh^&vw(Z^$BkdoS)RmSd%Jx`avC`)4nRDoA+eT}DU zeX;eg+%+>$eyM(q{{bMP7;hM=j;2jRl^hx7Uq@{a9$WT>x}ro)$t!6O7J57|CBd-u zj>qJ~2JYe{wdW8RL*9CxQM5vW=Us*nbr)K0Bv>3rqkAMfmVW*5lAni^P?3Osik(o|+l;E~_Pk4KTp;wB}V!xiSjMJhdw6y6CDrrv5 ztdQ}ndTJv@YqE)j8yaE&l7Z^pc_^2|Ey!TCu8CnmOHhD@^gbQ zopl5afwzudJu8zb{6?Fmd`~3eNA-1A=Z4=Vl=)uQ7rsn zk1~Hj(0~Ipzsp)__^coqdlBGpYQ#LfLqAlsGa=O#Y%uRe(p;faHMkVqeimMRy)HHA zB*6$KOSY(WEFLqdB`RUBvTz2xnH zN4WJhoRl|&$7XAI?129=a3bs0wV@#*C^5SSWKys{G7W8u z)j`g%S;Z(Xx+J?TWr>#|KMB>^!*eXVnbTr?!_kYwq}>9sac{gJV)s_|usZR^d`5+j z;fm+z_WwH+nMHmvHz>KT3F}rOiGM(Gm6Z zRHW-6ycSX3dq+`^ZQ9>1-wlV2)*>g~Xh(hDi;pkuubr(@=77%IG~hDF{>){+Xzn(+ ze$i6YDeu7gkZIG9aL~-lUT!+yDzE^Bc9bp*s&lA~1dAqEaGBJ!qVF7P^i?(^0wB}w zJ1i!++(%~%cSH@S;0a{GQ4Cr5#z$T%+dN-^6@uKyD*vEYw->7Ijy5<0;>*72RKfrt z$?>&J?tx9a9ZJvSiHlrL>5CIYkPw?C3})0Y7ey~$iM+S9;0W|)b`y}xn5tA{GMZ>@ z2@a8>97%pysqXpUnhFc^z0|%T&*VGll2aLV6mF8(^K(n6%5RLpJm+>@vg~xN@;=|u z98T-*PdQq%c#Hxz5<7nq$GNc2X_!i}zXv+}-@@-4fk)H{3SKYEN4Z~!>&ao72W?{M% z%I{Risq*Tp%__D^SynnCETFoLpCb^GF%0k;L1_K?6qL9A8p5YP_nE8Kjx{jJQX6wO z*RHT7i8}XPWeAooH^qHhrnsg%%Eo5ZL5{8^l}W6CA3hU%@q_y^W)cKxDi?2BG}KVb z)huQ0o8rJW+IEi+c9E6hZkga%=Z(Ssx*C5-;p=c+WTbD~p6;>mcS}0iY=uINLE_0) z@JTYFZ|Y1I9u>UApRSzCsn;3$7iZ0bPmicB&@&k!(4i3@lZt4m^z_BC)T#eTZh`P; z6hdOsdgjaDU$yDS-pt@T3n*tUwm}giiN)7+(2$eUo=r~^#>J0OP^0?52Q&> z3FClXkKg=gc*dgrxAOh1V$Py|)i9 zcnZR|KHLCZr+sBeZ4QMpqXODZ(%<^1ifftrOrK{chE%KgMW}c~^metgz@sT-3>y5n zFrmUDej$k*!U$nwW)9qC%PX^DOMfNKVMlwu=h((`gS(1IG^h5ZwB-y<94M>yN#MFp zgZlp)ZfuPIo#Dp9#r}T`H+CjQ&i|bLZ^Mm)k&XR-uSfo$7;Y|*tXtNrbvs3ENW%$d zu1kboH@Ys^aA8cr*gX=DJH%GaXTnIiNMxcnLXRk5iDzHgq^CJAJU2bo+g)b0KfGNx zyG{NdhK39Cr-?10+QF%NjHHMO>H4^Yb(Jqr{j&^zCubQ1`v;AHgoFqDo55(s4LHES z5Kw>g-8?xMp&}Qk0um@WWI5pqP7uI>z<~vk9SbTr1q1{G^7R4s67AWXg1|?>4d52{ zAWM6Uj6nfn_}2$l5Do5vMOB}^VD+00KmkTZMO%GCz>PBo91-wP5OZKec>OaKQS5}2 zf&_M;z$86CsX<>2peX0WGh?Uc=i{(W;Su z>Q}!9v<46a0@5%+3;!0vIkXcfr~(Y-Fa(@P0mznNs{sd46}zCmDrmtKNZ6O-%Ijf2 z{OhF^kf7e4!1;I7cPbRf2OeBwLm*FAfWZz@246n{2smhSDyv7Lo}?WRl-b85GMHGu z;vFF(5*SRQIM=5gE|@~nGI)T(>$h-ty<>1YA#(RW9S>8uSKm@0y#}f&HJs<4O(zL9 zQ1^{SXlH=4RO|ilg z`0oALytR)6f&yC;wn+f8uIB?2zs(0wvaMam$7FTm48t0X#uMWs_5WPH%#MSM&}nnR zU3?L|><%3#b0{z-E$_X>(qaKmxsqy#W_h1po9keFp#71NvIH z3IFQ%-2G4#Q%@lvAbo%WySn=i-M&Vn0{m-XA@_FCoA)U3gMk4*g*{w-jD7GIpr1eF zKQ{?KIH&%mZ~k-NeIJTW;llpf>;9QPh%|q(L7u)RGOaGVJhQ|qVf0+q<3-tE( zGa0tQhC{KW93&`v(FPr(RqCJq&%*1s^}osqsD}bNI)S(+7lg+rg9h~ptT=84_x?Iz z3y8;rkSG!cqV)I%;%UbjsPlx01b$VBUuHNactZ}Dg#-zFQs*>_(!p8lC-xZ6Si$A%>Oc~z83jt*hsg9LXMnXLgcm- zTDNqKP5qX1s^h*!P(^H^9I!)e&e@hf#^4lyrYGwIfTt|8lqvg8t7*ChOIPegBQ|=I zUEH2O>X&Uy=njWk5syE*`pD^o&1GlCCu^mR)`=Q*x_#eSNLf zX;Hk~MwV+?B(zuZ^1gY6< zq)m$7ph+;ZPj#p;oaI*PMyl=KtV`Y)0^qN);kDqG4%h-s43bwu>VV&lrXiBXRdD?I zXj2Wk90iM96NSsdH_srWozQ~3V&QY|7>nL`1<8vO&to>fHmWjACbxiv;?I%^*aI^1 zpjAoDU$1FfkNhJKfe!zTmVw=w&Z)j<4@B7ewjrakLFODWdm$z^F4&_Y^Zhq)k88Q6 zj;}&4!%vFj>@bBw8z`;BZ7~rdHufuX=3)~sUbLZ28Z?t1Q-!u(8_PdioVnbJ4_dLr6ARv5KQ9G8qS6vFCN8o&9_CSQ511%rhaT_Gbe-u^;%DXdDb z+xVSYyG$MP!T#|jil|+&P;9C{%%jcr`&=)hoL^lwHA|<-*{ll zCN14Ob+HtaMmn^~#&@t+;#@4@s)RG2g6dMf2G8Jv zi$vot#M+DD&sLvbu-&EyRlM{fIL{H+<#}Jhm?$u{3=n5d;!@x!>&xxJ5C~spW88Ns zO-a#hWzUVhiu62@)62iZ74U<49&QqK({G<59UUJuV7=HQ`J^~eM{Z~H)-xfIlCOLp zGPS5IitK%SSy&alX6HUO`j3l1xt!OrFyDqQs8t0kj%XxJa21o#zEiWH&6RjiZw-)` zQ6C%{R(v#{=SHp!gUTJGBe}){U|ESd2Eia)7+f0IHVM{(xm}G|gr3}1`TQWnU`I4O zLzCLUHzZ2nNt#Dw;m zlyd@qs9!}a?oq`RNYOxqwi0YAqe>^?xy{%zY2@FsgvY6M{jw<5w%E&nY(Z6+KrqXT zRo#nmw?-f%upTW98=S<}_q1eflaPpmY=7BpgR*S+g_Hdu9U@1?KC!7!&NA;H5KRA0 zLhuRK!y)lRl&krTiE5WxPkFz3#aHF51cKcZg1hTfmnE~i<@?k5hoYZigGT(wSFym^ ziIVNlU1XA?%0y&$QER%^8hBuFlJmSXB!brAH~SRtd*%G?*}q#7U>BXX>c9T!ICMi6 z3*SI4c}_48SnGV6vQmXQ%#!IFxik!8oF^=Dkp?6XAUA$b(p047Ij% zlN(#Xj_S4u_2NFl!g=f9mXg0i*|q{8$84L`T)zas2n^h?FMNwbWAA)s@v4hE_1y>V zX0$y}#m^xjzVye}@VFI9Q|QUlM;LETZ0?M768?(KG!oPCJjl0Bs=rd!Iei+QrDFmW zcy=OSC+U9Qt-Qc2F*J2E&rQVHX4byuin4<;qw>3F9F&qbADiH)-%=OYA9qiRdz=5^ zGbWC77aLqjH?|r9m!H|E$4^%nQS0s^`o^2dx~Gdlzk@wJT1AP+)AD49;9|T$dGH1)Ald2U5D}c_i~Srs1YqO(*-tm;iNN>8|R(KD#90m{*KJ1>wD64FJxHUhHTcWPr_yWTxCcuzB1h2+WJ~B~ z--Ldkwx(M+cpoI|WefeYfeeuLZ4KS@bkvEF)!3epbHuE>@*(zAQ=i|C8@U|9=we~P z=kDCXbF=19M^)EycZuG2LWlT>Z`!tC4W%#Y7=KGWux~MQHLbLn*M1o+EBmeF59Yt> zYV=b4>&w9PSA~B6Du=^6REmwu&e$MC{(}KKT7+@c8l9Vw1JX)roWK;#;aZGzi@EBg zcl)fyZz&8`uKu1+#~sDppr|KQ2la7RmxLz!aOd7#&R`aLd?g6@pGy1kRN=&vODpMk z{eO{)2gh}cOE?Hqi^m=_wHr0g35mXcYohWoglBLvhLg9@C)?ScF`a-eQG|JFMNO6d z{yvBAlG%LIo7`Y^j#DZ~op8&7WsfP;s4+X2yno*s+{_ zb{A3C?=UIwyLz|L6~IeQmc0>X4(F8Y?jfiQu{$QHu^kp^lE-0{@yabsp?ouDixUc3 zd{mVIJdDh2Q7V~wz`dpjGTDg-YCrGO8ywvpAHB`sLtC-x@-uB&i#t4*+4KUVoe zu*l=M9Orbel(Y45)NPILkgA#vqUy#bxLYG&ISj1CPQ1wC7lLd5xZ`OwtzkP*h$F*( zXaPACOW@!LMZPVlRwe_NP2m&CSAq6-rqzXWnIvSTPIBL z&I)}+Iz&><_oRIuMmh<`V6lj!%SX%KxS*|kjXSzjc5{7nH9-66rZ*67(D@3Bmp#|> zy;y44eA?+JTC+$t?Td#BKkdcJ9wb+TTH9pR(Gem%Gb9>rmd`Vl^nv>sMu*i%8M2xV z0X@8Q@nMvG{emYiE01)mjv`;%)A-XNw`nORcmu8NdDFFBMY9k+6k~$pF$pZ?+nNtd;rHxP4a>v4a7At9n zU(;G-fc5tPE{ev(nCaP+cyo*5yl^B{Fg9}_YMrsydMTWWWDM|9^8;@dkex##d1VE( z1tOHHrmn*$(687=(T*hgNCt#pKJi=p+HeNWS|6&ffu)zcljU+ge};gPigWoNr2BYH z*G(=Ac7~{4CRrYclo9EVCZ!}T9L!!Dm)t-~aoC-al3Qt)Ui>(LK34QhY9(Hj>AKY2 zJrs5MoUO>;eqBzzNChU*rKb82!^-$}J0@HMzlCwKpy{PN+js;T+jm$9KpypKd%D^K zmF2LRumJ1ih$B0jwACKjEN3t(7fUUZ;6`*W&7$Q|ndN@FiEndRZ>h+}cELRqLA^W7 zfQWy$oGb#%&SZPekbPQ4>ibG5jZ=OkE(5d`3N(A7>pi4`iT2L4`=OB_6eeHsV_fl z_{N`T^jukLJ_US)a{@Y;fXH{H(mp~dB$2VR7hybrOkwW*-7*yX%#XRtq4`dzm+qWB zG}ORcnQ$mHW(|YGNz-0>K}{n?Ye3^ptUYc{VX~_{k`j~g>tNkRK_ww&Q%-ZmtDI{G z#9x+|W<@Dp;Gg5~P)KFwvc+hD#m^b+VF*_ChH*3IDb~qq5N~8}dfOA2M1J!Wg6F|- zRkq;%z3rJ_rN;@(tjJ{HdD-4vd$|KYSvFc6DeLYUnAzAH9*$G!QrgXzy&p2NpAB#E zo>2bH0rDR5uE~U3yRn%<^B2Rj`u72KUClH?))G4bdierwgcEZUb0Ii9qPcoP{zxPL z=YF+MuRa>X7utsSdsTG|38{F{@+`^k5K%{z9O0zkC*o* zKMUyToh)=2B3T!P_l(1;DYn`B;uoA7b52^L;~1vWy`rU{+}n3d5}E2f0E}1K`lwX9 zog_?l19kOT*(9MklRD(Cq55h%1z#c07_qx|#<)0!)taN2})bHyM zFY6wxRSopKegiBj|OW-YMsuz z3rvvG|IP=h-Fx<=m+I)3l(lM9mhU3jQl{FUOwGQU2Jv^h~*}%7a$lY}EJ2mMp3eF{yNK)OICgBHzv|UzIsQGB)~F<~7;^^Z;pD zllsMA_;!ERJVmGLfG14%r%n0%`Oav%=fcYD`f+-$&ftJ#`iPrTn@B+mbx-&c6{dYKjcSd3+|v!qm}%lxkP#3bNg7%=mdxBFJy=>Kc@22 z`~FYouRz!5I7*9B!_h)UZkV^#t*F{o7h~$|zgvd}oDDP3cp<*$sw}13zrW=wzAu^R zXslr7jxFlu&V5c4TbO;-qRIqnxXwDnk2`BZFOS@5j=!JkzA*MrkbSdkKfDq(brm?q zVv^JxEkqK5@=6L%KV8!5^g*{~wO0%)CTYF|>#T2gJblLnP8 zPxAHp;4ekeC;Lj{bx@El%)kFU7C0#KRVy8;B`Vu(cBFhqpXPpo<6M7RL0+rJ6Rfp( zG;BF+>n|W-Ovpa7`ay2a5G}sQ@R@-sGDT+P{?2ZMxSQ~-hz^B%gMd?Q zpeox6{gaPIN0&1hi5#`&9}#q(T>pLpPi^_{a{>4(wyjaSgiKt8UyY9G%)*%(ra?m% z&Jg_4OG4=uB&Z|6_KXNJ{}VGfo-8bE`bl4S8QqkczhP_SoNCE5Z&+8KcgvVbiIXxQOUhTp3X#yj^k~37FnEx9*AKT0Qv2Y1g z{k6(AN369~1v0J#5mDmJ*0YChNHg&ZwSs^aO5V5%;%6*Nsk>;xqs$X1CH6YaaV`a0d3IIMK9D6hKT_n5=!sy^%$@ggfYab0}_E}aQ`uecf0>{rTDHTJkBv)Ey0jb&_jG<@eLL?A1H;>P zyFU}?776WVP}^mUEukTY?S1LHKE0t~D3^e#cRHZDXV@(=|2eIzw+Ev9BujrsFV|mP z?EOr1E(JBtV&;|(zrAvhtYgNv9d~8qt6rdfWPtp#YFnV|ACh_B2?^nrEl$>Ja?_(P=J&6!ymZ~}uFpGb z$(kR=u1tv}=RH^HjKi2IuhIMTjILtD_U=;Xwr>Ws_R{?PS6h{wggS2gxWWTqg^$Vb}tUI#%0P^&D&8{&_gViFRn{^jeG%-|^NQgvLmf8eCszaZ; zSL~)wl+QU=UUNLOhqnGx37u@#n?XW51l&#hK7a4V6d1!IqoC*lFrO^_XvpNdo`ClY zB4sPBdv6+_A1v&YL);}|#1>2bZ9_pxB=x=CSIt5G4r8Z#@^KxCjz1e$z0xEbF0uL_ zE!cQ8c%@XcGF&?1_SzJ)++zJvaXkuN^tK)D@-)y;9CaA1KfAIRC?CW?bPPcYdR%?A zpI$!;Biq@6yz&R!l?lN}bJ8t6EB#-#>Uj@t!FqUdQUB&J2ou$Ho7<9gKX%}bBV3Mn z_+s>R*V1BMJjVhO*9?Awd%MwZ&cl`7A~?5F9-L9PW*xH+T(*40YzT)!ItRO-tD``( z;Xw3=9*qZ->B$Aof zAD9q_&IlkN-L<*F&x9g2Mb*Pb#-l@RgVI}z3^gS513-HY^m=#GWKWY}EUwu}UeOZr z{QxB8iy3GuJf#AuWH*48-pz`n%O!wa?WOGL5ns|%dK3^7v<`iPo;Jq3BpUGNh|*t^ zbB#pX!gnnwN83NB(7ddHo!H^hvbM2e8(`q`yl~BmT`ks2Pa@;QPUy*!w%GQ1@UOF) zjw(LQ4(ytB6;oSU|ry+svSEmq+hER<0j-eY=fBv6SJ!)0byJyxko-e_!A)wO$C1^@6Y%S5=msH*LIR5ah0+2JfIMaSP=N`7 zpwJFLLBQ`cHJ=J8v5xF<^OunhqC$xG4u1BDZeSY33z@*J(KZxOfgvk827o|>fq;=6 zffXGIkqDu{qQ0&o9MB;tHM&G>fwO#o*uZduhRstY+C4giukaMkxcvn{IQw&efSH&S z^LF=vG|eMu&}`r#EwqYp31`lt46LyP5rM;m74&{22kzE@L!FRMPhW0t_nO?q?}>D- zN;y4&@D)-(1u=s{+B-#Tfcq#xn`?53{44JEn?N4%3jX%Nc|q}{gtyRu1wa@mFoMO2 zhPMyc68!;kaSh0;f)LyU2l<)QJY;~x@6R6shPs9Rq}k3t(~m5;-5pplU>sZ@`E?B* zx(Ny>V1yFXmI_8ZjywR?7_#-}(i%#Da_MgAVnZ{t4R(9&akUOeszK=gHGPXHK)Qy0 z5hQ$1R9LT%`{rLNM9@UMrG~!`fD0^6cs1i#;W#SwSD zeQ*?N2LjBo68b6dK)r+RLRwxS02kg&uZtm?#gA(;4Ko){ z;3@X<(VLMh_(Xtkf6{(X3mB1N{7_#75C{J8!2IWbJW&7mXO~CGDR{tdlk3~*)gQ1j zqmZ#mfY_Y3t#GzJCt5%O_PzT@JfROl3)KkL)%n}LntI|FA4#Kwck_%VIQ~5_!FQ-g zqO^j11RYcq2+wC}X6YB0?~f_sbn23E;pVQ;~T5HE7>9!g;z6+n@r9%Y0Y# zeS?szI%G673}B6}PA%{KV)OvLe^d}`uE`4a?vu_DNPqx0P&gcD4)+Vl4NCn}KNcMb z2ISRNr*;4dYZ&2a@);829rR~;w9oO8{dGRCuk2nwgYZ{y;R}@KMcDPhF6A$mu)*zw zzCeE)Y{1DyRNPN39czByYF`}^)G#W@XnwAE0GTlEZdkL9%J_oUtsUGUZC$h2aQ0&e zZ1Ea@&%NVZ|FlA$2erAWwxjkcuu~F+63HY4BxoL`#;^MeC{Nh;Z5E4udH%|ZU9TcZA=JN zDh4`9_I7JDw&~z-W6&=~Phk}A*=5>kS^Z^$M;I2EU*7bqD6(JM*3-1?z6OqWB5L-t zu!V=bPk*EnqZF8}ob1`k00YDPR#73eE$)(V>|FaSZ%HX0W)~o*3aw4ttee1H@xmmeG#v32qux zV3X&AWhOv-OU=`}7IMTljyOL3LoZjZh|NPPP2I zl)f=4iR@)u~fD{Tbb9pezJBRZzu}B?h@Gnwjd~l%r>3FczLO zR?8;!(M2xOq7lypeejMLUGBYN`w~{ViR1()4{kusuuj|RUT^ace zyX^9p2+lF4)`PN!$m3V2-_~h$;b+>i-p1z(JMmYpEsfZ6o&q|_L8Bbc)%{lCQ9c~Eg%lUp3NQ^w`9_{#NMh&Xvu4ng6kCizfd-zNr zQ_f6`VkUK@KAR;Dh$@*T`D&%iz#*LvM_@fQ!3T!-Wgy6wfX*T1EK85;lPp z-ME>~GmP=9s`J<7_wIpH4R9gpc)!lz5DfkY9P4PG+a$4ObpqbaZB`C1>1w2s^$1kE zdxwrQ(&j+cSyDSI%2})uj}k|+b~CCqkG7%)u)?H+U;G6 z?6~}vxiBRrIGWO{qeKcfE;_q!FlxmS$=3EJBh{iX%NYktK$b$UWdQFQlH!BWP7jqg zgJNeBd8Q&USGAUtgHRMjiF<8D%@wrs`pbI?T$SVY*XDKSJrxW1nkF|4!t0V~GIJX5 zx^GIb=5Vdt3#G9Qz#zM^3X>3aY9Y%dejTn+SbNzI-JSyBwnL%*bk-y6?{`064=`wg zRcvnjyW}`y(h1kVK)sZy%)iN*Ja37u3QfDiS?n+fc(p5?%x#y{y}1(a_;f))gH;{m z>DM-DnTMCARVE%>6li0F1CzoOJkFj#`0^~A6g^P5)WAcll674ka{ zTRb337?@R$JJfI>b|Z(VCFEFeew+cdMs)Tjgc9}WM{?=OPu9)JsO<`s-At%zuioL^ zgKw6C&sUY+)WLk?X6$2U-KL}fuj?~%b-^mtbT@mPgZacDOhRhe;n#dQU|>n#t})08QLwfF$$K%7V>knT?%=gEuIrQ!A)e}0COJIhvt}kEy)x*B; z_lya(JDM&;%Gru4^qSW&_>MrI8qb^OM0turH7V5LTp;>ekIM%oPQd)Dr5H^l}b{t4#_#nM}I9)QZA(%Sd-XD zg7dJ9bOy5x51L6CmL`ZdVGLN_jMggUa4{nYSQjB}TKyfisNH72BY=IZst;q6s==h* zPRqR9>c=wkA_%}ld>yL?lJz;v)|w~|*_Hmnn~{eH5h6@r+)=H0~79>@*$ zfzEtmzFDkVd~RyNW7Nk(q-`l?bu3l=IA@S-P`-OUk0thUX7{domS;EFsd{qfl?uyl zXje_wEE5Nt6%pr{4u4gBJ*EHfjS{(PBX#hs`iFW!+@r#KZMDmN(8a8=MB^9!n}uqwez=#4-N^M9n&NK5!rVyh{~3GWqb+K z^2fXb@n#!}S{$a{gJGywnUEV_M?*0>xOFwYV#fsA&>Z5UXq?5#ya1$_!*Xwsp!5OE z70f0hZ_df!HH%IKlta`3`j}9r@n|PPutvZm%W7bYsczW9-1EQAZnr!;!PE0=E2iZ7 z4yV_-`7oH%uV;hsSF^=mJ--0%ebRl06JO;~I;NY=X`J`{dztUg?Fw^QXgqYfrooAF(RpN;94Zb4?WvSb-JPprIcYlzgs0R!Obx>Qr#EkT{*h)XOm_#_kGg!`T8X}A-xI~gQ zYEp1ZJ1l-Eu!0Utw<}Ak`u7xLWcAQhIQsb zq20nX@?Qa*d(xlyeWc zE+$9FTwkw%vln&{ss5dgkzMr=4H#Kf8vRX>qfDp|X(DcrA}Y=?g&KBGt&Pb*;OkP9 zCEfnDc1bKV89T>~?OtBc-V$C{|AK^Cs;WJQhk)uMv#>{6ZG}H7Rq81O(638>IUXf- z#n6*LMGFp2#^)GOn=(a~*-h{FgH9VD)a&sdfBv)ka(6E&{~i6n9_E-58115U(p%evX=O^iivMRzE}3``K!xvjW1;7b z=tpcqTbg!B$5&lXKv?N|_o-G5pOe5pdP5n2s>{-=XSDj98gE2AA}FMuBC$vNB+X4l02{hLQ3eW6eL1xm zIWitO^0{wE2L6n^_J`<2f=sH3w^gxf>TYjbzA)XKK?lo_JGCy!rME=FV6z5I`L-)( ztBS`{tUUkm-$VICZascVp|v#P@k#%EN^&im*W^`$nB6vfSov&|BY1kq#!_)MM`?Ox zw{lqr&`D93j|F|SABb0sw=6HpjeEN*kpauCdrog#*A9&ART_Sc9jSyxAGnVs(r#mF zVvrLOMs%uTNz#qX{L2E#UAnQ9xK`ip1h>xJ<>KUye~Q6-D)ESZd3~#u0O{BRsm#_l2T1fDOR=eqIuLd znV|>NRN$+ttX=8;cKo^|T1i}dOwaP;iWZpK-qTS)%A|l2{p)#Baz&eO_v>(D z!V@NVkd00L=oUQ`3)-EuB=@?~UpQ(1Fl$w`xg3=Fj+~q(3iDPGpp?mxR=Nd!!IelT zV098W-rzXC-B&wyUHokzACmDtQL0oz7%Polh?~|u9&lbVIT?X&S}}- z`4IV<`cx3l3O1Jamwl16TQ3tEcw4gVgLD32Aa$bVr4o!|n`A(k#?g?zVBM$k;9`UL zKBt(5Dz#>oXTq;uI88gE@VuvfYF{Fh3QA8K48ad~I@o`EmIa^jcD^5nspD72n=FuQ zEBz1shpWx-Jg#mdTSYxf$cphOxc*(udN5n3HVD<2*`FQhH%26UUjKsgUnHJsLO$S# z$`n3tybdw5jGs6`-Yq_u&LVT_-bRzeG#N53>0Ta8hG9G3k*ST!8|&i2n^(@;!HxP% zAqzDnkoa9l?LO64V#c1z^MVsuY%F(9hSpvq?p7ATUI#-CY?-U2HbfFuuvY%=!ipwJ zy6p(5oQTnR<~cmTS0qlT3GPf(AG$@fWGEM=kA0Om^@T`I3!QPiua|(HIHstyg2#Hn z`AvDP$5}%GjnA$GZjFLj3oqG<?b7^Ni0YIrcFc< znieme{;YMwToUy6e~7~z%>}`miqJeCxl}iSP?0?H__7GR>Zgq=LY~26f>+!}K0aAu z_8TbqYEAn_`x$M ze06jx>q?!{Jwyt$?Y`-p*B|lJV~r3Zh6G7pNeUyIxOF4rug>p9Yes|SY$N*c5a`CE z=K$O{Wc`vvCMSO>KL>UP+J~5z*V<0CSFpQC9i&ActduBK(Xu1u<=UhAH{J?8uEfI> zT9`9m6VJlOL_;0DLzY{T{J;g~>BE`R7NL(*DOlVRL*!S4 zwqKKOgRIIW_Dyj4!!&#_K?dUVWPOY|juD#F{SXgPy!kZ_v{|kYnj&wsSdAx?HiD{9e%ba>=Zv3AanF_Tf8jO9j3{r7cAK< zqy8|6q*-oR$lki;atMnu{`#(K{YR^06rO2|)-w4hPz%qZU7i`M*RrN{hsB-r4SI*J}Pv0HOQC2m}e}W^DdD@#Q(wDEg=BQDOn#ExE3qo`L zl)4S4V)x~&?D4O1loU&6mlN7~jqH*O)@PCX#p{^Bd{>^jqka_We>yA*4|HwodcPIMI^PD;AHVXWG@w;{#>d3UuYLuhIoOyDp6zaO;TMM80o36EeEfuAt^r&02A7*kL&>=Mt=A^sn6Lo!=6#!F9B8lM-N9s|O3 zwzs*mK6_!v;to#fkekO315;BJnp-S{NCW)Wt&F1L%F>W3vq5HrUlTz`NUz!W4 z!tv+SAtx&mJzBIs*6-zJGDIlL1IZK4riOW?_#r!DFt?$2tedY@PF>dmdtug_r%cU6 zV95a?n}MZiTB!0H#k6=0_$IdNNl)ytOg-l4>bZ3f4uG*2iNzy~v{TwU2wCMt^J=;x zY$G&cVPsi4i=Ra=3N!Dzl}+541qkE0hpBEN;1e4u$WAT|pF7Rd8v?~>4KmG-Q5brm zB4q1q>r}GM!ToPEQ>K>gzOiFC7)vf&_eX1%IbA~)m}KU-Nas5K)J>}8BN5ctCi2fI z+P#k)1yA3C^PpnVX99nzebPN-jp-%1yM?LwG)v^>y_JWPi-%GMThF@+W1vU5eE>mK ztpejHokv=%QZIQQVfM0A|dJaQp z%M@DGT~pm(<3cJu6Q5H;XjG{ESO>sdKs%~|uxsa4cjIGlM?hZu6xE#yuoW0<;d;}v z)TUVE^S%@X3HAg^+f8#MC@T`lsl$dz#_s#?Te@nCOgp^4z7y?UqGG-izni9GyFhUd z$mdO`$VNR36>G=yr4?gu%;)yVHi0`n&P@#I1_i%$p}EELx$R_K(kYZ}F+Z*nP|3Ho1fikHZ~0pF%4V^@+>5(YIAw# ze!f_2Xkm$H)!XqD=UwagnpDZ@w*3puV`*Zt>kek-{W_BBXT}(2r*3Tz-Bo}T#p+HV z2|H+$>f6w|pbAhHLB-Zp$~WQ=Ebhj}-7zhcVQ*UzUMTD5e#W~3SnjzxsqLEEd#o0Y zE_L5*qBwu4+O>+WBR*uJGr)H>bS_bcQ9|&VAJO8x8-s{$A59-sBeE2bUklg}{RJ3LYOgpop zhuC61Ww8*J%@V`Q?(s^{G+virlL+Iy#v!EDlkO z&!fVesl{}?r4xRUT}{l#$tFm|#pP^P^u$&og;cSvpkIHhF)e(&dhl*or$xHlc}NY|Jm6aSwXR~{6{X$_&<>g|F|>*^Z!);n@h8C za{m8wX;*NT&FkM5t>vT*6xw?Cp&V83N?FJai69I}NgJzLB^BEWR;@xa#b=G?a$YNy z@)f&(B1L}O+1q#i-dEmJU-=oyp4Vs1SJ&OuXIS>m{D?Xx9NX|YfkKCY0)jdm1=Zal zB`^rEsNg;WMgaC!N~F`EH(=nlX+#Vfr09fCeaH$75R~xIr92Lw96l`2rF#d!$Vgz( z;vlCkv*TEd;5$_)!fCP2x30Ou1 z6}kxHA1=1q_($3oy}l0!26h z?;>a*i@bdB0nfvb00opUbD|~CsF77+-h2u4GF-m>)tP(&SW{vG6!|-NUK*HSmq9~8 zjiO2UNFx5tJ$X%BP<7GH4k85(n!VGXr8o=_82>9iqF(zI%+MF1_ixQLVnv6~T#`LJ zJ0dfRqE2uj?Q3}`JfN>&P67u3$-1hfq!1c}eK--1K^#%P7WQXH;V-DjpOAYPps%et zI{|MVND+Vqyue?;H^clK2m-jnK#04~-9Z0ZfPw-64x}*P4MLnaia!4Bdxn9ZS1kW` zj3Rafpb=|%6o{Yq_t`{PJv|4OiQ&`SC;eCI0;{4Lt8(JE%zJ+$Dk=;6yxckt3){%-I7lE1y|Mf>{)57bky)Ia_q`85*g zx%`kGl-_%HEpy_B(}5qiD$qmr)R)A267Z|PF;<6p9^Mp%ICn_sXvqM9q<)7IRbUI) zfb1d%1~I*%Pp_(b=4}Lu5W*~I?~l>JwLrnY)M4(pQ<%SM{Ri|{>JWkK%e|)+g$5RH z@~;t+Qh@mwFiYS7BT+IiwLtueU9$TSxB4GokpT)8I6WYLPB8$Q#Ek*?Yf*RALH2{* z&g*gm^)c|AL<@N8dIS7rgFOux-lp^m`s|NypXm>Y5q2Oj46JZq6XBh`a912O@}~|+ zyVm4C-ldgYf=tDeNMg(n+H(tcj$x(|-IG7>7B0KX!xBXi2M>Q}fUv`XcUEXM`x_~> zW*tB-a386(2RM1fxoP1b)HpKM4HdXpy>h%B>*r-BJ`s!{Zjqzz7ah*k?vERazZ%J| z8j`Qv^QiBR`B1%~pl{-x+!oyjFr?jT=-XaXR#Y5WFeEa<%Ujm>Fb!dtZUl-6Q_jcC z@sLt~{LD(YD;tSbeYn+It92?^f>I)8)Wdl@+3TYsejHQeXj1~jMV<7UDL4az&qJ+2 zW@!+c3T>f?v|sH|!A&PRzAPT^Ys9L-xa*Oii!7Z)#4+#;T9@y=M)o3|8KNx)y;R_6 z?mf(u&68`xTofVaNKj9AiSu*uSL>L`4ek97>y1*WNHIm>8ox$+xNbkt$PdL#!99`^ z7|adow<>cM!J*?p2X;dr{KUnMB1Er^Zmuy;k%#F9>x9_yC;1LTTQWLUXXUl`-{ z9^-c#fwtG5Ydl4>jqu7#_fBrSjNwmEI~T$jh+g*Ju(sW{h<|)Dq75ix)(8ZUhAojy zwy7o%T2+qU$geKGbZoPyZ^PM73?;JDf)BMDJ8mwsMK~ z{B_b$eLN+T&M9T8^Rpp-wH{FSC8HT1&M3YybW#`zrk^B4V%zh2n}F z9@I#0MEQB^DKwi*V|>CF30a-d4NGKM`2^`=p;|&|5qYFcINcHa=qvK};n?rzNA7`f z_Q^`4Ub$SKtDO*SekgA4Ah{=;fG`SD4<`zm4vRRTRNo!{>Tk)rt9V`gwdEXJePNJX zOl4GW0Q|I5B_8Jipvr(XlO9nRlgX7)8cVM7E2j){zb4c@CTN=p&v}6`A!VWnk|l~z zg4|B}Kw|Y!-Oi_zFq1(P7}D0Txg!;+`UO|%2eAjyKJ55>2;MrA*D!8MyRz80nYJmg z2@c6P{z`Bx6Ft3jo^REYPMZ@jC6?&Q%$9k9fYRKKK%dRoL=KMgG04c+E4(Gvvr|7| zean*r+q3X?HpVKb4$j_&Iu_RK~M61R{kP z@g|)hPSA7`&L;^X!DR<$pYb*^P$7^p<&NMf`i`kBfzxS&)dj!FWY~3rEINwX>8L;DLstQ65+N0W{8~bQCQnor>>=#CkjW+m zE1$(Y_gt1t<3CPu{xP`l_5z*z0pli{N0P-&9`7aV?lo)oRbWti!WtqGrC=wJ*!}@H zchZ#2P{tXTrxHtfcwxs#^EqQ7d&Ly0>dG9n6&IG^ z&h+7sk@Ko}WaDo}@Vq@#_#!^D{PQlI0Wu^uo*lLV_ zVyFA&JgX7?uqp_rJduNT*K)X0YAzok zA8~RDdeBRkK)fyWyu=vbcDP9heIuFEHj-a0E~(B)whG52ed=_py0)Ada&m`FY4kOW0%XUaNM|gW{*=YRN6c1-q0b z%~g+3<^oT4n_QlLxD-9;J$obY>Fp|Wy>|v1L_P1{Nnxoq089Cb2ODb$^mz6D>q~6Z zd6)@y08gD!QBudHVzBBWR;fvb;dl_&dh5l*jdV8qzvvwqpqutUd+5A<}NQ#YDto#m{^iSe`u;9$aZ{*D=y_4R;u-GkY{tI75K3?^w-?QJxIfL zHG)d)M=AnJEHq9z$KIni+eCIvXQh22{M68X3TRYoo@++e#|A1Ri{0>ywtiWLBLS zy7q9{(9wTR!dN&h(9optxzIlpO_9+C5|EZ>+2yM!E-c3;h))vy5BFQJgVWdsWw#q~ zP> zygsbCIwLBRHS$-%8P1C!awUb$HpYWPT+X)J$qG?|&3&pEyHAricRm?sXm1?Qh|h`B zPT>XijYo8uGQoOVBZ{GTR zLNL(l$S;p>g18~K4GP-pN~x|-Iz&#c(oIT=tEK7kulG~z^^`1;m2!tf+PmIQh!JSr zOrNIf0H^o`P&`|r_t*XO;ZmSj?HB4>fqyEY^2BTdL_k4mt762__M z%}9?Grrx}|uvL_7jOf2M2>ztLaK-W!nwH$3d$$c+YA)k(OTTQyE%c0m!Fr?Y2g|*J zXN9_Rhh*}w13JzS9kz3AIE{-&LZFJtGRCDuglH61i#^N65TE9i~ZqiYj8&FlQMC2 zs`aDET%}=bnyA(c_7&?Z4*5xD0TNYXbdb{lg~dU{`7HnY33bj(4<^K-mE6?WZg`%M zMD7x@riv?~eCi2rUqz7ELNe7<3^vtsw&f=u1fTobJ5KwpO7oF{NS>6!VOT>(Ow*6f znYLjR8BSF)jv9mY#{`ECS5=>sp-rZB*zX|srRYtP(wPZwthi-2Qp4hNXM`|W!6QK>*pU%Af4ma6u_a%i(vFFzf2d z6F6sGxSPryY>euAn{x3*aPW(ly7bcXPggv2x@he9>2RV=S-cOPst{+fvdXRCvg?(l zF7vw?A6Da+Uz%wHr%cm%t70|c9J0B#_CN#_S_vb2SSHI2;lY*02xc>pSXAA)*7*F; z{5lZnVmggyiazIf(rT}kugK!v7?qj3?EYdf{aTo;M5IlFSVS0e^z8uo2E2~;{+l&+ z$Sg0Noauf%x_ZN5>g~pnI2oEbBma7Wtk-GaL1AvPea$b+i2poKqtewyzY5_wo=apT zM^5Fgr`uTxCdF~7AdbQZb8KHyvj0QZ(AZSwc0GI@AV{4+z5E%8ONqz%Duh+E!v&$6 zUR$}y?tFP>idF;SO9SCPo#;62?Mp{S?GChkhh0qrx%G^-)wDEGo(5ej2a_&4E{SlO zRJ95%yvUj9s5JyTV3Bx+Et~{{=Zt8S6Yp2yqqVa|Jayi(zTT>qQlTsZUZkmJPF~4{ znAH_mC-ccMMonXA>;1X#*H`L_YB&%X^YpOD3!T3Ah-gqy3)&^xUQLc-UKwOIN2yc+ z4^;f}N0WsUb(+8ow-4qQx-fdaTHi$K;){^ZiRrElVSss;f(}@U_(1Virrg5Az!lE~ z2?VMITCcKFM;)k4BHD8jWVd3UV_H%s3+Cc6H94N)x6)SocDeBE3&dTj#UZvZaiiPY zXymlC`!)V~@u9CBxlXBakPu%Z&zBIT~Q9()@mUcfEy6mOtd9qCoX6(|!cE(T3 z8=vc{Tc-SvfJT`AY-HY@^*$SeI)7TMgofiLvJi7#QGpj~W{s^PXdFUsLF=}oeSsUZ zzq6TJFr2`mD``#TrigFZE7A$>`UpF+3nrpxh)I~-DBW){iqf|5d76i&?fwiFVCte< zjm~1hnzO|+0r5=w<0u{KYfMpPBwv=D0o6N)UW*9SNTyW}6}^ul*GjSv$zKzNI$PbA zLZ-Qk3W#)bxiN3(b1W83s-_RD=WI>LV;z`T@BDh-&hI4Apgc-XBJG)d@O8r=BbMip z>d42xtgG!hEnPi3L(vO&IRb{|>I*V=>l4U1TDlf$+(m-{+D@bcjsyjsU9%p#3gU1g zjJD1Q_{0F}^f^&b=QVODrD)?yu4}KxF}>G+xoJFk5-}PjJN{U&`!3wYOJ7`%0#{CB zB#UWEAFU0=oT+vPT5IIo&$~C9%R)cI^BLb7+uAX7H=0E4brW^3(#kx}EKct=%mae= zVO>049QJvoPEQ~wFZ8H*MxQa0CBJ`1mh|3e`go*K8%{p^zIY*uAA6aVO9e+Vep37m zVvL4FYv3t9-^p~|OGlsZSU0;8RQ*Jz4dl}lkZP1gFSl|T^{8>UAYZ8`Is_yi3%{J{ zpMgJ%f~SuVYp;(R9m%;Cwr(BUVu*k@+^(M(4#SE z7FM14*$@N-Qvr-R(vpnHS)JLx;q&FvJ98=7Ld!I4N!FQ79<3okF_=P>(L7n@5xylq z+QO_^B~#PyE^oB2u_qK0a+EX|jYCO|7FK#?_3o#$w(t6^>}oz>n99;`j2CW8ZwVRZDel8&ED;?5}P^qEIZ z#@?4$@-pw{tf@R81vdwZ?WA31)OjfH;xbfLwKIXtRrcOlBz3xqDZOwqyD-1A8i}uRX3T%G;IY zvUpe`DtzEM!!_HJ7s+h|vUkDzr!0|(dW(0Zv0X(r>4@fYk4; zD8TY9j>4Xar0;YkVz@ei6TT#B*jQ<03-Y3nPcHnp%2F)HEVgt_NZQs$#m9P&SP~|8 z9IO;#Dk)jTwa4WHcGFBbS~(>FsYGuD zidSnq@U^<;_s6zmX&(+%VJBJ`G1m6tujrMZ3{lxn)2e)VJ0roUZJ1|;ow7(^{^Mwo zZ9s)TSuYQD(TXByUD#+ zU(MFU8Il3gC^WJzlcS2fA$|M{>p_pIWh? z=;XFB-%wG7TG!fARas+$9h+pCR|o1&R~xqB$qi5>A}3`UE!(MT9$*rXDbBSjI>TLm zrsgTnUee!PP7ih0q~Teqyogh!*3+kZjq6BP}D{Spe=bc9j?!+ zGiwMco_>8+6?hkBYXEGIXz@Pi>a9nd^q)@*Zywm*UuY?nSBLr7JLgq=tK)EP@1TBd zvz1Ca#0#l6Q!^STQzR+W2#&=`kMOu_?Re^N$IbOi{{GU4JA!w4-iGrtNGhH!LE?TVlmqRMbu~_&~);sS{n<4@)>CgS)aCy`6$*izFc!2vI z>`2n6?Kcu;;{x1CeKs)`nBn5pZm19nsyP?lAX0avZ%<$Z+BvZ$KAsVWE0NXCy(A5v zu%Aoyw1NtHnEhQSSRm4(Em%@>->95S3D)$ToNUlr|8YoU%V$gZ-cYI76V}Rcc%Ks3 z@HCVcQOoklLbPl+xJ;AHDfp)pIDnc89k6M0BVwf8E{ty*X|l&p<*V?$v;7qy!|As# z;o3nsTj7xLc(nzzZh@dmi4XRfR4r0LqKHSyV;-wF%Lh=iBk~ZOVARBT&r3a@C@|4;#RQ8ltxG&a^sR>S_$SaqR2t^TSWh7*FsYI3C-7;&^O~oc}#h%0$4(z{JS( z-{t=Xd5nxqZ2ym>S!gm_qUKLH$2y=&=#q1gemwjYj(y z#9fvEgsZKyQ`#XMBpeLu9IV3;0t6a~z{BBn@5Zh_SlfZT?ecJ9YAgM>)~mM6s;Bw6 z%6Em2#eSJZlZ1xAOh6L?V`QLzf({~1X+>$z0KBfzxxS&%xnOb5BGACC&wqX1(j`Dn z0Re>OEf#{3Jdg69xr{B8H=IgX0N`!bH2{td0Gys69G{?@8vr?XXJ0Q6pXhM@K`(hOj1~oLT|Q6o5Ly6jmN!2vD|809pV) z1+a?Db)dhpv1lovg=TP`KPL-e3WK^bIl6nmivL`lgPXx3STIg@Ak>2Y zC{O0xuW=*L007U`)zw$l7eF2{z?qrd^ouw!tvmQ$uG~MLv00ohUR(k=fJt*K0sA=8 zyLbE!@}(tEhyYGb;BMaE^EdmDnSQ`Jfb>BCGXZJ@jBozs+-ZTy-n++d?!YYo{I%TU zQ9y&=!`of`uvw_afPm|*U*w-t)J94RY3gq8*(dg6e{NDzLOTF?G&(#0XmWV&fPczo z?7r8p{qJ4ZAKpO!`rpM0GpLVGm*287fR+#dkRPIZo^F3c$9HYaC;wVl;Jse%GJ%|D z7?8PN@gJ}&o~x5tew^P~={xg@e-oy^We@(zzrQ&WE9)~i^8DZWfWP>?<-B7v-?x9v zdASF3+=Ve8x(N4vVV6OFn&&12pyL|9f4xu*N@lr<09(9PIHAGWskvYE-4ImJj=-7} zfcvMae-{>d=?>e&aUoz-f&~QqySQgHudaR%z4dsVH&0tZKYUF6I|61ipLcyt`%Vy| z_|@VP!_f^cj!Z6MeyRe@hk);n{v{1U=WXU9f!Eas5!Rie^f8Ou2apaye#b@~9|G1< z{uq8B-!}rUE&W7;yaCvh{0e>nur>Y?00Lyb_s-*!n>W6J9|6<>{sM9W+NAsj%(~kC z0_H+*egpHVxBSBUtZ-t#;or409sOGx2Kfot1E$aM@6vIe)ttNOOA&{!}Ddy1=Oq6hE zF4Sg5GO|gevw+EtTAX;^JIJTLprK14Mu-<3Jj)SH#N~%5Gm#xtep0Hyz=&mA2pTDt zBROG$CqrJUu*~tCarm2A2pjg!EgFzUs=ZV7Y4J8#99pWNR@@n<%vGrUu0zf%b1UQ*$WF_19`ETg%-#;Nj+fG|W zV{IXCBv-fR<>GFoPdXBquDt?-ugiKoGlA0cRnK_Mp%TuT-ZGSLPal2{1Rj1OigHhD zDYwHGi(11Gops|;$dA73zOQDikn0e>QsW&(EVpuiVpq|hU>q?GauS;z(=_>N-qD4Q zjy`z@bTD_Q3VOQun>+ChL$Ipug)HblNX)%oio+cwNnzh(CO?fX<0nvyrx2rqiJlB4 z3MiZ7#d@-0f{{!*#5g6F-ce2ntBR#^8V6{k$gI4xC@tvLRU^xWA>&nB;YLKQ^O{Vi zfE2d2_`B5NSSn)i=^M@&Y2hw7DzRr*?m7)fET0GZw#-C+N>y3kO$Lvm6l^k$T$evb zqnsY=spK{e=t7M+ztg9U+&T zo8umM6v0WJT=|TY*flAssp1SmGK=V|5s{5IKyZ8ru=GLaQ+Mvxv+(E#w}Q#~DX-?8 z+<2}cFpSOh`Vam%SnC?F(9?e-G!cZYCUo{ ztsTC%`o7y%(7{kHu~cCTd+zpH;lkH<@=99h-C`bGIOhxUA+lQ0*Y0i0 zk{^*O3f&k1vxWm2a4OQYO{U;wYrzxqu2JG>WLVlpxGmStw`G8G3x zLSsnCF2EN7yRzKzRG%N}ui|IQu|;}NjWb-Ks7kUrs`jUq-793Rt9T-%QVB^4cgu!@Vc!`WdP=QrRwuG5v( z=0MW2elC`sSz&^E3l(1=$TF2_^;_Q*FbWb+`uF%P6o7e|$+)A1g{DLJ`dTn8$Zzc8 zu3SeE0semor5AGz#BBfkY5Ba0*$jUhnDsQNxZ46R+)q3)F#6>&#w$88Dg1&B7xpka zPt2XkloTAy6MP)BiSVB(VHnIsC(Ri6`9sk@g6OIsmMt0yWQ~DUtHJ(G@?Cz^G_u#3 z`v+`w60krUdcO4oni%mDNOq$CB6*D1(S}PIO|`Q4u1q>xCN^O+3PQw`y@RCb2Z~(W zFUkZ89j+V+{T*cGFKlQ4otbXYLk(`%YH8{S2j{WJMhj?1)}m8W=03wF51BQt;f0Vf zZKY4~_qx_;IQr=c9*~i^*fR3XE9#TbE;A=Jn*VJ!eEpQ3{}Hw4y73?HdrObma*|v% zUc0bwVe5yskOsqy}%fD5ZW)sm7q^HdjSi| zh0GI^+;`h?jav9?zf{))k9E)eeNjU+wR%*2dNRm)(go)VOsK-@pjt92vfBA;n2zEb zb6<6k#zVEy}--hh4*?CfiCX!xcPmlns<<^tuC5|woAJgnj8)W zQRDnt=?DvwLv3gPG^Vd^VOnJJfw|G-!g;n*K(KswAy~=7e(GBe>leQc>;|W;yqdvz zz{Z}rdVBjpOq8pA>efDxaUy=rX8PBcn=z*K&uh+MCs|~5G$y101Fy*1Y*vJ13Z}Rc z$JcK#XeVzH^7egpeG!=Q^}`Z3?Qno=HoQ%~q%_E{vR~K20>v|wxe%$r8i@|4rO}QZ z97ltZ-Rk6Iiet=)DzT$4ZGb~iSW+)*dnWK7hgTcoo%ZTNGgx`atfpr{&8W$qiMhw0!AG zw$<|hV>97X^}OqePYY(J8SLCcFmKOH1UUQA@Dd|qRym_uQstlEt-#p+VBwZztIE`^ zxJ;hI@9Wt%a1(1HqD&8weGBu5cDnII#oXBe$Jt2m6!ft7+GbBa77TfVTSJ$>%vU+U z@uFA$RXaa-$Ua_Wr)e;wtd+uj2{XtZ9_PFi)(@DD9n~S<6F!}Gz*)uhXS+2Hm{$td za0@8d4||RvBPy0!965Y|vx52Z8W-iaE*`{c&Pbw!b>4VdGl_}cGzTXguN{R;v9=Qz zK~{y+!q;Ho*TglRsj@cabO&tDM8xvcBT|kBg6-;}HM&&%m+`<|rs%X!Aqv<9ej#`r zVb8X>(Q_;rROo5$rf*k`rnm{&Y3cEw6ikSu49~jVDs%^_QrXB>_$tZW09=YG-I-maDhuX|4jLM`eUMo>$#6e49iMDk+zWDnWxpj z^_sPK&B(!xd3ZptntQ67Dn=fP8?TU@tDJ110;h{8%(`3Q#Rl)$=)h~}3tPIVBvKdpJ)iIpto`jO_JiQP`>=2KgVdtOn~7syYg@v}Ss_5?Z?aIvZ#XP|hBM+wg+p3@Ob^wRgw!mkhRV|2~*)6rkR zLyj+fFBPIg3OQ%C?Ax<4={R9ft3}eKqhbEGohaZdLeNQ95Qx6q- zQX*fZ-luMDd$e+#6&g~%JvcjwQQEjLsBDvOhu`DB zZW7O!@ccF7{X!ZNj}+idReA~sfa#b`fe92(!$^9xxFHBeBoH~CI@$c z)Y|KsEWzPnyU8z5T1-Pz!+C>_ptoUEIbtuVv_(Lr*5#~*!~wFl6mIu*(>J3V-O25@gjcDnzu8W z;@;2Ph#K};L+}}sO;_CiH8rXF$aCCr52HPA;TehqV8oX+)mAm|W+(rP5knj9p!ky} z=7+M(L^3irih-ZVhNVTYhl}u^a|3sHzN7jJ&E*%D-P_ zC&CAfA62X3Nags7)lAqTcN~oPci>$eq8(^6HmbK;_XM(Ywf$P#X2*Kjcc#eQJAeyN z#pc4DR*kskJY_20yo*nM|EzeLg&gh{P?g*4>p)v{$YfQ0hivvC-DRcE>7EG0t+?i*MfG*7<`@!g%q^H+ z(zHE8aIKy=i@Es^8Rf&q&Y3Q8$K16N!OCFv9`^Fjnv#>@R$!5%$f~_lWF5uhwq_5z z^p8Zj`_ciVC0^b#5-gybAQrrQ_KXjfY?>S4En#+T-2PElJ5O1s*lFPaRf0*AoqxFp zPq!2c)(yJw0(?sWny!mb|8wG6Zwk!QTvagmH7yxPhyEL}Wo1G4?Mxi9bh9|lZ_SeX zTNAHykWHzX{tIL++Pg*mm>|y7)y<;h2(K&;%yjrK;o4zIf==Rx)2hDWY*OL{8ZIl) zJm!g%zBfO##L?%Lu!3ecUs5M?V;Jqm5;wkx!!*q&w9kp11*QF$0ZCENHC-)k^iYe) zzbq8KXOK#9J|kM3Ct4eS?hF_wSV!q7QqRNl#_)4G*Pakc(-Ty-m5&M#;MN*S#WEw_ znApA+Vlp;!;%7-m{lvqjxO-4PVJZ3XQK`;Iy!&Gh2hB3_NCf{w`Fy<4(v%Btm{(nLq zcA^~BDrX9>E}I0~dAs}IZ6w_Oy6WSt>!b}CB=t091 zXoR*CJ@zQ4Kh*jv5_+yQMEmSG&begZ(R7S-gzox(JbL7L=T4$S7%1mq=p%TN^nn*F zGAnezzV5TSqj2+&{jNc$F079mOpUOronA^PC2Uut@=zD1Ss2}AUO#=A{aYEOuT!P; ziCyoPYMq80gPPDaJ){GnJDp*pWuS&syB+HeTRT!nYP!Q+Uy!JTr+cI-PcLErz~7cW zjV7b1$UivMZ0-80#~Kn?s|Sl8g%qN#Tw1r3Bnl~$()%-t1!X%)+^hU^y#5K`!6RA2 zLmda_n5d6#Bm%Gx#2tS{jth#KuO{`3t@vw$<#sy0)g$vKBjR0^$|qJ0_9)EYhf81C zSKYxU*;(_s4fiIAfs-&Ag9u}3RNij$ZjAEfJz4D_O87+kndjSD&)g+L_^IErB;QI}6G-`AHg3hDxQWN2YejRPV_ zrLrrl7WyUZ%`3}n=t|b8XlFtujEO!25rw+910)wsj9+S+&|rx|^A{ii{*Masp4O&V z8m0=r@PWuoqppO_nCe1tdEj`xGov0;CIu; zBb2Tg0Mf!p{hQD6j-Eh}%C!dlzhuisuh9Dc#n?GC2?8})v}|?Rwr$(CZQHh8)n(hZ zZQHiZ>BY=MOvJaCfACf>A~VlDS3%KfIZ&{ez6dB|L3S2Bxx~2W)PW1l4>!TmGPX}Z z+@Qjgz+41Of?Si(+R5$It!M7%J|`;2HL`9}Q@uW2SvMu4_-gWNvPhswM0IiZ{z=i! zEN#Ddnv+(3JTx)E1ZrFS)Y{y4HSY5F=A2t`$tV1TT?XzKr8j%L#10zCZdxDZZl9yu z0p&&!yw9L6-HPy^9E$YA!^v>WA>q~@TGkz=wZXPV@=qE7^stwi1m!Y%u=*&*8Cqb?GCMLOgg=-h#bBN zWBp7}O+$EediM5b$xCO7tn#9U#xb5mpgR*>+YTPnR2&nAde~=}O?;TQI z@lIs$4eSY0#XqtM2J56k)w7ELdjr`>eAa{RSaFUboH81dnTdLs3Mj&bcV*+ZQ7dZR z?^8*D4q-?~jrTNy0O4c3PChMqG z$9|qCK`3-cD3{ZUU$ZpIrJO`g4&mYfWPBMuka~4vK>=NL5fnslKhF-4rr5M1LOeijSvJd(j-OwEWhLg|M_QP9aP7Q5{@ZM*k#w z0M@d*2dz4@5lMcz3QfnE=dB2TM^%(NM{m@9(*h>Ohoum}Axg+>ixA8LjnN&)kjFD} zl0xOkA_JlH_hcWU`A$!W6S2!G$w5qv z%%d%ahE4r(K?~9wQal?JLe$(yWH{S?HT+mNY~4PyK2A#Em7sq{kb>lh*8p|~{GOuI z2(81oyA7$#(q=J6;1J%g;)_|^8v%Li9bOi&8}2tOymZcHwsa%6Xl%qYIO-)1znNR4 z^ID{bJufkhy!Q5$i)rwg^q4}#XQUaHn@%ieJzDAgB{&y80OCVLYEcl;KN2@q z86;C}1^H@0?feC;35r5Fw>UyODx0b>ERY>_ysvFB2Y~9UfI`Jd%KL zek=V*B9)d{KUwZ>H^?^yliyp~ZPVpS6rtY;A?ImnynF$mA-C#yC6avP-Kp|Ndx^0< zOl4dn`L!rlCr)@iIH6tNePLd5TeZ06d+I#4@1uYaSnQic2E51c_m2H!Tb&M1yE$~4 z%}H=|>ST~P$eAh1%k#UL+f_x?NMS%WG53dE5Uu?Bp+d^@e0h#6V z&&J3m9Ud(nBA;hdQ0gEw=H8a{{Bxo8VkRCI>eB;1a*)tMlzt3m z79{&-nFmlxt5s9T(pZyZ=UN^I$c zo?D5SGrpO<^vl@dpCR9eY&*A+)A7}TT)Td)=OL_nZ6cFIYVBZ@k5On@jvi!V=wdq`kH+t zy|(f*=_S_Mu5z#>gVRPU`S>_E`nLme@1_=2j+eaF^D_>B`d2-0pb#io@sTlWB)T%X zE;~nR+|VU+ebo6vi)8x9@+Uz2MV#X^TAOYyptae|&P%#MoRZ8(8}3bRGml*v1xplD zs61vb4EZ{eJIbodJ{eQUrs-~4O;2ivSzADJE{C$6!i(r~*j!Q+Eieh`Jj@!7KKCB0 zYwt>_H>^1uObbD>teKb`-c=in^39M??l!=V5FAOG55K#Gp0DYX4xiUF7aJxq^dgE7 z;Xi&)g6UPmh{!$5@6Owhn9g^3>s~RXevSb4Qt3_Zql|g#AJFkiq_BSJoifbl}24k(<2iv5c$eHV@*{ zl-#RZ%rQ^T{6-0U2TjQe`r{xU%;-65mZns!SP)+&QV7z2UYYftTx!q={GEk1j{zT3 z-Uk-nZ~a8nE+IvCC3KyIy6AB-dUgzTv~XE89x=GqZXQ2u*=)($$Y~b}If5m>qdA!| z?HSbW15sjyDvI4y{91;UjKb@%GF}3s?tKP*Qv5RZCkJczlzdcR+*F*O`?=z}3h40Z zNC70)SGsX3x_T~+;IDU7+E#;aSOp1ViJ$Fk(Y-kjiqN|7txlr9ylHFnNu)vqu)&cs z|44&y;uDDs_+ZzCCT-`H7iKpD7Zr9h^h6to^TtB>c2`v>IXF}8M6TA_o-^GX%T z1fwd7K4OflfQ=O;UC7GgJ;${l+5uL0eJ5K|P`n_x{&_tudIvk}l~S0bL1`ceWYi`V zRgUkBt=36O*|p?2_X68mk(Zx5qkxQ0%X$6 zH-B;?jIGT^eRkjouCJ2f$2x|^wugRn%LeG+lf!_@5Q+#V{mzriJ_tEMLIOJ`(Nd_% zxPz6e-B6ovP88v_d;hz~e0>C|a0bTCyQ%9QyRRlPYNe5G>^7+aCoqTEHVr46C?@ON zl+^HJt&3-;QGj7I$*?g!CL6O0mcb(q9Fek$p1Y!}p=PKWhV|ts4Huc)U6lDX(|jw9 zyBCgj3M1`PKoeKt$T8br8AK<*<$QF*-51|`@zfVA$*H;CDV}3n3o&C9bR#>5lr_er zQq!oY*0<;f)dDoZkJ%Vc1OiXh?HC|$HeA)JSSL-f0(uUbFvHt1xo7-%xB0+Fj>16$2CPvc={H&;% z40-|g_5A7<%(d>l=XHLkS;*|H%QchJimHtjZgo09_SP<5kLgZppPq|a_^ca3-%*%! zYyZKQ5z)K929Dde`HtO3z$RLJSeQHuvFU$r-+q0-B{ovHy3yAM3BE2^GXM!?EK4R=cYJ|-#4))m@n29hZbYfZ_kK- zaO5=iH&bo~0Kf961Xbi*DJ;>Uh8B|{BJ$}HikOO|e&AJm^`sFGoIGB-<1Tfsc6;Ga zeurWbesNxR=cSrDY~HCkq(l&cV8BtLLKeh&{pfv zyK?UneKokjpOLWcQ7A0bU>+iHL%Ce1>IBjrN(==<=LX}^&u<=D=6 z6uJk@eT3~=w;lc`ldZo3FL7-Mg4lFn50NOo^Hl@wG4W1?lwW^8q~dgRIv<}$4n~@! zA_a%J%g8SqXp?w_!J+)&BKl8qsUu(BN?mQ%N5eQ?wt|4I+kFBL&0h{P#2N;>%cHHv z903aWCRoaY==lip)VC$cH3QnmijKP#CF(hp4w?Iv25}4;G-*loBedDl|gSTr4TDA`zmTK~W%fR}& zUde*~jf!)y_lF10$B1yVvxZ?_Q~|a!-WZ**B2C$Z147j!AX+}{8x-7PyAZ}F$hWcw zB1X!pd6FyR227{C_fO_;NJ&8Tnged(olOnEq^h^+ZJc_=E=S49?u+x;?B7vOp2yWo z;3N(rbQ-fQ;R@IgRJ{zUGoc>k-zulY4*fcWn<=Ohv|hfP)x9#xAB4$rp6O7GyEjQd ze>=q>>TKIH+=Hw?SwUOs2kT@@s5-6DMp7u0w8hq6m}REXoRE5= zQ8#FCTTqI0N0oqw?y8J?4W}d)1ZcFXFWhix#C6ayE@;~28%-LN^*+*_M@VuvAy}uw zG^Af%!5>56shzc9GBbP*2VhQFw)?QDR#qZ>7D9exXb~d~t2bnifu;4hD;>AO!prJe z9#_xdtw9t8nE%284NMQB4n29J83{pzx`r6JdeyoeRFS*d=N}?6=L{3NCgsGM&{Ek3iE57gPCDbV3rBJY! zT5Vxs{&A7f!QJnOy@|H^5X}Y6sPkRk)I20-Uk#F0>TNDh7OQ$~`Ea&$^%uoWKdza7 zI<^L4SL)r#E37nXTsUW-8%0V)7n5ScIMO;D(~Dtx9M}`l1eSuf%8?O;5fX6CHeZ+q zsjC=9HKJ-Zzd;o!lPwwb+42Cw4{35@RZv9HP_Uw33zW=Di$$x?Z70NH>~P= z-e$pj>w7{v8*j_g=I>2G1-kGIa&Chp>lTi?+g`+U(3PnT>nxx>BOTzd6NR`WUs~av z*XH!7F13(+v#}eSO}AHsM`hF$+jx*pL*QL0lkXm_h~~L%5L2bn%ItqfMps*kW>+|Z zHESCzlRHQs$PMfqMIQVBy8X}olRk+3KVZj<3=FLQ!x{g>j+r=^{&xK!Ab*0wt3pXR`>e1A^#!AG;LhLd-i`hoJ>!? zZdJCmbe6X-AD_o}kclNLU zqOAe{%~+`TnXS>JqALI@TuAg|>xX`V@{bzqT$_*d4DIdh44CWe3>zF7&~tOZTYWRA z04VWDWAiA+P;Wtu{QYBKPiQQnAW;4pplj!RP%2zZW^6=a2zxU6u&jXVoZanhYZ-yq zfOhME6$_34B3|*=e$mH2M*Q$^l^g*0M0dCLejdMnAgn)28xtZTvSYdvs=?P*u=T-O zzyOg+j?lBWv(EuVTT1-UF*Uf>yWg?eF$1b&qIY3_sJ9{T394Y|J&oSb_{fmN>be_| z3X#@6;A5rzf<3Gn+p5dUvSVP!R@Nc+<35J^V)2t&d%WnsS+uFNxY)F6e+ilDs>|2$ zn5A{D{w~?{!_nef)c0EN2t)jiO$p2ZxSE=p(yF2Yi~#~TveoN<5Cvp}@tnz#+`->t zf#cD6ae4W#W5LG5ufaUM1nnIgA3*`Jb9MN8cl}hq>HQ;tfu#FMX8?@iIo443bIoTAzV|(tqE+zU6Lh2Boj9t9gDHew~J-BOe+TpfsKR6n?ZQ2n|o+ z?hN&T>FeqmfYvlP0I|2Q0djw19@u_=9ejNh1^RDnG6euG{Qec&FmW}GZ=&7(K0WRP?}E$gou)wT7LE5Sg^$%-Ev z;uSHVEBIf^fNUA+pRM%Y*nOG~mNnEO<5Q5ILl0nm07j-?yzR~Lzv|sQN?ALh&!tdL zt_r`I3R^2fGf#1gMh2$<=p3Aw9{BXV*J#jOTz$}Qu7xG|uY77)eM9C}r(Y*P?nbA; z^o>r!Z$?G`7<4x9DR&ppmq8c{7<=#JR zWd7r4cu%GlU+@QD^nbr%)^?pqU03X?-)fKIV(I_>Km!3v=lNZ6?9AmZzG*$AuESh? z&>^L=1!PAZ_6m0WP5*`E*m*kf;~o|GW%V$!yk|4^GP2w^umk*X)39T_>)q2LNc|18 zW@t5EkV_KiCA zb1h+K1ciOI(VE5>mK!c8Z|aPG;_aOwc~mALH9PYag8_jfV%Xp zcSln>$|us(?iq;voAlrpJ3KUeUkyIv$2+@2d*1stn}!N;1ji_#p3%y*58F@$YuQN->|3NR+Ed6<1Zj%KKr@euSL`c)dAX|6iPVS9|A2xaXXl; zm0RSc<99xxKK51fpoA8P(wCI=|eh}=G+cWN(Tkc|PcyJ)E0RyecsmD4|# z<4uwpQ7ClB5n=j$Qry^&=)`y0u39s4uoNN|TN)$<;SG)T6S{P7zqz#?#HrB7o5;&sldJraqrj>KG_EeBd4srt z^acB3FNgwO>dq>za{Rr3j&k73W*H*->ATl z5l$m>AnQ^$kuSeMc!tE@2z?SJWn$%iGZt*DDoqtqqD3N$KYA?mYS#%X>4YaUKR$JC zi5L5x2?r8Oq;Kld6N>rH!d7gKC``VkFDhPd#YAKGc)kROjE#I0`a;HUco-Guw}_LS zHx-d8dS$?s-uWGa-3*)=9cg7?XR?A^lBbm*5kT|6%SH-iNezIh4m|Qo_d2WU^kGc%ktOmB7H`>b*5l6{8L^<*~WZY-=DNN-F9n=Kp2cf zbnu^ZSy$0Ea7CXa*DE)+?RjR$qA=4#N;K#+;we>GPjnZ9m0m%S=vg0uCW}TFZ}YvIM!MI(te7U-0W_`2kj?v5Q1uIy zo^a776q^%~GT@pV2}jn;#Pz)O6luJoMWd578f^#JnC-@O_xQO+r=G@!b9SjOOdK)Y zso1g^l;)RYlsW6nmUq4mVKCvV7(LFLDY^ihJd`NZ48$oUKN0LyJ9T~p#l31SFCIBZ zEaPB6H{B=xiu|5;7^_vrf>QFJUQWU|ukZGWSlF(*z3KS6i~|g+r%eCO2Ys+?5I6@8 zYsCl%!!g2(f{%;jLEXuV6g2(3hBxD#*rH|*!h>@T9~?q0Td%61o(TQleXE7l${a!P zD}45E>BLcTa?QN<&1+sMHCiks16fxlt5W&(l~PODSrnq#LJRzU0vUItL(z?47yhC) zuRPY}*ZhS|q8EBdBtBoQNx0PN7mhU#q^Te}TmGW6($0EdRlh@Pi|)CpaND>O&7Aal z9OK6Kt;FTRvEc2($$?Qrrbnbm+0QL8-aC?d!apo2Y7$r4*W{5}>rodul;lB?QdiH8 z&X|6cu_lfMR#K~=$=1PUVP}rasG{7fq7R2m(sdC+4zL=}%kl^fDcXO?`Bc^H z-0)IH&$lbejFTgMkwWHc4gF3?KS{hhB*@sw9i@AEImMlbk@Y5(gsUZ*#o%$E?gVCl!USLu^IJclbh`f8mDm(WWi$32*E@>3@8u2MY5jSt?ZiP8 zS%RcV;$w0sdh(0-XNmmwQ1T}z#|r`phwdr7gyQ!NW%0DA zGA8_v_eSJ^GUxjVn_su9S$$uY3tjRQEb2Gc5(SGpzTFcHM22gtojqBlf#EooZeE^9p`49;xhdT0NfWthPam1g#`heSQt06;c!9hvr?G=BJi$-*7-g53 z)tTsWA(rneH^1)fSCSzyBmd0YETy&7@?aP}dVybvlR+&0*fs$Ix~pCqgh;QooCR%mp?a=TfhGceVlwW zqHz7r1DJ%m-aw|Ck6&{dFJYCUzgmS?nyVBcjyY)om7JJ8<>wEb(qOQQB-S*b%?51s z?|3_iV%B)?OPdLGBtv!<78ee^ru_4pzk+NltNNx zAEN0B{xi=gbjqIf?pJ$Xi?{}_*oUbcc58-%j~ltYCw%>Qs_JaJWbz!_qwi)M+GAUf zr|#{ceH5rAw;)YokC1d}XBTy^$-`1LERD|SA~p1?3n7@A?D1@DIl&!Ya4|;!52Y6< zu9ciiz86Wm79N2!Cx8VV6+rDiJKBDuLSpud)cyJ|jCue(auTpSMtOOfm&DA{8Azs} zg~u8|nyogPZ&|AJQB}18R@Z*Z^mckW_l%$# zzI&2<^X}VkuV4%wWBz0|@fVKl%9%vV2AO?dnU8_v3G3D-m?|jGGH?K<*+!K=TQUNN7Up{IPNK4xH#v=LqkuD7LNbsJQBb94trg& zUg?TK{E3!r-hX8^zl< zl_L(xw@lTXR?!aj(SRaD>s#YMyhJh{S!h+a7Tn=|JN!FXWXaHNMp(`J-Xxq8IqmmI zJJ9UrXBMsQjj=mBpcId~jw>fmcxd>W!a+yF$N zm4Z%FB>A2a$LAnuG~9ET+VMw>zy|@FUOX)jm03I4NL`vx)Z8@JkBlsS&)W>ns?A>1 zD)IC(E_lT-^vN2$Cdnz@h~=Fd%>1GHU&^vyD+~K`_6WzC1%K?~gR{>!VvDx>Qn)4~ z>LEY}dUgZlw;0|a$o<=#;ko5v?2MhsNYG3L=8g=u;GD?y$gJV=kHwn9j;bFC4|ep+ ze&{5sHOkmbT2X0G(e1+AQ$&$aAch_ikgpw9l(C#F3=fUHM{SL`tm(Hl*YD7$4isd+ zt3J|7Fo|{dM7FvW5GoV6IN?}hKy$=SVpmk?wY2C`X8?`(JflyhF)nic2IRuC^ekA6 z5XdKh=n9RwBJPlEd>#!3Zs0EXb2d4cL)(sf2eS7c#vd5Gb$EE!S~d)ICTH#bJm;$o zGJeqnUXH-w@Zl&OTLuJvKRkaJWgbNqpI2xgH?_b3ZiywU^H4tTeKQyq{O4Ku_J z+=FbW(4FL9=i-c%Pm$2#c865uz;iEAOWfd_>c<9Yppi0)GUP}gudtZ~J)kw;W1x?) zoSUaf-H-c2QqNcnEo|2m83I@uwss-D$?RgpB~_7JGBf~*$Sx%~EkIB0*Q0dYX&FKT zSI5|~AKfogotWbzWq^oIU%ZXXS>)HI1afrvV)&U7S&uc0f_SJL(wORVk+^`X#GXUn zD4uBz{JuX@#QRki7=U2LLoGS=Nn|Rg2(vdu2_^PE78w9ov^WDy$^@O0oV_p!8_Pl> zfy(Hp#A@{~rbsO|k74B!wWXM$081^MVXqo9wS3;mIkJe^pLGwB##@((ZK$E9$`Goo z7!JH1G3Xst-4})-`$%la-v#W}2G?*=K2RrD@64y>Sm*`#LRaRByLh}tw#otE99}l=XJXxe?hg-=?5noxNlEgCSI+hPEuVC8cQ^hSK$L3&a-x6qb zpBO92(xPzTG;abuzT$Vl7Ji`)^XZOkQ2icaQGX>Cm!w;ae7sNybK9Hko;)P6J6%(* zi2eL8Zi;v6DFWry1O9c^er6>vitZgwQ?B&=SzKOu9b=$^4P;5q zWW6jZAJWPHtdIp3Ie$i#?TVHlt)=^*&%uQ$i9Kw)88dxrzcw0zN6%usTzpJ(6M$yY zX??EHlWHk%;5+d0+;7IlV)M>qs8?k4z2!mxs1NVU(pp^fQe(e~=%wLN5DdTHlS zEKc?g{lQYuzlT(s34jppA6Eq^cBiCPbJN+_OXBXz{IEHJ)S5VO#Pc};Yok}*wC@f< zZ7M63LA$<_;;r#-Ij_8R`=Xs)zV+tg0a|($E)5n`YBgUp5GdEVrH#iwkJ!+=JK^#LeL|c|^$=@*Yyb-N*M2B6<9C(o_)llhp#>^88bcO53rSkCBMkog^K0;W2U z74lC~8Jl)d;(npi?VArwxl8=~-n=Ws)@h7ph!74vy%#kf%uC2y57=b^mF@BuvmEVD zdf`UjvVxEUC>Pxk&k-8a+R^t_pJLSP<%zV#O?CS+)M6)+DU;~`UId!y73DH z@5X|CLr1{tx4{z*Q|mzaDW#;*kiDd;evg(6%h+~sAl(?&XsE#qQl!DXB=Gr)QGM@n zmpLCpB|$8b0!#$;?Fso2{xVdLk*sw6s27hdaw?uAsLw&@P zoZdc4ZCN7M#o}c0!@t^=v+^298=&}Y7?%MTRGqCD#1*vb8#T9PxV%)&+rq7-gWXaa z;85GB+CXIv`MO)87g~N$v+Zo+s`#Ht2?9R29e~QAHEhs0KI-Z#@PI#;9l)u6YztFS<{U`UaIP z!Bs7=VXC!9P>Dunx9)F`Q_5nFnSy-8=DafAzoOV3Y{ zwyG{sMi9^P2-47XicB-+_=@ zoX1hE)VbNgC>eTWzG>A<1XN6pd;>&+mxMYwVqy8xq1P|4D0-;fCZpkwF{p!LFkuJXpn}& zykeMSI8!^*YryI^>~foS&j+`tfZ!z7v;kSE2rjNL3-6dIifocht3!5B)D5us>z^HfpDMUpl5X?n+t}&O54b?^5Q)WJb5vs;;ZK`- zma)!{K|nx=T{P z;GJ9-owD#g_g9|r$WjYIP(JD*u0vNumLs=q$sZ4r@0|^KXcr<7 zii!v2WtvION`0{+MMG`Zdyq9J7{Xjy$J<(wg7MF*ot}~ujmTo@g9Co_#BsI|1$Pf) zRC)0z_;{L_-W$5iJAwy&0W!2>o&ts%PB>AU*2r8n~$hS+0>K+G2RK z4+8Jb!s*j7IGfEKFiSK0_1$=PdMl&2rt`&u;Oje;>)#b}0)fns5o+e`bL*xv6w* zhsi`qRhk4vX(!SNb^35R!zGhJnC^fzDatxYf1rM7!8QYu5y^hH`z`U054mi+ZxdA< zsjF#GW8z28a35j1Mg_8DiTxV8<;Rr`tiYO#W5p<+6E7x)RSu!gPOqZ5N%wj4?uhko z%w|k)yuiCXPh}a8y=2Z--P1Vq z@tgyC@qQ*n3C=CumVio-okpZ1*Yz!WhTT!=e5U?`|Ct+%^BTJ{Pv=TGYbUmB^^z)< zDi~|p`>9A8Dxn+^7<7vrGvK%bt-^+CWg7=5L=hjj?^`e)J1%3OJ7rEYX{xevtxc&aEU zTq+csX~hJ}LF(t-v`DEe08p<%*f&e9?VVfw;3RJPpqqL(inj%0aDS`{9%pXZ4;kdi zS!#3;?hRFURedcQxBCJQq6ZV14ndFPNt5ES_2_p}+V0fOUr-ix6o!YQ{W|HVs2628 z?+qZxgmUpM8X=lip4_JLlA|sCKt+d#I?ox{w8SX%Ih}F#DsMPj*6DPE5cdODjgc$Q zRZt)=OatjvYbPh)tt-{ud}LlcF!Jwqo39p99S%m8RW>g?0mfq=XJKjeOdE8#c?>Xq zOR#j(17B#Y+m@qi>C4f2=n8mUQkp)ElVT>wUP}vAbwp57!717d6HX%QU=vJ@O`ha( zb59lCk$zH0N`qKhI9PLa;?g#0AMvwF9%G2R+NLB?ngr-r3s6V*GrpR>Onj_yxy;S6 zn8YrZhAK;`#NMilzB(R_$9aS+XCjA0=vF4JEN*n*h~=S-3d8ag2Y0hqvM9P(Tduoi ziQ*qJj@q0w#M(|?WHXuP&7GUvs&=xbCU>=E14%S{b6kajJHo+p-kb|BDmD}!&3hIbF9jvlf#D_gp%+lVYsEMp7WxaA~ZgCx7bg z$1>v$nJ)SL^Jb|1&?hwUwPSOj>0N7%!=Ybjp_MkzgM;_xbf<3-OP|+1xfA_Yi;ANZ zAf(bF#gHJh<&I1U+4j@tCrv@Ie2tqYkTJ7CWn^D3w{Jq8Or_q~XS@Sxd2Hq{=xTD* zhgtMjG&wtgOR|atx?9`sea{C`;w~txl2~lF6sM8-?U5p-Jlz?L#?Ts?oykR)GrzAGM2o-SGPQT2?p|?*BH8~L?g6SL>5I~f)$TF zD^9Kche{t(xQvW5)Y_cWcN`c+XNF+SD6jTU@T6fkt5cQleQr$4ZgEe?LHA=WL_N!l z;IsZrz3|ls`HhHf1fUfq_g%BCGVdXw*WlUKAQH|G&&;uik{t|F%-I9xW|FJ6#^pt; zgK_59Lzjkg@1B0xf|DRCGaG<{6EBh;j#^sGJjARP^~Sv6M^PWeUABjx7}jY~I=Pd{ zrX}PuEHyyoMxZ9O271#h;n398L5-G;wYujj6#eSQmzjLYTm9(hW&8SW567rX%b?V~ zPY`UBLim>mcKXxuvoQ4WEGdrUsZ-2UMC}D`_`oy=O*{ zX-0Y=KA{e+Mkl{+OZliNMqDK&$(;2cfA;C*L#O$Mm{8-XVlEsv{+X+@HAy?=J%3oW z3hn@NbF=*!EeZRr@Fy1mK3RmX@g!T%yw$XF4mfIRyz1RgTTPhZv(y|CSnT6m>u$~W zuA}BNQ1vr8{-TEif4=9SDYCsU0oc-9>hUlV!D*5j>goFjvW6PE4M?ayQg>lw zEFnAX@EFc8WzAJ6xj_~99wKq9oavLlw*?@(d(`2D|2kYfId+r1kk?cu5WxP737=)w z3iJPQ3WXBnj{zZSJ)mPzE3mQXdPIGadB{Q6@Sv%9R>6#@QJ1C2TN=c-XeQAUP4*5T zdh)}wX^I903>x~8;C~*>y9hQJ1zND{VF{9n0|j6E$8${M%SA!ht z3N7*Mj23v0(l2*oUYXm*t4D5H)|{&`J|Byy%Y*zoZU0#}zO~f;lvmlS?Y@r-Qp;~IPGIZrF^v!+* zzo4uayj{}0Amrel7)O)+@-~qTO+kjbWYH?vq1Ky4QT(T%?;f10eMtwq`8qdtb9`B5 zgCwvPUq6rJRT+*)JHMN^nXz|7002TS;-x={tHp%MCNF;^UJWh;l6PMVQ^@x;(QL9* z*6C_{jsW*~JHcAmr!>iAkqtbQoJC~+q2I18ORh_KDSEF8wD0Yxt3iJW9e+QU>P`86 zxmu&`novsMp-4kBlq+ENr)|E-<)f%~9XJsc7W)GG)g|aO2H+ZJPQgP zr)F---|+HK?TD8gA3;oS$++&BOZh_|lPm~fd7EPQP|++_D}FGm%agor6ZuRrPYTp~ zIl$TIpF*gR${b*o+MqA;{2p1E43>a-Dl*us*I&p+&MI^7V|GX*)S{%BMqd^!wk%l zC18{;=({_xqN~JVm5SAKh@XP<9vF2AQNgeP?|NMbx4vWgT$S9SiidDveUpk}TOE~m z`y|y?SQFptUZ?Osy==b*6kdWF)!NNJ=g`$kdBOSQw1rU`lMI22hww{Vl$UC*%1xc^Jz>jc!AVFURF+8R^)-bk_>DMY>E6wRlpxqDyS zt%!gAoNsPauA5Z5&1UmX0tK{f;_WheF+4gZ`M-b!X_P;J46Rz*L{J2 zf6)8blYO5r967h(l45x<*| z%+u4#xV@u*RI0k`=)$s2H|OzRbtG6Y9}}hE_I$T(y&3a2-`C08+JnR$El{J^AN z9;S3quWuS<{p~eP1Q1l{Uva5$+(gZ&UF)SmU(V57rmt{@B41+K72Kx)c@rSs>v@zX|Z$kwR?t%W_&aw%^QRs+E@mmL|a5a!U)9O z{N)5?lz?*kAa#}8pP=25M_3ILGp@Uy!SLroKCtNO7g)FIn;{Ahh&;q2{A0qrPSae4 zNql4ujBwH)O9nEM0xa|NT4L)f_dSLK!rtJTs=vmTNNYqYHKGfQlHG6@T>yW*SX+V=a>20B2eEq8g!SJwYmEf-)j?S& zeHDeLSpEML;UTvsw>2Ya0w3qAqSgC_r_q@tx%vF8ED1_aZ zat4JSh!E63jeXL&d`}%+kXocqDJDpeDV`P z@D=jV#!)n9vesy#JJBaDVzh`!N8!;e^_UDH*@E#b6FQjxG8|NR7E4a{b<%@_-Mb|0 z*Ko+~QiADaEsn3P3ju6Un}55~7|dt#Oe`X0_Tb~QyG#`D1b$nRn#i`w8{uy!#!64>={3p(xZ zOhy0;nSbbSRMsqQvHmB1@cI$G2?&qJJ z_wS++Wi$T9qxXCnR(Lca`SF{>Jr0%m#-LSEoO3#D^=5kcYcQyeKm)CKNi6$E@Dipg zyVn;D!`vKhccqf=f_ve;dx@=V zHn<2_Wu$HS5mi8BI-7{9o{MDf&oHNgw9nZ|eU)IncDvJQ{sdseDNcC0Zj*gBUYK*~ ztl^M8I9<)%!!DCI(0|FWaa)|(lVRfcbI`;4!pwc@%eKa@o@cGtB^C6riJTBmS)-)0 z7rPM7Vej2tMg!bt2=m@}r(=n)A!=6rNB;Z`&`+6!kIK*81i2%;>%MV!1gb2K^crVZ z-4y4v6vExLXO@I1J%UZd80^)daiC0 zyCxY0MB2PU5&KD$H*{BQ$(P~$!PU4+4tbrIy)V~f&N6#cNr)2iL$*D;ux}F~{}+4c zW-tSCdzLc8kn@Woo70@2ehfve6yLDZg7IyrNq4XoZOXBexN87e9KRyap;>k2FbRzRfx%obB zG?ZMPN8v0v3ChYf9CW2Sw(e?eW5WHQ54m!kEgtsga#)#X%(|zKu#uC?BK&5sxj|w; z^7%Kx+aEy&jwWQ9a)iTdbR=B`)=@kAV87zBx;D#QGK!Vq+Hg?y+gteoimOD>=w4!* z5II3`5x^qrkkP}wjf^@)HM~;N;|t58KI@t#$q45TvWC_|jO|f`|;o-o+M| z?t}r`jxQ$+4lDGqy@~x;a<)0|0adscz)3x@axwo^*i{C_6{OpQ!7_y48f0*n!3jFR z00Dyg;9+ogx8N=Tf(MtN!96&E;O@cQ-5wtJ<#nqr30d)u(R%`TBOBbFiX1 zEhbTaf8=^r_7<3o^TZChX5Rp{Lgp~6i3+D_Y|Eq;_8iAInv@*X|LM?KxQ zd?5q0V#r5?zT%7NV6|Or=Jb=KdGdLhpV6Jp*l21=v#Pge;2@esdheQo(0kt_G4^uy zte%rWAC&mn$Ln0bS{~<_GxYPAcak-ygEuabFL4yP$^6)x>~fhwu(rI8WN-m-B8B6)>9BUWOw7?%i@ZjM zcsLg}l-~Df5vydIxAuS#Z38hLJE{)ecO#PG)x$>Xmeg>QL3w0opcc>9P8rfpj ze+Db{_*)s6j}SS=c!c-KP7}@&^RfPFi5P5H$22{}H?Muz7iw=Y{sv2c#d6^-5%6U{ zq~@jd$5b+`a0z z?>)O26%r0UlAsH-tJJ(|$11qanGVWV< zxspq^PkVTpp3It#PstdWlFkD?>rB4VL~zdCnNhrQ2x{G8;uhlnl8G&4_vyOV*vCTs z`?FKsrU?-4zy2%+&a2gY<~9F(n}}qQ+9YIv7wTbc|>{%uw8Z$emKFH zq~*%EzR6xtXKv&0k)`u6!xwxbYJgR=lK*(WUGe59@atsQ)H?_Ve|2@iIDyLDQYf7qL)k(?ONPT^#m|J3aub-kdX$XMe|_Y-=tz*cOWo7@9P-9;P_c_NuA^-VVbT_$ z*_3xV+1k>W3w`^mDI(j}cXARH-&x^c2N~(FW5{3DWCQdo*PJX?do~fyX461lBipp& zdBBpEvq#NE>3f}ofp2@4-GQ7Gg^rxrRh`QSOnugCV&sSYfBP|uYj7TWl&pS;)(`w# zko<&ZQcLqk68XAF$BxK80hV6MJM7t8oZ$s1>lWb*@Q(bey3|3EetRV1RH_h_WtGTo z?cW*rhnNOwci;zD^kc#x<3aWl_CyA{uI&xUi{(snS(wjAwUc&YVLG@N$x91A$=H&D zmbEu0!JF`=qcSbQq%78cY1(^!^dPPXd3H@m1c?fO8(q-+iAy{h5O|+%%aeRwigOeG z8&SG*mUAr5asCQ`hxc>rBE};{Sl^RkG0+22b0hE;S71rzS}gR#4h~kk0Q(R7aai7# ztS7c?7l@c#sYx%z&0~RHajc_`CG&G=zMWT+dX~8nAxiShLQ30s;%Q$yiYwZXf?{-2 zoo>?mQr2S>h;K7U#sPf9!l0QwI||>CSB zDGo#T7b6^Cw3Tnu*Sg<1j>*S(Ru7QaN(}?I06CkDfnF zqrO87jkzb@td%nkHEVBRl8T@x^*x&OVFP$`V`wG&6lJHx+Ig_Ij_IG>!d=;jFm|ep zlRc;Dm~7-K!PeRI13=3@IsEO^I}_(Ynf1UxL~=YuktU=O2lkrhlY43F3$%jhEM|y> z>gOOSE4Z(xuZ#+Z>c`KW82noy^wNpAQ^S4=Ee&m*^W($v!lb*QiHq>pxKw))%w8Zb z3O3I95pr2fIj=q=8jXnh7k@xO(`lJfV_+6shfKVZt&ToZDS*W*bQCg9p5F+6El{qVs zq{IUyF24D=50%|GgS5O*Qdk{~M58i2{F>qCiWaspq#RtJYK5^`hm= z@%AVza(KCK90_(8lyFfZ_uzXRI!jlcuDXT!2Pl4*lS_4SIzXC+n^FQ@4|mKdri zInTz$ick_X+FWT3r>2GUvV`EutO07YzH1r<@sRmgX2HPG;n>-GGK%&2tzFFKtIA*R z%Ho4hDHz!^-%A3ce3cQ^qVah8US$|GNyUd%JcWjc zEHIRu1IPkTswRe@K{cwwQr3xlW$*80T!gXTMrIYxz6PEY@da5K$+;)i3l55tgnz*> z7RsLfMi%m$NtA3|#d9rQt8~%yqp>DqXCQs;09k{={+8@-xyHyM$-G4}0Fbx8m7X}O zqn4yQy-+5Us<5hxyak^bPqhut8lYBtUhLCafi>KqZL#&ioNJLQU&p(X9wyCMIv z{2KnmNl36nP9@N?Aq}=I5UGx;{ycIG3CI<{2u|-M5h7$M_^juB7Q5$)8XZ=3y_)9p zD$hFPQ4pPd+7TJto4F+HY$Y4ONjcT*8YfEoZR*(Ue7QA6i?KBg9LcPbRwB3*UnzSl zpCZya zFSf-du$#_my+49MQAT1xC`glLK2G1bv6AWlmp_t+FTQkoT zCc|obJG+KrH*w(&rOLgKuAi*q0w-EM$ijDeT@V|mVznsC&O7nU1G86)pkP>-C(RP^ z6UmrfhmVlp#ROjlwPrAD9OVR({9$$}kF2W9q0MASh5gzn80UmFJNxZbCQ$*;{inRt zU6lDBm#E>mgV{AbBUpzo93{JLuYQ%Y%}DX;nN?=zzZv;wBS)5rs^?5vsoqnKa){TD z!YAX)UC`X3TQ5b7hQeygeFF7q^=H8$ahqzBz`=76H1QS0;>&L`@$6&1nVk*L-%2{F6DU`j#Gx|0@ zboeiFI@t7y!Fu+ON#a-X33akRTJ1T;aCll2bP`{}EdIE>)Epxkd!6KBQ(HmMdINd+ z@U6*c5Ah&Y_0K40cl~Epu^p6*lp`g>zlzsf;Aa_-6CES<#-XayWfyk_CYDZ23mx&2 z&d0Q08%JjB;(51*6C5=C=D-}<>yq;n0xXrKi{lTw&w;V`ACk$tA zca_CVfb0PVY0?AyyY7x6&D3T+Qd|;L*I;;#IK=weJUmr zCimSYn9RrXfLwFyR@ZvXia{os0|ml{&wuxd9@`ybAO`MN@O4bGm5ZzJ!!)iro);ql z%2S@g$;uYfT0ZuWvygtN2JD#26q3DLzl4R~EVY96W~qqB<&iQE?ISm%RY#7&2Y?F> zgUnioux$m#cpx=m(?>>DaT6X6`G??TxlfS8KH}TGu13lLj-Bj^UD&|;O zOu1qarLUMk;f2sV^!#Y40rXCxuLn-!?WINx(}xI}>Nns8+y}DQVnmEEds-&FwQON& z3s#+u3w}Ofw22OZNKU;PvTV<9TQoB*bM!%D6GF56Gg=i@%z7l?k&NDrsH}mhBY&g4 z^$e)9it_$XZy_G={~`G*I@lUJe}1tDqPH_PQH5)=fLXcNS;3qPKsIp)xS^Bn%bS<( ze>cr-Z6pkx;2?U54;<`ZPIewJF9(>5n}eMR%udJ7PWKY`$=3M4g{V3h+S$R4Uu=mC ztsLP%HbqsaCaa{gm6eg9jmsG6BOf?l3~VPXcU!yO!7rUr4cg1Nxl{2V+i9IRab zhWbx{AUSgvIOqiv2Ls5`&d|xs5pD=_`QKqYFY=k}3@^q92pfvUS5 z9K@zzWTE0@4P=uCadG{F!0h1Y1mfi6{5SC##KFtU1^Q?C@AT$FZI~mD6u!?)#ZKSS ze5ZH2no=>s7n}vrS7|VMGF;EfT1%K@d_t^#)^R%7MK0D*%+D$2n`bwWJVcKE8#b@3dqG+~|JLTq*iOB5yodV51Ja?cGm9M@v2CG~CXd zy0las++Xq8zW!a3A?niv2)Xt_@v&hx`RO2lOa)b*h3FX91Nzj6Klgi}6sW&=Ju_&| zXNFddG7ysBSy86)#RF`CL<_a@f#AK`rNBsT#HeViwo}hV$e3qL{2W@>2EWT}-tHM>3|CuB;7mdIRe$X^QVx^A1&2!?=qw z#47{nWmLtHQ7+$f>0k#9bS23J9W0n)3x0i7)h;aiTPPk~MqS?Vu_;RZX}{ zjra$;p+Zb!(F=i1Uo|oVG~VkBA$M-QrMS)b^}$%@g5|SE`-a`1f5GK_Ir-o5TT)n2WfoU z)YSbc9l;-$doOwyDAnmtRcP0ho+=nKAYZT*z82c2IQ+&gTjFX0Sq}-{jqK7)H9)Mu zl6r^$ir+`eBTVYIPc)(d;vFsyrSwJj-xK4qL2|GwRqf5b3aXkCMq1Ta?1%N#5;$h! zVsj_UysI%Ck|HW=x?}vK~-UDq@n|M(i4v6GAG3j(CxX36GJA zQ@+bW_1=QfiSwR#;g{uLnBvT=&x?>)&b|*f2GWYN@V{5F2gMKT=A<>Dwn<;tTS=fS z;kEf)eC0c^*BHi#h9OljTk_f&Sf*lZVB$7S<~#0ino5*>mnnBGO6vY~Ij?vqX_VtU zELo+=T7XB2?uzT5p)#QhlP7a5aNJAzr56yLVN>`KCYx%by5oTy7WzD`0CyJBIL_8u zk68I>deREicg|ex4iX$8MTM2WYc=LSKhqQ(#viFT>tMv_Sf6gwMqv;#J?g9(Uz}58 zwNht>{z)xqvV_dD>^U!aa9nG3+fbvg4n>>gXn8iEtWcbdRuk&am0_Y5?8s6P# zhm_bMd#J%@wj2jYj;$5g(7{YCLMsH$IZtKX_izhcz@bVa@TPK_7*AI###DfZd!JKV z<%ZRDwQ{ilcC-TfqWS|#G<&eYicA{46evqn6X}Ljh;@oNC;s?XTI+XmvxF3Xm}^0U zPKc>e$=Ljyi;p`GoHWacsmhMPV`%ecPK{*h4b$G$`(?P5La9wJ>YfP)YgMn@kaz^A zVj5&FZP}WSpkp;Ot(s!l^o?PF3eDY}f3?N;cX{lJtzUS9yTdntp@9{1Bw+hwT#|G6 zG)1@}#USDMygGH^v}9ChYTloa_>7zCjfR0Xu7xU(x(AoKI^Z$vG|72;muwi^x{;&3 zgQ_PanUPYcnb@qE`6k7&+*K!q%AQg|`En~f^dK-iw4kFdeOjWNvq{K*88I6aE~aOz zu(`yNQ6!_}_zm`^Opc6mX4<0{r9^sjdbkiTAhq0d;J3W}58bhyLQQeYOc&df?e57v zT4MQ6E%m|)?xn5|)39ZSrBu$ucfpq(P6F{47*qAQ7v7z@CO#Z#I&1~iXOErLr!?Na zr&qgAgDX$f_ZO?MvPwI!a2lEhAKBRq-nAFz_1k&s>u3&9po{cX1_IgJyOrsxinKXn55{eR^;`af+mMIq{q`Tx;*e(fUoV_NpN8O3CM;foOg^t70UL$tBb$Qh#Em zn_#F9nr-5gk>9SPzxXE(QFuv?;FH#OFLqm2I_N>mEuhflO#GDPR_lr7*~Vld8d4{d zCxwDRPV&@={2~?ykAVCXZ*;jGzB&P1oz&HZ?3s?~XP@S`$*%4sMYRiP*2_dS_r>Um zxQS_^to>$X$`9WQxzT(3rA^9aj5lM2k%o+{Wm&`vnNR8%nbRBpnr|%kifZhh8}$9Y z4iSQ9^lJRL`t)O$@UW^qTux_A(S7XC_E2FOxYNkNne+J9G9$}Tn_J8Mk)I((3Kj#k z=UyF-w^E`n;+~;6>ua0Nm($jxc8`kM`aG2Gf4;u6fC~W6f&2wZo3z zjCikh*Wk+s730~oL)_Xaj)1OBY=ZeRd_v6rzF(&AbuAU@4hOHyMfcTh*;-~qu8i*& z;QIq>?w)h4!WDF$T?_QlOp7K_3pQ-cGvgP=+Z8)4@rU)vOV;3~oX6lFjoLMXmQf*x zG}q1knFr_^D%=+EnW8D)??*`+nP$FeX8N~Ui+T?27FQCseA*YJbk~-%CZ4O0V$(Qn ztLEjoj=3}a?SIWLy1w#1a%>{!7-V++-qmSgYo8n2OcoB#@(?kbBh5vSACcVj+jC`j zezn}p75@M1BRKveiPltxn*iB9+8D##KrnU?52r4WO~u>;{!#<7X@Fp05GM%y(x+@| z>jdIqe;Kd*k8l%P&_6dN|7b{nV1iI~4lX`!9&X+jRuwx$OajcyFTo`VS3m-k?xcT;(E|)I16NaG?%R&<+ulM!r@m;^(umlv z@eIcJ#9pjSi(W$je8hf#Qt51I=aBre0Lr-E6)&N(7u}jp-HJ|7SY)@T+>VT8a|STW zPGaLTOa(D8tKY^NEj$)AA~ZDoTWCa}bof{4h_^~@^Iw>&9<(<@mnIk$(glAY*pI;Q z!mc|?B!==5*acAb?!6b`jUi-RyFZIJK;>D&bBuIH9~^0|6CMDCqoA( WH;0#HcoAyi=jH&?&_ER=f&T+D4q0gc literal 0 HcmV?d00001 diff --git a/knapsack/knapsack.iml b/knapsack/knapsack.iml new file mode 100644 index 0000000..383cdc8 --- /dev/null +++ b/knapsack/knapsack.iml @@ -0,0 +1,21 @@ + + + + + + + + + + + + + + + + + + + diff --git a/knapsack/out/production/knapsack/knapsack_solution/Solver$$anonfun$1.class b/knapsack/out/production/knapsack/knapsack_solution/Solver$$anonfun$1.class new file mode 100644 index 0000000000000000000000000000000000000000..c114b3450fd398d053edd7e4a61515a5ec078988 GIT binary patch literal 1880 zcmcIlYflqF6g^W4OV_PH6&0T#66JGJ9FpGy=V5GbNl1xmu~>Zu*jfSZQrT$IZJQ`qiTx{?u@#1 zQiK3%h_-!t2~9r+l>cRgX2L)^M016q zqqtkcg(ET@&K<>)T{dtP86DloG7Lp4orWA0Jlzt$%TPQ5OXOl2xQ0<3Bghjay;Y0i zU8zJMUxA^=v!@i<1z&mD3K+!@nR^Tx7re<;*^VD1B15WTlWLYtQU=v2q!_D2ja}Ry zZn7Aq2vK}Wh0;OA{A1)&WTpMbi!darRzsSe?~ra_e z-l@aK)Xq4=rGr9#SaUtctb2353|iE* z-(T6OVUBuJgL_ll^{8D2eUtcn1aYk9@0M7xT(3}8DtwGGwXL$0+?f`p>vGaUDnwlk znzZ#^(NRN%+I@mxGCZNtr+P5`1;okLMo+>{s}#-DuOpH8jANgIc@OAoAr|agbf%50 zx!hNDzZ%)XDH?rh?BJ4G(o1~U!640twlTbg>mSKIhT|$IB>@I-i=O>q7=koTD+-7S zOa}Wo+`vsbafhri-0pr(>F@5sTcA@aeR4SW9U~*(k=jD>&jkJZ5+wH$BykU=AVCuM zX%?e7)onNM1$rxR?ZexcR@WwZ(=$ZeAGj$rG0cz^n57ZNBg|ugW;%H%lo@@$0CLy* A{Qv*} literal 0 HcmV?d00001 diff --git a/knapsack/out/production/knapsack/knapsack_solution/Solver$$anonfun$chooseFromTheRemainingThings$1$1.class b/knapsack/out/production/knapsack/knapsack_solution/Solver$$anonfun$chooseFromTheRemainingThings$1$1.class new file mode 100644 index 0000000000000000000000000000000000000000..125d9e2af71c0049a0c8d2c02a39bcadecbb4fef GIT binary patch literal 1468 zcmbtUOH&g;5dJ1aLgFeA0RdTl}Rmv5!j;fWbVnNh6!OOLBm5dw9#4;gt7-&Z*j4&c}>UJ!{aI#p> zCtdb8ZIR709OIjt_6|dL{9q)PPb@KX7A+~}{8~jgWnQUY>cH+?2;B^UbnwaxtMQxW=L3#|m z@xx$=f`NVvL~sUY4H!6~Z*`tnK9Ep0gdv?!*1$!5@&ybN&0f`V8D@(APbjg)sFE!d z=ExZ>Dys1!r#cczO{Si=@;P?+O8@2=uU95qveqQ`e1{Y?aui*M!3~^5XM|Y0O03n% zo>IydTr%{8ApYBCQ#}PONT`c%p|<8QRC1vTjvSHm^lK)LQq+it9Pt^k#i& zYE6?yZyCrT7{P7aAu*O3&C>Z$nznLD$&ZCsRVxhrzb#(~V+@0TZU%;z@j_GIrm}64 zr|u}LR`WewvvkpNz5HJn909Qp@YJ!CV|hFCzVO99(!ShD)eBpyq zE(IxtGi`I%6(rhdgS}Gyg|J@eOhcHWw)s$) zpgkOZkK^y^^KPvJ+NtAnGzMrKNbRC;59g8}Fu03LG<$_m@HK*MZzvi-7uj~g1f3oy z+t=8I7%tNv?Z*hNP&`TV01{pEbVjO~!vuNs8AdYo713Q>+e7AUecjQh#!plOH;^S1 zMhdyvL>q3RMUttH7)*Y`U41KhM#=7HsBf?H2;d%#K%TxJ?qdRzG>cF)tljAU0b8AK A{r~^~ literal 0 HcmV?d00001 diff --git a/knapsack/out/production/knapsack/knapsack_solution/Solver$.class b/knapsack/out/production/knapsack/knapsack_solution/Solver$.class new file mode 100644 index 0000000000000000000000000000000000000000..03972134985f8daa44238572f1f2ed4cc1785c6f GIT binary patch literal 4975 zcma)9`Ck<08UGH)uDfh8q8L~3AZ{WoAO)#LKoSLyH47*pNYd5}y912Q?!fFUYLeQ! zHa${%m^5u_kJ!>9Z4#oa1W9ac8k^qtef=5zrS|*I?7}WPSmCofGtWHl^FGh_`99C{ z?%V%;^)&#E__qXapPn=#%6?T-B>4JtZBj9|B_gsWOK^AfbRG@w3rMI4pNz}n2|04| zctY2brmDvqY~4VsgcWTOO^vH&yM)5(ngIz#9eR}J{L3zKV}%4)o3+RXFI--DP{M^V zS&d6rTm4A*gghxXXmWhCq0cnb_-LyzyxH=sA);%V60y8cW3i+u4{J(8SWTD#H&#lx zC;b1^T74+QDi7{LnS_;LHLi3gW5bHkFDyxThrRx=p+uDt+AJ4JIWo(@-5#vPI>KhZ z>Q9bq%62!_OIUA9jAYzYV@ktb{j`$kO~(8A;6^1^4C|-+^-$bYMioQE8>-0)I4$QM z8?niY4cIJUS9O*`&+rL`-+CvKngN0DJzi8`i-gtLxTdYRaW5S@Wys^b%E%tWkk3eH z%Sk|5u_hnZ4EBRuJ+4Hp)pUC+s=b7!MnW;=8V%BY8dsb_Ie!o3c5mly~M2paHCL9s>&cju=jdX(gKV>|hGv^&&K;-;O=&Yz`3 zZ{CF+9yDVoX>aN_H6^U6&dy(&us-ZUi! z7ugu)B`0NR%YvbDjBGn>=p<}RLRCJzOJJbC5qVsWs3y}Pg(Q@Pb(Z;nY^Z|tY36Ur zMQ1?QWRtDIW&ru&Mo939t{%cej5}AI#IgnwJ}NS9&kVMEmoVsRv?Bo*3!Sb_r=owcof-tEC7 zcn{+mkhP?8STP0_b#%<^&|~AWp#;dZfebe@G|EGh^k23`I-6}0K_17Wg3RpD4`sH< zLQa%bnhi189Bb$?qKe%>^EuLQ$n5tCK>*sVMa0XXq7v@AGu+%5k+5ai5CU>skB=nd zfkrpRyii4l-;!rpbYIz^*FEEGmg-|l)Qyv5>xiyJ!^(&$VW&gMq+{8ij9YV{iBK+1 zjC8~DA%=JfH0TmGM#gkKq3k#GSpS&PtB66vsnS2juY}0f#p+N#K8q|A(;gWV!T}Gf?X)Ov2Q*IM zF%RqF@!Qv%h}4buv3Q(d&ogUR`TMrycrxi%HLY7eB^E4g8KHV@CR!hvmL@qJf(vI6lgtq+T+=-;UwY`;1Rf4Ba9gWLo(oj5X!%S!6vL)!8 zg(fz<&vLfS@acL6epywI-L!(x?5O1 z$&=UD>76O7nh($8LJ7WsOJdU|AHHR8IwfJ1k!cMb3)8YpjzsL{lrYtZg%L+6LzP%* zrP--%=BQu8L&_3u8^+KbcZZrzA3lfAi*kQS!iKz-88Q_^^lUq-X&x`s#JhZw1mSi*Na_S^`>m9drZ0m2}MhSk1ABlLFv%SmG ze_;aMzWa*-^%irVVY*$WR@e37Ctlpd+pIbk3UTk&Igu8QotgR5=sbUZhMxtXz=VgNPD6KB}|)GR=%-K=xUj6ARW(I&>iMqVTl%&LQJ=lJTyk{o0K`dhsWe zh@(=Ghs^j3J7J&wd(Rh&GwB_goJc6l+B$33ypv5+fJoWNv)0+A?Z!X(gVICO68^=H zU)bl5%oUUs!$pakPpf$qf9^SWQt-WOJ+RNCG_5el!=+euavH0r;djlWVyJMAUqx3@ zRm@KzKPd$IhKe#$7bW7YB`p?Gp|^q`aTU_*xNio*8Eoq+Zl}>;-G&)7P2>IWCiDM|iK|Td-cd*C0(lP8s2b~?@icaj~%3~Jn_>!4$j`DH22iV=5EU)Ar2_Ka%EC(s*UsRX z>v*=s<#)~CxvThE<@4BDJBx2h0>{dXG+HC>zRmaNB8PT|r*6GjW4#lSv2&3rxJqjx zFVd<_4S^+4!-#{VNiEi+4(-^+!&W1XFmgeaX1=8t3t0AAcnE)c@f{MRz`FY`zDFcV z@gQEn_vv|=Xwm6Ns%Sy5-xI8_s63a#%ff-|z?-SgbD*s*9bodj)R?cEMM~n(+)!TR zcaaj8@Pgk}UUUU#{Odm_+^2` z=i(hj-Cb={w+<}wHE0F1g9W&gjpBY{yoI8en*}bbKT?kJ^sM`3b=EoMOD#)u;B@&@aNzw m_^WU*I|nYB6AA_V{~NEs-}zZjscJp>d9DKf!47!Se*Pc8|82|w literal 0 HcmV?d00001 diff --git a/knapsack/out/production/knapsack/knapsack_solution/Solver$ValuePerWeightCompare$2$.class b/knapsack/out/production/knapsack/knapsack_solution/Solver$ValuePerWeightCompare$2$.class new file mode 100644 index 0000000000000000000000000000000000000000..bddb15253c3cab3dc6eef1cf137da76682b9ba20 GIT binary patch literal 4839 zcmdT{T~ixX7=BI?SV=df-=%0vwV@3mCDISAO^Oz15euajO0{b161HK>W*0WQNd1`c zM>wP7c;Q`dywGu`QZF2@o$RDB&GlqJBurOd7C5!JxVR%w3>n#iQ}3uafJ4%ghXsBOfu1`BJ4P+d zPn5}*if-SGmjz;`tKz6XJjP*}!{h$&Re?5r*)vvDobbP-1>zNrQFvisu6OZtYn49E!GIZYfDiRTjg8Zfc3=(CLUgjwCQmajqW@ zjoCnA)1llNM8ze%Et!0WL252giN8b8=bpEbTI^g@4)`P~rLbM;X|$}->xI5?HamU` z;#;oZD&zXzS%Cvgs3uNTyo>Q9#_*m%x4+KuEZ3;$`C)riuio^m84eUo(9xbXXRprK zqqbLTbq+>3dQ`=AOeHai8v?rDry#q$gaqIzyUBKh>JI+zK2X6YDn@Z7iMzNb&|Wkw!yRHS zW%_0X_H2wA1tk?4=8~8Pi~sSbtlz***!h+TnwFqE?dt(t%l8cn3VpLG3_M6;0gD1% zVX!yW24AQmGoA&zZQAeZziH+(h`)x_JD00rF4pu3ZW6pBT(L@~UFAJsQg;{ZdEOCP zI!FO)S7%vwhxMw<=DpU%3-pFGlx)-F{Y19*My2ApvMtX~7*)40E;;eA!t@`vEZrHA zR}P(MhoACJ7_zeRDqw>juT|`>R`&zmr0&wkf)NPp;gjKr7}_|x!uL3zO13|@hFw4N zHHLQnwo?q)%RjK`tBF8GiX#eRH#%$c3gJ4rVn4seu#evk;9w+l*H1N(twJ9mbaxBr zSGEs*v;}m}_MwlrfPQWJ&?j0z_ii8hBu+)TF}uA8>8BfWEuaUs56wCqXnm9$$pCK4 zuHmc%X652<7}b8fp5xc^cq3SHkS$>lma7SkOO={t5HNEFD55yVH$O6*Cr<75$Pw;_2 z6V()sY8-a>rIDWZwNYyj|0$a0ca}Q|0l+B{C|v3 z*Ub)HzHVZw4xFk3r-DKz{zOX@keOEyV_AWCbXx4HY)DI3yX_C`QPS-Tkz?XOF(~`-fkD1Ar^S3F!(I0V`dBBB9vXhr@O<9oKjhPOkD-+MCjcPdZyn>eb@>hR9(Y zynQH5C4#Kmj{PREmS{`B1|Ts*lNcJ>%cOv@7mk-+S~c^HKfK1CA9_#^=^PzYI$ znnx>#dj>WA1rkpd-$Ux>5Cdrx24)b7R0E_A+FA4v)Ugoy9y0ex?xT;vSQcY!2%JYr dpgV^$=RqE`04!jkMbv=S60VO>e;S%l_!HNaKOg`A literal 0 HcmV?d00001 diff --git a/knapsack/solver.backup.py b/knapsack/solver.backup.py new file mode 100644 index 0000000..86bdcf5 --- /dev/null +++ b/knapsack/solver.backup.py @@ -0,0 +1,58 @@ +#!/usr/bin/python +# -*- coding: utf-8 -*- + + +def solveIt(inputData): + # Modify this code to run your optimization algorithm + + # parse the input + lines = inputData.split('\n') + + firstLine = lines[0].split() + items = int(firstLine[0]) + capacity = int(firstLine[1]) + + values = [] + weights = [] + + for i in range(1, items+1): + line = lines[i] + parts = line.split() + + values.append(int(parts[0])) + weights.append(int(parts[1])) + + items = len(values) + + # a trivial greedy algorithm for filling the knapsack + # it takes items in-order until the knapsack is full + value = 0 + weight = 0 + taken = [] + + for i in range(0, items): + if weight + weights[i] <= capacity: + taken.append(1) + value += values[i] + weight += weights[i] + else: + taken.append(0) + + # prepare the solution in the specified output format + outputData = str(value) + ' ' + str(0) + '\n' + outputData += ' '.join(map(str, taken)) + return outputData + + +import sys + +if __name__ == '__main__': + if len(sys.argv) > 1: + fileLocation = sys.argv[1].strip() + inputDataFile = open(fileLocation, 'r') + inputData = ''.join(inputDataFile.readlines()) + inputDataFile.close() + print solveIt(inputData) + else: + print 'This test requires an input file. Please select one from the data directory. (i.e. python solver.py ./data/ks_4_0)' + diff --git a/knapsack/solver.py b/knapsack/solver.py new file mode 100644 index 0000000..d768efe --- /dev/null +++ b/knapsack/solver.py @@ -0,0 +1,44 @@ +#!/usr/bin/python +# -*- coding: utf-8 -*- + +import os +from subprocess import Popen, PIPE + + +def solveIt(inputData): + + # Writes the inputData to a temporay file + + tmpFileName = 'tmp.data' + tmpFile = open(tmpFileName, 'w') + tmpFile.write(inputData) + tmpFile.close() + + # Runs the command: java Solver -file=tmp.data + scalaHome = os.environ["SCALA_HOME"] + print("scalaHome is:" + scalaHome) + exePath = os.path.normpath(scalaHome + '/bin/scala') + print(exePath) + process = Popen([exePath,'-cp', 'bin/', 'knapsack_solution.Solver','-file=' + tmpFileName], stdout=PIPE, shell=True) + + (stdout, stderr) = process.communicate() + + # removes the temporay file + + os.remove(tmpFileName) + + return stdout.strip() + + +import sys + +if __name__ == '__main__': + if len(sys.argv) > 1: + fileLocation = sys.argv[1].strip() + inputDataFile = open(fileLocation, 'r') + inputData = ''.join(inputDataFile.readlines()) + inputDataFile.close() + print solveIt(inputData) + else: + print 'This test requires an input file. Please select one from the data directory. (i.e. python solver.py ./data/ks_4_0)' + diff --git a/knapsack/solver.pyc b/knapsack/solver.pyc new file mode 100644 index 0000000000000000000000000000000000000000..a695ae77de3c841262c4058f75391ac9e29e7f79 GIT binary patch literal 1417 zcmcIj&2HO95S}IVW64sSG>BdIv_}<1<)X+bNQxMCY6nOiM@}z-0D>VW)=DIo*;y%d zA)VZJ=`kq!G<}XfKs&RN+rB^vaX7O(yYqeDjN(80-7miV<4p$JC+6>Se(85MIsX(C z0OO4xL7||GU>rdi!#D<&$M6epH||oKFm3|2AaB4t0{0294cLU)4%{lpn=o&H`*pj* z;o+eGl?B%=&;mnB9{EK0MwF;e81eHURfz_3Zq^C&+jBTLr9F zgAU_1fAMoMh}iW_HkY=>$~VM&dHEt!?CkK{=J$kO`i%_}T`TYaD+U?~jCBO72xbaa z0f3T#H@LiyVaAkWI9$gmOcFpF_&hB0aYyYC}&*5{}-P>L@Hz%;DErO(IZO{hXb7mDZGI^Mq`% z@WxidD_bnlQA@Jln*yJCamnX);e*UH#iAHUxuA6rUF84hjK`3X6_(ITUo5dE09Y-J zvzy-3sh_eK0F`y61S9rgB%H#1Z`}g@E=QRy%SC0fly4OX4okb-6d>38~0U5?W?BxD*CL^k3vS0l&Ptb#015}I38!FMr__r4QY?$wZjh! zNmwkl|Ju}DFGu$! zRc2n`zOvkX7H%Z{_cC&UinLl<9Oh+aO%;0UFwF~7A&mkenT~(E_kXrfDm^W2z9{fJ b86Vnb(^LC#=dc&`)FbtfyZK0U)xGF%DC0%! literal 0 HcmV?d00001 diff --git a/knapsack/solverJava.py b/knapsack/solverJava.py new file mode 100644 index 0000000..adbe799 --- /dev/null +++ b/knapsack/solverJava.py @@ -0,0 +1,41 @@ +#!/usr/bin/python +# -*- coding: utf-8 -*- + +import os +from subprocess import Popen, PIPE + + +def solveIt(inputData): + + # Writes the inputData to a temporay file + + tmpFileName = 'tmp.data' + tmpFile = open(tmpFileName, 'w') + tmpFile.write(inputData) + tmpFile.close() + + # Runs the command: java Solver -file=tmp.data + + process = Popen(['java', 'Solver', '-file=' + tmpFileName], + stdout=PIPE) + (stdout, stderr) = process.communicate() + + # removes the temporay file + + os.remove(tmpFileName) + + return stdout.strip() + + +import sys + +if __name__ == '__main__': + if len(sys.argv) > 1: + fileLocation = sys.argv[1].strip() + inputDataFile = open(fileLocation, 'r') + inputData = ''.join(inputDataFile.readlines()) + inputDataFile.close() + print solveIt(inputData) + else: + print 'This test requires an input file. Please select one from the data directory. (i.e. python solver.py ./data/ks_4_0)' + diff --git a/knapsack/src/knapsack_solution/Solver.scala b/knapsack/src/knapsack_solution/Solver.scala new file mode 100644 index 0000000..e138573 --- /dev/null +++ b/knapsack/src/knapsack_solution/Solver.scala @@ -0,0 +1,131 @@ +package knapsack_solution + +import scala.collection.mutable.PriorityQueue +import scala.annotation.tailrec +import scala.io.Source +object Solver { + + def main(args: Array[String]) { + val sampleProblem = List((1, 2, 0), (3, 9, 3), (1, 4, 1), (2, 5, 2)) + val capacity = 3 + + var filename: String = null; + for (arg <- args) { + if (arg.startsWith("-file=")) { + filename = arg.substring(6); + } + } + if (filename == null) { + return ; + } + val lines = Source.fromFile(filename).getLines() + + if (lines.hasNext) { + val firstLine = lines.next + val words = firstLine.split("\\s+"); + val nString = words(0) + val n = Integer.parseInt(nString) + + val kString = words(1) + val k = Integer.parseInt(kString) + var things = List[(Int, Int, Int)]() + //println("n string: "+nString) + //println("n :"+n) + //println("k string: "+kString) + //println("k: "+k) + for (i <- 0 to n - 1) { + + if (lines.hasNext) { + val valueAndWeightString = lines.next.trim + val words = valueAndWeightString.split("\\s+") + val valueString = words(0) + val weightString = words(1) + if (weightString != null && !weightString.isEmpty && valueString != null && !valueString.isEmpty) { + val weight = Integer.parseInt(weightString) + val value = Integer.parseInt(valueString) + things = things :+ (weight, value, i) + } else { + //println(valueAndWeightString) + } + + } + + } + //println(things.length) + val solution = getBestSolution(things, k) + println(solution._1 + " " + 0) + solution._2.foreach(x => print(x + " ")) + } + + } + + def getProblemFromInput(inputData: String) { + + } + def getBestSolution(things: List[(Int, Int, Int)], capacity: Int): (Int, List[Int]) = { + var maxObjValue = 0 + var bestDecisionTillNow = List[Int]() + def ValuePerWeightCompare = new Ordering[(Int, Int, Double, Int)] { + def compare(x: (Int, Int, Double, Int), y: (Int, Int, Double, Int)): Int = { + x._3.compare(y._3) + } + } + + // def addElementToQueue(toBeFilledUpQueue: PriorityQueue[(Int, Int, Double,Int)], element: (Int, Int, Int)) { + // toBeFilledUpQueue + new Tuple4(element._1, element._2, element._2 / element._1, element._3) + // } + val queue: PriorityQueue[(Int, Int, Double, Int)] = new PriorityQueue[(Int, Int, Double, Int)]()(ValuePerWeightCompare) + val valuePerWeightSortedQueue = things.foldLeft(queue)((toBeFilledUpQueue, element) => { + toBeFilledUpQueue += ((element._1, element._2, element._2.toDouble / element._1.toDouble, element._3)) + }) + def chooseFromTheRemainingThings(remainingCapacity: Int, remainingThings: List[(Int, Int, Int)], ongoingDecision: List[Int], accValue: Int, valuePerWeightSorted: PriorityQueue[(Int, Int, Double, Int)]) { + + def getOptimisticValue(): Double = { + + def fillWithMostValueAddingStuff(valuePerWeightSortedArg: PriorityQueue[(Int, Int, Double, Int)], remainingCapacity: Int, accOptimisticValue: Double): Double = { + if (remainingCapacity > 0 && !valuePerWeightSortedArg.isEmpty) { + val x = valuePerWeightSortedArg.head + if (x._1 <= remainingCapacity) { + val copyOfValuePerWeightSorted = valuePerWeightSortedArg.clone() + copyOfValuePerWeightSorted.dequeue() + fillWithMostValueAddingStuff(copyOfValuePerWeightSorted, remainingCapacity - x._1, accOptimisticValue + x._2) + } else + (accOptimisticValue + remainingCapacity * x._3).toDouble + } else { + 0.0 + accOptimisticValue + } + } + fillWithMostValueAddingStuff(valuePerWeightSorted, remainingCapacity, accValue) + } + + if (remainingThings.isEmpty) { +// //println("remainingThings empty") +// //println("accValue: "+accValue) + if (accValue > maxObjValue) { + maxObjValue = accValue + bestDecisionTillNow = ongoingDecision + + } + } else { + //println("remaining things is not empty") + if (getOptimisticValue() > maxObjValue) { + //println("Optimistic value:"+getOptimisticValue()+" > maxObjValue:"+ maxObjValue ) + val x = remainingThings.head + if (x._1 > remainingCapacity) + chooseFromTheRemainingThings(remainingCapacity, remainingThings.tail, ongoingDecision :+ 0, accValue, valuePerWeightSorted.clone().filterNot((a) => a._4 == x._3)) + else { + chooseFromTheRemainingThings(remainingCapacity - x._1, remainingThings.tail, ongoingDecision :+ 1, accValue + x._2, valuePerWeightSorted.clone().filterNot((a) => a._4 == x._3)) + chooseFromTheRemainingThings(remainingCapacity, remainingThings.tail, ongoingDecision :+ 0, accValue, valuePerWeightSorted.clone().filterNot((a) => a._4 == x._3)) + } + }else { + //println ("Optimistic value:"+getOptimisticValue()+" < maxObjValue:"+ maxObjValue) + } + } + // + } + + chooseFromTheRemainingThings(capacity, things, List[Int](), 0, valuePerWeightSortedQueue) + (maxObjValue, bestDecisionTillNow) + } + +} \ No newline at end of file diff --git a/knapsack/submit.pyc b/knapsack/submit.pyc new file mode 100644 index 0000000000000000000000000000000000000000..113338ac918b0450e432b4952c7d8e6e92b51fb9 GIT binary patch literal 8129 zcmb_h&vP6{6@Ig;U1`^n?Z`i5C)gPq5>|=5k`reGCQdn)99!5?oRN)#im)}F8A&6} z&a9_rEy*PvD08GZQJhi*MRDN5jXMX5zknP60!4A)4}kA`y+34ZQ5=voJv}`=ue)D= z?|bjH%Kx0IhYNrBB9Q)1755vs%wHXm5Pyy&BCEr?BdgA!y(FupLA@-iV}p9-K)ouf zRSD5;PQtSMROGqH4_C(}9OJ|4goG8X)FiBGr7q#PR*pzGp_NGqYg(C-u&$M(5+2dY zF$pKNa$LeGt-L1TQLRkN?uQbcknos1$D~h6bV{O=5+0Z5B{6@K@HM%8CpyjfIH~Z} z=8UY)$fNX}j74W;t1kIT2S4HTfsT%R8Jsa#} z65{V05}uRryo7H`=xRG!F8t>o`=65-%e+Wwt?-+a*b2WzsjcuWN^XU3Q+g}>Hb-c& zX9G9%oW%`2-@y$%-^C3*FX4usm!-9e(VIHqHzlg+YO$p&jd|>G{4e}07j;yZdr7hw zJ&l8Chy?7v+$0iSLlI%Gblxcf@Z!S)E;EKA6v$`Gas!1l*rDJH6D4Z} zUl{uWH8C|7y~mxnXm)pt3ZAy}xQIBY;g{kx$|#Eo6zz8EccOM%r`&FLvapxn(PM{^ zNUgmn*Fy(6j!Q*W9i8|QJag?j@jsyg#q0yACD|_%tos1;81}vp*~4Fn!1>Xb?2GJI zWWOr=<7}Taw`H$lZ&jJh9}v-KKYjZS6@m5gW0Vzn4w(~Dl(c1n68FloHzs>k*&CPV z zf@cpehtX5j`aBi_4O~QV{kprb5d~Xuy6)b~*5lM&$fGbyi`Y+$+iW&na}?d`7cRKB z@;uA0xo?@e0-@_0vz_Ik`!veqwKxjRjFlH05HNg4l~YKfW32gcf)BXIn7~`6!CJw& zu1CefhMy!+iW;{*7(98A>1L^k3eLykWF<>_MVzGy7E|PLSJCyP7Z(lgskZBg3Yx); zi!vp|p@K&x8AB;#Jd^eEAi~aYi$E;hZYfoH1wG`4+ATv>bB+_MmFGq6J*$?8M(#sg3as{yJN^dp?t1gfEEKo#B40oJ0OUqE|Z_QCV`_K0jwaGHSglUwpgvCN%W zHgBW6e!ULF+)e$i@q;aQfB<)e#G%{hqJu*5!i9sa3w|0zz*T78gcu50$kH?l3L?MA z@YKsql>3Kbegsw$Rl(g*Xx-1VPPg#Ru#q;`_4A_NMy66+WWA!>D?EPav5!Y&dlb>& z&U#ZUh-*b0B^ZSnYfIsdcZ~0+S)5>Tk_9cwBZj=wENFa(0#A{x)cOv(so{%LDIEjs zD$W>SHdU^bPm~7mn=DT|@00>`RZgcdEbzOtC_o+9oP(IdR(DlO*JYr_Axn>Q+ z9f0%w0nawpfHc)=H_z5{ztbUf@ityhJ78=B&8LG6{=nS@s@-fmb^Rd7 zdTH@(cCx&Up{#z1%G}>>$0;!SziO98v_icQT2h*HCar$?NP=9zW$wboD^bytitM~k zZ7+WHZePD3s1K=iRW`H^N|`|ia0T9+IXeRam<9na~S$0j7g_dDX? z`O%ODDa4WdLkEE?R$AwJR)BgNzLF|oT}p$bfn{?I=S}(#_V~+(!uDHpn6!e<$wrI_^_H=|vQv!P{(8Rk570Jq{08LVx+O#xV>{Fmiuc zMZW9$>wcUz-FiSR@Ztg#%%ordz=H7Xkkyv0p%B-Wk&SKOw>yUskcJ!|C4T2|=zqM8 zo(7lYdkt(T>C-%p(lFUwMlfq^SW@Jki+=VYDr^YSP2xgZpfYVyWVb_(wtyOAdmZ+CPb`71Q(lCirqv&4dDnn z#u-_FPACCk2&_@fl#z8=@?S8rMjwXjld^($Ad<7Z)$FZqZ%5?p+8Ep&eYSdq+mV`D z`5OMIx;WZ*s{-t2!k|_{dqQbaxwpmc5zH9g0L2&{tBeQOE=h}>1 zslG5u;*LUzp(sP+c9aHL2)olQ+>5Dbjhb%y02%#o$1jQ~JTTM2r;O`kZceN)Aa3Fb z6w5Y{=FEo6YxnX*!y4R^_%ReIf~pQ4DcbCd_q6UsPkI3Npgrq?SvW=>`JskD9--#F zi$dF%vovZ@q%Km`q4AHReK4{zfzke<4<(jW;1fmlU`hJ60K9dshBkC)y6JJP&u|$A zQ&O%0xyKNK<0|vcpgiCpAbuQWagwexVty`{lh`?0=7 z!u3gNwxis84{s0U3y%6TT$)D;*x(zuswk&z#DG^CWvWIQ4MRIn>I@V!V^?fQX@h8y z{$MyS7kFrzH}HC+D7xm_++2{rT$*4z4d$C!zCLFdB+rekXm0qqWpQrnL+{>b0a|-8 zb@RT1PQS!uPa?_zs`^8d`7bl))DF&Ey{1i5{->!k=07-f>veVB-WPcHUnf1vZws32 z*XTc(K7m6=&9&?F|AC5yG7$WH$d`I5LHP3Bm=Y}LwEwJ(%)U6mm{80kR(YYX@~aaA z&uSJehIU|e_Ev5V%Xk1S+XoOmX}^LS?4kZNU_3{dYHc3j*$47P zn9csAwoJ<2q;{B+y(!t8;z~A;>UwZeI4=9gWDkEwZNf5vHJk6DSkcsonTYA1C@{hy z(q5u&kPDLiOD<0v()HPv0j73AGMJtMXjrvF+swhyXWC^@4GCnvEY)u zk62u1@i7a{MY#gI7R@VlUW#B%SLus1_0$pVK}oxHwcRo6+G3O3ev5aLy+2{Gz+#cb zEf!2vHDw)P_djfn8S-y%S++*zHsu_*CE|*4L=jUZMi+G)mGn6pd=d--xiY@wkcI>Y z*uobAc4rA2)P|TDb0j9DGf^ zkjaBX7e+Py5tkwIq;woaSa+;<$`XVKy61r4(`c#CSX?1!pBuC8S}(H5V+^JT4(%b_(|s%9`y8cY^0Zm2qH@+Iw2 z>&qH;3@xA(HZ*P}c}8k#jM!mm^7`suLc zFx@HwX&Xz-V)^Y~Ajm2jC^-d1Yi5#li?|a%)3Gkk&tFkfdU*57oxAxLAL>J|WiXw! z2l}vhuekZ?=dG4LJo~}zr_UaF-`9uT#raln_hDBbKD)P>e6acKzM-uT52tWm@i>V( z?v+VSxI)!^UNJ)3JZrBlNQJe1hj)=fy*)mW8}Yk+TtMPZ~%NH13X4W5_0zr(+jensbnPCTebs}}HGNGFg=&{;W>VtVz1uQlt} zvj#X*O>E9!S_pusKy^|NO~i~IKB?$2v31G#^iu)OAA1F=cxO3SPuvOwc}V>6RZ}g} z+<>Hmgfg_LS+^4v8(C;GmJxg8er%8|AXATaf(V8Kzjcp7kV8|tCisQB9Tzwv*oB1D zZO)B8e;gst;@af%TsOL(=1$teyv~WE~tOq&6oasdoHb_5raM zO|_oSbNoBRPcRN)<(nXmm8)^whIJ{BZQwvf;M|Trpl;J8^&&B}$}iz7f-R3T_vr66 zL?Goc>A#m{TfMGL;7O6g#cJ#pn>a;^h=XhIZGOqD%({K+uPNR7A3BtQ0(4M(h9sX; ziqtLPnBg$$^yuQJ(AE0eauug}<00A$aQm%_M^(zsAx&M>VL9yGc<@+^?Prz z3{#Z&KeGRM{Fs58VgAU2Q2i}UmQPe_r)uADj?qgJh*tJTWrL1oqv*i7Mm kq+F|2$I1w9>~F0ysFj@;>SYao7y@Y+)W0(n8mpcEH%J_vb^rhX literal 0 HcmV?d00001 diff --git a/knapsack/tmp.data b/knapsack/tmp.data new file mode 100644 index 0000000..c79f4a2 --- /dev/null +++ b/knapsack/tmp.data @@ -0,0 +1,213 @@ +200 100000 +90001 90000 +89751 89750 +10002 10001 +89501 89500 +10254 10252 +89251 89250 +10506 10503 +89001 89000 +10758 10754 +88751 88750 +11010 11005 +88501 88500 +11262 11256 +88251 88250 +11514 11507 +88001 88000 +11766 11758 +87751 87750 +12018 12009 +87501 87500 +12270 12260 +87251 87250 +12522 12511 +87001 87000 +12774 12762 +86751 86750 +13026 13013 +86501 86500 +13278 13264 +86251 86250 +13530 13515 +86001 86000 +13782 13766 +85751 85750 +14034 14017 +85501 85500 +14286 14268 +85251 85250 +14538 14519 +85001 85000 +14790 14770 +84751 84750 +15042 15021 +84501 84500 +15294 15272 +84251 84250 +15546 15523 +84001 84000 +15798 15774 +83751 83750 +16050 16025 +83501 83500 +16302 16276 +83251 83250 +16554 16527 +83001 83000 +16806 16778 +82751 82750 +17058 17029 +82501 82500 +17310 17280 +82251 82250 +17562 17531 +82001 82000 +17814 17782 +81751 81750 +18066 18033 +81501 81500 +18318 18284 +81251 81250 +18570 18535 +81001 81000 +18822 18786 +80751 80750 +19074 19037 +80501 80500 +19326 19288 +80251 80250 +19578 19539 +80001 80000 +19830 19790 +79751 79750 +20082 20041 +79501 79500 +20334 20292 +79251 79250 +20586 20543 +79001 79000 +20838 20794 +78751 78750 +21090 21045 +78501 78500 +21342 21296 +78251 78250 +21594 21547 +78001 78000 +21846 21798 +77751 77750 +22098 22049 +77501 77500 +22350 22300 +77251 77250 +22602 22551 +77001 77000 +22854 22802 +76751 76750 +23106 23053 +76501 76500 +23358 23304 +76251 76250 +23610 23555 +76001 76000 +23862 23806 +75751 75750 +24114 24057 +75501 75500 +24366 24308 +75251 75250 +24618 24559 +75001 75000 +24870 24810 +74751 74750 +25122 25061 +74501 74500 +25374 25312 +74251 74250 +25626 25563 +74001 74000 +25878 25814 +73751 73750 +26130 26065 +73501 73500 +26382 26316 +73251 73250 +26634 26567 +73001 73000 +26886 26818 +72751 72750 +27138 27069 +72501 72500 +27390 27320 +72251 72250 +27642 27571 +72001 72000 +27894 27822 +71751 71750 +28146 28073 +71501 71500 +28398 28324 +71251 71250 +28650 28575 +71001 71000 +28902 28826 +70751 70750 +29154 29077 +70501 70500 +29406 29328 +70251 70250 +29658 29579 +70001 70000 +29910 29830 +69751 69750 +30162 30081 +69501 69500 +30414 30332 +69251 69250 +30666 30583 +69001 69000 +30918 30834 +68751 68750 +31170 31085 +68501 68500 +31422 31336 +68251 68250 +31674 31587 +68001 68000 +31926 31838 +67751 67750 +32178 32089 +67501 67500 +32430 32340 +67251 67250 +32682 32591 +67001 67000 +32934 32842 +66751 66750 +33186 33093 +66501 66500 +33438 33344 +66251 66250 +33690 33595 +66001 66000 +33942 33846 +65751 65750 +34194 34097 +65501 65500 +34446 34348 +65251 65250 +34698 34599 +68451 68450 + + + + + + + + + + + +