From d27fe74da4c1f7513f6f05b9df2a2649e577fc17 Mon Sep 17 00:00:00 2001 From: glendc Date: Mon, 1 Apr 2024 22:31:36 +0200 Subject: [PATCH] add minimal website for venndb --- www/banner.svg | 2313 ++++++++++++++++++++++++++++++++++ www/index.html | 98 ++ www/style.css | 109 ++ www/venndb_social_media.jpeg | Bin 0 -> 69676 bytes 4 files changed, 2520 insertions(+) create mode 100644 www/banner.svg create mode 100644 www/index.html create mode 100644 www/style.css create mode 100644 www/venndb_social_media.jpeg diff --git a/www/banner.svg b/www/banner.svg new file mode 100644 index 0000000..d41e286 --- /dev/null +++ b/www/banner.svg @@ -0,0 +1,2313 @@ + + + + diff --git a/www/index.html b/www/index.html new file mode 100644 index 0000000..6ec5a0a --- /dev/null +++ b/www/index.html @@ -0,0 +1,98 @@ + + + + + + + + venndb + + + + + + + + + + + + + + + + + + + + + +
+
+ venndb banner +

+ An in-memory database in Rust for rows queried using bit (flag) columns. + This database is designed for a very specific use case where you have mostly static data that you + typically load at startup and have to query constantly using very simple filters. Datasets + like these can be large and should be both fast and compact. +

+ + +
+
+ + + \ No newline at end of file diff --git a/www/style.css b/www/style.css new file mode 100644 index 0000000..4d90c21 --- /dev/null +++ b/www/style.css @@ -0,0 +1,109 @@ +*, +*::before, +*::after { + box-sizing: border-box; +} + +* { + margin: 0; +} + +body { + line-height: 1.5; + -webkit-font-smoothing: antialiased; + background-color: #fef9ef; +} + +img, +picture, +video, +canvas, +svg { + display: block; + max-width: 100%; +} + +input, +button, +textarea, +select { + font: inherit; +} + +p, +h1, +h2, +h3, +h4, +h5, +h6 { + overflow-wrap: break-word; +} + +h1 a { + text-decoration: none; + font-weight: bold; + color: darkblue; +} + +#root, +#__next { + isolation: isolate; +} + +main { + margin: 0 auto; + padding: 0 15px; + max-width: 800px; + height: 100vh; + display: grid; + /* grid spread even vertically */ + align-items: center; + justify-items: center; +} + +#about { + margin: 0 auto; + padding: 10px; +} + +.copy-button { + text-align: start; + cursor: pointer; + display: flex; + align-items: center; + justify-content: space-between; + font-size: 14px; + line-height: 1.5em; + border: solid 1px grey; + margin: 10px auto; +} + +.copy-button>span { + padding: 10px; +} + +.copy-button:hover { + background-color: #fff; +} + +#menu { + margin: 20px auto; + padding: 10px; +} + +#menu ul { + list-style-type: none; + overflow: hidden; + text-align: center; + padding: 0; +} + +#menu li { + display: inline-block; + margin: 5px; +} + +#menu img { + height: 25px; +} \ No newline at end of file diff --git a/www/venndb_social_media.jpeg b/www/venndb_social_media.jpeg new file mode 100644 index 0000000000000000000000000000000000000000..94f816f195b0bbf0dafeb73d263b8dd5366f1b14 GIT binary patch literal 69676 zcmeFZWn5g#(l@$*5L|)SXa1Ra%au>)fdgPb>i$g#1OKZw0BNE>Xfu$0_6H9fNV9^<(7@Ube6xWx4p_eh z-=_W#e#u6I^nDqI1pw@SsU@XkUz4*jv9d5hI6+AkHg;YX@Wo2b#>xv}2VbBxO!_}< zxz};Gf;#rb!NG=?nc2#oNgrx$V8mo-ZOQDSZ^O*W#KH{l3%S_n8=4zAkQ*48m|6)? z95%I4kefmUC{#IQS!8WQjoz5PaI-T~bbF;_=w@!n1EmlWB-r8T>_737spz!|LXXqc&_fD9YTH5?_14Qc|gFp@c!DHiSXYs2aYRGJ4VPpv^vj^ve z^&h;T+&`HAqw)7cgC6pVSsOaui%W?KP~4Bo3$->hh4TI)xmoq~**IAsj8JxVE=G0^ zBMwG=E_NP9HmDJVg@=PppA!oGQ;(FDy@S4$q0zk_P&1P$sKgMW&%*{aU}5CugcvZg zbAj`~&B6&~lC2JA-Mj9ffC;G}Z!7#eed znE#fyw>EZg*0(cyZUP1phyWNyzxEsQXTMRW{gcu8jnO@%AP9^s;EVMS5ZEDqfWQno zzER+K@|Z2_@%qM6ELkthys9{@VmR88FzO#nE(K{008Y)|CF~*2LSFf zus!^rZBNqx0K*3WYCHegW)KGeb^ZW=KWC$Fr~hl5`-~gb7);oY^8f%%9Zac50RUO^ zH*R3xeLs*j0{}`ORx(2XkdO!f)Fz;At^b4H_gVixaQnaV{DI%yJRl0d!NT6Z!14fm z!6U-MKX?F-jDYYE5fvE~6$Kdu1q~ew6Ak?lItmIV9_Ax#99&#nRE)>?csTf2IJh|X zN?_nXnFsJl@bE}DXeeknf4SUs0FMx1-@*fMFpmJ(M=)@YVD35r5-{*V)9x42p9KLC z{vq50B-s0IBCzA%d+)zKfPaW^_XR+O13NL{Fu_=^<~{#Y{O|g21pY?gZv_57MgZ>X z^xP*C0mb;aqY&S>035MtLLAHwC)pzWf%5-_DI9)!+v<-tY|o9_ji^)&xw|EXL#dKG zVRq*#V+TYFoF8js8SHqGbx)5&vSDR4-y{d>nlt z+o}~d{`K(Zf@hq0+yHMI#K+?EL|xYQ8D*+`<^XF$p~xa{BS((muC|#;I;HG6_`tvg?W`ctBbbI)TUdSHw){axGC}N zqTz{<*z8G&MA3z;oFwhBSI__&2x&LOeOJ=9hWdFUid>6k)gZOzzC)H@7_xoZgj9w$8ht-2t!IQ5?IPOCtE& zE~Z56+P%Bb_P;bJf?C!|+p9K>aX0T2;|o_|@OZ$q=H|A%DR7p%bws^CtVZ%|IP*l{ zVMyL=l1rM(q4F4bKp7Vxx_WJGxIyG`S_XBj{7WMO*R&30q0E^xZfyx`oDE+=7o<0x z>GI-!h^H*CzM5}N2flR{>N1bMaTD0C3OMt6VfhvS94$AVYnR3LK}MTdXMX&p0qJWk z3Tubw+(zQ}ekQkd3q8NIlNbq5y@Z*WS;)JU+`%gOF`bmt(b;WFUAwkgm*L|Z;nX@g zSC=*2xy?m3;j#5Y$~)*xl-y~vr19WNn^JP>yTpE(^@v$ws((&J*V`r`<|@mV9D$&f z|8;>WuG$Pq;v^fpBzRf2-eVQg()MoeOz1X18bd zgrvCVW#Of}rc?i+-DzN107o4|>;;RtNgQTPx4Z<~E^;DSMYVrv?JKJ5W?CPYsFqxPgcBM#<=!7QHcwjESuTp0 z*ft}%1BlLoR~&hj=6i1>*3S5^DUG`(!YKu$G8@5`fZcbe8iJwon-=8*4WUMwJZ6$3#1Dwt-I0O&Fe+KVfBwxe}pf8T>x{kEJInzZnJCxPb z5aJ$WE@|T4e`7U0Y7$1r+I7aq44LmGZc7h<^X}fTUjNGR6W`6vu1<9`!kT%D@Sx2) zjeUjF#qka(JCPGxEvRAnujd>9aE(aNfDz9&6aAOR(F6Wj^ym4E4 zcNU9IUUe}XwW-$$FyUlWWeVYgi*1*|`0?cS)U9fE`2usf?dLc4{i%qHKYAv}7;YyBL;hsCqH$p3p=U^b)AjfU@lz?h~~eozbzJ7T-YIrSoLzGj`Bkn@y~i@lKZ zJ8M-I(sfFMB+WC6TJuBB-QJ&sngZ31tjt0#skORmo-`)oXW*d3b48+CE<>7GE1syK z`TxBUa1Te4R4f+SG>@;B4(}5%Nqk;t)z zu}!Uu`STiEE-8r?jqcjr6vy@bkX!{VSR3S3JvJ{hUnh-_ROD~}?@f3lXd=BIGkva0 z^Q{R?72%X8cG`8k7fcgL5|~1>6AI?%^S8YumP}P=WB6U-e-651<+T~-F>Fm!TJQD2^wFQIeG+D8|bm0E-<>I*M%lfx~xoa0&Eyd^ztq2c*hQM7A+jH8) zKETxbZ}uq`Jt^oF91JCjg)m97IoSUI=oeOz9=UCP@Ta4A)d+ya3`P9SI4^EeSDs@ikYd2&EM0xSmz=b2 z;Q~&H>N!k+c)h7mg%0bVeD@T+ljVoHtLd~Ek7fY4Hg0uIOYdneld>?M>pSuEVk-Im ziyf6QF=ixZ&26^Q;@gd8Dv>aNV5ads&1C(=cY#PKP#93sq|~$sUG~qaRs%>q77;hp zs%!cuX2^d@|M@}cqK$^ERyox_s>)zxi!*@B+xxXLJS$CjJB^!8Hll=6LOj&^26DyW z@hwjsU&CcX;Ay5i-tTn#PaUvz#-@&WFbL5YN(C+w1(uvj-h;QGgJYO(q$ zp9#t!!I}&nk*D?sE6n8>{7inn3>wJm=Jer@YHc^qzF#p3D3q6n|C#{;Ql3-1gm)#I zq~^;oZUxC*#Bcxf>R0{N-pFb$^NYJ2crzj7$}6&D>()ClAwF;4(-10KI1LJrXSTzuRdQJM z-V!kI^4LbQ+k4WczJQINM||j#rXTO8*1mq~0lPd!PlN=cuoq%d35kXf4G`Aqn&2}s z+Et(R{;N~4?-vnk)nc-e_GP-HDmij{dyhuas|V4nxleR1F@$N86aI%!0ad(m76&6W zR~VhUfQPCh=TVzlf@^E8{Mc!`{$^Ra#j)F!-)`CXm%?Sx#cOu+D>vWkgHy{WjwXRi zoriVaOhu>H4re_-oHSL(00jR0GJ!iFM2k9kDzF_uHn*VPJ7N{(DlB*>ZRvx}uP(iA zMIVkiSRrBM_Y$Q?Y29TLvFk}AE40F3cj!~8o+6PxFaqo8XPKkW3z0bR5odTIKa!y| zh#Uts7l^=Cf>`gy+7sl&cA%wl27|%Z&i}oU5U1h|eN1twFmf8yoFrmkWxE6qISOhn z8xgUZ_S`i7GpoF1FdDy9#L!Kyx85J~^t(cZ6SSJEvh#EvsA@`1e<0s+_B}7{V!9~o zP_RO8{9t3|Rb2Q@jG(`qB4RT9&^en#o(ljxx&-C) zUUA`5_OwX>XK#V4wir!!@295{yiM=Pfd>K%@-H)%K2Jz9?2{oRa?SYk-vNp|ygYCt zyBUNp@d_I>=++*FB)iUe@XflJil?Oi!U3z;-m@TWi@tbO&N8&mKL=e)wMC=?(WjwanvGdPu8Z&{et3n1@XGpnDX+ zSKsYPNlVp6;V?U8Dza&|QaZInV4i>Xp-v>v(L>hno2N;gq!vt(1HYEh_;(%f>TwDH zygdmsGa>y~6FkN|p^z}aGCy|=>8+|g{F6b;z*`Jq)8shfxBQ=5o4s^=$9ND3aJpxO zA)P0vi@doH(74A33@cW)W%2%401qJUbn%ZKREU-On_#@&`gi~9UvVIV{&Vc@;ZpZb zCs9Ux_U!gXRU}kONoG)@GT)8k{&x_R3AC{ePWG+KuHO6%J_8??$aYQ3*1Q#JI#8`; zwaZOE7j#2#*u&2pKfdXnmlFma26;18onGs_OXj1JV#gsb&q#@C;mQrj&K4Bb=e%%Fa`tb zwRwhb|KJS0iPqbH^Bs+mS%6`-HnnPlXEB8EWK-bOa`@1KWwvG^%=w52L5^cmuxI4f zNNb%gT}^{Wq4qk=GuE#iK*ddTq%Zl}o|LCD91N!_vS532F;6Z+LPmqJcT|P>CC2r3 z*?9Eq4!}Dp%5z>*t>ulh1INX5$dY0TJ$#&5J-4|y8nPZVFSCBRhNj4U;&zENPB3gp z4lsB?YF8P2C$Y~$M)y{BNbq1beMd)g zf}U%Y7}){x1Nta(L~Hh2zL4K@PuU~*eu4#Fuo8EC(6Zi5Nc`XZ2ePW# z$Yh3jbz*hLPlXYyoQK8dlL^cT%IpeNH-B0+*HrK=iH^S60Y^l&-LUHNG#u@x*{u2A ztG^wB=LF6+Ygt)d%(q;7B@i1J8A~5HemRz*i9)^S*dcVae59n&V|utuz0>ZLETUYM z1@ABia3r>zY|hYwfRm1`Hwsp+4Hd)gs=lsxw}HV#@$rMek?xGshO4*+-$9sXlew zPRvPrXDALUjCTO#xpuPIAop8vd>n;Y(TUCi;x4<+ZPdlUTmZ*wR>Z!uhX{|(o(8E<%Qe)fbkn}S8Lv$V`~!h{L5}Y5kf>o^;+myZI{;I$ zZ_Da%cuKzji?@7SfwzoZY>ng05#(C=w7N{o@!m^N{`{^@k9pLoH@b~s4=4PxiSj{ zfx*W`^kLGBF}>f1vgND<1XOk00sP=57j51=E=h8wBuWj7P(vg$o@-Vf3H2UHrj5tn z68iA}{Ui+VZl*!zCLl?Vbh;H4$5c`d8Est!H>-3;uR2@7KUVxM@P<2=A&srUDJGmn z^98-W^WDugAMd+ZEL`&{&>=Pcxk~Ec03dyK~C_^)z2zL z5f(AoRJmgI`)O_vP~ap{HAnECpe05I(N8UtOSH;A-$4x_^|7c(EI{Bv?XoeV%J`W8 z_~pV!0Qx=NFzLBg-Tyq4!+ks%cjsx5lVr1RiEX1V$}?Yw7?*kJj-Kk)k1(09grxtr z9(Y(*HBxv(hRbR170_z#$bQqt3I?`wM#SX2+C2-JDEBwMhjYQ;9lMXgR3Tj4Ke;T7 zr9xeShppYr45>sYltp{T?*8fU ze^bK}3`N(f9$pT*C(C-=0h%=1Ke)@B{gF=jRd&&~opnb=+(`{vf@n}D^1j)k7FI4S zYgfvT|LD9`hdT>fSUqIvP%qNqB~^SP;OZJ*$|Z3!o#faefu|86drg3#IW~NKs9vHy zRN^TI|HP1&)7HRNyR^IhzcK7j9`1@1WD*ip?wmMPv&-cd2q-oBMP~M7 zJkm0}j4{Fc6p5DC!;qQ)S;EDD$C_g|28NrA3QID$b(<_~c{N0BkbtVFQ_IlMV%xDJ zdE>*VLjuYSk^)7=St+*^y8Vgoiow+zE^=nExdz~-@)wF3=3-D4Z-5n5ijX#riHd`))ooJUW}P;(V$*@EEVD_Nb}jcjs?$b`uI}Y|lJKtz1Y4cI@%&4-^Z!I>u&&sC)+xgU-f~NhCBFR&gM+LXQR;q6k00N{ z;V2SqeW5*+`r_3_Q!sj2WSyuiNkT?|ZGA-m!LP1f92BbzF|KTh(`;cq_VS$%?a^>p zb#gduDScpB_ibO>HOi2j@5O!;n0x&-1HjGfagbxYw_qJZKRLr*b7#7EA`EF|QKshWL%2x+ke5MH02up6*2ftOT>%=9)#89dAJNcZ< zj?Bdm5p0{ESN&BtL4H&x#x8?BsO4@>@G#3=gaVE_a~SeOUk^FLsM3=2Ne^bkP6e1ru)w?xi~_xK45EBk9b3W&{9 zDmGzCu5u3WSs_I5K_VD<*gIegu#aJ@uNJMw<-~PmxQNq4rS2+CBUH;=zV?q~r0n=ueVeR0sOD2N>0$6p+!2~u*s9bMQTqtSs#}qATf*-SHrxC!Q zR$iKnAs;0{gu9thM0%|j`!sOs)AC*ri8f@=t2yS_NfF)tnL)MIC8l*J8rErgCNh;T zOSItuMBWvxUdK;l!C>>lHHwgFXbGjkmrA>OLlQ^I?Memw9xZZtOZ8y$fbjgdVxDQ@ z?H*fH-PIv?{MDy5yXWzhbX*F&6O34M%C8!OcbTs01No^+}Z!%_;$`+1W0ro!4~ z)_nF=w?Jo7;tff$>Oid)3J!Aeh*G|}2d%Jgx$^AnXvI{jO0xJMGfoy)fRObuqE$hz zd43xL!?bY;+xbRuwMCSxlXokRT@>dyr3u*#?M@)t)gp4KF@c>(rP0^G#K41ySn7}+)t?pZqH7K!dwVulxJ(! zb$fbpa3((ALbRREvJ%@8+*phTzePZJg_GtG_*k2HUYQE>7Fw2|#_*V0whc-`f zdx1f$*&L_ru~}}v>)t9fQTJFSv%^@n|EYBqcloC*{a~-IH#0E-q?hxFiAGi(m^kkA zHe!WOP*|cBEXn98wL6IIX7DixIqb4%I80BA%a@z>gUAA2PNZKOEB2vXFnb+f?@aRg z?;?j^!c0Udi2Dc0i_*E_I=5$BReJAPk=+6Nq)wLBtq_B~LG4E(Olg?9{iPQ-xp*Vj zdUlV;n}M_UU07;oXWIT9d2LQrGO=wBGlo~ps$YnHK8BeHUt`r0c+xb;+oHVI_O|g3 zc=_1($DXOa@)Rs0bzVbdUw_Z)8Ux10u0rJDWM%(Axi*vmMU7rTp%VV<8l6wgrw}i* z%!?Yiz~n^B7-vnpTa77d$xw zPuNz7!~GUzAVAqq7f9#QKbu`xm==QAh5A4Mww{ubXy)>waVZ<*Jx!!y(?UCq-D0E54 zo;>SKomCeyf8^|dR!T2rG2wf!J>a>SCej#UHnHmB=X$mD{NK3;HWQiHiga6pA#y z5J>L2wQ$(i(ZIYJiNcLi~#zVjmICDSEMg2gQOLxsN7GlqoHy&!e-Tg}0v z-I_MHSec6gXm9Arinnjgp89MPS<6dm_sL2&>O75=Dop19_ z{2?&{3Zj)5qFUE)V&N}NFRY>%2bW_X5yj|~c%{B-30w1I8*qQ{eUq(UWGv1xn36~$ z?<86#hz9aPw!>W>@setHCtz`%83F*H_(|1C8r~ zJQ4v%MRF@;spTh1=MO^kUr2Sw;@>)3FJFagOZ4xygqk`EVKn;Rz~i)-((dpQCwYD;L70<)rQ@j*x=uL2YXcJ(rOSQgX@fcNsEIXu$mF&+4<$ z|5WaSvPZ0}FSaIo=ti_w_ZJm;ye22l`cGDz%&3bUuf~qGI4K+nm_2)qB!*u?wTeG; zOHA-(=dk_M=q^aIOu~9tyx(nScHE>7{Cnqzl~}R{NwQWxSdfqk1GS;^ zmH=#3XKd%d@b%Zp%L4j|qCZ_*m8IVJVq%4wZXdbhcJKeZp3$k^=DHLPi>_yqd#w898@M_aO6a8g`E)l zDn&))`o#}1)6R>X)En{TnA1fFav2<32t?ZzQjT*+8+P?(8o!v6YiurP3XKp^597<4 zyb^8VDpbkMBb_CE>#*|Cz&?LpGTd_b;OE{9=k%0{3K9J-WPug+l_Pxqh7i|?nsNB; zGIf6dVv>_N0W*5NZIjm>z%f(8Qz^jU=^bL#K6bkN*%Gg1BZ+vkp_gcPOa*m$&6K~BLv@qItzQ`be(yl-K}O36>5l!(w2_UAjA)VV1Jh8#b~^ldhsJuh%P zZCc_e%qz-Gi{D!*eqleQAF(SvH7xe{Jy9M>4Q0yHq74TM3s%c*Lyo(zo>HrcV;fko z%?3nk*i9F(VPey) zwwCp zsCgCNcY4yy#4cmx@SFG$O0*uWTJU^g8|n>iZZ&sFL&`s?pq4P6Nz_4YN#jy87*??j z>Y`k#u~7|i0ev4bhh6d-gc&8ass=_AG)RNU_?iwYgOI_znY};I!|DNyMl8oA@5a?G z>Nwf_+G5TfV7Y?r6krU7B(2YfrI}9-<=-O>Dq{o`lEYc_mKe*vF^;7^GMd2>sFr(j zR@3K_Jw@OzPinj-p&*CP&+op4bRNsS`6>dsWNh84p3p!)ooX7_N=8tykyKv3G1*XS zGZNUe5*)c21tCY7~%5G?~&m(AzFtx1Q8GIBXq~ z-+kp&?=XH;w{UX5=V0s~TYdJf{=U$na&;fHl&dq*t_S0Nsrwf3I}!YYxzECfbeOu# zQWw>+(;KZKt_9aW?k!GaH}jgmW!9uI(U9zk&a4cczmV{drk#^Gp4#=JrRK)F_hX!@a$n&WMl_<2e#?3Vw-UP{(Ct=65kcVK5cmEL=wH~a4WAej z*sxyP-%4FI9-^n6Ya5!O?M@6hgm^4qOcvUpd-gHsr>u6ZQ9VsKMcYAYf6{fh6 zaHh%^H{PIm_86SQDkZmxl#;Dt%M1R0L~0M}*df#9{qDItojhkcHtl!9O8=>EBejY+ zv<<`7=lp%k(UZc;TL=c_(<$4*+*a=vnIQ$*U7a=w zeBu;|7x>HUtIU`mHo z#+!MailTbO=S0({Vi!aE^*nhtGL_zHNF946hRHI(Y0B#f$IFJ^GCS+4g`~X;>YoCA zts}p`6p9cw!E|q(37V!U+c$X9$F#}YH?{uckSyW(vJ}|8Y(I1|O^_{A*H^pte81{h zakI$c9~AwAn6x7z-lng$$SrTp4|6w(Uo{m@s#fu|ib{y!1?yDb0g-19 zpUT6BPPu9~RtIz9WZLGmqvUBh`R;yuNnQUe1B>}FKDH$P8eFz%%n8-{EOa}t5YDz- z!*QH^R9Kp$Z}=QB_ zvwJBU*%1ga%u#>FrmpnAhGt!6#Xll*!%7|hSzYO5xND*gv2ysT)6K1UnT9Kud1Rs} zeL>(fMyJ-UZ`E`BOi@+xZvFAndC|J6p(jjk_@`<-A3sXXySj+YXE2LP^`>S}_~B)r z8FY;S@sVQB1sPu923HMgClryWq#>$u7MyOV2GjPD1f?fM=R709FHum&X3vuOBvE6l6_m)AivOGLA)$-{}Sr?M0q6t)ZS_e4Oj$tr9SMCZlpDp7<(hABT zuf;BQnd2;;qiRQSEEdz9(B>;k4MsOTbGS|dFD$v9%ERlYr7Ab#_g9lC(&|lfH+mw^ zk>3}QrKixu&YISx=$eM^5@olIB0zeQjHvGLMB8m5p@@Dw#Lw5AwVaat&$fx>feMy$XLF^0-sHYH@6+ zbBv`gjp{@9R4N6=`mYlV-J337E3sbXXzetm1=MUhxTUd=6gDih#`G`eH!LoDCT2}1 z?^}x-S~uM9poI&mN@$0fCM1?~7x0mB?ku(JZ>lc9?LjBDS_eE>t%+rhM(SLeR4t>P z^L}xnp>nwz44fUy99bAM6EEoWdeZU0VQEU$UL~U669&`L)d7nozwjB|*ca=$JAn9> z+q&!~$bHn6r;M1Mu=++saCQ-jz9?Za9d2J{np?zj6%~;a@BiBG#pP7R5^osv#WbmT z%A=eLf}Q3VOYf(QX3`xc%<8qJw8Q`RMMf&|2qOm#A#ZId#4V3VO|tKPzwGa4U5HrW zAZ~s;T`*PyZNn@REH>g19h6)to7*rq>~c#<&Da^M-8ZeOS+J^J%?!`Hyw` z!oK$?DR6W8BJ!Ci`BQGyD;BTQILv$~eLVx;b)Rt?2VMIrP;B?^(p`Tn$T8?t7$2j; z-?!Ec!I_|Vdi+9l)hPCy4uNuaEaIj86Z~mqGJ|wmIv)z;6G{X!CTs9Zj2RtA53?Fq zr1hQ>Iy@U<)<9x5U)N3GyAgwQ8`|*aA5|%m^Z*?GaTfXG4N#T9KYL~1i7MH@gsA{l4F>W{sQ!Ludk2lXZNOhJ(b>DpO_VvsH3eNQ)Q93r9W2e5Rq6L80}wvIyO1T_d;Sm6Uo+Mxixza$f!{ zb@FXB{lk*v608Hkj(+ia57Bgww;K??ASU)38 zLNVzR78;)_izO7TAv_lGDwpKd^wP}KKH6ka$3+G&G6(L^Vu$fyRSXQ(Qi{p|IqKSC zPcX?Ao?NG7R-2#mw@vT90S(p%QK{|>de7ozhCabm#i%nPJxxxsrM2sW)d_}nxjx1k zWzInDJ1$oGm`c$j)u)wbWQAsY=H5C^Ly0scdXezJo$64Jx{yMTVuI5E=P|gu6_0C; zph6YZoTg!3gnuNbCoFf!OqLzacpCT>JyKuUcdiwzee@u}P3>a3K`*{f;%4r=Sn2)Hgpy8% z@l0VwJ4>`dv8Q|mKf-Zi_WK7<;6=mVCJ4E@_*(^?8+r9BFn02FM)3L4{rFy>hahZp zx~P#2(@4UOaV;oOhHLC#qU_?%CxN979+O50=9f4m=Y5Y>yU6PEKV>dTVr4_OCwega zd$-)MtFSYciiAW<8BUE))Suw*(Ar`4lg1&saKGpj@`$jUg!tt*%NDz<2)-OQ$Wg)V z(WjXB>P;gh4f_*4vuohvd4qacNW@uau-UbPo4EE-YS?qFq#Q0mRpZM!xoOwJsBO(S zG{kPl+rX5l}?V z`tV+MN~>DBzg(8VmXr&9KXZ^6*AdAl+DXP5YV$n6MYY6*<=t~}w&y)=t|hZ5Y8X$X zX&@5qb3)5XGKDb{qMT&ZJs3F}J=Vq+8V7MjB}tBP$hTiz%)Q;2ply z_bV35Ufa%Z)>5c6XN5{2+BBw@sy%hbF`&eWkFZz>Av#tF7#xb{!dM=B&B7ao3Lb|fST;H} zsr@f$RS*jT#gkd~W8`>kC(XGs-HLgRco(GAUelti+v5$bv?K*~>Ko1{A#F_!&|j*xIM5O`W;Pr=J$>7X zhwQ=%Ht!-bK8>wFzT+yESK>XT;t(}B`gJoL{K2gd40s0s&Y(IbyM#*Zl2>|MC9*ok zdRFa0dRMlwk>2`1+2h>@#1o(T2ZY75q41+7h2v}$^jWl5Ir!Pc)th=jk4(wR^_V^Y z{T|Y>X(mmM%sZltJL?t7~v9~j#R?VW| zGov=<4-~y*!RxDA{^vr|R?hthy=T>7v-l>Z%JtPasaqE*TZI*Lfi1}v0tmkTD|RX* zlE&b(=0>Otd3vF&VBUnb76NeZ- zHp?!K4f(N3_r8^P>x?T+4t{?U*BG_$lc(VDt?r5-SLZ%69_>)$>h6iAk)kpQJx7bm zOrO$j{iVyR$1?)1{!N$QC9b2OaOwaO+Ff71W0qHdZQ-gk#isD$X>cLo>~7``a?;W)Hx;#L@FN(lk%cJC*Md(M8!q9T7J4tEUimfp-+-FP;6s)cOD_peIEPe zk`oGMnWshFRhEzJ)&8TFj;~G=iivR_zZ85mVmIKVYdA$i+oY~;o~4w{siUE;7~w>k z{GwiX#nF`q{x=8ImeY?v64H7e`W(M&U9HT_L`VQ zF+W;jQ(c}nk_Q#%`YJ~IYB0_1v#GDrxig%jAT1@$mSVM>*12EE^@(*S9*VSK zQ0cAqC2jU2i2N&C+lIc#MpnIeDW9k)YI~w=a%;I(n|^(-^u(`6`3xwJrUhcsBPP;g{tm#+AMkxFw0{RQku&Af-sIXoKKdDshlgCMleNc965*Y0Z@qC74N*Jo z50n%+qI5+L%vKh8O7QLW0D>fRjUnq|P_s&#&h!Mua(V0|7yVp&?Q zE=tWHXpSSm_C>9G;byI{?YfkBR*vQln22&!BN6-Un|acYI9P^8>i%q&LeJ162HLnbvHfkdFXxAV z5?dqEgOFpTaU=r_f>Xn(vKOr?2r=1i^e`P1-v*qH1Ll`dF!RpM;woP7-!`GW@7ZYb z5gq9Kv@)aYJ%w~II=*hgzn3IQ-&~yZ{6`mOBz2id(3z@KYEbrwm|pPpq^W_R)Ff*v zvLnn@j$opM9qxpVnicj7n@Kzv`N_QPw4{|6oQ>C+d2>VNF8b*}GB@+?~i zylXe`Y5Dx^8at7cK~aOKN(9Zm9w}N;=xuhUhsdEedE;}3MDQK=A`A7c&YWN>k05zY zy1ldUPH<{$oGSyYHZFW>2Ar+!*Lp2=g9=AeQZ_!a(vWrO+hi;6%qZIx`IV|^tHq?9 z0+vEI?R{srXc+!y<=fc{dzqgNO!#s;y1ze0elho~s^k2H{wfD_JNM-d5QoHQ?cPzt8!c_L`%9 z_8Bc@9ueBLD+M%j7&!}#aw!B;!$KLInS(0P8Qe!h3ldiaQ4(t2cWP!93VSN0A|42d zrT#om1IRM*E41^MzXDR_YC1Ty{wmgC-|v8DD7h8#?RufZYq!4gvLvDwXNuB4OwzK^1{md(o-{5iaNDn)5gGI~^5l;a3#?Gn|dLQS0OiGQucmho=yFx>uv zLHA@1CuOsz5iD(vQzyTwU!SJE&cVZ?&7SDP%5PfuZV#?WKYW4iN`0@piiY8XfBtgR zDy#2?R4oaDLRv`f$al)4Hye-}m3;^J+yP7{-*xxYJ`C(++ny=7h?ZYOt)u}?#QrvW zJm?eQgKK;}qx{5TXlzPKb|d&CmGELu;SV?SzVI!+73h@WJY z)OC7hZjfX?|2YXW5Mq1~%H)xl)%8WLb^cjysJ;_v{|~P!CLR>u_tQURcu9`XzdBUz zNSe9Yc?-hyf@A6rFJ_f*S`3SVA0xKCPN@^&do)`a!)nReba{1ku(^{btjE_%tO=?3 z*!YxIv74GIw3>CDiW?sOih*r~%v78rJW9BUtx}txI(I(`P}>9zG{`ue_w(pt*RT{y zXDAF@og1*<&~l(7cNDh77L@XnggJVo5K1=f^(|Z?w3GNhSpls$5a_92I`8uizPs0B8b62rIZs!D-sGQK=r z2TaaX+zXeILC2TPds1Qa)wq~wCdT$R-R zLj1xyZ@M`2z?d)Ho9+3zM#2|SHu*i&I~IbpE_0%OJ&z`xzteQ+Cv3d@vXdCgtFmok zOt;%lw4K69z11DyjGQypD6&;A$4N@NM~XHzHFXRwjam25`zSgnn?=wczTbZ(;XD$s zlO5(AsWC6Nwdrq}#XIt%^4m9J4w+ps#?7HvufE}t_y^Vs^jy#dnzZjTBUXpn*_ZwB zY(oDd90jHi1kQi3pfE6nzc?tm1MKp$0v{6yUj!x$*`vt8^PAe5b*#@;joC-}&3i?t z3Q1G!m4}n(p$WPdY-bi4$N7HLUlpI_B6wu@LpdfUrnQvCDl@qM`=^Zz-|Brd>&X1F z_b|tyjYVBg^Dl_X#YJg-SN7$l=QK+`5@lLAYb5P7h`nV>YV(tAP;EnT%%4NhwYZdY z)Rv!#{QNG4`Uw@&g0Eb_b7u4kZQ+wc2I;hn*Os5;NWFE`^4FnQXJl)ItOUk<7LT|V z@>d;7$EQdM@O>&4qR;UcbNXYm+Yc*Pzdg4p+sRId;8F}s!e$cuD$(ezG$`SeGR0_5 z$NBYAOj{PBZ6ScolgI=#G>b#tYtAL4))Zlx@S zp63-6jaxNwO=G04@+Ftc95bb7CYI#5VgM<(innKkLE@v#XL=_}I=3kF9-9F7PBTBy(g$}b#L3ipkkND{dhQtz^ zqtrI9pWrX>AoH3U>AS?&8p=w5$-UAKr+R}6sLeS5A?+>~BZl4WMV@GEdQT;7}t}iK#FmHa=Tr z!`s6(e!{32mIykWQt%j$G2)J#B3OEa)i90xNM3|invr3j(j>@LP2yJZ}M$q z`xV<}@)vDVI)b-dsFV#DKB_0Bt>r|FHz|hn!)~EacI$pW-gz!PVc?DHy8;>GZ#h_3 zQmB2S{kSlvUCerlwO-bbGw5NlLbc+{@$L86`njFV;}>MxYdOB7O)sZN)bkv}I^NaS z_cvDM9b2peI$l$Iw&T@;RU^H0TGA{>#5Qvdb6Z6=y=L>}6=!Nrq|acM){0++OpQ9k zTPWjUQ(@KQ^k0lJr_WB?_Tv0HHBjFAERjbkKMMwWh&Rj;z!6YliW%HK)LY?MeH*0I8|A(@-jEZaNx`mP8?jGFTJy>vU zoW?bD;~F4HaCdiW+}$B)f(B_Eg1bWqPA=y>?>FxA-7)TuyGM7A{cCkq?Y&mbnl;y| zbEtnGyu*OyV3!dgiQeh#@&<~u)YZGi@T)|kWs7$6ubCG2u7qa-V{uVR-;bP7vocc} zelKUQ*I3Nw3b-4No^Odi_L-40DEh^lZ?K>%PW$GBud8P3bq|ew^`}D?V|G8fOZ7+l z3p1+vhM9rx?X1h_k>gkckSdYG2gg=wJL5YH9p?Y{wNo2Q)T!_ zmN{f&ZsUDs4hbztNG_Q~x{X1VK(}*(H(j*@SEGYAM}yq}?|b0w8PMB{vfqNhJqeA{ zd*jpHXa|7|A6M@UKQDIds(?nQOrNo$#>lhNE7(Xki#@xue3&8M=Bb!Ul!CqexeFTrj!$LpOsHR&j`fdg*Q9WS9M(*v9nTdrY>Pd8Y z0p?pn)U4@-r^dRq#k#GJH4e9L0k5TP-h=G8v&Pu0bC`rY;ArVD%o}?o_z!yo4-fb5 zpGt23)}H%^J$lnkzN6x%=E9?q0`O>+Q8c~y4WewgXl(Fs|0wx~Wq?e=QhXoiWy8{GgkTZV44SivK zr;qBH#pnwC3o}?GfhEhhb=_3uQ-pj7KFXL<@~rlUp2@5=y4>m&dYYx$Bg-+Fe?EEp#sVst9$>8yK`)wmP?nvl;z^ zIaO97n^lkoj(zipz|$qf|eKD`cV=mr`(TYY2Ob84J+fs{E?2=Fr4{;?Gs-Q z!&Ky6+oVluGbHM>ZW{jF>ZV}cmbr?INywrf6R;&7xIL^$60`KDIAtW`^2+7*5+G;g zNiBtD;iUGfPG?hKau3hHM2et$YEAY*b9n2PoSB%8kolBNK)igHJ3HiicyxRnoSkxP z&>ScPy{)pPHJ#6$(SG(`m4ihQy>@gAx$%ZnxW7jUZ+!tS&e@5%$?60cf`mpuXTxjF zXF~P*1f0FGsoUH*&zT*x=rA2rjFU@L+)BZCjIZM(^(EWxN;ZISbG4(@Najvn(F2`E z!SfU5aXYE+V{7AwQfl6P=R}@gBdASh-24S+$?Ne(Dd4-C@9&8L0bmh<_O;?!@Q;34 z`v|I~8Wr7}*et1N@>_%r2FKsPZ^&306EsL=+TSy&-Qw>`pt$egoP*8%Rp( z8(PKS^3~TpyPNFs%8-$hb40w;FY~7)5l4+;kmk0g_s8gTi;i^B;3eGXs&E*d3zHt* zo@#Lw^Q7@~K%lJo3xn}V`gOnMPxNzXOl-Pbu_&Bp*%B@9*p=yd)9237UnfJKJKyfZ zN`8R-2J9z1$k##>2?c5Mb~ZiveP3jJhnSfUZ%g8X#LXnt>}zDmg--Zr6)3%Z|PSRB$9X54~_U}=zQFO zhI8!TniPFpp^yDv$mBf=#1q1pa-Y(}wIN@ccMCYC& zyh+YKeuyADA4stsx3=>BgPPDU*+nw4CE7h$kc4Fq<(z&)8JnicY1~ApXxK* zdpQgWlmkiJR1In%^M+Fdq`i>Ot>MnwaSuYNh1+dRWYFgvcIM_u@mS9) zi9OyB1=|j3Gj$!hO!pTm`qC|VDlbhrItr~Up#A62e{8g6uKeleZ1th^UM>2o zQ=`Klbn$BxlUs{Q+?P)$5KFs`jWrKI2EB}3B6Yf}IXTp~U-yN-9v;0!xhZ=uqh0Yq z<)iDm<}m}`589p!2*fST_$2!T`v}=PC|Az;ycZCFEStm%7A^@Dx3<~YdMzQ8tM=+Z z{GEf_u26i;@Ny$M8EM`0izf=Eg-TLW8eX@SgE-f867i`-3pM2fm(i{XwRi;_W2`Pk zRS)XVJu#Wig5Cc4qi{=GAA2X!aD2~&Gso+T)K~J8_1;yKdab^pgbpyplP7n3>#9Ph zb3^MN^jxc7DGZGDCL^1~9GO}B|ExKc7uD^! zV%xLeyt$65xAqBUQN$LvFa7%b*5I0zx8a2cxBFJf3&s-Np&A&Vx%%V-A38CE;swC` zh$Dv{rK0a0PcCA%H$C}<)~!U`&)TxyGYJ)UED6{C$CnIf z7i#{oZ|f-#w`1wO_RsJ}z5nMqwuZ;2gqG$UZ6sxVQajCQ{H^LSmc1!z=J#BhsSDyJ zBxop!(Cx8ErgmtO_!IjE^i@OWkHjcDs#Gb$kg}L4EsBK8Y2d82A9#<-5j+O!qBra#XC$`{T8D(+~0W05PZ!3^Dr(z|2dpZAqJw)ytwh+Mci>;-$ zAfz=Hd@ywlBoZj4cEHX zJ)^S@y;av*Ph+F1RM)!Y4n3AVqpIg-MsnFj1Cf*;Jwf*7%{1Gir*|KLX%*+dw3P+J zl#wOC1g2V~xg);XX!(mP-fBXly%}H%gNSL$wG;70E8AVZlkJaG$w6BSIkNGiSkD=f}o zfiVP;si$Ds*an9P<+A;K)R1FiBTm;e)9P2f#!gO{rJ2(!6!x8W6X&LuvV(6=b;qzaY_bRS5}MLCuPUAA~f6DY(Gp+1bI^y zk(_f@=VSYH2CmRLxj*p8j_R=p=M1y;(nM|x8WjsA{8kl2fg;AoJu|IF+vom)=~}7T z6IQ-Fvm5tP&FI?RB7vvyEO6wAhBYBB-bGk?WE>;8WK=qEh)*R#nMZ^a-fpe^0&IyH zIoR>&BW#5t*Ecf!AS-k3=HGgEcs8;WUKt&7*7k()7V!y1Nic%YZL6XvSR>@94@(_6 z>X?hx@nl1jdx!ZdND|Z>X5P8!GmMYfdPdJGzO&d9-`n4>cIYf$bqi=9Tprl_AbN{3 z(Z@WKC^Z%hIK1_+gQ6-6eIhRtYG0eF=GYS8f-arcSg9{X+avxUE1CvGFB1k>Z-s6l zkdeQIY+E69kn>>-?Xs7uw1ioRRUt7xqjm4#s+nu=>~XNQUkB^2)+Zus@Vb@GPm4X1 zR^2CB>$l4xP515k3lsPK4Nq%g|C4+Khm4GjhKTr8IdaJ`7zLQ%TrM>DxcV<)-T#W1|tmOc!8#e#-B=8tqpN% zJ{6cE2nl@bcu`E2f{I@TIPm<~9*?Hz++G_z|4?(zR6Y`fUu#Z^>qOaZQL1<`NUC{W zmWxDm+Vrztka_?~n@-iLY<1N^KxcuP$*>RB_jzr2un<^ObVYbjnr-Q-I4d@2#7xYi zNKHnOxq2txi>Ze!65>K~Y1xRHQdB}wia_GL=xR}Bk%~gg;Ac+cYmJiWO?zCn6`6^pZOFt<^=4dR#gnxDS0it z48O2H3@X9hp7&MAYcKHNM z0jnU)u+tjrdPGzYPKnk0m{IXU(WXr~dcU-&X1n#ieZef)OY|bx5m2PIqVa9CW4&5|HeTNE$JUO(Y$wxlB zFOTr%ocTZ(Tv83qP_BI)W%F%`o}ZwyB0<Xu;PZ6(ToNF?1}@f9U^ zKRv)sCj&^&g7F2Ut?S3CbEVBN@0DX~I0Oo{D;Ns?!br3~4@QF0hP7M3*d2;IrChdM zuDJ)hyCDs1GahsG(k5AuwT&m7$-eyIOao~mA&)A{fNEwii`H0ovR^TB&cIPEROv6w z_&O{zp(Y26Y-WmqyECOc3b*y|RcrbF-aOQv?@3}L&C6Q?9>iEY;Nbcb#Wi1bI_9Be z>znde`8+fy0SKF^42dASirKAm=Js_dSyrmM$k?zH<3J1gSmUszJp zPT-%!BdRIO(&`~Dz(f)ftE2a1d79k@DXBW2@HzEn;(Z-}Q14N$$Wy9lt*q%8=;BF6 zhj-fS4J*DT0it==zLMF+8=rK-4ocBUezc{D%AMM0@h!UlG}6|y?(ENYOj;z zJ~I;1K3gNupq-Cz;01iQ-opiSz1pqv3gqPK++2)Hc;p@V3dvR!43fy}8=%*b&r>zk z7sEe43gH@aV3)&P;dKAiav?mALTA&(^H?pg)T|=RcQ)U_v|VNv7_vX}#4F!V_W<6Q z;3g{lrL3^G;9X>*6DdjT0c~>t&vu^-r)GNz2r!Q6afMlvr68NnFQaNUHwcZ7wyq4P z(v7IyjthU`a3bs2**xFisOi?~<7el|w&T87@x7gVq#GtnnE$ZdW(De5nx+%q!Xx#7bTh)+*f% zw}g6G%v(tmWK>JXGzHK#Z}0@Vu?v+{+2i#4V|iA94lEXt3I$shU{@<c{9hZ5DVDN(Qm+#O7}L%%|=2zn_}{RAjLy4ti)4n`BNXju;MvL`FunztoO;8rF<7uYib^hd{h0g9iB5k`_D z3l|q1v0Z2T>P0ME;{TIzV9(7-x3(Q&Xu%*>Q1~>E8&;m-J;kfd#4CFsr1u|a+`FU|E^kWZ%x)w#*cY_PZkB~ zJ`d-4&i|}_)4g_8QaV?FId31z{;_5_E4ewty3$MMUS4b`)8n!d5=-p9%vam4={11E z@f9?1z!escyyGZ~B-;Qoa|$8CGw^mo?KK{JJ)cPb3xl4dvR7rG1j!buI16(wy3`Dw z#7{^mSe^+V!A-=CR3JoFBsdF`Lr=i7)dUx5s$v;2qUGRMw^J?E+pjU>QEt0Rt4(I( zD-yOWk|q^`Sn#RN!b^JX!e)LY|AhgerD)cvB_RsZ=TS3#28dLl`rQE%SZY>I&qH`d zn^z6xip==3x4$3a9t)OBQ@&?aN6PQqXDr*e~hUwFvnS&!#tH!_AGvzEq1q`9RisdX8eb6nRdz`BNGa~V zxhe9yUca;=*YAOQHKs~37nwoTduumu+N!>hQV*2N#>&14k(Y$kLG!*WxX>ewcNF)h z)&!Q(h7Bj&wXo|%8Wb}w^M2-5zSrYluN_Y!*NB_y2HV!^KHaopXVhq=GRbFUhr5gO z$R$r{P*zF+@4stcC_@BslhW_?;8X=L8bHH^X$k!0)5se4dIP#*X?S*RdiI)nNEMbb zH)&tqk--_qb0hYYat@##O3OEX&D+f22*6pOybztz!hEfVJ%xMQJOuL(*)fy5cLe}is3g^k`2p<(0rt)te_=j7TO8QfjTN59!wXtD8d|-v4Y=dgY>w}Oe6V^kHB~>G z76-U%6iVJmst@QwqP!4}P+d*k5f3!1e(l&v#fQ{4w@=I|x-%mde{Q5Sn;j*r!oT8= z46|iv{Xwun77NTh&q{jge&rTQ*%Nk#jvRXd#PuuRhmP&`kTLR}SK^Ei??lK2?z>h~_Bw#Tt_ z>&i89$xN=rp?ed`!3(1Y?3+zl}v7BL*3aB$(!+Bob5=M7Jou2jE zt7mm>A|9g%OW6*Mt&>^Dy@^l967=s6Uv4Rr;@z!F(}?(1qZ|ehSere`k1|`e@wi<{ z%WD%q9Dlzke@^*Qd^hB;BtFA>Ic%6c3h4x?viJ3u?a}Wig^{|BX(cIkRZ95D?-s99 z_7-0Q&f|Zrik+6NlbRxY`DwRihO$PCyu-C8iq#8;$A77(J~{CQ9lP8h0IGWsN1?2a zr0y^M|FpyZF@99O^M6eBv<5sg-^qtK0(;gSC?=6C0K6lmyIYQc1b8u|d3 z678Yv)>;^g^D>55qP+GneHT?ck1E+2yF!t7lb_wl%OTT>C}r=5lEB4cCH%#bk86&I z(MI+~6vVdl_~LC-Cn-Y~)UUtAn1#@XJkZV&@3=!m+E`19<%`f-0Ld*La-YR2R(CfK zd89o#7&XU_&XZI0-O)2Y9S+_<`B*qj=wH$Phy3UcwvtR&R>JfAaXWtiOja`eMdzij z|FW)BsJ_)8ZM^`THV1SrzGDs;!;yD3Dtd5bt}|lR7q(0IVxic&{AXZ~5jfhJSAwyF zYh0mSoW>I?2RdhWj?D6~9OOZFfOjZ$?wJe5>NCDS>V>{wbI1;|NAN-Gi-c zs7C~zYn9f$xLlSI!%xmWTnYzSOsW;7ut8j{RTFyxt=P7<`w!?6P*ZpA3Hb)>+WC*hGn*rB(;U(kQZi3dd{ZGXbAcV6>B7<6pk0Glu;0SeL9de9Owml<)Ev8(c&(hDBR*X* z#AAKhZpg$^d*MqGc@(1D(qrfyw#3x$D)4=FBLD3DeWgwIr$qF!RsG5h8#70j#8U{3 zDGDFmd!7Di@baC_xIhQL%HFJwMi(E%H|gL2%Uwk*(v8i)I4P;hkXj4RsmM6xL758> zv^)M6W+7vS!t_NJz%@r6+bG@=Rcqb$KyLkEOxj?e8ik*%@$6SzVk2CF^c&=qE6xn{ z;~owZ&U!r^E@Y;}urf3^>Nd%sio$8aTjHPB8I=KbUSYN0?ezP{`t1;+-TbU{;|ggU zmR`~`<~aM#ft9cmN#XJ5FAP$OTQm<6PzRU1R!f3vphDojJ)T;0i@iBp2>`JgzTn&; zIDL~OwZ2zvU10i1m=4Lqvnv_HuJBlam8cjA}us=%XkT!u-buhOa^*2t?#)d0br_PA=j&(|nY!X>ERYFUiCrhj;GC)J zwiv`zIU+zDSH-Z?O`W!3q^QFw{3f|e+?=HKGgcqh+A|b&FLJr(!>{fM)}uH!?uo@a zo5>I~_CEQ!xw_W&5(L}GsQTJm;{wb79^IIMSU6%+V~+2^vkkKCXk{0qabp2US-J}; z;S%fW_R1=Lcon9ADZ^Tpaa#gF_@uuAdQmgY&UvL!CgoX7&|zEQBh`398s!~(=~>6##d#u zI*ykqmiReLYf4Ga+R9CviGUnL&`MnnBEtnM+2?lhfltY&SGYH$q`ay#CawWW7S@fn zkq|=l4-G4#Za6HMA;pq@dnRAlwsQwqi1=cngr|DX*M0_%*G}xNYbNm*imEYK(Ox^Zygy_MbQn%Fbp<>t99Q5}h0!hsD)&;8thCpq=vQci5jj`2^Huq0a1} zv)W?-qgiSajYcC*z$;@FbunTbwpD+=)a>)Y>a+UP<7PQMCA-&B)@r3%8l17Zm&kavYNfwX2LaJC&~ zLMZEF=4xVHVBJOwT@9AdJIy6VYgKAC#qq*=gp<;w4#jZI(xizQQeGyt1hK9m@t{wW zHktI)hDS|9WpGNuNofi#Jl7s`wjm9i4ATlLnkZuX1fkXjAG=O*QZS+rAXy$85#k(M z(%%6G(UwxX&z4`Ba6T+e2gv$HzxXAPu@pP5s~H_^Jn}IcXVhE114tzl39BCT4T){b z8l`A>xq-#QoAB`1pDj6%@TM@#%U1avpa_s_a#}My#KmB{!(+Q7Sm{l$R$@ zD_zW)LY4ESm{Pq~uPwuZp*d=)t{AQkdQCh&CX+_UV}b{0-@D52@Ki~K#s(t~u*c6X zDI|g3al-$)E?o;Bd*itEbKdZDpv)pZX~Pfk7G6S;S-+)vy_Kxn5u1Ofs1j=iyM!Jv z{8&K^HIuY7cA{j;F+8!!!ajEG)!ER`JYKGUro`{Ort8_k1Re936SlfnM*9D{dZi+g zSA3k?6>-n}E1RMu^SgCOLE?frFYl66xc(zEBizYUO+q9{S91_~vruBdIi!UtrBgef z@0aM-gEY8Q53rxV&`~D}t{_F(g&AzBq$I{&5&qiO*2Vo0$|v=c@4Wp5KcfQ)M&~tfQm~IKa?A?oi~K8yr_v{{R9P z&5buqbKZSS$siaPNy&5Q$)jH&CvbqKFu4+ErnrR-hBi&RDAQ%t>ZcLRRMp35oghYg z2;;w;bX7&EDfr$LGA1~jzO9$(P+{Y2%%11KZsoeDDd1vnp?ceu=5#Ej$jMAAu){E8 z`F6juC~3pJqIwhgzs`&QeVO2dmODTSCJhCy4Q6bt^lC!2Nk{j;tfg$)*HY@w3=B(l;6kI^Pp6? zR1C9ko(2cR?qEZ3qdD%q-5`I}mIA5b0hu`#Pjmb1Fr!gN;-GRGEn@=P{zO@ zxpY%vd=EJp{&Uc$dUP?Iq&+uWOYJ;|3p6k3K5n6IhGvXT)bDoX$ZKeRvD7h7rLczi zW25qwDI8OWfA7T()qGJiC>bQsWl?n1ZP}+y-w74Se)#!<{rngfQsu7rIQGK29WpCR zmSfL(ffnCXhyRKN=zt<=O>v07WYl|ND*k%>ZV}LhKk*nAQ{}03!1}w^hDhR359!He zTBtyHXGib8_`kjlo3EcGUZcLV{^5H(8Rq^Y>+@sc(;4ViCmP|UqE6rD-|>yon{b<- z$i7*<4P)ru`rim)4fi|5;4h5RNn}`WqPckc<-gA?==tV2XPCjfATr8Ur2tg6F^PxE z3qR0UY24;A)Qx(5JlpRQ^}uNR=XU^db_!hWa_eFq|Cdfn7woyH4BwM*dhqU1`at&#D=5R(F zk+p$kIlxG@=2mUEBVSnz2zSCk8b<8lMg>-fnUpqNf8xtlv<$097lugJSN?hZ#5Wy$ zM`ReZgk3djiLB}^M?jj4oWGo&{1TTRR%Mi^yM$Un9O*Ai8cXw48G&BJC`XLM@KUY4 znpiLDNA~&4Gza2zG}ZJ{F_A|PPpx4TNK^;Irs=vM3NBTgl=?n?z4y;=o>C4n zc=xi}brKnl&$K%meUPnjO3{&F0B?}$HZQbSmYr{o>?aVUoeZF48h^$6zy9F1i`!w= zWa|JuNXzpb{}bz&;~QBQCQxvbdL zOA+tkGNP&pMkCL0mAn|2Yb;jD+BIrVpD2D9RWnw*SknRs=p{R+Z|O0b=ipil=hfs2EC9zjWC;X|J37g z`suVloOKgsL-6}MA@RQ5QxgMYz6b_G^A1H2Wv18sVw!I8n2VY@Jq98mQR?x#=_mjH zLK1?A+Ey=SGWTv_&`@vuvIsOJ~5|Aj$^C)ezL8aQ*O5%^0h%a5If?t#v za4QyJNv~C(w%A(}LKrp?dASNeDN1u=FxWe;t0ojEta`p6?iLRcDxiT|wBid@ITqM`=&>GOHnpY(aK9-3B?+%f2)sMCgjHTsPQC zz>s0Al_E37ulPxYo2x)Og$07loSwnVvaoLJQ>dl#>?8XZMqgSx*&4);h`I&<#?4V8 zd-LUF7tI@k)RV+4r|1A3&Eer6idRHkB!bwzwD>q)^U)S4+EWDzb$3@;o<_FLrg%Z> zUvo*M4jxQib4{tC6F6=o%R_P4{s`3U@A(eVu_n;j|E!J$-2Dy%!sT)8wTx)Cf{PjB}uK-_*LWq zkMKQ5D_T_BtS8yzBdIlWv58k2;5zjaSBM7Wfh8IhMM;p+DFWF~L~*m)4oA;K>u7s+ z0)buv(HT{z;j=dVUVB9-9TbTFo=WgzHKR^>^VWPhh76CUp@-2Q>1%fORk+z|hGw$u zq8$>(g)*{vUO3ipQGNe61(jz)Y?3 zoYvR>i1wc7EwATIm&`skXL?<2{mI5S)8vi-=w=~*~nt18s$SbG(WjcwoP8+U9;SaO=`{vn7gU@j}iUay)wwkl_^Of22=i$U@ z_JVA~_$h%(bDhTny1Ae*8yrYDEyN=hfhSS08TZ{YUbRy-Gj)s52mmd8zqO*hrN>z7hB3MHe=ZAcLGBEH?0;j;DsKMZyxDv zoG}@^82-Ow1Ay2!O6;90HS{v(k>33(h4?PC7OnSto%?91hS35kMN8e^M{)C0sIhp1 z>9ai$D}aUsNJD9B(niuPCDH}`df#5rn_7)^zwpZk=VmKBE~qz((d}+Oz$*Na)JVXp z_SSk=*QEW9ni`ojDN&MFrYVU{r5(?Tc}RQqgF?!4A;OU`A$=~*Wb2HK%D0K#E;n{~ z@L*6;!}Z6qJ!F~K%?@p$U=RyNRB%S9+GrCWz*V`ThIThneGHp2wg3A10z=sWUpO?f z6u>pQ5RUNCH<9^4nuSZH;6sEB`@yAsQ*HIUvX)sgC7qJLMtl;z1e5$IZa@lczuBn3 z)bIMl(a{2Trx15vHb#X^UOlC;YJ&ZouWe3}H~;Oh>OStcVsndh2GBvI;Y+quDBosd zb3bTx&uH;h?QPeZr9w}E+dnc?>?sliIngXAl8QE+|Kju!mqErdD(!nKj9+Uh-lSE( z7Q=Yt7GI6!aHR!Es&#nnAPG6i9D~1SotEHUQ=LKajYO|CXiMzr!6Qg@Q$ejLS!*E5 zzLVQM4^1iVfEhXEa!$v_dW(M@4uN;Ba zje=MlvEK^eFMLN|d*eutck772-o;z&)ncj6_9u+)8mZXH9*^Q0AvA*y720DJdXnSj zNMmgfdK$v#IBc0e2+ADOOgC@Hi@dm^_xw;M@He#;h+a=)SawIkolL7b6vJYv{4hFI zm#p0Wi@92d1Sj2qDn<)j)1Ae*7ht~Y2ju;aB65`-_ZDqbCQMsQffj-0fVn_(|N9oBWc z2C6O1>EJZLYa`MFIXE46uQO0GC7JXPpeD(L4rHQL?$+z1Fl)Kbx%F@fz;zF*3N_;z z?PzqS=8>V`x~Wih4ED)CxT>@Re67#Bv#gw4J7SSK-;3bgzEJ}kSCZ#C`ANNJDgb-( z?{0^`X1kfn8yj8vV_!A=26c!7Bw4&^D$TzMYnqA7MiJ7q!WpR}%Wg`SlE|q_-_oSi z(lxNn=6L{?!T_eA23#!YV}M0L{2L6z`RBMVG0Thu(X1rDjsO z_2WHmv9eX=$&wP5fm^cL*k;ln1R+9X)8J#blMsP0C=7pP;mA6H(yS&3!BO{9RBGvA z0UuciLCtz|VJ~|jvTd$fA(38+oa$qO5X&-3s-I3OpglkPg?q{Ip#-hBj7@v~JK>ua zg6GayGgs0r!)0L|M8daH5~Ww#&`$yA$LMMRVfTm?rB@G70@(vG@cGRI4X2tgA*iU( zk2ju(Ivn(}?%hEg6yddm<7XucsWmLv6)|L>2S4jvJ9&ZocceJ2aFjZ!;4%Af3RQmC z;wo)(etY_c6G?>yGdeKk&yU{HS6E{muEtVQyP@MMMdOjj8o#L7n?r@RYf%&`xH79* z;1+9mR*`c1vY0rC%e?Ke?joc?hK{XyOL`w1N>i-{6|zx&=qW(#4q~D~ii)bJZNx62 zCo0rMX?aSH2o{gBvy*WdhErKJ8WR&|_T?L@AnfMN)U+ikAj4yR56py^ap|N?m0->Q zK@WEbP7jKB*+#7TxF+zkv2W_l(`FOjSf=U1>SQmRCTfZ!j6+E4W$}3xOT+MP(9u5S z&rI!Ok?q0L)<>aQhUwr-kd5_89o^TgTN`y47TM(2Ep(s=fSJq9fX1yKSp z2T|E0Jph(7Jn-)lG%PE&wv-l|g=z7I%VMGTvnWl;WvwLA?eu!XJnjdvW+Q1} ztvM96-4Pr&R}ImZyO~+4k_TG;nf-&-YT@#J_^e_a~H@ro~ng zjpwm7o0mOGG$5xmq#3Hi7O#Hw1bxJ0TMFh~GCC#3l;j%xw(IZqbY}NxH_uAcJGD^_ zoz(pgJ&p=(KyRi0q7w0NzlO9IYU7Pyw=$4vsTBitNI96rMmRD|6|2$9F&WFhxrj7C zkAqr_F+1wBi`fcGxVAL*iN4%O5+&nb$2C1aYZd~?X8QQlTl3+02X;+QE`m9(8t2jx zt}L#KTZOr69S!*56&+%;e+n>^lsfxDx;KloK=qov7GH`Y6cpCDmow5qR~zPgrJrx%qFP9vKS6!qyL%!KJ-KRAKa_TS87^faXCJ zKDO2sXdR@6E3VIH0+jZ3=n5kLu(c}!xDd(w`J+H=BPrd zyoTuM*)m&DE0p>e#4pQA$+f1cfGK9o(*~B_3uXed_7ICg5hFmYQ29DS>tS10(PIr* zoB{13e$|B36d-0aM&~}fSQYSy1So2sr4YL2jAAocEe4|NXUGL^ishjejoUSE%+y}J z$6-mAh>#1eFqVaC#4^Upmkm;DEyP{&b)d&{V#*#iq)3Drl zh!WLK*s2y+CSDzhYq&PSxDpIwE0?)`#4r0+?CNnlU|`-Na@;~sbFZ>?md+@aa)R;N zk(N6{RuqX(dB~1j9@T1}JHnT&W~2mqqs74ssZqfQUc#qAy^*VPi`Aa}8dy1YjvpgysIkm-LLjD>?xc%3PX#bc2;a~ZF>&V(H^p*uo`#q@ zIE<})Z$uPdMBJEJSx)X|%T?E%i9#rFe>@8OPCut;NKUb$>83x??3iMq)4rC~wa1t# z%6=0S6auUBt4L8%4`S)abuv<{Z+f5zviAa}g?zE(WURB(T(}`25POn^QhH)P!!>)B z52~rpDZ`}@`fNQCHVC;M>Wy3#Z5rRG5r7KQ>9>R;%x~Fwb?#Uqks%{Z%V3j?`zA`l z+VcAr5BcHB4;=ikb3`>Xd}-=@&a6L)Py^1E0^U@h?AULW<>B5ks^H+>s_Ohx#~v03 zhWbtXX^#Dm_LHii|B`EF>q_!1wW|Jq1fH-G)c+KsFGnNzS_&^b*JzU!{z8`0Nj+P% ziSiU{@a`c$D*(}sb2nDZfgNC@2* zCe(S+)1U=Ox@ofWK`{S>8O#5LL5wR_2fwP2EzlUMg!dh~frOwrG4tj7cBq@s#S&a3 zB406ESnK&iRtnU5gur-$ms>~C1?1os9CkvcYzq+$W%E{v4#80=RGzyNPgRSq)B{8a zSIA9Oycge7oUYu7!bpLP7S~j;1yP92Z4~~5zqigf$Ilj_k^(OxpbjDrW9OUthP9o) zJQM$AUSukP+MMc33r7s=$MQ6wDty%{YFoEz$vAb#Uzk7=Go@?Uez|=uRll-4C326!InQUj@IpYVn^Z|cH`v! z!T>dl(YNyF+BS);nq>Cof+WOk_0k3Z;ImGgGW9dPRmTx{^?#t;BE&4R{0kGnt8I_K zB9^#z^g3A|>}XKA>o^ZlPBK}}_ME1SNei*`U(Y>0!Ngh<`&|UDD~OTb`;g-NcB%nL zH`m2{abo$d$IK}BF{?Yhf-e5T{-Qyja`5@A651y&gadKHopL43NCJ$MM4HM;96dzQN~Y_`Uyu6C0tL~XVn-##>+0QOlPBcwR*rBC=O2B znZLCKB&(_N3{}vl zbV;jyusjWrt7H87QD5mim|#yjbOae8G{$+eE$12(F+YCKMN7>7jixUHL-aG^9U1T8 zfeIW0f74do)%$d`U@Xt<9Q^h3D7E7dNW>wWZW5Y@J<2zh$0;!1H=H^`W~>xG>$FhU3p`jv{9%3C$?mc?;s)Z4_rtm{IhoEg!J zBYv2P6@GnK2Fru4F>*lK?5%zMhq=x2+ILqUN!5nKFQ;vr!*0s+n|j=R8_GM#D~3=5 zVaA+w2Ek;gVbN+54U9MHl<&=Wv}v0e(Vj5gph(H?z;e80XSjWHhD53;R@u)lsk`NP zpD0=IlIn#!lNS-ZtYn2#!;q+dC04#q6{M7J85U?e%+ud)W(K zN3M~O-PQ1(IkY4&XyGw8>yc5MN8@zJ`$K9R9sSo|h>4E3sUB|T!wWAM5@!eWNa9O( zYRSrx=bhO-M+R`IBj_U7?!Rfj)}ZRqd{&sK-q0Nfh>Q^No~RRt8sav>@raBM*4Y$@ zri5-fIr#(%gtC2~+DNKMEI895)_Sg>F39+4t$Nmro8qrEyvF>U8fX@_BU4SKJ(6yHzQXy(iCwzAnDU8Fq9dN3l?1r=s9@Jp;28Jv$_r^KI0H(TpRW{)cG^J)1x^-*NF z*+D$cwk&gGiIJLh6dx_J5%T9%0)OFL4qa$IBTN@o4?4`Kzc7-6ixg7=$V%mEowXzLz>cu&@%6sl2ynyZ8c9k3XFku79_)usZI;PQuzR7 zXONCixMRkpiQ#2k4g9h$@h4#w)w2#Y!ga_e-;L-ubj37ZvNb1^a(O>N;=#EC{B^`I=-4hY2S6_JTvWne!c5rDwFU{dA z*oHTJoDiNq;v03{`v63KXXPeN`-Q{%otYmF%}4N)ZUeg%_12&2S{kiW(H6AlOp!(Z z_JE35w-x0zn2w*15~zW?@B+C%z9zQT6$A5Zh-f{dQqQR;{i()w;;C21nFkYaTtMAEyQThN z_&b_L4uw5tU4;OSkkw(^E1h@${A>P6v-szCE~1o-BlDrG^i2mz{^BUxyR1+Z7AHkY zn~i+2fVqlP)4@Aaqq%2HNfbS}lorko^4@FJX}Fv8@*{cfJ?=h_hpOu$&yTzTA@d3i z#(J|24OEw+SO}7ktFOBoxB83}jF%tTP^0g%_)=E1d+d#N$ba8#TCun1fAHyi*GEK~ zVeDmF*B?-pZ(KM?^-0X0*A#GH!V`;RmQ;+&5wT`}_!|=GCA3G$y-8vM-zXBUC1PXi z8>5hy3s=F2s}xYJjhu^6Z4ktX2@Q;QIYqV*b{AGf;VMSvp@+prFyne3_!mZ{9US$`SXo-=DMSr z)xj{4ISz7p-ZYag!|;IP4)kvD9rcMJ7NwRc`EXzI-p`M2E0|KBbWsB^08y96i?up? zjPhlatRM3hyTGIGGHP3yX))@(EA)g>we=58w4C6l3h2M)1H`%aG7GtjOgBQb&XrQ6 zRQeMNi|XG_)*~F2W*Xpnkx^ls7N<+f?da)6%nNBJU(JdrS~fWH$jc(Mi-n)2-PN1{gm>Hw$4vA zek2}YPI?TO&UIM%Qx@wR+B zuiHejDgeY`cS6EX86=b{r1|i_*n7*UNPBHvv~YKKcelpf-L-Lt#@)Sfcc*Y~+}+*X z-Jy}Dfrf^@_3gdR*=y}__88~8fA0OU-cc~#O6AE+W>QIN=AF-6eh|GHe58Vrhe&?K zE?%bD^130Nos2c!R+k@%irI#x*e1uExdM}Nn$hl@=?QG z0>KrTW8^wvr>69_BuUJpCBc}#^21tF#4KiRO`+&gSUIXnH@MH!iYv&vM4L0Kkb2dU>@-oW6c6k))5|7yVaUVL`lO7wjv%2K(Xt) z7$m1OneV2D{)&MVaTw-?k{}ym(E+90&e@@LjwY5GJs;v5Ik2WaPm{IAs9^<vqPBS zkDRWRK6HLp{CTJ0Z#ig*lSJ*__t-EUkNzGJD4lCd0EEg}eJZrnI;xl7_&728nWEz( z7otFKRD5=x-78#aSU@kLqP-!)1(^UT5CY;|Kpf_6t&<1pFr{F;PKgRu@u(74=rJGR zbBSdSz8fCEm{2~Ed0(*3C)+ep(bQ%|rt~y=F%sjayjE5~tf-}>vP@-Ec4M{XHw59~ z4>-xlWP}G8ZaG>K_uk4ls9{l_c6RbtrERthvJ~KSwPI5ScG(>8AV)R`_t9G;UYjsm zP%F|{T2(r8KgY)X$JCw4WgW?J0yqm{7{q3qGBjlVZ}20Te)!}LfGeXL>4D|Pk3Q)I z>aAAEaNfDKuZx7o1K@vHH2Me)lo_!8rXNd0hYapU1WEp_Y2taf+IEGs3=9{7 zB61t9hE4V7z{{JvPNnqje(bkN$7T4z0*S4!GKA|0B_8`PxEIsWk7MOPwN&EwzOoA7P^;92k0*h=%}_sfmm{rP+j39KwebT|yOO&XU|_h%M9KQchr z7m~|FcUt~*Py`?7lV1xP`jPe0@8a9_%8hXx$f11K3Xg~Dw6qY@X!T>A(bss)wksuK zY!zucUZwSTy8$r@GR=gON;jlzm;28g%{@+nnZJt%NBAgxEqOjkMiA8-RLkks`&B}5 z+hgbqy=C)M!bPdsVFP=LZ1#yl?zU)ZQ8Qg6a-7N8nV0#)vJL%IPxBWIXMfzA9zyE` zn%o(SeXcgk^kQ<-&$!Qad(ZnwXt5v>kOMbH?(5cmj{dke+NIN|W+;G8N-Wqa!U4>D27HR(zDQH!-ygI(fo9)6q$kGc79`Sl}YWl_nU$;dUyees#6^ zTt2J@(ZjI%b(s31@g)LV$Xvo<2`Dm_QSezI^O7V{5XuEnzAy#urEtWU8jS2qhnf&w ziKX+gW|{)857Y-L<+DnK6b5AN1;d_HVa$H=&skqVn$SEPBj2ScCUwnhyWdI_xNd^# zFAet)0>YgytY2%>DIchoBFr%5^Un-%_ZvoyU|*5NjAvAlInrgh$%%Jcx9nTDSQ-FP znSTHwg}gmQ1)9~%2sc0f*Q7Jx1&vW)>bC!6j8qpu{q+fjVrKZ-*U9${*AL;Nl;tw4 z?K+!->1ln~K?pl*2W-2r_nUuZM}(Ujhkq5P=~OX)MnA%jZ+?d9Vw=)WxE`ym!vM+z zms%kVm9LFDJ#7cLMC-I_%nVQw;>0E+=y9mS_+wBEQPeQZWer*-7$n+b`<&+SJnyP%x6{H(!ng!3<(!N((K9?F_9)Q{y0`W2m7=O|U z((6?A>HS<&(y@XGiK_G4Aku;~duyjAF>eyrdV`<(>pImZOSI_rmS|Rdo&2=c++k9F z;vi}T4;gETh^^qKg8;^9S(>b+-_aO3n{+?*dPI8njQ+8rqcsaX@*-cs=$$RcQyoO+ zGJYRQ6vh#|^O4Fy^=-#@{U}+W#L<#ynEYN_2}UoaD2~N0gwO1@TMIjuCZ^mlvXG(7 z1-szu!#qI=EYV#f_*7!^y_^RBvvdKLx+1{?d1~^52eH>VETjO~XuoVdR3H()B&u=B zXaa7MFS#-1t4`ug?^2D!ny>EW8 z{?v|mkPek{WIS$Xpba{Cy?Fd7L2r!>39%LOq!O_#MCU_~JnsV9;E2R^N^>MV(SjU` z;KNRzqW!h%R9M>97JhCL3SAirq=kXDNihq&H1)6R>{tDX)g)5j*@o7}A4s8h=Z4Ph zyZHxP5d?~4pBqlSgialu;yX~J-{oJUErGsHn%o$JpJp4tIY+}Gz^0J!KvWwvB_%J} zD#+ama*JUpQq**!-+$u*V}prA>{Al+ah3)bBef^gTZg2`Rce@2JC{ z-z9MHzkQ2f;L)s1qz;DUPaG=wD}e|i>#TfEUA_{S5~QARkxjafcy;BX!}Hph@_Amm zD*d}L&4|W=3vy%RQQrk9Mh#MnVhe*nM(7>Qxp$@4D?r%wase(6wl8I}6UX8}HsN$v z^S4Sn3DKbbSDN{yII=|%e02U9|GvTAy#o=(p}+@eBDQ1cq{FZdc!IcxsbAGhNfD+9 zzsu6;#0I&bT2b_ac7u=f@-tyWbu`wve@B~#@PBV~3H)ics{#X2lN{N2Q}tqIkn^5D z0cwCC1P$y9nbN#L=IIR4VmjPL?yO?uAApAHiXlL5)#dZu9dDb#rkw*2<&pbDOJeEM z>B`wxy{pPpn(TVIJL3^)#?HoBUO8`C5ekZ|Ar^i3h$7)(A#Ug^q@N!6n(`i*3Wh00 zrM%Xpvz!aGrm9(uS7$Bg^};UZa4SuD*cW64LQORu7TKql`K_cUDtUrA3P;7Io_EL0KGhh*&AeE$@;Ns(knal|8!THyHQDLElz7IDtBr z1_q_Ik;g|W2h1h$JQ%_1+ou8a&L{08BN5u6=6O;y)W9;uEN?4uj6BvWiCnx)`~tx* z1gbgpt^^<&O0OE()Swr5WONRl1d9s!e2l(4Lh{U7!4eVTpE%7q6`W;Hky6>FR{`UL zwgOP(!s9Hb5fO7kJ*HNYH`NVV&bj8ih-@<#@;R0?A&Y9K*ImWLbV&M*t6c@rA48vd zjDZ*&K(0zoYD$Ac;}aj|3=G;T$dwSEj)Z7e?oJB4O#N(z<|Cy&;{^-GUEtgGJT163 z{cJF+b%fosYqXNY>roqQ;nbzYVu_TCDW$Mx996IBUBO!>RrV1+({(4`qBB8=B8NtF zSG$t)gEZe-(!N%>dMP^mYD1v;Q#mZuN`D2vm>zOM&Rwp+c6(;;d9l3=J*i@rV+ki1 z^r%RKwQPFx(pK*Gpe`*jPvi5s9z()ZQbTAQYu;7g&8BQxW2&=zrtbMpRE5~hT7}PH zoJm;Z@Ij-$s|f@*RKC_qIY@nBv}cWa2GhBK7PrCP@m>8v8g)!FBe!)6c`;%0j#)ZR zB^x!1&m3Q_E`rm6NML)mrDyz5f#b`2Jly+RC8VE%UVx_~Jt5&TDFg$phzZNt1-+kK z?|bTeLlk6pWnpwXxLP}2cEL0MC}yOi{~cENC`+?fR9RC>Z#2Y;LtWpF%2v&cY1J3`$)ui31&m-Gs;-xs?rlU$HOf%a z1a?QUbS=iOWF7rIwG0L#%1b};%!4?fRYC5WE%q64)6YcS#mF>pu8UncXR_$VwNpD4 z<270+nEd80w43~NcH4S$AjS}Yxu39R({GVcTDD}!-& zWQt4T5#u9L=8}r63nv8r8N2fOM$LhU2vpEisE7$LS8Q2cqI^U#;MtAh`65FP>WC2T z)$Kn&+m5;|;5adp39=K*zS8AT@LwSz{kck%G${0*G9#UO4p2=xxg6 zX0wki(?7hgJ}lCQ0F8o^=$=zGiRO3Pq+NVcz$-!O`k~(1uw+vv!QrvP|Bp_Mfz~^=wX`o_tkf zrmat%RoPTU-jPPe?p=SC+2aM#RA|seVE^dyi2-#jdQ1zw7;6^NF}me+4?W|+S2)V+ zVfXTod28j39`9ti5#^0IbSuh_=&Y=c#Ebip&mxtCCO4{Q!)omCx(8Mjov#W40IW=$ zzS~MzF1pd7=f@L)BAvNlfl1RVu%@iuJ?~E$oyEJU$aciAaONd>G0`{>Q#M{`OzX~Q z(ykNM)F{wki|Jn282zH#MTHqX8_4Zyx}v(AEou*+o}i$%Ht(I_zSsig@sBQi#;=F? z%E|RM4);-gP}rQ{>wk_cfsV)kQs%?9e%se)MHBleIAra+g-dRV`D+g<3e4YB@Ey}v z2nTSvcaYnB!n-_zpn1S4s+bE@Y;p8ZB}BG0J27yH6g$x$`tF1eS>E#He@FCe-X=ykc$@T-g`z4(OB-ye$HvcR+5*qXFh9r!)#sqw+8;Lf&Wi5 zfSetcEMr6c|7I3C<~VT)CGg1HFZtUw_a{u)X6!B0c`7gZyVy_?kd>>ArW*i&e>nV` z)$XGLVVg>7f&c)7`H8&bs@=h^1CMO1#(2^QLpa5Ay;m7<5$&;iZF=G8!(g?ETy}p@i)+-f0;o02}pGk zqW)T55&urD={-Q$0a>PO0r(jp=m9tOzaba#Y0JKWxJSMpYF-u<06>~ZmNiG0B_#fr z0ZNnTO_{7qS@qvW-j~)bJICh#5SH8wsx)k}EaHPKrOUry$&+QNlIbXuK_&cix>@U% zN&N7CCe4BB{FE%q$u5hD{?B=2$)nT{(dmMEA^sH$lKX}6A4$a(06=51EM&UO@PjPl zqbzyCOieOuqiz&PZWudlNx0;{Vrg2ph~WN_lxPe9^G=qf$(J!f7o|?2qxu>}lT15~ zE(^j!qSKb7i~cKC>}lgv7Q9}TGKFtfDUvJs{+Y zKqR?>5(G#vrRxM|;)I$k#Xo}u)M!hRrv4QyYo3EHSMVT%9`pxrnXK3|0SN-D@r3;) zQ1+j}kAH#1{}n7#?TBvrimQ0g$O3k#6ohUL!e+yogGNyb+&^Iz$=N~OH1prEQ6}gv zl*w}HA+j_N@^&46eVQ(Foa|24xA9-t=r;Cbx`}M6|Auw`1E@{Y?{n!9iI=REc?Pw*F6f z{-<(e(8e24avGAddS~k_^79M-7WNXLA*uejrE|OzT&m`i_jjTk)Vp4@VmWvE&iXa$AevzPvRV5-*5=1P)lNx9a= zTKl5^NvHoqy40543AG{@C-g>veaQci=f6hNzh=nDaW6PI(Kh*E45CT+*N^@u$bY44 zJFwt6c=%QGfBrj5(tk>k>)DM(U87lP9V_{-0{?F-0Kl{MZrwq`nfO~h^pDIbqQK7! zNq@Vs|F?Dfe^aHuuYrF{`L_oCt%3hvYv3<05j2pO2q^RpG&lqRWa0u{|L_t)CH+r| z@P#|p0Ttr|5vTkA^b!I2k_e-MyhOl1r7e8RbGtw+2*SZy0^MB|%drP8c!{;?p~wM7 zXf5ka4plOLzz-%QK=RTlI7eVU5TlL`+BTmL-ry*ALO$J>{b&@%|;>>YA1e zZBV~7O9`Ba=`$we;I;otHJ2F#~=t=44x&BqWQiSqO-X$d|NzNg!bXQ{vor+~X(AnI*^ppXvVVR7wa{tZO8KmUA5QaQ?AUfY{j z3s$O7K`>-^>B6F&RSjz1$p+mMTCrlu((=U3&JpC-mUK< zk8_E7XqZ3hw6 z6@Bvl0OkU=Bh=7C2HFBv@XQ~4IKd}Bs|0*?pAvt8Nhr1Z!B@={vHcR_YP*@lp*9s>jEolDEBGYJGcq$d-{K#QS+AX~)H> zSg=$|pDYx=7sV@CTj6;ZlRcX%vOQE}ohO*XUD0Uuw%w*-4z2|xFb>$QE90v;V+ORB z#NK6cZsTMKChTQhh-oHh`}ApB6+XN#g*m5}I)ZyiWffUC_u~(0IHu6-&}P=@wx+Do z;LoE`dUN~M&D(aVn*R)hdtVL0d>^z`w**YC(^^IYm~|#&m4J`g+ma%R;%fJ=9p5sd z(T%l2-=-sh;P6(@2v&}sX0cYxecx^XE^rg9VM-l~D_5yb$E9m#BahiRA-Z_Zkdzpv zg(8q-HCNmAt3J+s?b;UHiYT6&blP86N*oymW_vi2_qIZ8b+MSm*Bb4T()gq)l5E@wnx^S`3rZ#E7wxEk>kd`hp9mF~D%t3= z=n9~Ts;rFUuyO>nkLrQL!e8G%JopyavJ9~#^gu2I-~`O_-yGfU=B~@q33VFXZrvjz zv8>%|$DOSQB*0kMm-y_Tlq?m7@XvMcrn=zyQjbM!tqeJSe~KQVK)E95WqOk)ua}n@ zrb(jh&dCTDxR@Q?nR-)H;F@d|KO<>hY<3_Q@JIBzYxRGxkQhat%a~nZJ#G*y=EPqN zXBZGC!7S04tHtD)L2n@=pl40-Q$t*~Rihvi{dTn*Y!Q|>{VMnLV`dg9DOa2??E9mY zT)3X(AWfD+$u_x@d$oHkh(T?#i2%y3ketc#{Q?K1E+^tpO8X-o)O5ni)RAe}`qsRrEGtdUf!F%Wi zD}Y8^ykUs$%Ze>85a6XTKa$V)TV;gt11;LFdA#Af@Z5?eFUsy?^7!`k_M;N(OE}z* zIT$dmC2!TBXlfl>UFvKhBEHAs)*)-N&svMqc{ypJZ}t7^-|BI?gyy|JM0h8-;~`dx z)kUrAv233P)~-R3EQg-;Am_a>iILpglQFTgJJ}@HZbj#kd?X}P2}jNiA+zmu1rH~_ z=aWRTnUa8EM|FHFFnFhx33Nw~0Prq*p%U*UV??j9GJRiEPXg}4$hqLvOsRpQDCk-f zLzFGL*(_A9jGoM~7e(ddq}v`3*#`(#lA_553hywkmN*m~j_HB-e~>WvE)x_A8CeA- zew54a77d z(L(_*SyEE1Zl|&A+x`QfcRPJf_+45J`ib2HQ|zc`0mlQ*hJknpZd7`{Tz(fEURV_A zCpXPg3VYgKsZl9AU9VB45r|k=sZpiLj4CieuSuUKS2{@XTgg_i|2HX+%6gwjxoy>* z7F9$Q51Gp-p|xgl`|QUye2ibhvrvFLE?N0nInw<3L>yH7vWrT}hgSf)oG5jty?mO% zS>O&r0-yK`ixL>i-7nY3!h(t9xqH0klE>fB@md3-(OO7C8*T$tMbW)r4C<%HWjp-0 zAYaXG!XVpto|M*l2t(Bqx$Mi7`Z zgfTjJI3AEGiH9KEZXZzKi7gm9UDK!7{*CInO@#E;Y3JF1!64>$8E`19Myd`&Y^TyB9gMEM@@Ga~<44P@IKSTz(Jv%y8@7dl>YL%=B;%lP*bc(-5(w0~iZ)@HE?00a!@7w^r^7X47Qz z@l-z9K?V!6G@;W6(TIIqtQUc#-+PwC&~5VIkX|&Kee1uVsQ+2UO-;YUO^w z_91q#pH>`XgAGt8^Dyyp9LjT{^-Cjm99KXD#Y5#Q^dY-E9I>f6!F`a4c%g;s{^+)GnWuH8$E-bZoF_4f&3mVC>ekD71_GBzQ&p&#$G^IW)JrW41^R0^_P zANP!0smeWfAchSc$~fhBqcuXORCP%#8ODjsTyczSxCSXO>^^_5c0CpcS-Bi(IHRq+ z68`+(WSRSg9$^j|P-WSzg~NCz-!4_ziv?#L3++9NL?Y1YFk`g$Y?Y$~By>LFT=iOF@SA?r<@g$vFMxLb@moReq0#M47ml8t{WA^a9IiOj~5f?F|za z|0?)`=eEEWFoMrl3dm{y39HPWC5E03XH6Q<=38A%!w+x3v^`cwRVbBKQ7Z@IT|`z+ zk^W;VY|zc-cx#M0_1Olg^JFA z0pc&#eXE=dYQhcIhkdJz41u}(Ptoa_x}P2Q^BY6Ru>Y{zYWLA@vz2lcV`L7^~?G5c{G4+ZBt=x4fG z%;+AXx;z}~lx76=-II$B1|Vx%g80(Mj8-^ZY?pbzJVQ^aq$f|3`Z@7) z7Udy%p-Nd3XY=tt4cm4^jZ00AQDhri&|E!^_FHD;d^#6$yo^HkM&=}JNJKWnD?iO$ zZ=E2p(`>LSf#vD1zif~_G$raHft)T=BADPx_)YdmC}Hn?p9)+r$1VUAfGCbSiC5G* zg8H9YIfWqxL>Y_50t8}Z$6ys>AZ^T@U&Sml`pMkv=~Op>^Xn&&IJ5*(W9A)}r1-`c z7f^q2lBRqet-gFv{w;<#6JPzxvHEES`uSN1?T_g4vI%pob3uRtU!>Ye?@%!gxYGnLfS$tW2#1 z|M=RiM{{C$f1{(Nl%TE_M^(@U91POL4%A%qUanB^s1$7xa}6XJ1=rA)%e!#retVV! zKl~^VN|n<+1g59t3%x&Y*5&VMYafNnFKo4~R~Qa&zSqAleFI+;`lzBeJ-C1Nq5XIm zDfW?~@YC=fX!ZM;ZH|_;-k6-f=i3sbD-_ePpA+OuW9_1z+mpkS_r|>Axw?ODp>Yhp zS1S=BA;CoK5{@3c*Xg+H6Ax@$N`&z1gMzACI!s|wrk^;n+Us48HBbc&KB$|DCt=^G zam8rSs&aL+A;Yc_+Ft8SB{ax4f`YR!4N=m$ShK0`Uxc4C$@;+Bv5OZ^KGF_g{>+LbmHFIv?hm-Qx$?cfED~3z}Wxi!&THKG)hLb zNGl!t0S5)Q;aBA?NL*S^@FwE9t;NRC#I3qf!7JqKLGsclH(S}j8s94g6^aVYX_Q_p z28y}gwX7t5w4ZUrmGwL{FG~3lduY8Nx(*Y_NgNl;NG%&=hEy74gH9o+8lRsJqq4KG zm!01CIA84UKH7x0Fu2Hy9-$7cW`9;3aC&_F{IV6~#hOqn-=u^`WUyPHnG$DXjjLh7 zi9VtSC-*3hqDa#uaxWpumef6RgBx?^BoBxSI4wM`H(Co=zl|m|=EL$1B1n3Dv!#cH z+rC-f{MC9hNfNM(emK#l7E~{Q?|;-HDqr_0Vu{Dnx6^$yv|pu(B`%8l!T==+M2!y9 z`)C#USaAjE$l9Mc<~nLn-djz`A-FVN%JU%I(UUt+vmF^M!JP!e*nFP ze*kKwcYqpBf4Mp3AK%%Op+kPFxQaLlu~p6&=^#@FF{<+4x_$co=1EHx4NH+E%ETW^ z@(8GsBbp-(Q=>o4T?bkyGT_oZPuh&&5%i%XRJp+w zXJcXJQZ)2iOJ`^U10LsG&=T7AzQ!5Z+vtqWfGUAGFelwrrz}`WSlYR)?G2gD;TJgX zF?xc=5UDDMpQy7jg2?8e|4V?nEX=bnbw(oSu^_D&C02YeHLGL;#VWt4ma$3aZlb!? z?o>eN$vRQo8pW&35G75X8aWb$u8_)b!10qHb@b;VtC5%J8EH?@=BKAb?GIqSsFF_J zNcRkqfU8L+>wpDukrxtTZ{X|gF>(XlTo!VA1G zpby{1WL~Z0ye3ZqJy0mUpAGFOb%~Tz__MHbUR$=GLc+aVqFkg_F3sT&;79#GOitjS zfY0DiP+*|zFOw4hjSZEQj1|O9;;aG+OSfPgJaBO5BvOC>AH*bo1$q9<9@W6;+VL z&{!vY`Kq9$EXi`iEMxh2*K4&_P}8;lYIX9`9)~%}dB{u38fRHNtm zt?Lox%5qXEB%V&>dZx6mk?NzbNUhFu zxH_WqVo5vS2!yb78p|I`xV12Dq_bj8D9zVQxSwF$md||mV#p*kAr0()oa%7C zxO$09ZOJ7+Yu(2Rv)GpVg7$a_b(-;d?HN3~s+(KWH`WX&maMs~1$*A)3C3?@Y*L0< z6S1$9>^FdE!$g6R#>I0sYo@AULvQyWV$dD=I$SObrXiOniDR(8Ebq$-7eW)bM&?5V z6FUF#vAM0|5%e+w{PlDb|9h^Gg76#4bl;Zz6UW<>WK-MGN!^0@bMfBm+qNm|6jy zSA$sm<^2zUl_At>UTFFi{Zm2UA+@FaBgt(^g$AZIm|g4b&F#l2ee0}lhSiq*63NX| zjM`)6HU|RH$H#vjfy?=C>KkbE2lQm=b^U`q#!0$D6oUQ zghOr&q!|Gp=IO!iHj4Ip5iDwu&CJRSD8*W($ zEz*bySQ}poJ_=vr(!w7C$VnU0hf&az0}Q}$90Jri5L~lPqMR6tGLXe!a|^6#yM`_5 zP^g=m(|@Jc+jI;?S|zN(gGb3B9zE5~scsMU^$nN)h$d`S@C{fnq}n0RTb#YPBIQxx zK^Bdmq&24S1jF%)Z4@ovE9t15O{gRcXyIMx!F}EO{Syu<^lI-VdShB@Wf;%WB345W zbor8!b{l4~V1#fzg$y5@s*RRR91rkd(MZf5m^llehAYoWry_Uqfq+=3!wg7>3504vu&>zsy#N4 ziqH&qZ~8fusUQ7~P1lcxcHf(i9;)G&a^TR9haXs4r*x7H%!Yi|dOY#9~q8c6!tpi0i}=@dVc^UEXoB%%lj=~9bi7Fz9V#Z zD;-lTofQ^H6kq`xxbg7?NMJ^ahg)@Sp)Fw<7t5v%IBa3OV=w9I!UC5)&JDH0jaU-Q zt_Y3EVPLy;{s1Ptc=H?6y1S%5k3F9!*NUaCW3PUDN{84JO`cY;FLm8x)PNcm_zB6@ z<@*@a9+3|$`*gU}W-(*ysBgewr;#uiTU-|y2;xmbdw+^XutFX91IWdeA-5`s4+*|l zK*}gQeIjs_l)Sm++o%{+SDJR~7J(wLJ>G~Z9RwT|v)OF57zX<^xXsk-;ddFqO#0Br z7H_HsWi6T7A*s&ex5r!7T%`EH65kzX6gGTunx2J=a5o?WX1S4I0eeCv&tGcOStP&d zbV9K)*f{&Lt$u^8&T^-9Eo^DR(Kn@=9xhZ08M9>K_vp?R$UeNtQPEd8lPiGbC=M8T z_;^|+5ct^Jg4?scsA%IM_IHzF1bF{rRq07^X$#$J*S^f z*YH}Vt;M>=pMSG++l{i2j&oknM#}0mz8+avoLOqZ&g*jZIJ_+mit+1%C2xA zcNrtzjycPKQ@}8SIU#x@v@}KE2P?D*2Z;R1bg9iTAillR zay?VDafW{n7T>L+58}6Q->F`-P+hZ)k@Sy`$}HnirtD=eNznySpIRKIPJ2{=VfL>h ztxq}$_z+UG-USAPkN_C#vy!T80;%w3hV_9e=u$tqm$Z9^L+#Q|a{NWZD}-Fkh&)*Egul&hd4sG$5hygriaKdeX-Nkyt+Y=eM-GDU0~;~(Fa)6STEPO{@g=j? z2PDfl4MgInd6$$2O_r)!DsGY%)*{CTHy8n>a7M`-QnbfExBSH(zaYIrRRKJ)WyNiE z#BIlPe~&s8;iiQ64gx)1G4p?SGthzo7GNe>Gi_$c9A#k#gurK#FMubQ54nxBYxkW_ zzLu2$F*3jEBi4w0Y7gOAaIE6otwXc#xdIH?vPboAB#706rV6mp>CYM*=%&=4ojqwS zNrx4Bu`wltg)kiS#qA{@}%RAb6a*v>u5w8WaQ z!jp8HY>IM3uTpE_aT{<(p%WEEW5^TLqn1+7cDWe$jN9%Qa~Aa$HmEh~Mm7^cBHItL zfqfn7k9Wly#61JQdH(7=eDdHHUMiDCb^)e0o;Dq;(AvILlD;B8F%C0#~jAwe6cD`&L z`Ga^2u`9LHO?Cg>=BztD>S~K)H-imJ+z_j<%CHlMI;kK*27Xv z{>AtFbN#G%NyGssYA1~FZdR=r-7&*WCQ(8$n^?jO?9vcqM+IR6cuTnP%G`b|Xsn4W zENr0RFeU835qhzL+$s$t$I3X=Kn@3b^Q0*)Z?sVks&0O>cKRIJ#t3AMmKd;7Yj&J2 z%E8k3AsmX|WbUfD5s4d8o|@*1@xJI!d!=m^M697*JlM2OQYiEfFG~v5;cp8r-Rg zIk%^qXxePCm&ve=;Y9JQ(De4VMJI43Fb;U^MK$C^(wsVK2aM{P&|B9vP7x>F#=c0A zA%73%jj1Rj*Vz_x{h{O>*{uKh%v$?5)ZIFLBP?U^spvs^NK}>|%?S{FEHYE>i~KK3 zQuj%wnTrr5las9PplW|d3aSTfAveiwqoFa_rG6?4c+1dOI_1J*+R=xEc&pxtk)VhuFe^CE{p^*XpV*YbR4Nf9u zEi?vk|EVm9I34`sOc#{60RS3j(_>kJc(K4&EM+E&KyOcS9b3u=%l=->cmT!yzw5 zJY$@f(N18~?K3PW1Ct+zUuCeO-^qp#HRw*3M`*#s_0p!vC#88Ump5%|C>a~NL{_rq z4(Xw2##?_sUvO>Hbl#aeztA5?)IQi|sdY#ZL(uk)cg|t$N z<8jWr0eCqC69YW5F~32xKBk7gSDu1(<#-2mEujP{DM8Q@c2Kyws=ICsBj^k~4+055 zUtMpz_DDS*6YfU{g@ysv)FIYMpA@e_yRJGak~RR0MW-=WO&%php?HeM^Q-4a*y0Cc z4gm%^>w>QvpG;O&efol^i8->VK^rMs!#;PW1IC)*Ok{%PBe}e}>43lpZRWDOA1_)| zM6C>RWaCtvfhI8K-d=C(&obBodhsO$StawOCaGl`75J}+CShp%K?i4{S8|U~xfl@T zz}QG8QjdT1na0r@G2DTriBy-{1v9c>`w+jHl-c?Hbz=4Tp5S z*FXaYsV~|jjrW3<`!s4Zc&SXfiY-R*F`IM_qH&Q;!MsVU>naUC{$q*+gkrbRK}H1V6eMOO zn`A9OE2IfgNP+AvP-AsnIKnnPVebG)Ej84=&Vj z*hb#E$&x%cH?QICcV40ymfa9GZg#qH05K{yLIKh6Ha-J6Mas{ObSyQnHMwv^vbTI~ zhe?C^_t0=ki<$9Kkrv1iuW}Zu&flo80RQqg4>)RWF1AUeDmL82s!C7I1=0dxarkvY za(*Mgf%52$1Tt*N#LB$V;_q1dW^bLRr=*a9sr4hRK=|uxg>uCy1F8lq zti)H4M7Q}1_bvM`r*%GoSv-lpECsTRq+xMm-t-l_LL}oLQ18T~a#TeL+GfM*);E5? zy=cbn=k!e=Hy35M$;i!Xqc@{<*MUTL;SwcAK~~*~<9@}Wy$j-&2DrO%f=mR$cWcLJ z;%KZ0P ze^>mjg!Q0zS2cZ3XX)JIvH|B(^p2G^A_xF301+Ry(wH%q4_|i>SL9X4+59sH@~uDY zFj#-s)RK+CaxKzT>oN^7|jbcio$OFY}xT~wiIAWgYmyo6cb#+ru0K|gi-AejV zI9=gjUZSo2Oqo?eR3$f{6Hcbo2^p*69-){md*6+r$M+SC0~)c27d549P4aXz*P%G2 zCm8X#$Kx7LNU>w}>eNMjb3$=p?kXk^qRRQo*v-JFAE;{Q7`|T4dn8m9xbt(VolHRZ z7pFIrD+S7zU&LHyBa;MyffB6YH(rRHxNBr8SxI&(vBcT(6nJWdJDS=WKFmriW@N&! zk5ETTZ-#MTSnItV6&wgSWkE3>ET!ToJl`;P^m>lsGgT|>LLf^iT4qx>9=Az*#bwTx z*)a!tFyCkVgPnhvAbE*EqXV24Q$xsb4k$~F0F&VPMdPQ!&#&Sz#L%`|#_Nss2*@?Ve zKxZ{{x5}zx+mcdKH+>`y2mga);)GMF>!V8)K=>Z@qdm1&}ZS&`lMOg}DzT-URYdZk3{M>hqtg z&Xb9_ihm2s{83RBom|MwY_cnW)HaU~i^GyR(dq{0s;{NWizbXOr*D}hR4C{^e$5T{^fo)w^TnpymN+A>{Ns^P91zPg& zC_J8XsgRpDn~h514uTKhdOKFNdgan6l$R2I|H<6ZRhP8*u-5tl z2i*GgDnJ>i*s@ilJ@sR=A>}ln(#Q2sEkHuRmn-#RJ^Xb5OyU-Zv%(pOv;XDGQ6!!0 zM(TBTr65+By%WrvC_>N`yz~htWTn)sA;=c9L#hrCGzJBU_(D>WXGpB;dx3{(`%PQJ z|40Mo(eHg?Y_uOKvrsVAebr4w9u^_7(R7JJ?I$dC?YJK#mu`Tk7Zdv!;W^@0H4kK^ zv(b{cOEL$Aom8z&oAp8V)5q!`zr_~fs5=_sxWpMnO+7PhMv$mpfDr4?%f)6n`JeK@ zhwj}+pn1)_l5FFc&iqKNQi`sSTG+mZI9Xhj#j3C+C97K1brx%Jnd2l5;w=wK)*w`{ zka9AHNzv};$&=*-sqC6mj`DIktVT%eU5{c1Q6B>RQ_z#a2o3&JcW5(;?>_TuWtQ~3h8bJZ?Bq3pQ%SFZ+iH z0`vK`poGI^48-T0sKTeO^~ZF1@hSGOnnWsQz7pK6=^W!(=ywmEZ~O^vV0T9{##`9r zH}PEX=HtnvG>ueee)ctsqaYeX6EBpC+a|UeBw0O2!=|&R#ZJ{b01oA6y> zyt=_yO`8a1E-g{OMTZ5$XB)EC5UR?*dj}GFWOWs9!WbSE4b+&*9auB03c98WF!1WrpwOZ{{Sr&Kgu6tMC^V6m0k@dU}wSX zx3uZLS*3#8voQfAQK3sr>fjBPOb~`sC|L?>NGasVx3SRw0C4{RgSjlIA-pWGqAC<& zD3SN;%e=r^Q3fnw(Gr@mgAIrc<|x7xK%fs8`vMkOtOPHasgA4i9V)wfh!5<2csxC@ zKbSyv^llZg3K!Wv-&YCRv>lgEK`1K3Sg z`#ansTezwndutp5%{4X|nDB^#A*YZI(%9Q(Q=PzCiyQ>9+=!3A(x~omGIfVv*?)~7 zdE6JmXoQmxJ%4j%gg#6i+ko2uW(8NGGC$+XaEK$@NA}+GC+sh`_;iPhzF$HiCq8HS z`xX$oU^(m~E0-Lte!{|Kz~2u>)MD3QKo#C%6jfK@miJgpMRl}jkK%%Q#y2^9aB|$tLcG4G?mwI`%lwA zCUy*D?~2Q7ORTJ;aht6A^=l5xWR@$``tBMxSw zQ*Ytuus6qCPMerhRN*ED7HbCz_R&0`ah*rAkwesLZBux`SIX$x2EBqQf1L?*Eni|v zupZ#(!T_Q)PoR}zGXO@{!*4~4(>a7CLg6Nuhmq}+4bm_b;B?1Ep(AHLcOA5tUc=rj z{OCH?y@xe?Iw^vsY;Qb5a{}nb(Q;G_A|fXL0BGjiBpX4Jqth_h(mDnk0K`XR^mE}9 zLC2Op0hm6@qY{?NvtPI_C3G4@<7~r>G@n0#R001ByeF&(*)G$uNAm-5$k^-nzUDfm?wML_@2C!IWJfu_7 zXBok48(TcjNG^SA~q|(TJ47;kTgLB{tx(e%& zY5Dard$b^W@7`E&eN%UG0Mv#?y_hF3^HrU2d6$i=R_UsGc2O`7YF zIPL-Vj%_5P6oH-{vAPq{EQ>}&-?9}Lq9nAhnTYP5u91``Mfv{#hpcO(d@bznZEiwx z5zx@E$lz^Ize>q+;A+1YSG#X-7BGfx*PR*5fm077PKs?0S8jzHH+a1+$0?$PMJGfo zxn80)G>YkL4dImElKwTyg*-tCOpps(x7tuDz}<9_b;!Fj2)yW&8ls_liOadBejqO8 zrg*PsQdPs4<*G%%J-&tkz@W&>qamJAMvW1|u!x(16S8QA4S*DnsxiVN)9Q|jia@-y zU{7wE)7rFH_ZTnu{V`LfC3maoydgShdahPYn_UZDhVb? zGa*3I%SiYsU9iuAmGzef@O6bPGjS2%Bf19;?k#@k=o@km-lCdFH(Dq)R*>WYoSi7^ z#fBm4l=2^0v*B^b*pfg-{mSGl0sF*HvGEfizs2HFqC@2>ufjEj8 z0Bi<5UV1m+!Ukc$a>l}lY(UN3PqCJh6iK zKE~RTBO^xi5%BcC!q$H%4R+*VJNtvtExGVYtI!QB{EJe5s!vVng%M!iaF22N6JAJE z73vUPgU}~qR zKn;NPTZfbYchAM>k3`46)SF$3zBLQF0H$Kd#5w6Spb3Bg0NQ$wCzi#3$K&YfLO@J}Lbt-yKQ|JbHYUp#Ru%v*To381K z%*vR^`;y8!B)h**3ZNNRjU=R#hQZSTd#lf&L~Cm)9F$!UHkh&X0Bm*=f;var9A7H_ z+pG;UU{oE?tpVLM@rdx*Oqa7yZ><%kP$`fu@kVygnK)MoYY}4axF(bepcz*{=}oWE} z?R5?jlKTg>piE#00kD07PR{eb`3(U`-5`{^GM$stcn%Ihg*pNEdSlyW#QEJ<(hR*- zP(I11b6of|1E2xwUkT&1b44&PRT{5#eF%(x$pZV&fcgOh5yCv^D1*8Je%^?3S$YV2 z1p6h!IJXPTzn{3A+_e_sd7tw7e|6LL&TXK`lbEOQ^+xyjvV97Z*;GW45s}Jm=$#_O z=$f_Z+2P96o%EK3*lomeI%JJ}EhzhHyLfYgCj#U{7B@hF1PGvE6Z}NQh!`lZaeNH} zqIkq23S3d``n>E6T)%@lFj`?{1y&vzft^aCE5cRs=)y_R7Ks3>7#Uwxtr52Z56{u~ zCC+P%?RB}pSkXZvmp%Oyl|vrM{b><^M^V4Oqn0g-I$jTNOdqL_ST!K*X%Y2AI;1ll zG#IUJjq%59czyKFD!aW0_w`&U1ko%|#U)9xfI0@Or6CvGK(=74v~?lq1S@3iljQ5` zj|~B30?XfTeJ8y#FUmd|qp)GM%z1=*cfQt3gam*Gxc6R|m}js~cO&Ds(tE+L<-I$Z zV>Y2jvELt|3Bus|_(=pD2V(2{FY~YLzs|q1{{TAv%lzrfBB`qN^f}w&6#du!IdI)m zo0j|guAjDZYw3<#Vg4V((TNlobN=H%00?$x$+JkoCC(TJ^L}1OmXj9>fm@a(? z7UiRQb{Vj)@BBax(A~@!@rs^{LKbXPf`16;#Fwr>n+^OV5HwgsOrfxH(ZR4Q0Y_MC z7ZFt}%sT{(^_C9~h1k{5O0goo*86EDv_P(3KeX(6nqWV$WPdtQVtAnCCi87e!%`D& zdZ5rMCH_Hi0^NsLdYI^#RY1Y-p-f>kB9ZcbwbmIk3~zs<1JQy2UIs!e7HvTf+YJ`6 zMP;NYQnAZkuuJY4?Q|kb5L6atZ$vr@-`l98j4 zOvTA(((oRyQQr50X|06n1|L(>W0 z7K6g{Po&s_tAaP8UzgCE6qf=$(DmIg$cZ6^6}Q)Y_uqZ_jl*K#%NXaU{Bq&Cr#CJ4 z_gz11=G(Pes-QcTckT3`GV+pb+E2gQRA3$>#LSDU7lG5!1lEn@e&+Z8025VblO_ zDyMyIq#99(-6@Z4APq!HI~8ZEf?DKteu;Q8!57zv3j)gYGx4dA^ASp!+(Km&B|k&- zA|oK={5~{pUU@;2bV1D%I#NDlq`Q~~s^N_UzaTmW;yXG)VQ7;x+XXlTc4#5^AXZSF zMshu-RDez}624EF#(;nV0kQUgp>CB*UCU14re@6ZzS#{RB8ibW)t<#Lue=w|ma89D zsoho4*2+b&w_vN-bbSQvjrPEO9md#>4~5ddQwap8mFS2ogShw%9(_OO7Y)@pxo^L^ z>H9}Eo0kuO_l!IAYH4*gUoM4MaC$rW0K$h|N_!u)sKR#}T$ld4PTeGjxx{6 zKuH}#os%@w+#uTl>ncDdkwnTU#@IR0ADM87m2$<~9Na`(NJ}!HX0hzO4LfSvL9Qk8 zrN%k2zDOJnD>T`;r=yZhGj%_nG}K)WP6hvvq~a7v-pT5OkIeQI%D3v6XSk>J;Q41zwCrhRe6^ZAlS>qk5?LdOpTXWK_Im z{@rA#RG?9P<=p*COB6zUy5IdhCRb=th9+ACIiR3u449JC3?d>X{{TVTUhDuj8AU=0 zs0*M3L~Fbd#wiWRR_;)8u{7(5olv+K; zl~r22x*t1&1S}xHHzp0_*8Zeva2H_UFlaJ`t_2)Ij4i{fP($Dhp2hTtFe&prZ8}il zQX)S58l6PABWhO%Pq6n&G7G$U7*&QEEINZZj>>5B0q$w*1F$GCqzEKrGYAd<>Dnze zAel0Ax7h93rx2i_v5ht~MT3~G{Z)Q~HmIj0!`zq0cDIKE8$pT4g>jQlglI&1j)>M# zaHE*aWgj|QIwq@c8Lz&(6p_~3K!;-G_jaunqIy{7H>I%dw;QVD-B=1!OVA4UI-UuH7rJopJ~TcZ5QlU&Hn%(xNfP<%YFUVPtZ$cUvOO;J*xPPL407vkvoW!tqS6cPG02W!x^I zR0eF}<)to!78x%{fl6kelY<*)snC$1WSHb8_E*b<_4v zD`uYh0S9#rHnyD}A@ZE6a<-hj3nYG_LVU-*K0_C{a= zlsg-83^+v^t5w$^xGkg}U_~zO(^RFbi2R23NS7o*(vP6YRSUXYu2+oeK4}qTI*2-2 zg(FTQ+M;%5+mb59!JjZE@=V(!O)*Z>2u^pCR-m6T}ju zB4g-Q^j=-iRoC=C<6qGK0F7FM+ddrwoi{9bD?s_-fOG?It(Q!k1R;S#<6qGK0F8e` z{x$s%_|>32v-76jj|1$j6UBuCpcp^K8gWck40jg2`jGFY!OH9vUW2R6=Dg4lN~-t} zw=lru(}R9rIwRCIGItaJ^geQ$b~JV(5*Ux<$fE+7&@bn|p*Tu)1m8N_grBk5)$Fbc z$*2DScckAID4<^6I_g+V=%kz5tmwc9ZJADG=k4;spsu4Hmbi7wYjWI3HaN!TLhqdn z3S_GZcqixMN;ETYt(d(F>Y^k9s=zwB$Y)MhZ}#}=m!#Gk3ydM5uem)M8xn+hu+JGg zbEEmlmYCf|^QDZekeW0Eat*+0q|3Yt!Sf@4Xn!b9CPB!{!@uj2D$Ck^?)y96Q6VDB zBIJgJMuiWPoDVsWd9IN7)zx~gp$&mR<#Yosgk#N++bTk^=`G64==ygPsF5Cyo2wA3 zf)LAu453Vsj}Ia^A|?DWY27b|W`P%OH97C6fNxZj;W7HW)p^?#($k!UL) zkee@O4Jd&`#cg4-cMdm~ zOyUTIznp)rSGmRlMG#6+;0%oqUNA?s0-9oTtZXtWRDftQNpw%DgJgP+y8`ce(Nn#soQTrO~`nY>xDqUnlGM%sn25HKg0 zqE((%Tix>prde39fkaW!LAuJ8HxBqdus} zuvku}p*8|=t&%z4Sc!8bmgrRhc?SZgO^S3A6} z83FcPFi|S8Sq|*G#)5$9>KA~3yHq<4#5xwhLFf7dOhty930-+pfbBJ13}|goCpu7( z!7p&wJY9v-&xP(tp?MOW%`~iwR!_mP*w$nv5sWf!HXGvi0bB_BHciCnxD~(ScvQG!jPsq4c-hU5tLB;{Gi4A)KXN|G=}Au)|-^q?TBm2tEc(WVw0 z(PJW1u%mr4%8ZoQz`_u`8k$l8uS^W@sWyv3JJIYDPFlc#APkHu2~~hFYC>CqL#jVCTaURgeFG;DHjw-R~U+nltH=lfr#Rr+G@2FOVAB=j8yqe zDT${8kl9?0fn9)zi37ZDEpo3Z!m7a7csYD)yz}}3f^7FaG&5}`eU(|tY!@g7>S)F- zc}kUIn^&EYL=xOMJDq!x*2IR917l=wv`pZ)Su0lBAcM&jADGid^dZ?M9fh9SOz`dz z$3p)AE78bBQ^N=5{<_9jw{U#t-$uMN7bJTE`)iFKx&d`TDiX+m3v4#+$})QFy^ev< z!KRK%;_b)W<6x|{rWRryRe5f%#0UUZh2mKq8HC8h$Z0YVtRN)|fT>+z331NSrzFml zyy^EWp|Su{ZmVd+#kwN_s;L$Z*Nt5}hI@eBvOS}akYI#?00^>ff<}8#R0ECw02*FR zP7_SnpuIP9qD4VOjne^auJ3acm`Gv0mV0Qw;6R8sC_`agT}`(5ea3K%M96|7YCff1 z-8+ZkN=|~|1yma&!=eDP&^W{$n8sX$tK_y6sHj|C?Ap8O0Gww#0n09h`fZ_|Z7Yi9Ajy(()R=H3+t%L4?P$Acz-R^gD@HVALDQaV|xeSBDI#2P8nC z4S?zBDu}SmH$A~U^u}TpQCpseu4al5XPmHp=jkFR(PQR0J2>sB9`*?ralq^aO&EzC zMWF{I-8aO5fSTY9!;`;?3Pxu|*kedYa{^RetE*z}AB9@Ke}>0HfZ#M&AIh&Zkrprt zJBun)EpEwyIbI{Gk(6L$frAvlUVf5$gnTdYp+_`$ZTWgK?{6eOcdf@DrP;))@m#Tt zv18eDA>48&eJc67>H(Eh0M&;aqmn+g&H^bNJ`}2Q{{Tbh_2RBwf%Eu(e>&^t33|mY zj{?l&+hAXRqHNEV7JLDFY48+VL|3#2%dL=YLK!+|3*YHf0O%Ec)4#(9LW{M-SEBO) z&n|_nqbBu?`!Agm^519*YNNu;7{R17HleYoE_V275wYCYd!X#rU`vIDUv!@OiXSR5 zv?m-Iz{J$V{qyAG&V}b(I`CjGJRAjdG^S6YVvHo29g(S|u`{zN-6aB6qLR=9) zO_-%L#VIWe-mhOUz=^CRUh z=8~+PD6B(z272g*g$A;!6hJ8hgiSHxkA#}xDuRPS+gVGdV}#)hf{2KtbuSUO@eY%$ zK&s)!?E+5Xj(Lx=d+6aPlL?TAHFfg(#L=t1jpR|T1_0^MyK|yNQgqG<=3jkGLULgb zvAZ9oJFAdg2*N;e^gAD~fUsgexzX13ClR-9hxhto=?0cSFoqW@r&tlRc%haAnQ@ma z&Wd)RkVa7y7B)OC+lzAL6A$`(IXOKMH9{v2knoPGF6C-RTam`9hk+9rF!Gg3R19y} zZP)>%RaNik(jLB@L8g!}fuX^ua)45$Dy$jY5%6FaN->GO%ZAht1V1h7TqM1RISrMDxy$= z-kP$8saeXj2uqLw&a22#ffFF)MkUXr6L|xYcCTST0)W-fkzFyJfWR;S02(g}4+E>& zdbkGX0}+A)Je6*>etjZ@sgU;n0DJ1b3y-{%)VYjcjcrhy4l}8V--Pm8xeV{5zkWBRJs8_c7@KCL>x< zMj)LKbeFS-7Z}VNxKi#KVHjLa%ZR&~yJ(kL=f<)65zhPn0I&Ka7zDy4 z9U_LD-?MMVs&qpC0CD?j+6#Zo3TQ}Rlsa~t}j|RX)?#`>%><7lXKMa?EznuU8AYcswedI5LQC3|O@K@(uOo;wG{&m{r zfqeD<0Hf$HI3Je!uBqlfcAHUjukt^}ts?jz+fCq|f1K-je`EVPL;c-lnyruAjcj54 mOuBpF{{Z6a@B#hA(NG+e)gKOg>fAOG1%YNzu6 literal 0 HcmV?d00001