From 6d6a429bd5a8e625b313ca074f123cb32c1d1df5 Mon Sep 17 00:00:00 2001 From: progranism Date: Thu, 21 Jul 2011 23:05:37 -0700 Subject: [PATCH] Added LX150_makomk_Test. Tests makomk's code on a Xilinx Spartan 6 LX150T. Currently the code fits at LOOP_LOG2 and compiles correctly. 50MHz. However the chip does not return correct Golden Nonces. Need to debug in simulation. --- .../LX150_Test/hdl/pipelined_normal_top.v | 2 +- projects/LX150_Test/xilinx_fpgaminer.xise | 5 +- projects/LX150_makomk_Test/.gitignore | 1 + projects/LX150_makomk_Test/README.md | 4 + .../constraints/fpgaminer_top.ucf | 7 + .../LX150_makomk_Test/hdl/chipscope_icon.ngc | 3 + .../LX150_makomk_Test/hdl/chipscope_icon.v | 1879 +++ .../hdl/chipscope_vio_fromchip.ngc | 3 + .../hdl/chipscope_vio_fromchip.v | 7111 +++++++++ .../hdl/chipscope_vio_tochip.ngc | 3 + .../hdl/chipscope_vio_tochip.v | 12817 ++++++++++++++++ .../LX150_makomk_Test/hdl/fpgaminer_top.v | 140 + projects/LX150_makomk_Test/hdl/main_pll.v | 146 + .../LX150_makomk_Test/hdl/sha256_transform.v | 366 + .../LX150_makomk_Test/xilinx_fpgaminer.xise | 393 + 15 files changed, 22876 insertions(+), 4 deletions(-) create mode 100644 projects/LX150_makomk_Test/.gitignore create mode 100644 projects/LX150_makomk_Test/README.md create mode 100644 projects/LX150_makomk_Test/constraints/fpgaminer_top.ucf create mode 100644 projects/LX150_makomk_Test/hdl/chipscope_icon.ngc create mode 100644 projects/LX150_makomk_Test/hdl/chipscope_icon.v create mode 100644 projects/LX150_makomk_Test/hdl/chipscope_vio_fromchip.ngc create mode 100644 projects/LX150_makomk_Test/hdl/chipscope_vio_fromchip.v create mode 100644 projects/LX150_makomk_Test/hdl/chipscope_vio_tochip.ngc create mode 100644 projects/LX150_makomk_Test/hdl/chipscope_vio_tochip.v create mode 100644 projects/LX150_makomk_Test/hdl/fpgaminer_top.v create mode 100644 projects/LX150_makomk_Test/hdl/main_pll.v create mode 100644 projects/LX150_makomk_Test/hdl/sha256_transform.v create mode 100644 projects/LX150_makomk_Test/xilinx_fpgaminer.xise diff --git a/projects/LX150_Test/hdl/pipelined_normal_top.v b/projects/LX150_Test/hdl/pipelined_normal_top.v index c6680b0..62cc752 100644 --- a/projects/LX150_Test/hdl/pipelined_normal_top.v +++ b/projects/LX150_Test/hdl/pipelined_normal_top.v @@ -10,7 +10,7 @@ module pipelined_normal_top (CLK_100MHZ); - parameter LOOP_LOG2 = 2; + parameter LOOP_LOG2 = 1; localparam [5:0] LOOP = (6'd1 << LOOP_LOG2); localparam [31:0] GOLDEN_NONCE_OFFSET = (32'd1 << (7 - LOOP_LOG2)) + 32'd1; diff --git a/projects/LX150_Test/xilinx_fpgaminer.xise b/projects/LX150_Test/xilinx_fpgaminer.xise index 570940d..dee6bbe 100644 --- a/projects/LX150_Test/xilinx_fpgaminer.xise +++ b/projects/LX150_Test/xilinx_fpgaminer.xise @@ -12,7 +12,7 @@ - + @@ -159,7 +159,7 @@ - + @@ -284,7 +284,6 @@ - diff --git a/projects/LX150_makomk_Test/.gitignore b/projects/LX150_makomk_Test/.gitignore new file mode 100644 index 0000000..e1d6271 --- /dev/null +++ b/projects/LX150_makomk_Test/.gitignore @@ -0,0 +1 @@ +iseconfig diff --git a/projects/LX150_makomk_Test/README.md b/projects/LX150_makomk_Test/README.md new file mode 100644 index 0000000..8936ba1 --- /dev/null +++ b/projects/LX150_makomk_Test/README.md @@ -0,0 +1,4 @@ +This is a port of the normal Verilog code to run on a Xilinx device, done by teknohog (http://forum.bitcoin.org/index.php?action=profile;u=575). +Modified by makomk. + +Experimenting with it on an LX150T device. \ No newline at end of file diff --git a/projects/LX150_makomk_Test/constraints/fpgaminer_top.ucf b/projects/LX150_makomk_Test/constraints/fpgaminer_top.ucf new file mode 100644 index 0000000..98f9ae6 --- /dev/null +++ b/projects/LX150_makomk_Test/constraints/fpgaminer_top.ucf @@ -0,0 +1,7 @@ +#NET CLK_100MHZ LOC = U23 | IOSTANDARD = LVCMOS25; # "CLK_100MHZ" +#TIMESPEC TS_CLK_100MHZ = PERIOD CLK_100MHZ 10 ns HIGH 50 %; + +#Net CLK_100MHZ TNM_NET = sys_clk_pin; +Net "CLK_100MHZ" TNM_NET = "CLK_100MHZ" | LOC=U23 | IOSTANDARD = LVCMOS25; +#TIMESPEC TS_sys_clk_pin = PERIOD sys_clk_pin 100000 kHz; +TIMESPEC "TS_CLK_100MHZ" = PERIOD "CLK_100MHZ" 10 ns HIGH 50%; \ No newline at end of file diff --git a/projects/LX150_makomk_Test/hdl/chipscope_icon.ngc b/projects/LX150_makomk_Test/hdl/chipscope_icon.ngc new file mode 100644 index 0000000..6356834 --- /dev/null +++ b/projects/LX150_makomk_Test/hdl/chipscope_icon.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$3::5=6:2.Yi{g|inl9$4(5=8*/=6>;;12351=7898?7=>?459345>339::<95?0327?5638=1;<5>;;13341=799;?7=4:33457<:=19<=>;;33341=4>8::;6=9:HLLQQ33483:41<;?0BBB[[:BMMPWIK959>6=0>7:15>LHH]]0HCCZ]OM0?70<7681?86:?01:81@6B==:?==59smz215raon<<: =>;968<56799124<>>0022446688:n7O]LAO]PTDTSIG6MGEBI\HLEBFZOTXT^J2:AJ0>EKC9<0OAE>8148GIM5?9?0OAE=X99@HN4_91:>7NBD9178GIMF9>1H@FO>D358GIMF9M><7NBDA0F;1>EKCK;>7NBDC078GIMC9<1H@FJYc:AOOAPXL@\BHH;4CMIE\==DDBLS=5><;BNQ=>EHEDC_XHJm;BMMPWIK85:5o6M@NUPLH5:687i0OB@[RNN38479k2IDBY\@L1>26;eEHF]XD@=2>4?a8GJHSZFF;0<;1c:ALJQTHD96::3m4CNLWVJJ748=5o6M@NUPLH5:607i0OB@[RNN384?9j2IDBY\@L1>2:f=DGG^YCA>321<`?FII\[EG<1<>>b9@KKRUGE:7>?0l;BMMPWIK85882n5LOOVQKI6;:=4h7NAATSMO49426j1HCCZ]OM2?638d3JEEX_AC0=04:f=DGG^YCA>329<`?FII\[EG<1<6>c9@KKRUGE:7>3m4CNLWVJJ74::5o6M@NUPLH5:497i0OB@[RNN38649k2IDBY\@L1>07;eEHF]XD@=2<5;2=g>EHF]XD@=2<5?`8GJHSZFF;0>0m;BMMPWIK85>5n6M@NUPLH5:26k1HCCZ]OM2?2;d25;e<00=g>EHF]XD@<2>3?a8GJHSZFF:0<:1c:ALJQTHD86:93m4CNLWVJJ648<5o6M@NUPLH4:6?7i0OB@[RNN284>9k2IDBY\@L0>2=;d<0<`?FII\[EG=1b9@KKRUGE;7><0l;BMMPWIK95892n5LOOVQKI7;::4h7NAATSMO59436j1HCCZ]OM3?608d3JEEX_AC1=05:f=DGG^YCA?326<`?FII\[EG=1<7>b9@KKRUGE;7>40m;BMMPWIK9585o6M@NUPLH4:487i0OB@[RNN28679k2IDBY\@L0>06;e<21=g>EHF]XD@<2<4?g8GJHSZFF:0>;50?a8GJHSZFF:0>;1b:ALJQTHD8682o5LOOVQKI7;<7h0OB@[RNN2808e3JEEX_AC1=4=f>EHF]XD@<28>c9@KKRUGE;743l4CNLWVJJ6404i7NAATSMO6969k2IDBY\@L3>24;eEHF]XD@?2>2?a8GJHSZFF90<=1c:ALJQTHD;6:83m4CNLWVJJ548?5o6M@NUPLH7:6>7i0OB@[RNN18419k2IDBY\@L3>2<;eEHF]XD@?2>>b9@KKRUGE87>=0l;BMMPWIK:58:2n5LOOVQKI4;:;4h7NAATSMO69446j1HCCZ]OM0?618d3JEEX_AC2=06:f=DGG^YCA<327<`?FII\[EG>1<8>b9@KKRUGE87>50l;BMMPWIK:5822o5LOOVQKI4;:7i0OB@[RNN18669k2IDBY\@L3>05;eEHF]XD@?2<3?a8GJHSZFF90>:1e:ALJQTHD;6897>1c:ALJQTHD;6893l4CNLWVJJ54:4i7NAATSMO6929j2IDBY\@L3>6:g=DGG^YCA<36?`8GJHSZFF90:0m;BMMPWIK:525n6M@NUPLH7:>68>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O86J>0008@L2@UGD*)HRMCK#"WK%$MWJF@.-ZFG0`8BWIJ(+@TOAE- UM#&AYDDB(+XDI=a:DQKH&%]VIGG/.[O! I[FJL*);><=>!012345678)zX>l5IRNO#&PYDDB(+XB.-U^AOO'&6=9:;"=>?012345&w[11M^BCPNBD2?B5<4I508M0?JN:2FD:6B@AEGG2>JHKBOO;6B@GHABH1=K]];?7A[[259OQQ233E__995CUU44?HS_KPUG96CZXG76?HS_N?i0Ald`rWgqwliik2Gbbb|Yesqjkk40B<::4:L2032<86@>8168J4>6<2D:4?:4N3360>H59?>0B??87:LFPRIUC?1ECNBFP69MKHCUOL;0C:5@.52g[I7/%`9SMKYE]ZCOTo5_IO]AQVHFEL30\FQGLTVMI3=W[MEEI<5^1:P6?WCTM]=0^X@[ERV2?V5<[LF>7^FFFU48WJSUKL<0_YO[UR18PFM43]NB?6ZKO99WAWKNFHF87YGH8:VJI@UWMJ90X@]8;ULN[KCS12^XSIQ[O^H;?QUXBVIGG45[S^H\PJYC12^XSXQ[O^H:?QUX]V^DSX:4TXRF7a=R8&_TENAA/H]ZAVYE[JKE"XQMS.K\W3(N[PD%ER[\E^QLBQDTKHDTI\>!U^@P1a=R8&_TENAA/H]ZAVYE[JKE"XQMS.K\QVCX[FL_N^MNN^GR4+OX]ZOTT^ZPTBI\SJTLI[E^BIQJQ0,V[LEHFVHXOL@PBTDD63=R8&_TENAA/H]ZAVYE[JKE"XQMS.kGVFMXDFIJ@?l4U1-V[LEHF&_TO@J G^VBVBCSS8:V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]69T$BSCK?.T]WEWAB\;h0Y=!Z_HALJ*SXKDN$KRZNRFGW_44Z&@UEI= Z_UCQC@R5j2_;#XQFCNL,QZEJL&MTXL\HEUY27X(NWGO;"XQ[ASEFP7d<]9%^SDM@N.W\GHB(OV^J^JK[[06^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>5\,J[@W7&\U_M_IJT3c8Q5)RW@IDB"[PCLF,CZRFZNO_W;S!I^LF4+SX\HXLIY!U^VBVBCS:h1^<"[PIBMM+PYDEM%LSYO]GDVX=X(NWGO;"XQ[ASEFP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP

P Z_MWW6a=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q:?P Z_MWW6a=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q:8P Z_MWW6a=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q:9P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q:Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFGFMCJPSDN,M1(AAR8V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS:W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY:Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ2^*PYK]]8o7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[02^*PYK]]8o7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[03^*PYK]]8o7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[00^*PYK]]8o7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[01^*PYK]]8o7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[06^*PYK]]8o7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[07^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[0_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@RD]J@ZUBD&C?"KGT2\,V[ISS:j1^<"[PIBMM+PYDEM%^SNA]E^KG[VCK'@>%JDU<]/W\HPR5k2_;#XQFCNL,QZEJL&_TOB\J_HF\W@J(A=$MEV:R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC_KPIE]PAI)N<'LBW8S!U^NVP7e<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP:P Z_MWW6f=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@QS7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR2V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS0W%YRBZT058Q5)RW@IDB"[PCLF,QZUBDVd:n6[?/T]JGJH(]VIFH"[PT@PDAQYDM8<0Y=!Z_HALJ*SXKDN$e^KC_o3a?P6(]VCHCC!Z_BOG+lRFZNO_0<>11c9V4*SXAJEE#XQLME-jPDT@M]6:=3?n;T2,QZODGG%^SNCK/hVBVBCS414:m6[?/T]JGJH(]VIFH"g[ASEFP9?99h1^<"[PIBMM+PYDEM%bXL\HEU]@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP

S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;;Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;;Q#[PLBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;:Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;:Q#[PLBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;9Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;9Q#[PLBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;8Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;8Q#[PLBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;?Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;?Q#[PLBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;>Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;>Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCS8W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\:T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]5U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^5Z&\UCOHn5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY7Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ7^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[4_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT6\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU9]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV9R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW:S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP4P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ3Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR3V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCS0W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\8T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]7U'_T@NK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[Wn5Z0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY1Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS8W%JRMBDZ1^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\9T$MSNCK[2_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT4\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^7Z&OUHAIU;]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV;R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW8S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY2Y+@XKDNP:P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ3^*CYDEMQ=Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR=V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\<BN^T1\,E[FKCS>W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\0T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]?U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[Wn5Z0.W\MFII'\UHX_BPOTV,BZHDXR8V"KQLMEY3Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ33Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ33Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ32Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ32Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ31Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ31Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ30Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ30Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ37Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ37Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ36Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ36Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ3^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[0_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT2\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^4Z&OUHAIU=]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_7[)NVIFHV=R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX6X(AWJGOW>S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY1Y+@XKDNP8P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ0^*CYDEMQ?Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR?V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\<BN^T2\,E[FKCST$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\VP I_BOG_2[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFXn5Z0.W\MFII'\UHX_BPOTV,BZHDXR8V"KQLMEY;Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ;^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[8_-QZJDM8o0Y=!Z_HALJ*SXK]XGSB[[/T]@IAAUX9;n7X> U^K@KK)RWJ^Y@RAZT.W\GHB@ZY;9=6[?/T]JGJH(]VI_^AQ@UU-V[AGSIV\J@DJ=8:W3+PYNKFD$YRM[RM]LQQ)nKFGFMCJPGSR\W@J;87837X> U^K@KK)RWJ^Y@RAZT.k@KHKFFMUL^]Q\EM>2:76<]9%^SDM@N.W\GQTKWF__#dJNT@]UEIOC:81^<"[PIBMM+PYT\H^$JR][AUY3Y+SX[]K_><5Z0.W\MFII'\UXXLZ F^QWEQ]6U'_T_YO[209V4*SXAJEE#XQ\T@V,BZUSI]Q9Q#[PSUCW64=R8&_TENAA/T]PPDR(NVY_MYU<]/W\WQGS9h1^<"[PIBMM+PYT\H^$YRMBDFPS44b<]9%^SDM@N.W\WQGS'\UOMYOPV@NJ@4g<]9%^SDM@N.W\WQGS'\UXXLZLME3`?P6(]VCHCC!Z_RVBP*SX[]K_O@JPn338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>2:77<]9%^SDM@N.W\WQGS'\UXXLZPCOV,GL:56;;0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(K@682??4U1-V[LEHF&_T_YO[/T]PPDRXKG^$OD2;>338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>6:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,@969:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)C4849<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N7>3328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=6=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G8085k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\8T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]7U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[1_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"XQWOSAZ6f=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_4[)OgB%YRCZXB[13>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX5X(RWONYI?84U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV?R.T]OQQ4?3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ0^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[3_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ9Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(RWQEYOT2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\;T$^SA[[299V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS9o6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP8P HnI,V[HS_KP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ?Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_1[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.T][KWE^:>1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X0?0=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]33?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>7:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W9399j1^<"[PIBMM+PYT\H^$YR][AU]KMBN6j2_;#XQFCNL,QZUSI]%^S^ZNT^NLS40<]9%^SDM@N.W\WQGS'\U_HB?m;T2,QZODGG%^S^ZNT.W\PAIXflr=h5Z0.W\MFII'\UXXLZ iBOG[BTW8VYN@5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5?5=o5Z0.W\MFII'\UXXLZ iRVBPZNNOA;j7X> U^K@KK)RWZ^JX"g\T@V\HJQ6i2_;#XQFCNL,QZUSI]%bXIAPndzw6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX4X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ3^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT2\,J[KC7&\UMH_o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP8P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR?V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\>T$BSH_?.T]E@W7e3\:$YRGLOO-V[V_IK&_TKBZPSXL@5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]K5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]O52=R8&_TENAA/T]P]KE(]VYRBN?j;T2,QZODGG%^S^WAC.W\mAGSIVIFHR`>c:W3+PYNKFD$YR]VNB-j@DRFWJGOSc?n;T2,QZODGG%^S^WAC.kDKQYTQGI:j6[?/T]JGJH(]VYRBN!fGNV\W\HDWACLDe:W3+PYNKFD$YR]VNB-jW\HDW^EYH1?11d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I2=>0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J33?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<5<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=7=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>5:45<]9%^SDM@N.W\PAOXzlm9j6[?/T]JGJH(]V^OCRCZX.W\GVYJ]Q%B8#[PMTZ22*Kj}qUD=>>4U1-V[LEHF&_TXIAPMTZ,QZETWD_S#D:!U^OV\40(EdsSB?>319V4*SXAJEE#XQ[DN]NQ])RWJYTAXV I5,V[HS_9?%FaxvPO0027>S7'\UBOB@ U^VGKZtbo8?0Y=!Z_HALJ*SXaMK_MRMBD058Q5)RW@IDB"[PiECWEZEJLVd:>6[?/T]JGJH(]VcXIAQa189V4*SXAJEE#dM@MLCM@ZAUX5:5=45Z0.W\MFII'`IDA@OAD^EQT979901^<"[PIBMM+lEHEDKEHR]JL=2=5d=R8&_TENAA/hALIHGILVYN@1??>0c8Q5)RW@IDB"gLOLOBJAYTME6:=3?n;T2,QZODGG%bOBCBAOF\W@J;9;4:m6[?/T]JGJH(aJEFAL@K_RGO84599h1^<"[PIBMM+lEHEDKEHR]JL=37:4g<]9%^SDM@N.k@KHKFFMUXIA2>5?3:?P6(]VCHCC!fCNONEKBX[LF7=3?6;T2,QZODGG%bOBCBAOF\W@J;:7;27X> U^K@KK)nKFGFMCJPSDN?7;7>3\:$YRGLOO-jGJKJIGNT_HB34?3:?P6(]VCHCC!fCNONEKBX[LF793?6;T2,QZODGG%bOBCBAOF\W@J;>7;27X> U^K@KK)nKFGFMCJPSDN?3;7>3\:$YRGLOO-jGJKJIGNT_HB38?3:?P6(]VCHCC!fCNONEKBX[LF753?:;T2,QZODGG%bOB\J_HF?4;723\:$YRGLOO-jGJTBW@N7=3?:;T2,QZODGG%bOB\J_HF?6;723\:$YRGLOO-jGJTBW@N7?3?6;T2,QZODGG%bOB\J_HF\W@J;87;j7X> U^K@KK)nKFXNSDJPSDN?508612_;#XQFCNL,mFIUMVCOS^KC<0<2=>S7'\UBOB@ iBMQAZOCWZOG0?0>3:W3+PYNKFD$eIO[A^AN@43<]9%^SDM@N.kGEQGXKDNTbh5Z0.W\MFII'`YN@<>4U1-V[LEHF&cXIAQaf:W3+PYNKFD$e^WACd9V4*SXAJEE#dZKId9V4*SXAJEE#dZKO048Q5)RW@IDB"g[DN]UAF:6=7;87X> U^K@KK)n\METbhv{d:W3+lUOAO^TCXZj;T2,mPVCI]OTCXZ9;TRGEQCd3\YN^RXFSH@OA3=RWK_MK85Z_BNH7>PDK01]EHYPTXRF5a=_AECET VKB!2-5%US]K*;"<.NSBKJ1>^HZJS=7U][LH@4?]USWNDO;6V\T^T@Gg=_WJEYIRGAFN48\adXAml0TifPPsknR`ttafd:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n29[wq5e3VUTTA@B_^]3[ZYR8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q:8P Z_MWW7g=XWVRGB@QP_02\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR:V"XQCCD1`?ZYXPEDFSRQ>1^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\99W%YRBLE2a8[ZY_DGGTSR?=_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]69T$^SAMJ3b9\[Z^KFDUTS<=P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^75U'_T@NKm4_^][HKKXWV;=SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY21X(RWEIN?n5P_^ZOJHYXW8=TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS8W%JRMBDZ33Y+SXDJO8o6QP_YNMIZYX91UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\9T$MSNCK[03^*PYKKL9h7RQPXMLN[ZY61VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT13_-QZJDM:h0SRQWLOO\[Z7XWV_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV?=]/W\HPR4k2UTSUBAM^]\65YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW<=R.T]OG@5d3VUTTA@B_^]15ZYX]9%^SDM@N.W\GQTKWF__#KQACQY2Y+@XKDNP=9S!U^N@A6eYXWQFEARQP25]\[P6(]VCHCC!Z_BVQHZIR\<BN^T2\,E[FKCS8;V"XQCCD1`?ZYXPEDFSRQ=5^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U=]/D\GHB\9;W%YRBLE2a8[ZY_DGGTSR<9_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V5QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP>P I_BOG_43Z&\UGOH=m;^]\\IHJWVU95RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX5X(RWEIN?o5P_^ZOJHYXW;UTSX> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[02^*PYK]]9i7RQPXMLN[ZY48VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT2\,V[IEB;k1TSRVCNL]\[67XWV_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV=R.T]OG@5e3VUTTA@B_^]06ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP8P Z_MAF7g=XWVRGB@QP_21\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR?V"XQCCD1a?ZYXPEDFSRQ<4^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\>T$^SAMJ3c9\[Z^KFDUTS>;P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^1Z&\UGOH=m;^]\\IHJWVU8:RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFXYXWQFEARQP41]\[P6(]VCHCC!Z_BVQHZIR\<BN^T1\,E[FKCS:W%YRBLE2`8[ZY_DGGTSR:>_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]3U'_T@NKl4_^][HKKXWV>8SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY5Y+SXDJO8n6QP_YNMIZYX<=UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\9T$MSNCK[6_-QZJDM:h0SRQWLOO\[Z22WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^7Z&OUHAIU7]/W\HFC4j2UTSUBAM^]\03YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW4S!U^N@A6dYXWQFEARQP49]\[P6(]VCHCC!Z_BVQHZIR\<BN^T2\,E[FKCS;W%YRBLE2`8[ZY_DGGTSR:6_^]V4*SXAJEE#XQLTSN\KPR(NVDH\VYXWQFEARQP59]\[P6(]VCHCC!Z_R[MG*SXOF^T_T@L3`9\[Z^KFDUTS8QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_3[)]VF^X>o4_^][HKKXWV%JDU=]/W\HPR4i2UTSUBAM^]\:j;bjjtvehxlUbob`#cikswfiwmVchccQy1^26[d*|kVxiR`jw^rbvvcu59&hSum`_mkpp8HrekoySNaatsmo[Uiu|z787X}j_Dzw[Gudig7mma}j;TqfvZUdigUHdlga=TQFV4=BfhhgiRD{af]Aqca:|{n7X}j_Tlvw`bXJzijb0hnlrg8QvcX[fln~mnn3-a\ilhhzV|n~~g`n<3/gZdraenT~hxfshmm95*dWjeyiRcnjnp\r`t:9%iTob|j_lkmkwYqm{79 nQlosg\ilhhzVkg|eoPvdp>=2*dWdllSdj21-a\qvcXj|ly1="l_tqf[voj59&hSx}j_rmepgudig7; nQasv\maehllUyijg|tdp>4)eX}zoTfyoh_cwec87+kVd~aRm`nuplhZvhz}y6?!mPurg\gjhszff;1<"l_tqf[fii|{eg=0?#c^wpaZehf}xd`?3>,b]vw`Ydgg~yca=20-a\qvcXkfd~bb;=1.`[pubWjeexac5<2/gZstmVidby|`l7?3(fYr{lUhccz}om5>4)eX}zoTob`{rnn;95*dW|ynSnaatsmo=86+kVxiRm`nuplh46:8%iTy~kPcnlwvjj694:'oR{|e^aljqthd886#c^wpaZehf}xd`<:20-a\qvcXmq~Tn~mnn<2/gZstmVey~kk_cq`ek;7$jU~hQwsu]wgnYpg{aj~b{ad<3/gZcidzgiRjjshem9qtrm%iTy~k}_rabjZeoi`d6=!s>b:akmuudgyoTenaa_UKNAVVBKVDHJ><4cikswfiwmVchccQy1^26[d*'P`fbbu.LOSG#C`hbzh~d~-?<.058gjsi|lx=7n}Pmtz;?fuXe|r::l5fcnl\fveffo1bob`PbrabjZdrnn;h7dm`n^`pgdhXj|llSYGBERRFGZ^T\o1bob`Pclf\``ehll30enaa_bmqaa=nkfdToy|c_nwwf>odggUxxlzzs89jgjhX{pdh46`hccwjha{GHy<C<328qXmo4=3`8f3?74:mii47jj5zl17=<63g885784$315>7573tY8m7<455ljh36h?<;Ra`>7>?280:??jlb98f53=T;h09454>:011`fd?2l;27i<88;295?7|[hh1>>o5e68277bdj10oi:5yTg494?7=93ijw^om:31b>`1=9:8ooo65dd58 74a2jo0Z?=8:3yv`2<63|n36=5r$d;95f=e:>21<78l:bc9gd}O:;h0(<>::35;?_452:q::7?8:0:9y!44=38"fi381eio4=0:9(3`<72-oh6:m4nd`965=<#h91<7*jc;c2?kce2;:07&;7:18'af<2?2dnn7>4;*75>5<#mj0>;6`jb;38?.32290/in4:7:lff?4<3"??6=4+eb863>hbj3907&;<:18'af<2?2dnn7:4;*71>5<#mj0>;6`jb;78?.37290/in4:7:lff?0<3">m6=4+eb863>hbj3=07&:j:18'af<2?2dnn764;*6g>5<#mj0>;6`jb;;8?.2d290/in4:7:lff?g<3">i6=4+eb863>hbj3h07&:n:18'af<2?2dnn7m4;*6:>5<#mj0>;6`jb;f8?.2?290/in4:7:lff?c<3"><6=4+eb863>hbj3l07&:::18'af<2?2dnn7??;:)70?6=,li19:5aec825>=,<:0;6)kl:458j`d=9;10'9<50;&fg?303goi6<=4;*62>5<#mj0>;6`jb;37?>-383:1(hm5569mag<6=21 ?k4?:%g`>015$da912=imk0:565$5g83>!cd2<=0bhl51`98/0c=83.no7;8;oga>4d<3"?o6=4+eb863>hbj3;h76%:c;29 `e==>1eio4>d:9(1g<72-oh6894nd`95`=<#:18'af<2?2dnn7<>;:)72?6=,li19:5aec816>=,;k0;6)kl:458j`d=::10':j50;&fg?1d3goi6=54+6`94?"bk3=h7ckm:098/2g=83.no79l;oga>7=<#>31<7*jc;5`?kce2:10':650;&fg?1d3goi6954+6594?"bk3=h7ckm:498/23=83.no79l;oga>3=<#>>1<7*jc;5`?kce2>10':=50;&fg?1d3goi6554+6094?"bk3=h7ckm:898/27=83.no79l;oga>d=<#>:1<7*jc;5`?kce2k10';h50;&fg?1d3goi6n54+7g94?"bk3=h7ckm:e98/3b=83.no79l;oga>`=<#?i1<7*jc;5`?kce2o10';o50;&fg?1d3goi6<>4;*4:>5<#mj0-103:1(hm57b9mag<6:21 ::4?:%g`>2e54+7494?"bk3=h7ckm:068?.02290/in48c:lff?7232!=87>5$da93f=imk0::65$6283>!cd2>i0bhl51698/34=83.no79l;oga>4><3"<:6=4+eb84g>hbj3;276%74;29 `e=?j1eio4>a:9(<6<72-oh6:m4nd`95g=<#181<7*jc;5`?kce28i07&6>:18'af<0k2dnn7?k;:);4?6=,li1;n5aec82a>=,?o0;6)kl:6a8j`d=9o10':850;&fg?1d3goi6??4;*4a>5<#mj0-183:1(hm57b9mag<5;21 m?4?:%g`>d7!cd2h;0bhl51:9(=c<72-oh6l?4nd`96>=,1l0;6)kl:`38j`d=;21 5i4?:%g`>d7!cd2h;0bhl55:9(=d<72-oh6l?4nd`92>=,100;6)kl:`38j`d=?21 554?:%g`>d7!cd2h;0bhl59:9(=3<72-oh6l?4nd`9e>=,1<0;6)kl:`38j`d=j21 594?:%g`>d7!cd2h;0bhl5d:9(=7<72-oh6l?4nd`9a>=,180;6)kl:`38j`d=n21 4k4?:%g`>d7c290/in4n1:lff?7532!3o7>5$da9e4=imk0:?65$8c83>!cd2h;0bhl51598/=g=83.no7o>;oga>43<3"226=4+eb8b5>hbj3;=76%78;29 `e=i81eio4>7:9(<2<72-oh6l?4nd`95==<#1<1<7*jc;c2?kce28307&o6:18'af=,i>0;6)kl:`38j`d=9j10'l850;&fg?g63goi65<#mj0j=6`jb;3f?>-f<3:1(hm5a09mag<6n21 5o4?:%g`>d7<54+8294?"bk3k:7ckm:308?.>2290/in4n1:lff?4432h9?94?:083>5}#99?1>?k4H311?M45j2en47>5;|`176<7280;6=u+1179fa=O::80D?5<5b;294~"68<09<6F=339K67d5<>oai3:17b<;:188kcc=831dmk4?::a551=8381>75<7s-;;97<>;I006>N5:k1bnh4?::mf2?6=3th94?4?:183>5}O:;h0(<>::gf8Hcb=9r.:<<4ja:~wg6=838pRo>4=30`>gc::b48L7553A89n6gme;29?jc12900qo=?50;694?6|,8:>6o:4H311?M45j2.h97<4i3194?=n:<0;66gnc;29?jca2900qo?id;290?6=8r.:<84m4:J177=O:;h0(n;52:k17?6=3`8>6=44i`a94?=hmo0;66sm21094?2=83:p(<>::c08L7553A89n6*l5;08m75=831b?44?::kbg?6=3fom6=44}c307?6=:3:1048`2>N5;;1C>?l4icg94?=hm?0;66sm12694?5=83:p(<>::e28L7553A89n6*l5;3:?!cc2;=;7dli:188mf6=831di;4?::a55`=83?1<7>t$026>g5<@;997E<=b:&`1?4>o413:17dol:188k``=831vn5<7s-;;97l<;I006>N5:k1/o84=;h00>5<>ofk3:17bki:188yg7693:197>50z&2405;h06>5<>ibn3:17pl>1383>0<729q/==;5b29K664<@;8i7)m::39j66<722c997>5;h1:>5<>{e9931<7;50;2x 4622k90D?==;I01f>"d=380e?=50;9j60<722c857>5;hc`>5<5<2290;w)??5;`0?M44:2B9>o5+c481?l442900e?;50;9j7<<722cjo7>5;nge>5<55;294~"68<0i?6F=339K67d<,j?1>6g=3;29?l422900e>750;9jef<722enj7>5;|`24f<72<0;6=u+1179f6=O::80D?7=n::0;66g=5;29?l5>2900elm50;9lac<722wi==j50;794?6|,8:>6o=4H311?M45j2.h97<4i3194?=n:<0;66g<9;29?lgd2900chh50;9~f46b290>6=4?{%331?d43A88>6F=2c9'g0<53`886=44i3794?=n;00;66gnc;29?jca2900qo?>9;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5;k1bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm10:94?3=83:p(<>::e38L7553A89n6*l5;3b?!cc2;9i7dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c323?6==3:1048g5>N5;;1C>?l4$b7956=#mm098;5fbg83>>od83:17dm>:188mf4=831di;4?::a540=83?1<7>t$026>a7<@;997E<=b:&`1?763-oo6?:9;h`e>5<>od:3:17bk9:188yg76=3:197>50z&240><4H30a?!e22l1/ii4=509jfc<722ch<7>5;ha2>5<>{e9;81<7;50;2x 4622m;0D?==;I01f>"d=3n0(hj52758mg`=831bo=4?::k`5?6=3`i96=44od494?=zj88:6=4::183!77=3n:7E<<2:J16g=#k<0i7)kk:344?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1m6*jd;045>oen3:17dm?:188mf7=831bo?4?::mf2?6=3th:=k4?:483>5}#99?1h<5G2208L74e3-i>645+ee8134=njo0;66gl0;29?le62900en<50;9la3<722wi=6i?4H311?M45j2.h9764$df9624>od93:17dm=:188k`0=831vn5<7s-;;97j>;I006>N5:k1/o848;%gg>7153`hm6=44ib294?=nk80;66gl2;29?jc12900qo?>c;291?6=8r.:<84k1:J177=O:;h0(n;56:&f`?40;2cij7>5;ha3>5<>ib>3:17pl>1c83>0<729q/==;5d09K664<@;8i7)m::49'aa<5?:1bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm10c94?3=83:p(<>::e38L7553A89n6*l5;68mg`=831bo=4?::k`5?6=3`i96=44od494?=zj8;86=4::183!77=3n:7E<<2:J16g=#k<087dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c31=?6==3:1048g5>N5;;1C>?l4$b795`=njo0;66gl0;29?le62900en<50;9la3<722wi=?650;794?6|,8:>6i?4H311?M45j2.h97?n;h`e>5<>od:3:17bk9:188yg75?3:197>50z&240::e38L7553A89n6*l5;32?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1j6gmf;29?le72900en?50;9jg7<722en:7>5;|`261<72<0;6=u+1179`4=O::80D?`=njo0;66gl0;29?le62900en<50;9la3<722wi=><50;794?6|,8:>6i?4H311?M45j2.h97j4icd94?=nk90;66gl1;29?le52900ch850;9~f456290>6=4?{%331?b63A88>6F=2c9'g0><4H30a?!e2201bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm13g94?3=83:p(<>::e38L7553A89n6*l5;:8mg`=831bo=4?::k`5?6=3`i96=44od494?=zj88o6=4::183!77=3n:7E<<2:J16g=#k<0<7dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c31g?6==3:1048g5>N5;;1C>?l4$b792>oen3:17dm?:188mf7=831bo?4?::mf2?6=3th:>o4?:483>5}#99?1h<5G2208L74e3-i>685+ee811f=njo0;66gl0;29?le62900en<50;9la3<722wi=?o50;794?6|,8:>6i?4H311?M45j2.h97:4$df960e>od93:17dm=:188k`0=831vn<<<:186>5<7s-;;97j>;I006>N5:k1/o84<;h`e>5<>od:3:17bk9:188yg74j3:197>50z&2405;ha2>5<>{e9:i1<7;50;2x 4622m;0D?==;I01f>"d=3;n7)kk:357?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1=h5+ee8115=njo0;66gl0;29?le62900en<50;9la3<722wi=9o50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>7373`hm6=44ib294?=nk80;66gl2;29?jc12900qo?;b;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5=;1bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm15a94?3=83:p(<>::e38L7553A89n6*l5;3f?!cc2;?97dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c37`?6==3:1048g5>N5;;1C>?l4$b795`=#mm099>5fbg83>>od83:17dm>:188mf4=831di;4?::a51c=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?;<;h`e>5<>od:3:17bk9:188yg73n3:197>50z&2405;ha2>5<>{e9<:1<7;50;2x 4622m;0D?==;I01f>"d=3;n7)kk:377?lda2900en>50;9jg4<722ch>7>5;ng5>5<=7>55;294~"68<0o=6F=339K67d<,j?1=h5+ee8110=njo0;66gl0;29?le62900en<50;9la3<722wi=8<50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>7323`hm6=44ib294?=nk80;66gl2;29?jc12900qo?:3;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5=?1bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm14694?3=83:p(<>::e38L7553A89n6*l5;3f?!cc2;?=7dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c361?6==3:1048g5>N5;;1C>?l4$b795`=#mm099:5fbg83>>od83:17dm>:188mf4=831di;4?::a500=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?;8;h`e>5<>od:3:17bk9:188yg72?3:197>50z&2405;ha2>5<>{e9<21<7;50;2x 4622m;0D?==;I01f>"d=3;n7)kk:37;?lda2900en>50;9jg4<722ch>7>5;ng5>5<57>55;294~"68<0o=6F=339K67d<,j?1=h5+ee811<=njo0;66gl0;29?le62900en<50;9la3<722wi=8o50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>73>3`hm6=44ib294?=nk80;66gl2;29?jc12900qo?::e38L7553A89n6*l5;3f?!cc2;9o7dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c30b?6==3:1048g5>N5;;1C>?l4$b795`=#mm09?h5fbg83>>od83:17dm>:188mf4=831di;4?::a516=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?=j;h`e>5<>od:3:17bk9:188yg7393:197>50z&2405;ha2>5<>{e9=81<7;50;2x 4622m;0D?==;I01f>"d=3;n7)kk:31e?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1=h5+ee8105=njo0;66gl0;29?le62900en<50;9la3<722wi=9:50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>7273`hm6=44ib294?=nk80;66gl2;29?jc12900qo?;5;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5<81bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm15494?3=83:p(<>::e38L7553A89n6*l5;3f?!cc2;>:7dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c373?6==3:1048g5>N5;;1C>?l4$b795`=#mm098?5fbg83>>od83:17dm>:188mf4=831di;4?::a51>=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?:=;h`e>5<>od:3:17bk9:188yg72j3:197>50z&2405;ha2>5<>{e9"d=3;n7)kk:356?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1=h5+ee811d=njo0;66gl0;29?le62900en<50;9la3<722wi=;o50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>73f3`hm6=44ib294?=nk80;66gl2;29?jc12900qo?9b;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5=k1bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm17a94?3=83:p(<>::e38L7553A89n6*l5;3f?!cc2;?i7dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c35`?6==3:1048g5>N5;;1C>?l4$b795`=#mm099i5fbg83>>od83:17dm>:188mf4=831di;4?::a53c=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?;k;h`e>5<>od:3:17bk9:188yg71n3:197>50z&2405;ha2>5<>{e9>:1<7;50;2x 4622m;0D?==;I01f>"d=3;n7)kk:37f?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1=h5+ee811c=njo0;66gl0;29?le62900en<50;9la3<722wi=:<50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>73a3`hm6=44ib294?=nk80;66gl2;29?jc12900qo?83;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5>91bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm16694?3=83:p(<>::e38L7553A89n6*l5;3f?!cc2;<;7dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c341?6==3:1048g5>N5;;1C>?l4$b795`=#mm09:<5fbg83>>od83:17dm>:188mf4=831di;4?::a520=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?8>;h`e>5<>od:3:17bk9:188yg70?3:197>50z&2405;ha2>5<>{e9>21<7;50;2x 4622m;0D?==;I01f>"d=3;n7)kk:341?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1=h5+ee8126=njo0;66gl0;29?le62900en<50;9la3<722wi=:o50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>7043`hm6=44ib294?=nk80;66gl2;29?jc12900qo?:d;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5<:1bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm14g94?3=83:p(<>::e38L7553A89n6*l5;3f?!cc2;>87dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c36b?6==3:1048g5>N5;;1C>?l4$b795`=#mm09895fbg83>>od83:17dm>:188mf4=831di;4?::a536=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?:;;h`e>5<>od:3:17bk9:188yg7193:197>50z&2405;ha2>5<>{e9?81<7;50;2x 4622m;0D?==;I01f>"d=3;n7)kk:366?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1=h5+ee8102=njo0;66gl0;29?le62900en<50;9la3<722wi=;:50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>7203`hm6=44ib294?=nk80;66gl2;29?jc12900qo?95;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5<11bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm17494?3=83:p(<>::e38L7553A89n6*l5;3f?!cc2;>37dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c353?6==3:1048g5>N5;;1C>?l4$b795`=#mm09845fbg83>>od83:17dm>:188mf4=831di;4?::a53>=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?:6;h`e>5<>od:3:17bk9:188yg70j3:197>50z&2405;ha2>5<>{e9>i1<7;50;2x 4622m;0D?==;I01f>"d=3;n7)kk:31`?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1=h5+ee8121=njo0;66gl0;29?le62900en<50;9la3<722wi=5o50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>7033`hm6=44ib294?=nk80;66gl2;29?jc12900qo?7b;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5><1bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm19a94?3=83:p(<>::e38L7553A89n6*l5;3f?!cc2;<>7dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c3;`?6==3:1048g5>N5;;1C>?l4$b795`=#mm09:;5fbg83>>od83:17dm>:188mf4=831di;4?::a5=c=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?89;h`e>5<>od:3:17bk9:188yg7?n3:197>50z&2405;ha2>5<>{e90:1<7;50;2x 4622m;0D?==;I01f>"d=3;n7)kk:34;?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1=h5+ee812<=njo0;66gl0;29?le62900en<50;9la3<722wi=4<50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>70>3`hm6=44ib294?=nk80;66gl2;29?jc12900qo?63;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5>h1bnk4?::k`4?6=3`i:6=44ib094?=hm?0;66sm18694?3=83:p(<>::e38L7553A89n6*l5;3f?!cc2;048g5>N5;;1C>?l4$b795`=#mm09:o5fbg83>>od83:17dm>:188mf4=831di;4?::a5<0=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?8m;h`e>5<>od:3:17bk9:188yg7>?3:197>50z&2405;ha2>5<>{e9021<7;50;2x 4622m;0D?==;I01f>"d=3;n7)kk:34`?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1=h5+ee812a=njo0;66gl0;29?le62900en<50;9la3<722wi=4o50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>70c3`hm6=44ib294?=nk80;66gl2;29?jc12900qo?8d;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5::e38L7553A89n6*l5;3f?!cc2;>j7dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c34b?6==3:1048g5>N5;;1C>?l4$b795`=#mm098o5fbg83>>od83:17dm>:188mf4=831di;4?::a5=6=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?:m;h`e>5<>od:3:17bk9:188yg7?93:197>50z&2405;ha2>5<>{e9181<7;50;2x 4622m;0D?==;I01f>"d=3;n7)kk:36`?lda2900en>50;9jg4<722ch>7>5;ng5>5<55;294~"68<0o=6F=339K67d<,j?1=h5+ee810a=njo0;66gl0;29?le62900en<50;9la3<722wi=5:50;794?6|,8:>6i?4H311?M45j2.h97?j;%gg>72c3`hm6=44ib294?=nk80;66gl2;29?jc12900qo?75;291?6=8r.:<84k1:J177=O:;h0(n;51d9'aa<5::e38L7553A89n6*l5;3f?!cc2;>n7dli:188mf6=831bo<4?::k`6?6=3fo=6=44}c3;3?6==3:1048g5>N5;;1C>?l4$b795`=#mm098k5fbg83>>od83:17dm>:188mf4=831di;4?::a5=>=83?1<7>t$026>a7<@;997E<=b:&`1?7b3-oo6?:i;h`e>5<>od:3:17bk9:188yg7>k3:1?7>50z&2405;ng5>5<53;294~"68<0o<6F=339K67d<,j?1==5+ee812`=njo0;66gl0;29?jc12900qo?6d;297?6=8r.:<84k0:J177=O:;h0(n;51e9'aa<5>o1bnk4?::k`4?6=3fo=6=44}c3g0?6=<3:1048g2>N5;;1C>?l4icd94?=nk90;66gi3;29?jc12900qo?k2;290?6=8r.:<84k5:J177=O:;h0eoh50;9jg5<722cm?7>5;ng5>5<54;294~"68<0o96F=339K67d>oa;3:17bk9:188yg7f93:197>50z&2405;ha3>5<>ib>3:17pl>a383>0<729q/==;5d09K664<@;8i7)m::`f8mg`=831bo=4?::k`5?6=3`i96=44od494?=zj8k86=4::183!77=3n:7E<<2:J16g=#k<0:86gmf;29?le72900en?50;9jg7<722en:7>5;|`2e1<72<0;6=u+1179`4=O::80D?43>od93:17dm=:188k`0=831vn5<7s-;;97m9;I006>N5:k1bnh4?::mf2?6=3th:m84?:283>5}#99?1h=5G2208L74e3-i>6<>4icd94?=nk90;66aj6;29?xd6i>0;684?:1y'553=l81C>><4H30a?!e228o0eoh50;9jg5<722ch=7>5;ha1>5<5<4290;w)??5;f3?M44:2B9>o5+c482`>"bl38=j6gmf;29?le72900ch850;9~f4b4290?6=4?{%331?d33A88>6F=2c9'g0<53`886=44i3794?=nij0;66ajf;29?xd6j>0;6>4?:1y'553=::;0D?==;I01f>o5?3:17dmn:188k`0=831vn5<7s-;;97j;;I006>N5:k1b>:4?::kba?6=3`l86=44ob`94?=zj8hi6=4<:183!77=388=6F=339K67d>ib>3:17pl>b983>1<729q/==;5d59K664<@;8i7d<8:188mdc=831bj>4?::m`f?6=3th:nk4?:283>5}#99?1>>?4H311?M45j2c9;7>5;hab>5<5<3290;w)??5;f7?M44:2B9>o5f2683>>ofm3:17dh<:188kfd=831vn5<7s-;;97<<1:J177=O:;h0e?950;9jgd<722en:7>5;|`2g5<72=0;6=u+1179`1=O::80D?5<>idj3:17pl>c683>6<729q/==;52238L7553A89n6g=7;29?lef2900ch850;9~f4e3290?6=4?{%331?b33A88>6F=2c9j62<722cji7>5;hd0>5<5<4290;w)??5;005>N5;;1C>?l4i3594?=nkh0;66aj6;29?xd6j?0;6?4?:1y'553=ko1C>><4H30a?!e22880eoh50;9la3<722wi=oo50;094?6|,8:>6nh4H311?M45j2.h97?=;h`e>5<5<5290;w)??5;ae?M44:2B9>o5+c4826>oen3:17bk9:188yg7d:3:1>7>50z&2405}#99?1ok5G2208L74e3-i>6<<4icd94?=hm?0;66sm1b;94?4=83:p(<>::bd8L7553A89n6*l5;31?lda2900ch850;9~f4d2290>6=4?{%331?d13A88>6F=2c9'g0<53`886=44i3794?=nij0;66gi0;29?jca2900qo?m9;291?6=8r.:<84m6:J177=O:;h0(n;52:k17?6=3`8>6=44i`a94?=nn90;66ajf;29?xd6jm0;684?:1y'553=j?1C>><4H30a?!e22;1b>>4?::k11?6=3`kh6=44ig294?=hmo0;66sm1b394?3=83:p(<>::c48L7553A89n6*l5;08m75=831b>84?::kbg?6=3`l;6=44odd94?=zj8i>6=4::183!77=3h=7E<<2:J16g=#k<097d<<:188m73=831bmn4?::ke4?6=3fom6=44}c3`048a2>N5;;1C>?l4$b796>o5;3:17d<::188mde=831bj=4?::mfb?6=3th:ik4?:483>5}#99?1h<5G2208L74e3-i>6i5fbg83>>od83:17dm>:188mf4=831di;4?::a5`b=8391<7>t$026>a6<@;997E<=b:&`1?7c3-oo6?9?;h`e>5<>{e9o;1<7<50;2x 4622j<0D?==;I01f>oem3:17bk9:188yg7bm3:197>50z&2405;ha3>5<>ib>3:17pl>f183>0<729q/==;5b79K664<@;8i7)m::39j66<722c997>5;hc`>5<>{e9l<1<7:50;2x 4622k?0D?==;I01f>"d=380e?=50;9jef<722cm<7>5;nge>5<54;294~"68<0i96F=339K67d<,j?1>6g=3;29?lgd2900ek>50;9lac<722wi=h650;694?6|,8:>6o;4H311?M45j2.h97<4i3194?=nij0;66gi0;29?jca2900qo?j9;290?6=8r.:<84m5:J177=O:;h0(n;52:k17?6=3`kh6=44ig294?=hmo0;66sm1dc94?2=83:p(<>::c78L7553A89n6*l5;08m75=831bmn4?::ke4?6=3fom6=44}c3ff?6=<3:1048a1>N5;;1C>?l4$b796>o5;3:17dol:188mc6=831dik4?::a5`e=83>1<7>t$026>g3<@;997E<=b:&`1?4>oa83:17bki:188yg7an3:1:7>50z&240><4H30a?!e228l0eoh50;9jg5<722ch=7>5;ha1>5<>ib>3:17pl=7c83>5<729q/==;5fe9Kbg=Knm0?w)??3;`:?!77<3hj7)??2;`;?!7783h<7ps|5883>7}Y=016=kh5c19~w2c=838pR:k4=0de>f5?63>3g8f2>{t=;0;6?uQ539>56b=m?1v8>50;0xZ06<58?26h84}r6e>5<5sW>m70?:7;g5?xu3m3:1>vP;e:?2102wx8i4?:3y]0a=:9<91i;5rs5a94?4|V=i01<;>:d48yv2e2909wS:m;<37b?c13ty?m7>52z\7e>;67}Y<016=9l5e79~w1>=838pR964=06:>`0<6=4={_64?874j3o=7p};5;296~X3=27:854j6:p01<72;qU89521549a3=z{=91<77k9;|q75?6=:rT?=63>418f2>{t<90;6?uQ419>56c=m?1v>h50;0xZ6`<58?j6h84}r1f>5<5sW9n70?:8;g5?xu4l3:1>vP2wx?n4?:3y]7f=:9<>1i;5rs4d94?4|Vh7>52z\6`>;67}Y=j16=9m5e79~w0d=838pR8l4=06b>`0k1<734;==7k9;|q45g8f2>{t?>0;6?uQ769>50b=m?1v:;50;0xZ23<58=26h84}r57>5<5sW=?70?87;g5?xu0;3:1>vP83:?2302wx;?4?:3y]37=:9>91i;5rs6394?4|V>;01<9>:d48yv172909wS9?;<35b?c13ty=j7>52z\5b>;6>m0n:6s|6d83>7}Y>l16=;l5e79~w3b=838pR;j4=04:>`07k9;|q52?6=:rT=:63>618f2>{t><0;6?uQ649>50c=m?1v;:50;0xZ32<58=j6h84}r40>5<5sW<870?88;g5?xu1:3:1>vP92:?2332wx:<4?:3y]24=:9>>1i;5rs9694?4|V1>01<9=:d48yv>42909wS6<;<344?c13ty3>7>52z\;6>;6>l0n:6s|8083>7}Y0816=;m5e79~w=6=838pR5>4=04b>`042l<0q~7j:181[?b34;3=7k9;|q:`?6=:rT2h63>7g8f2>{t1j0;6?uQ9b9>52b=m?1v4o50;0xZ5<5sW3270?67;g5?xu>03:1>vP68:?2=02wx5:4?:3y]=2=:9091i;5rs8494?4|V0<01<7>:d48yv?22909wS7:;<3;b?c13ty287>52z\:0>;60m0n:6s|9283>7}Y1:16=5l5e79~w<4=838pR4<4=0::>`032l<0q~6l:181[>d34;3>7k9;|q;f?6=:rT3n63>818f2>{t0h0;6?uQ8`9>52c=m?1v5750;0xZ=?<583j6h84}r:;>5<5sW2370?68;g5?xu??3:1>vP77:?2=32wx4;4?:3y]<3=:90>1i;5rs`;94?4|Vh301<7=:d48yvg?2909wSo7;<3:4?c13tyj;7>52z\b3>;60l0n:6s|a783>7}Yi?16=5m5e79~wd3=838pRl;4=0:b>`0fe811>;58809963>d2811>;6k109963>c4811>;6k809963>be811>;6j009963>b4811>;6j=09;63>b6813>;6n90jo6s|23;94?4|5;:96?=4=025>74f3ty9>54?:5y>562=k916=4j5c19>5d0=k916==85f49~w46?2909w0??7;`3?877>3km7p}=2183>7}::981mn522119a3=z{;896=4={<036?5>348;87k9;|q166<72:q6=4j5bg9>5d0=jo16=k>5eg9~w7422909w03lj7p}=2783>7}:9m91ik522129g1=z{;:<6=47{<327?c134;o7m?;<36f?e734;8o7m?;<30f?e734;j;7m?;|q14`<72>q6=5=g=k916=575c19>53g=k916=;75c19>51g=k916=975c19~w76a290b;g5?87?k3i;70?7b;a3?871k3i;70?9b;a3?873k3i;70?;b;a3?xu5990;6:u210a9a3=:91o1o=5219f9g5=:9?o1o=5217f9g5=:9=o1o=5215f9g5=z{;;:6=48{<32`?c134;2<7m?;<3;b?e734;<<7m?;<35b?e734;><7m?;<37b?e73ty9=?4?:6y>54c=m?16=4<5c19>5<7=k916=:<5c19>527=k916=8<5c19>507=k91v??<:184876n3o=70?64;a3?87>;3i;70?84;a3?870;3i;70?:4;a3?872;3i;7p}=1583>2}:9;:1i;521849g5=:90?1o=521649g5=:9>?1o=521449g5=:95<0s4;9=7k9;<3:;7m?;|q153<72>q6=?<5e79>552g=k916=:75c19>50g=k916=875c19~w76?2904;g5?870m3i;70?8d;a3?872m3i;70?:d;a3?874m3i;70?7m?;<3;5?e734;=>7m?;<355?e734;?>7m?;<375?e73ty9541=m?16=5:5c19>5=5=k916=;:5c19>535=k916=9:5c19>515=k91v?>l:18487603o=70?76;a3?87?=3i;70?96;a3?871=3i;70?;6;a3?873=3i;7p}=0e83>2}:9831i;5219:9g5=:91=1o=5217:9g5=:9?=1o=5215:9g5=:9==1o=5rs33a>5<59r7:>>4j6:?20=4l1:?2074l1:?2173i:70?95;a2?871<3i:70?93;a2?871:3i:70?91;a2?87183i:70?:f;a2?872m3i:70?:d;a2?870i3i:70?89;a2?87003i:70?87;a2?870>3i:70?85;a2?870<3i:70?83;a2?870:3i:70?81;a2?87083i:70?9f;a2?871m3i:70?9d;a2?871k3i:70?9b;a2?871i3i:70?99;a2?872k3i:70?:b;a2?xu59l0;6??t=00a>`0<58236n?4=0:4>f7<582=6n?4=0:6>f7<582?6n?4=0:0>f7<58296n?4=0:2>f7<582;6n?4=05e>f7<58=n6n?4=05g>f7<583j6n?4=0;:>f7<58336n?4=0;4>f7<583=6n?4=0;6>f7<583?6n?4=0;0>f7<58396n?4=0;2>f7<583;6n?4=0:e>f7<582n6n?4=0:g>f7<582h6n?4=0:a>f7<582j6n?4=0::>f7<58=h6n?4=05a>f752z?26<27:m:4l1:p641=83;?w0??b;ge?877i3kh70?=3;`e?875i3hm70?=b;`e?875k3hm70?=d;`e?875m3hm70?=f;`e?87483hm70?<1;`e?874:3hm70?=4;`e?875=3hm70?=6;`e?875?3hm70?=8;`e?87513hm70?if;`e?84783i97p}=1983>42|58:h6hh4=02a>de<58886n>4=00b>f6<588i6n>4=00`>f6<588o6n>4=00f>f6<588m6n>4=013>f6<589:6n>4=011>f6<588?6n>4=006>f6<588=6n>4=004>f6<58836n>4=00:>f6<58lm6n?4=323>f5512y>55b=mo16==m5ab9>575=k816=?o5c09>57d=k816=?m5c09>57b=k816=?k5c09>57`=k816=>>5c09>567=k816=><5c09>572=k816=?;5c09>570=k816=?95c09>57>=k816=?75c09>656=k91v??n:1827~;68l0nj63>0e8bg>;6::0h>63>2`8`6>;6:k0h>63>2b8`6>;6:m0h>63>2d8`6>;6:o0h>63>318`6>;6;80h>63>338`6>;6:=0h>63>248`6>;6:?0h>63>268`6>;6:10h>63>288`6>;5890ij6s|21794?2|58:m6hh4=0;a>g`<583h6oh4=0c6>g`55z?255<:cg894532kl01:cg8yv45?3:1>v3=008bg>;5890n:6s|23394?5|5;:?6ok4=025>c2<58986ok4}r010?6=:r7:ji4nc:?243;50;`x946b2:301<>k:2;8946d2:301<>m:2;8946f2:301<>6:2;894752:301:2;894772:301<>i:2;894542l<0q~?0e811>;68j09963>0c811>;68h09963>08811>;69;09963>10811>;69909963>0g811>;6;=0n:6s|12:94?75s4;:=7ki;<324?gd34;:?7li;<32e?da34;:n7li;<32g?da34;:h7li;<32a?da34;:j7li;<314?da34;9=7li;<316?da34;:87li;<321?da34;::7li;<323?da34;:47li;<32=?da3ty:?44?:00x94752ll01:`a894742j:013:1=?u211;9ac=:9881mn521019g4=:98k1o<5210`9g4=:98i1o<5210f9g4=:98o1o<5210d9g4=:9;:1o<521339g4=:9;81o<521069g4=:98?1o<521049g4=:98=1o<5210:9g4=:9831o<5rs014>5<6:r7:4l2:?25d<4l2:?267k3o=70?77;a1?87?=3i970?73;a1?87?93i970?8f;a1?870l3i970?69;a1?87>?3i970?65;a1?87>;3i970?61;a1?87?n3i970?7d;a1?87?j3i970?79;a1?870j3i970?97;a1?871=3i970?93;a1?87193i970?:f;a1?872l3i970?89;a1?870?3i970?85;a1?870;3i970?81;a1?871n3i970?9d;a1?871j3i970?99;a1?872j3i970?;7;a1?873=3i970?;3;a1?87393i970?9d83>67|583i6h84=0:;>f4<582=6n<4=0:7>f4<58296n<4=0:3>f4<58=n6n<4=0;b>f4<58336n<4=0;5>f4<583?6n<4=0;1>f4<583;6n<4=0:f>f4<582h6n<4=0:b>f4<58=h6n<4=04;>f4<58<=6n<4=047>f4<58<96n<4=043>f4<58?n6n<4=05b>f4<58=36n<4=055>f4<58=?6n<4=051>f4<58=;6n<4=04f>f4<58f4<58?h6n<4=06;>f4<58>=6n<4=067>f4<58>96n<4=063>f4<589n6n<4=07b>f4<58?36n<4=075>f4<58??6n<4=071>f4<58?;6n<4=06f>f4<58>h6n<4=06b>f4<589h6n<4}r3b4?6=>8q6=4j5e79>5=>=jo16=595bg9>5=0=jo16=5;5bg9>5=2=jo16=5=5bg9>5=4=jo16=5?5bg9>5=6=jo16=:h5bg9>52c=jo16=:j5bg9>55<>=jo16=495bg9>5<0=jo16=4;5bg9>5<2=jo16=4=5bg9>5<4=jo16=4?5bg9>5<6=jo16=5h5bg9>5=c=jo16=5j5bg9>5=e=jo16=5l5bg9>5=g=jo16=575bg9>52e=jo16=:l5bg9>53>=jo16=;95bg9>530=jo16=;;5bg9>532=jo16=;=5bg9>534=jo16=;?5bg9>536=jo16=8h5bg9>50c=jo16=8j5bg9>52g=jo16=:75bg9>52>=jo16=:95bg9>520=jo16=:;5bg9>522=jo16=:=5bg9>524=jo16=:?5bg9>526=jo16=;h5bg9>53c=jo16=;j5bg9>53e=jo16=;l5bg9>53g=jo16=;75bg9>50e=jo16=8l5bg9>51>=jo16=995bg9>510=jo16=9;5bg9>512=jo16=9=5bg9>514=jo16=9?5bg9>516=jo16=>h5bg9>56c=jo16=>j5bg9>50g=jo16=875bg9>50>=jo16=895bg9>500=jo16=8;5bg9>502=jo16=8=5bg9>504=jo16=8?5bg9>506=jo16=9h5bg9>51c=jo16=9j5bg9>51e=jo16=9l5bg9>51g=jo16=975bg9>56e=jo16=>l5bg9~w4c22909w0?k3;c`?87c<3o=7p}>e583>7}:9m81i;521e69fc=z{8o86=4={<3g5?c134;o87m?;|q2`2<72;q6=l?5e79>5a4=jo1v5<5s4;j87k9;<3g5?e73ty:hn4?:6y>5d>=m?16=n65f19>5f3=n916=n?5f19>5gb=n916=o75f19>5g3=n91v5<5s4;j:7k9;<3b3?da3ty:i?4?:2y>5a2=n:16=n65eg9>5f?=jo1v:18787c93l870?k2;d0?87d=3om70?l6;`e?xu6m90;6;u21`69g7=:9h91o?521`09g7=:9h;1o?521b39ac=:9j81nk5rs0fe>5<1s4;j87m>;<3b7?e634;j>7m>;<3b5?e634;ih7ki;<3aa?da3ty:hh4?:7y>5d2=k916=l=5c19>5d4=k916=l?5c19>5g?=mo16=oo5bg9~w4bc290=w0?n4;`e?87f;3hm70?n2;`e?87f93hm70?m5;ge?87e>3hm7p}>ad83>7}:9k?1mn521c59a3=z{8k26=4<{<3a5g?=ij16=ol5e79~w4gf2908w0?mc;04?87en38<70?m8;aa?xu6j90;6?u21cf9ef=:9kl1i;5rs0ca>5<4s4;h<7<8;<3`7?4034;io7mm;|q2f4<72;q6=n?5ab9>5f5=m?1vb383>7}:9j?1mn521b59a3=z{8ko6=4={<3`e?4034;h87mm;|q2f6<72;q6=n65ab9>5fg=m?1v3o=70?m4;d0?87e?3ij7p}>cb83>6}:9kk1i;521c:9b6=:9kh1ol5rs0ag>5<4s4;ii7k9;<3ag?`434;ij7mn;|q2g`<72:q6=n<5e79>5f6=n:16=n=5c`9~w4ea2908w0?l6;g5?87d<3l870?l7;ab?xu6l90;6?u21b;9a3=:9jk1ol5rs0d6>5<5s4;nh7li;<3fb?c13ty:j>4?:3y>5c6=:<16=hj5e79~w4`52902w0?jc;d3?87bj3l;70?ja;d3?87b13l;70?j8;d3?87b?3l;70?j6;d3?87a83l;70?i1;g5?xu6n?0;6?u21d49ac=:9ll1nk5rs0d4>5<4s4;n;7ki;<3f2?gd34;nj7m?;|q2b=<72:q6=h65eg9>5`1=ij16=hh5c09~w4`>2908w0?j9;ge?87b03kh70?jf;a1?xu6nh0;6>u21dc9ac=:9l31mn521dg9fc=z{8li6=4<{<3ff?ca34;nm7ol;<3fa?e73ty:j94?:3y>5`c=m?16=hj5c19~w4`d2908w0?jc;ge?87bj3kh70?je;a2?xu6nl0;6?u21gd9a3=::9:1o<5rsec94?6|uzni6=4?{|qgg?6=8rwxhi4?:1y~wac=83:pq~ji:183xub83:15vP:1:\42>;6k=0ji63>c18ba>;6jj0ji63>b98ba>;6j=0ji63=328ag>X>j2wxi<4?:6y]03=Y>k16==k5ab9>5`e=ij16=hk5c39]=5=:9on1ik5rsd094?7asW9i7S8?;<3e`?44348;=7<<;<33a?4434;;h7<<;<33g?4434;;n7<<;<33e?4434;;57<<;<326?4434;:=7<<;<324?4434;;j7<<;<3g7?4434;h47<<;<3`1?4434;h=7<<;<3a`?4434;i57<<;<3a1?4434;no7<<;<3ff?4434;nm7<<;<3f=?4434;n47<<;<3f3?4434;n:7<<;<3e4?443W2>70??7;`2?x{i0;6290:wE<=b:m0`g=83;pD??l4}o6fg?6=9rB9>o5rn5gg>5<6sA89n6sa4dg94?7|@;8i7p`;eg83>4}O:;h0qc:i0;295~N5:k1vb9h>:182M45j2we8k<50;3xL74e3td?j>4?:0yK67dm87>51zJ16g=zf=l>6=4>{I01f>{i0;6290:wE<=b:m0cg=83;pD??l4}o6eg?6=9rB9>o5rn5dg>5<6sA89n6sa4gg94?7|@;8i7p`;fg83>4}O:;h0qc;?0;295~N5:k1vb8>>:182M45j2we9=<50;3xL74e3td><>4?:0yK67d51zJ16g=zf<:>6=4>{I01f>{i=9<1<7?tH30a?xh28>0;6290:wE<=b:m15g=83;pD??l4}o73g?6=9rB9>o5rn42g>5<6sA89n6sa51g94?7|@;8i7p`:0g83>4}O:;h0qc;>0;295~N5:k1vb8?>:182M45j2we9<<50;3xL74e3td>=>4?:0yK67d51zJ16g=zf<;>6=4>{I01f>{i=8<1<7?tH30a?xh29>0;6290:wE<=b:m14g=83;pD??l4}o72g?6=9rB9>o5rn43g>5<6sA89n6sa50g94?7|@;8i7p`:1g83>4}O:;h0qc;=0;295~N5:k1vb8<>:182M45j2we9?<50;3xL74e3td>>>4?:0yK67d51zJ16g=zf<8>6=4>{I01f>{i=;<1<7?tH30a?xh2:>0;6290:wE<=b:m17g=83;pD??l4}o71g?6=9rB9>o5rn40g>5<6sA89n6sa53g94?7|@;8i7p`:2g83>4}O:;h0qc;<0;295~N5:k1vb8=>:182M45j2we9><50;3xL74e3td>?>4?:0yK67d51zJ16g=zf<9>6=4>{I01f>{i=:<1<7?tH30a?xh2;>0;6290:wE<=b:m16g=83;pD??l4}o70g?6=9rB9>o5rn41g>5<6sA89n6sa52g94?7|@;8i7p`:3g83>4}O:;h0qc;;0;295~N5:k1vb8:>:182M45j2we99<50;3xL74e3td>8>4?:0yK67d51zJ16g=zf<>>6=4>{I01f>{i==<1<7?tH30a?xh2<>0;6290:wE<=b:m11g=83;pDh;4Hd68L74e3Slm64}O:;h0qc;;e;295~N5:k1vb8:i:182M45j2we98>50;3xL74e3td>9<4?:0yK67d>7>51zJ16g=zf{I01f>{i=<>1<7?tH30a?xh2=<0;63:1=vF=2c9~j030290:wE<=b:m10>=83;pD??l4}o76e?6=9rB9>o5rn47a>5<6sA89n6sa54a94?7|@;8i7p`:5e83>4}O:;h0qc;:e;295~N5:k1vb8;i:182M45j2we9;>50;3xL74e3td>:<4?:0yK67d7>51zJ16g=zf<<86=4>{I01f>{i=?>1<7?tH30a?xh2><0;63:1=vF=2c9~j000290:wE<=b:m13>=83;pD??l4}o75e?6=9rB9>o5rn44a>5<6sA89n6sa57a94?7|@;8i7p`:6e83>4}O:;h0qc;9e;295~N5:k1vb88i:182M45j2we9:>50;3xL74e3td>;<4?:0yK67d7>51zJ16g=zf<=86=4>{I01f>{i=>>1<7?tH30a?xh2?<0;63:1=vF=2c9~j010290:wE<=b:m12>=83;pD??l4}o74e?6=9rB9>o5rn45a>5<6sA89n6sa56a94?7|@;8i7p`:7e83>4}O:;h0qc;8e;295~N5:k1vb89i:182M45j2we95>50;3xL74e3td>4<4?:0yK67d7>51zJ16g=zf<286=4>{I01f>{i=1>1<7?tH30a?xh20<0;63:1=vF=2c9~j0>0290:wE<=b:m1=>=83;pD??l4}o7;e?6=9rB9>o5rn4:a>5<6sA89n6sa59a94?7|@;8i7p`:8e83>4}O:;h0qc;7e;295~N5:k1vb86i:182M45j2we94>50;3xL74e3td>5<4?:0yK67d7>51zJ16g=zf<386=4>{I01f>{i=0>1<7?tH30a?xh21<0;6>3:1=vF=2c9~j0?0290:wE<=b:m1<>=83;pD??l4}o7:e?6=9rB9>o5rn4;a>5<6sA89n6sa58a94?7|@;8i7p`:9e83>4}O:;h0qc;6e;295~N5:k1vb87i:182M45j2we9l>50;3xL74e3td>m<4?:0yK67d7>51zJ16g=zf{I01f>{i=h>1<7?tH30a?xh2i<0;63:1=vF=2c9~j0g0290:wE<=b:m1d>=83;pD??l4}o7be?6=9rB9>o5rn4ca>5<6sA89n6sa5`a94?7|@;8i7p`:ae83>4}O:;h0qc;ne;295~N5:k1vb8oi:181Mc23Ao?7E<=b:Xeb?7|k00vqpsr@AAx22g=i?>=j>j;}ABA5{GHYqvLM \ No newline at end of file diff --git a/projects/LX150_makomk_Test/hdl/chipscope_icon.v b/projects/LX150_makomk_Test/hdl/chipscope_icon.v new file mode 100644 index 0000000..1714711 --- /dev/null +++ b/projects/LX150_makomk_Test/hdl/chipscope_icon.v @@ -0,0 +1,1879 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: O.40d +// \ \ Application: netgen +// / / Filename: chipscope_icon.v +// /___/ /\ Timestamp: Thu May 19 17:36:04 2011 +// \ \ / \ +// \___\/\___\ +// +// Command : -w -sim -ofmt verilog D:/Documents/Projects/xilinx_fpgaminer/ipcore_dir/tmp/_cg/chipscope_icon.ngc D:/Documents/Projects/xilinx_fpgaminer/ipcore_dir/tmp/_cg/chipscope_icon.v +// Device : xc6slx150t-fgg676-3 +// Input file : D:/Documents/Projects/xilinx_fpgaminer/ipcore_dir/tmp/_cg/chipscope_icon.ngc +// Output file : D:/Documents/Projects/xilinx_fpgaminer/ipcore_dir/tmp/_cg/chipscope_icon.v +// # of Modules : 1 +// Design Name : chipscope_icon +// Xilinx : F:\Xilinx\13.1\ISE_DS\ISE\ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module chipscope_icon ( +CONTROL0, CONTROL1, CONTROL2 +)/* synthesis syn_black_box syn_noprune=1 */; + inout [35 : 0] CONTROL0; + inout [35 : 0] CONTROL1; + inout [35 : 0] CONTROL2; + + // synthesis translate_off + + wire N0; + wire \U0/iUPDATE_OUT ; + wire \U0/iSHIFT_OUT ; + wire \U0/U_ICON/I_YES_BSCAN.U_BS/iDRCK_LOCAL ; + wire \U0/U_ICON/iDATA_CMD_n ; + wire \U0/U_ICON/iSEL_n ; + wire \U0/U_ICON/iSYNC ; + wire \U0/U_ICON/iTDO ; + wire \U0/U_ICON/iCORE_ID_SEL[0] ; + wire \U0/U_ICON/iCORE_ID_SEL[1] ; + wire \U0/U_ICON/iCORE_ID_SEL[2] ; + wire \U0/U_ICON/iCORE_ID_SEL[15] ; + wire \U0/U_ICON/iDATA_CMD ; + wire \U0/U_ICON/iTDO_next ; + wire \U0/U_ICON/iSEL ; + wire \U0/U_ICON/iTDI ; + wire \U0/U_ICON/U_CMD/iSEL_n ; + wire \U0/U_ICON/U_CMD/iTARGET_CE ; + wire \U0/U_ICON/U_CTRL_OUT/iDATA_VALID ; + wire \U0/U_ICON/U_STAT/iTDO_next ; + wire \U0/U_ICON/U_STAT/iSTAT_LOW ; + wire \U0/U_ICON/U_STAT/iSTAT_HIGH ; + wire \U0/U_ICON/U_STAT/iSTATCMD_CE_n ; + wire \U0/U_ICON/U_STAT/iCMD_GRP0_SEL ; + wire \U0/U_ICON/U_STAT/iSTATCMD_CE ; + wire \U0/U_ICON/U_STAT/iDATA_VALID ; + wire \U0/U_ICON/U_SYNC/iGOT_SYNC_LOW ; + wire \U0/U_ICON/U_SYNC/iGOT_SYNC ; + wire \U0/U_ICON/U_SYNC/iDATA_CMD_n ; + wire \U0/U_ICON/U_SYNC/iGOT_SYNC_HIGH ; + wire \U0/U_ICON/U_TDO_MUX/U_CS_MUX/I4.U_MUX16/Mmux_O1 ; + wire N145; + wire N146; + wire N147; + wire N180; + wire N181; + wire N182; + wire \NLW_U0/U_ICON/I_YES_BSCAN.U_BS/I_S6.ISYN.I_USE_SOFTBSCAN_EQ0.U_BS_RUNTEST_UNCONNECTED ; + wire \NLW_U0/U_ICON/I_YES_BSCAN.U_BS/I_S6.ISYN.I_USE_SOFTBSCAN_EQ0.U_BS_TCK_UNCONNECTED ; + wire \NLW_U0/U_ICON/I_YES_BSCAN.U_BS/I_S6.ISYN.I_USE_SOFTBSCAN_EQ0.U_BS_RESET_UNCONNECTED ; + wire \NLW_U0/U_ICON/I_YES_BSCAN.U_BS/I_S6.ISYN.I_USE_SOFTBSCAN_EQ0.U_BS_CAPTURE_UNCONNECTED ; + wire \NLW_U0/U_ICON/I_YES_BSCAN.U_BS/I_S6.ISYN.I_USE_SOFTBSCAN_EQ0.U_BS_TMS_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[14].U_LUT_O_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[13].U_LUT_O_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[12].U_LUT_O_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[11].U_LUT_O_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[10].U_LUT_O_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[9].U_LUT_O_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[8].U_LUT_O_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[7].U_LUT_O_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[6].U_LUT_O_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[5].U_LUT_O_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[4].U_LUT_O_UNCONNECTED ; + wire \NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[3].U_LUT_O_UNCONNECTED ; + wire [15 : 15] \U0/U_ICON/iTDO_VEC ; + wire [15 : 0] \U0/U_ICON/iCOMMAND_SEL ; + wire [3 : 0] \U0/U_ICON/iCORE_ID ; + wire [1 : 0] \U0/U_ICON/iCOMMAND_GRP ; + wire [11 : 8] \U0/U_ICON/U_CMD/iTARGET ; + wire [1 : 0] \U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL ; + wire [3 : 0] \U0/U_ICON/U_STAT/iSTAT ; + wire [5 : 0] \U0/U_ICON/U_STAT/iSTAT_CNT ; + wire [5 : 0] \U0/U_ICON/U_STAT/U_STAT_CNT/D ; + wire [5 : 1] \U0/U_ICON/U_STAT/U_STAT_CNT/CI ; + wire [5 : 0] \U0/U_ICON/U_STAT/U_STAT_CNT/S ; + wire [6 : 0] \U0/U_ICON/U_SYNC/iSYNC_WORD ; + assign + CONTROL0[2] = CONTROL2[2], + CONTROL0[1] = CONTROL2[1], + CONTROL0[0] = CONTROL2[0], + CONTROL1[2] = CONTROL2[2], + CONTROL1[1] = CONTROL2[1], + CONTROL1[0] = CONTROL2[0]; + VCC XST_VCC ( + .P(N0) + ); + GND XST_GND ( + .G(CONTROL2[2]) + ); + BSCAN_SPARTAN6 #( + .JTAG_CHAIN ( 1 )) + \U0/U_ICON/I_YES_BSCAN.U_BS/I_S6.ISYN.I_USE_SOFTBSCAN_EQ0.U_BS ( + .SHIFT(\U0/iSHIFT_OUT ), + .TDI(\U0/U_ICON/iTDI ), + .RUNTEST(\NLW_U0/U_ICON/I_YES_BSCAN.U_BS/I_S6.ISYN.I_USE_SOFTBSCAN_EQ0.U_BS_RUNTEST_UNCONNECTED ), + .TCK(\NLW_U0/U_ICON/I_YES_BSCAN.U_BS/I_S6.ISYN.I_USE_SOFTBSCAN_EQ0.U_BS_TCK_UNCONNECTED ), + .UPDATE(\U0/iUPDATE_OUT ), + .RESET(\NLW_U0/U_ICON/I_YES_BSCAN.U_BS/I_S6.ISYN.I_USE_SOFTBSCAN_EQ0.U_BS_RESET_UNCONNECTED ), + .SEL(\U0/U_ICON/iSEL ), + .TDO(\U0/U_ICON/iTDO ), + .CAPTURE(\NLW_U0/U_ICON/I_YES_BSCAN.U_BS/I_S6.ISYN.I_USE_SOFTBSCAN_EQ0.U_BS_CAPTURE_UNCONNECTED ), + .TMS(\NLW_U0/U_ICON/I_YES_BSCAN.U_BS/I_S6.ISYN.I_USE_SOFTBSCAN_EQ0.U_BS_TMS_UNCONNECTED ), + .DRCK(\U0/U_ICON/I_YES_BSCAN.U_BS/iDRCK_LOCAL ) + ); + icon_bscan_bufg \U0/U_ICON/I_YES_BSCAN.U_BS/I_USE_SOFTBSCAN_EQ0.I_USE_XST_TCK_WORKAROUND_EQ1.U_ICON_BSCAN_BUFG ( + .DRCK_LOCAL_I(\U0/U_ICON/I_YES_BSCAN.U_BS/iDRCK_LOCAL ), + .DRCK_LOCAL_O(CONTROL2[0]) + ); + INV \U0/U_ICON/U_iDATA_CMD_n ( + .I(\U0/U_ICON/iDATA_CMD ), + .O(\U0/U_ICON/iDATA_CMD_n ) + ); + INV \U0/U_ICON/U_iSEL_n ( + .I(\U0/U_ICON/iSEL ), + .O(\U0/U_ICON/iSEL_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_TDO_reg ( + .C(CONTROL2[0]), + .CE(N0), + .D(\U0/U_ICON/iTDO_next ), + .Q(\U0/U_ICON/iTDO ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_TDI_reg ( + .C(CONTROL2[0]), + .CE(N0), + .D(\U0/U_ICON/iTDI ), + .Q(CONTROL2[1]) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_iDATA_CMD ( + .C(\U0/iUPDATE_OUT ), + .CLR(\U0/U_ICON/iSEL_n ), + .D(\U0/U_ICON/iDATA_CMD_n ), + .Q(\U0/U_ICON/iDATA_CMD ) + ); + INV \U0/U_ICON/U_CMD/U_SEL_n ( + .I(\U0/U_ICON/iSEL ), + .O(\U0/U_ICON/U_CMD/iSEL_n ) + ); + LUT2 #( + .INIT ( 4'h4 )) + \U0/U_ICON/U_CMD/U_TARGET_CE ( + .I0(\U0/U_ICON/iDATA_CMD ), + .I1(\U0/iSHIFT_OUT ), + .O(\U0/U_ICON/U_CMD/iTARGET_CE ) + ); + FDCE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_CMD/G_TARGET[6].I_NE0.U_TARGET ( + .C(CONTROL2[0]), + .CE(\U0/U_ICON/U_CMD/iTARGET_CE ), + .CLR(\U0/U_ICON/U_CMD/iSEL_n ), + .D(\U0/U_ICON/iCOMMAND_GRP [1]), + .Q(\U0/U_ICON/iCOMMAND_GRP [0]) + ); + FDCE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_CMD/G_TARGET[7].I_NE0.U_TARGET ( + .C(CONTROL2[0]), + .CE(\U0/U_ICON/U_CMD/iTARGET_CE ), + .CLR(\U0/U_ICON/U_CMD/iSEL_n ), + .D(\U0/U_ICON/U_CMD/iTARGET [8]), + .Q(\U0/U_ICON/iCOMMAND_GRP [1]) + ); + FDCE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_CMD/G_TARGET[8].I_NE0.U_TARGET ( + .C(CONTROL2[0]), + .CE(\U0/U_ICON/U_CMD/iTARGET_CE ), + .CLR(\U0/U_ICON/U_CMD/iSEL_n ), + .D(\U0/U_ICON/U_CMD/iTARGET [9]), + .Q(\U0/U_ICON/U_CMD/iTARGET [8]) + ); + FDCE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_CMD/G_TARGET[9].I_NE0.U_TARGET ( + .C(CONTROL2[0]), + .CE(\U0/U_ICON/U_CMD/iTARGET_CE ), + .CLR(\U0/U_ICON/U_CMD/iSEL_n ), + .D(\U0/U_ICON/U_CMD/iTARGET [10]), + .Q(\U0/U_ICON/U_CMD/iTARGET [9]) + ); + FDCE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_CMD/G_TARGET[10].I_NE0.U_TARGET ( + .C(CONTROL2[0]), + .CE(\U0/U_ICON/U_CMD/iTARGET_CE ), + .CLR(\U0/U_ICON/U_CMD/iSEL_n ), + .D(\U0/U_ICON/U_CMD/iTARGET [11]), + .Q(\U0/U_ICON/U_CMD/iTARGET [10]) + ); + FDCE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_CMD/G_TARGET[11].I_NE0.U_TARGET ( + .C(CONTROL2[0]), + .CE(\U0/U_ICON/U_CMD/iTARGET_CE ), + .CLR(\U0/U_ICON/U_CMD/iSEL_n ), + .D(\U0/U_ICON/iCORE_ID [0]), + .Q(\U0/U_ICON/U_CMD/iTARGET [11]) + ); + FDCE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_CMD/G_TARGET[12].I_NE0.U_TARGET ( + .C(CONTROL2[0]), + .CE(\U0/U_ICON/U_CMD/iTARGET_CE ), + .CLR(\U0/U_ICON/U_CMD/iSEL_n ), + .D(\U0/U_ICON/iCORE_ID [1]), + .Q(\U0/U_ICON/iCORE_ID [0]) + ); + FDCE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_CMD/G_TARGET[13].I_NE0.U_TARGET ( + .C(CONTROL2[0]), + .CE(\U0/U_ICON/U_CMD/iTARGET_CE ), + .CLR(\U0/U_ICON/U_CMD/iSEL_n ), + .D(\U0/U_ICON/iCORE_ID [2]), + .Q(\U0/U_ICON/iCORE_ID [1]) + ); + FDCE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_CMD/G_TARGET[14].I_NE0.U_TARGET ( + .C(CONTROL2[0]), + .CE(\U0/U_ICON/U_CMD/iTARGET_CE ), + .CLR(\U0/U_ICON/U_CMD/iSEL_n ), + .D(\U0/U_ICON/iCORE_ID [3]), + .Q(\U0/U_ICON/iCORE_ID [2]) + ); + FDCE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_CMD/G_TARGET[15].I_EQ0.U_TARGET ( + .C(CONTROL2[0]), + .CE(\U0/U_ICON/U_CMD/iTARGET_CE ), + .CLR(\U0/U_ICON/U_CMD/iSEL_n ), + .D(CONTROL2[1]), + .Q(\U0/U_ICON/iCORE_ID [3]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[15].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [15]) + ); + LUT4 #( + .INIT ( 16'h4000 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[14].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [14]) + ); + LUT4 #( + .INIT ( 16'h2000 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[13].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [13]) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[12].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [12]) + ); + LUT4 #( + .INIT ( 16'h0800 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[11].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [11]) + ); + LUT4 #( + .INIT ( 16'h0400 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[10].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [10]) + ); + LUT4 #( + .INIT ( 16'h0200 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[9].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [9]) + ); + LUT4 #( + .INIT ( 16'h0100 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[8].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [8]) + ); + LUT4 #( + .INIT ( 16'h0080 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[7].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [7]) + ); + LUT4 #( + .INIT ( 16'h0040 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[6].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [6]) + ); + LUT4 #( + .INIT ( 16'h0020 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[5].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [5]) + ); + LUT4 #( + .INIT ( 16'h0010 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[4].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [4]) + ); + LUT4 #( + .INIT ( 16'h0008 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[3].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [3]) + ); + LUT4 #( + .INIT ( 16'h0004 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[2].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [2]) + ); + LUT4 #( + .INIT ( 16'h0002 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[1].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [1]) + ); + LUT4 #( + .INIT ( 16'h0001 )) + \U0/U_ICON/U_CMD/U_COMMAND_SEL/I4.FI[0].U_LUT ( + .I0(\U0/U_ICON/U_CMD/iTARGET [8]), + .I1(\U0/U_ICON/U_CMD/iTARGET [9]), + .I2(\U0/U_ICON/U_CMD/iTARGET [10]), + .I3(\U0/U_ICON/U_CMD/iTARGET [11]), + .O(\U0/U_ICON/iCOMMAND_SEL [0]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[15].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\U0/U_ICON/iCORE_ID_SEL[15] ) + ); + LUT4 #( + .INIT ( 16'h4000 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[14].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[14].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h2000 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[13].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[13].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[12].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[12].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h0800 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[11].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[11].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h0400 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[10].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[10].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h0200 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[9].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[9].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h0100 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[8].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[8].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h0080 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[7].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[7].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h0040 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[6].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[6].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h0020 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[5].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[5].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h0010 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[4].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[4].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h0008 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[3].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\NLW_U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[3].U_LUT_O_UNCONNECTED ) + ); + LUT4 #( + .INIT ( 16'h0004 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[2].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\U0/U_ICON/iCORE_ID_SEL[2] ) + ); + LUT4 #( + .INIT ( 16'h0002 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[1].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\U0/U_ICON/iCORE_ID_SEL[1] ) + ); + LUT4 #( + .INIT ( 16'h0001 )) + \U0/U_ICON/U_CMD/U_CORE_ID_SEL/I4.FI[0].U_LUT ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(\U0/U_ICON/iCORE_ID [1]), + .I2(\U0/U_ICON/iCORE_ID [2]), + .I3(\U0/U_ICON/iCORE_ID [3]), + .O(\U0/U_ICON/iCORE_ID_SEL[0] ) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[0].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [0]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[20]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[0].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [0]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[4]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[1].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [1]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[21]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[1].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [1]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[5]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[2].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [2]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[22]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[2].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [2]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[6]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[3].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [3]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[23]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[3].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [3]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[7]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[4].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [4]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[24]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[4].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [4]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[8]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[5].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [5]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[25]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[5].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [5]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[9]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[6].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [6]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[26]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[6].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [6]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[10]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[7].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [7]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[27]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[7].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [7]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[11]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[8].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [8]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[28]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[8].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [8]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[12]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[9].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [9]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[29]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[9].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [9]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[13]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[10].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [10]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[30]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[10].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [10]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[14]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[11].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [11]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[31]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[11].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [11]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[15]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[12].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [12]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[32]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[12].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [12]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[16]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[13].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [13]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[33]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[13].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [13]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[17]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[14].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [14]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[34]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[14].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [14]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[18]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[15].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [15]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL0[35]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[0].F_CMD[15].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [15]), + .I2(\U0/U_ICON/iCORE_ID_SEL[0] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL0[19]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[0].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [0]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[20]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[0].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [0]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[4]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[1].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [1]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[21]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[1].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [1]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[5]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[2].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [2]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[22]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[2].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [2]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[6]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[3].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [3]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[23]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[3].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [3]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[7]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[4].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [4]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[24]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[4].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [4]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[8]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[5].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [5]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[25]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[5].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [5]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[9]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[6].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [6]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[26]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[6].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [6]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[10]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[7].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [7]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[27]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[7].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [7]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[11]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[8].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [8]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[28]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[8].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [8]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[12]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[9].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [9]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[29]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[9].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [9]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[13]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[10].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [10]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[30]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[10].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [10]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[14]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[11].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [11]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[31]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[11].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [11]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[15]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[12].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [12]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[32]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[12].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [12]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[16]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[13].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [13]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[33]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[13].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [13]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[17]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[14].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [14]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[34]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[14].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [14]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[18]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[15].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [15]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL1[35]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[1].F_CMD[15].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [15]), + .I2(\U0/U_ICON/iCORE_ID_SEL[1] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL1[19]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[0].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [0]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[20]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[0].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [0]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[4]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[1].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [1]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[21]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[1].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [1]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[5]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[2].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [2]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[22]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[2].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [2]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[6]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[3].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [3]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[23]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[3].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [3]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[7]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[4].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [4]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[24]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[4].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [4]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[8]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[5].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [5]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[25]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[5].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [5]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[9]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[6].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [6]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[26]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[6].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [6]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[10]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[7].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [7]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[27]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[7].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [7]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[11]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[8].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [8]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[28]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[8].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [8]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[12]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[9].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [9]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[29]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[9].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [9]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[13]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[10].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [10]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[30]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[10].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [10]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[14]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[11].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [11]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[31]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[11].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [11]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[15]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[12].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [12]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[32]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[12].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [12]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[16]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[13].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [13]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[33]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[13].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [13]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[17]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[14].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [14]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[34]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[14].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [14]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[18]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[15].U_HCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [15]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]), + .O(CONTROL2[35]) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_CTRL_OUT/F_NCP[2].F_CMD[15].U_LCE ( + .I0(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [15]), + .I2(\U0/U_ICON/iCORE_ID_SEL[2] ), + .I3(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]), + .O(CONTROL2[19]) + ); + LUT2 #( + .INIT ( 4'h2 )) + \U0/U_ICON/U_CTRL_OUT/U_CMDGRP1 ( + .I0(\U0/U_ICON/iCOMMAND_GRP [0]), + .I1(\U0/U_ICON/iCOMMAND_GRP [1]), + .O(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [1]) + ); + LUT2 #( + .INIT ( 4'h1 )) + \U0/U_ICON/U_CTRL_OUT/U_CMDGRP0 ( + .I0(\U0/U_ICON/iCOMMAND_GRP [0]), + .I1(\U0/U_ICON/iCOMMAND_GRP [1]), + .O(\U0/U_ICON/U_CTRL_OUT/iCOMMAND_GRP_SEL [0]) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/U_ICON/U_CTRL_OUT/U_DATA_VALID ( + .I0(\U0/U_ICON/iSYNC ), + .I1(\U0/iSHIFT_OUT ), + .O(\U0/U_ICON/U_CTRL_OUT/iDATA_VALID ) + ); + MUXF6 \U0/U_ICON/U_STAT/U_TDO_next ( + .I0(\U0/U_ICON/U_STAT/iSTAT_LOW ), + .I1(\U0/U_ICON/U_STAT/iSTAT_HIGH ), + .S(\U0/U_ICON/U_STAT/iSTAT_CNT [5]), + .O(\U0/U_ICON/U_STAT/iTDO_next ) + ); + MUXF5 \U0/U_ICON/U_STAT/U_STAT_LOW ( + .I0(\U0/U_ICON/U_STAT/iSTAT [0]), + .I1(\U0/U_ICON/U_STAT/iSTAT [1]), + .S(\U0/U_ICON/U_STAT/iSTAT_CNT [4]), + .O(\U0/U_ICON/U_STAT/iSTAT_LOW ) + ); + MUXF5 \U0/U_ICON/U_STAT/U_STAT_HIGH ( + .I0(\U0/U_ICON/U_STAT/iSTAT [2]), + .I1(\U0/U_ICON/U_STAT/iSTAT [3]), + .S(\U0/U_ICON/U_STAT/iSTAT_CNT [4]), + .O(\U0/U_ICON/U_STAT/iSTAT_HIGH ) + ); + LUT4 #( + .INIT ( 16'h0101 )) + \U0/U_ICON/U_STAT/F_STAT[0].U_STAT ( + .I0(\U0/U_ICON/U_STAT/iSTAT_CNT [0]), + .I1(\U0/U_ICON/U_STAT/iSTAT_CNT [1]), + .I2(\U0/U_ICON/U_STAT/iSTAT_CNT [2]), + .I3(\U0/U_ICON/U_STAT/iSTAT_CNT [3]), + .O(\U0/U_ICON/U_STAT/iSTAT [0]) + ); + LUT4 #( + .INIT ( 16'hD101 )) + \U0/U_ICON/U_STAT/F_STAT[1].U_STAT ( + .I0(\U0/U_ICON/U_STAT/iSTAT_CNT [0]), + .I1(\U0/U_ICON/U_STAT/iSTAT_CNT [1]), + .I2(\U0/U_ICON/U_STAT/iSTAT_CNT [2]), + .I3(\U0/U_ICON/U_STAT/iSTAT_CNT [3]), + .O(\U0/U_ICON/U_STAT/iSTAT [1]) + ); + LUT4 #( + .INIT ( 16'h2100 )) + \U0/U_ICON/U_STAT/F_STAT[2].U_STAT ( + .I0(\U0/U_ICON/U_STAT/iSTAT_CNT [0]), + .I1(\U0/U_ICON/U_STAT/iSTAT_CNT [1]), + .I2(\U0/U_ICON/U_STAT/iSTAT_CNT [2]), + .I3(\U0/U_ICON/U_STAT/iSTAT_CNT [3]), + .O(\U0/U_ICON/U_STAT/iSTAT [2]) + ); + LUT4 #( + .INIT ( 16'h3610 )) + \U0/U_ICON/U_STAT/F_STAT[3].U_STAT ( + .I0(\U0/U_ICON/U_STAT/iSTAT_CNT [0]), + .I1(\U0/U_ICON/U_STAT/iSTAT_CNT [1]), + .I2(\U0/U_ICON/U_STAT/iSTAT_CNT [2]), + .I3(\U0/U_ICON/U_STAT/iSTAT_CNT [3]), + .O(\U0/U_ICON/U_STAT/iSTAT [3]) + ); + INV \U0/U_ICON/U_STAT/U_STATCMD_n ( + .I(\U0/U_ICON/U_STAT/iSTATCMD_CE ), + .O(\U0/U_ICON/U_STAT/iSTATCMD_CE_n ) + ); + LUT2 #( + .INIT ( 4'h1 )) + \U0/U_ICON/U_STAT/U_CMDGRP0 ( + .I0(\U0/U_ICON/iCOMMAND_GRP [0]), + .I1(\U0/U_ICON/iCOMMAND_GRP [1]), + .O(\U0/U_ICON/U_STAT/iCMD_GRP0_SEL ) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/U_ICON/U_STAT/U_STATCMD ( + .I0(\U0/U_ICON/U_STAT/iDATA_VALID ), + .I1(\U0/U_ICON/iCOMMAND_SEL [0]), + .I2(\U0/U_ICON/iCORE_ID_SEL[15] ), + .I3(\U0/U_ICON/U_STAT/iCMD_GRP0_SEL ), + .O(\U0/U_ICON/U_STAT/iSTATCMD_CE ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/U_ICON/U_STAT/U_DATA_VALID ( + .I0(\U0/U_ICON/iSYNC ), + .I1(\U0/iSHIFT_OUT ), + .O(\U0/U_ICON/U_STAT/iDATA_VALID ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_STAT/U_TDO ( + .C(CONTROL2[0]), + .CE(N0), + .D(\U0/U_ICON/U_STAT/iTDO_next ), + .Q(\U0/U_ICON/iTDO_VEC [15]) + ); + XORCY \U0/U_ICON/U_STAT/U_STAT_CNT/G[0].U_XORCY ( + .CI(N0), + .LI(\U0/U_ICON/U_STAT/U_STAT_CNT/S [0]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/D [0]) + ); + MUXCY_L \U0/U_ICON/U_STAT/U_STAT_CNT/G[0].GnH.U_MUXCY ( + .CI(N0), + .DI(CONTROL2[2]), + .S(\U0/U_ICON/U_STAT/U_STAT_CNT/S [0]), + .LO(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [1]) + ); + XORCY \U0/U_ICON/U_STAT/U_STAT_CNT/G[1].U_XORCY ( + .CI(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [1]), + .LI(\U0/U_ICON/U_STAT/U_STAT_CNT/S [1]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/D [1]) + ); + MUXCY_L \U0/U_ICON/U_STAT/U_STAT_CNT/G[1].GnH.U_MUXCY ( + .CI(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [1]), + .DI(CONTROL2[2]), + .S(\U0/U_ICON/U_STAT/U_STAT_CNT/S [1]), + .LO(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [2]) + ); + XORCY \U0/U_ICON/U_STAT/U_STAT_CNT/G[2].U_XORCY ( + .CI(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [2]), + .LI(\U0/U_ICON/U_STAT/U_STAT_CNT/S [2]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/D [2]) + ); + MUXCY_L \U0/U_ICON/U_STAT/U_STAT_CNT/G[2].GnH.U_MUXCY ( + .CI(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [2]), + .DI(CONTROL2[2]), + .S(\U0/U_ICON/U_STAT/U_STAT_CNT/S [2]), + .LO(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [3]) + ); + XORCY \U0/U_ICON/U_STAT/U_STAT_CNT/G[3].U_XORCY ( + .CI(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [3]), + .LI(\U0/U_ICON/U_STAT/U_STAT_CNT/S [3]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/D [3]) + ); + MUXCY_L \U0/U_ICON/U_STAT/U_STAT_CNT/G[3].GnH.U_MUXCY ( + .CI(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [3]), + .DI(CONTROL2[2]), + .S(\U0/U_ICON/U_STAT/U_STAT_CNT/S [3]), + .LO(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [4]) + ); + XORCY \U0/U_ICON/U_STAT/U_STAT_CNT/G[4].U_XORCY ( + .CI(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [4]), + .LI(\U0/U_ICON/U_STAT/U_STAT_CNT/S [4]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/D [4]) + ); + MUXCY_L \U0/U_ICON/U_STAT/U_STAT_CNT/G[4].GnH.U_MUXCY ( + .CI(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [4]), + .DI(CONTROL2[2]), + .S(\U0/U_ICON/U_STAT/U_STAT_CNT/S [4]), + .LO(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [5]) + ); + XORCY \U0/U_ICON/U_STAT/U_STAT_CNT/G[5].U_XORCY ( + .CI(\U0/U_ICON/U_STAT/U_STAT_CNT/CI [5]), + .LI(\U0/U_ICON/U_STAT/U_STAT_CNT/S [5]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/D [5]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[0].U_LUT ( + .I0(\U0/U_ICON/U_STAT/iSTAT_CNT [0]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/S [0]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[1].U_LUT ( + .I0(\U0/U_ICON/U_STAT/iSTAT_CNT [1]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/S [1]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[2].U_LUT ( + .I0(\U0/U_ICON/U_STAT/iSTAT_CNT [2]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/S [2]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[3].U_LUT ( + .I0(\U0/U_ICON/U_STAT/iSTAT_CNT [3]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/S [3]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[4].U_LUT ( + .I0(\U0/U_ICON/U_STAT/iSTAT_CNT [4]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/S [4]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[5].U_LUT ( + .I0(\U0/U_ICON/U_STAT/iSTAT_CNT [5]), + .O(\U0/U_ICON/U_STAT/U_STAT_CNT/S [5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[0].U_FDRE ( + .C(CONTROL2[0]), + .CE(N0), + .D(\U0/U_ICON/U_STAT/U_STAT_CNT/D [0]), + .R(\U0/U_ICON/U_STAT/iSTATCMD_CE_n ), + .Q(\U0/U_ICON/U_STAT/iSTAT_CNT [0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[1].U_FDRE ( + .C(CONTROL2[0]), + .CE(N0), + .D(\U0/U_ICON/U_STAT/U_STAT_CNT/D [1]), + .R(\U0/U_ICON/U_STAT/iSTATCMD_CE_n ), + .Q(\U0/U_ICON/U_STAT/iSTAT_CNT [1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[2].U_FDRE ( + .C(CONTROL2[0]), + .CE(N0), + .D(\U0/U_ICON/U_STAT/U_STAT_CNT/D [2]), + .R(\U0/U_ICON/U_STAT/iSTATCMD_CE_n ), + .Q(\U0/U_ICON/U_STAT/iSTAT_CNT [2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[3].U_FDRE ( + .C(CONTROL2[0]), + .CE(N0), + .D(\U0/U_ICON/U_STAT/U_STAT_CNT/D [3]), + .R(\U0/U_ICON/U_STAT/iSTATCMD_CE_n ), + .Q(\U0/U_ICON/U_STAT/iSTAT_CNT [3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[4].U_FDRE ( + .C(CONTROL2[0]), + .CE(N0), + .D(\U0/U_ICON/U_STAT/U_STAT_CNT/D [4]), + .R(\U0/U_ICON/U_STAT/iSTATCMD_CE_n ), + .Q(\U0/U_ICON/U_STAT/iSTAT_CNT [4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_STAT/U_STAT_CNT/G[5].U_FDRE ( + .C(CONTROL2[0]), + .CE(N0), + .D(\U0/U_ICON/U_STAT/U_STAT_CNT/D [5]), + .R(\U0/U_ICON/U_STAT/iSTATCMD_CE_n ), + .Q(\U0/U_ICON/U_STAT/iSTAT_CNT [5]) + ); + LUT4 #( + .INIT ( 16'h0200 )) + \U0/U_ICON/U_SYNC/U_GOT_SYNC_L ( + .I0(\U0/U_ICON/U_SYNC/iSYNC_WORD [0]), + .I1(\U0/U_ICON/U_SYNC/iSYNC_WORD [1]), + .I2(\U0/U_ICON/U_SYNC/iSYNC_WORD [2]), + .I3(\U0/U_ICON/U_SYNC/iSYNC_WORD [3]), + .O(\U0/U_ICON/U_SYNC/iGOT_SYNC_LOW ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/U_ICON/U_SYNC/U_GOT_SYNC ( + .I0(\U0/U_ICON/U_SYNC/iGOT_SYNC_LOW ), + .I1(\U0/U_ICON/U_SYNC/iGOT_SYNC_HIGH ), + .O(\U0/U_ICON/U_SYNC/iGOT_SYNC ) + ); + INV \U0/U_ICON/U_SYNC/U_iDATA_CMD_n ( + .I(\U0/U_ICON/iDATA_CMD ), + .O(\U0/U_ICON/U_SYNC/iDATA_CMD_n ) + ); + LUT4 #( + .INIT ( 16'h0400 )) + \U0/U_ICON/U_SYNC/U_GOT_SYNC_H ( + .I0(\U0/U_ICON/U_SYNC/iSYNC_WORD [4]), + .I1(\U0/U_ICON/U_SYNC/iSYNC_WORD [5]), + .I2(\U0/U_ICON/U_SYNC/iSYNC_WORD [6]), + .I3(CONTROL2[1]), + .O(\U0/U_ICON/U_SYNC/iGOT_SYNC_HIGH ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_SYNC/U_SYNC ( + .C(CONTROL2[0]), + .CE(\U0/U_ICON/U_SYNC/iGOT_SYNC ), + .D(N0), + .R(\U0/U_ICON/U_SYNC/iDATA_CMD_n ), + .Q(\U0/U_ICON/iSYNC ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_SYNC/G_SYNC_WORD[0].I_NE0.U_FDR ( + .C(CONTROL2[0]), + .D(\U0/U_ICON/U_SYNC/iSYNC_WORD [1]), + .R(\U0/U_ICON/U_SYNC/iDATA_CMD_n ), + .Q(\U0/U_ICON/U_SYNC/iSYNC_WORD [0]) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_SYNC/G_SYNC_WORD[1].I_NE0.U_FDR ( + .C(CONTROL2[0]), + .D(\U0/U_ICON/U_SYNC/iSYNC_WORD [2]), + .R(\U0/U_ICON/U_SYNC/iDATA_CMD_n ), + .Q(\U0/U_ICON/U_SYNC/iSYNC_WORD [1]) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_SYNC/G_SYNC_WORD[2].I_NE0.U_FDR ( + .C(CONTROL2[0]), + .D(\U0/U_ICON/U_SYNC/iSYNC_WORD [3]), + .R(\U0/U_ICON/U_SYNC/iDATA_CMD_n ), + .Q(\U0/U_ICON/U_SYNC/iSYNC_WORD [2]) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_SYNC/G_SYNC_WORD[3].I_NE0.U_FDR ( + .C(CONTROL2[0]), + .D(\U0/U_ICON/U_SYNC/iSYNC_WORD [4]), + .R(\U0/U_ICON/U_SYNC/iDATA_CMD_n ), + .Q(\U0/U_ICON/U_SYNC/iSYNC_WORD [3]) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_SYNC/G_SYNC_WORD[4].I_NE0.U_FDR ( + .C(CONTROL2[0]), + .D(\U0/U_ICON/U_SYNC/iSYNC_WORD [5]), + .R(\U0/U_ICON/U_SYNC/iDATA_CMD_n ), + .Q(\U0/U_ICON/U_SYNC/iSYNC_WORD [4]) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_SYNC/G_SYNC_WORD[5].I_NE0.U_FDR ( + .C(CONTROL2[0]), + .D(\U0/U_ICON/U_SYNC/iSYNC_WORD [6]), + .R(\U0/U_ICON/U_SYNC/iDATA_CMD_n ), + .Q(\U0/U_ICON/U_SYNC/iSYNC_WORD [5]) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/U_ICON/U_SYNC/G_SYNC_WORD[6].I_EQ0.U_FDR ( + .C(CONTROL2[0]), + .D(CONTROL2[1]), + .R(\U0/U_ICON/U_SYNC/iDATA_CMD_n ), + .Q(\U0/U_ICON/U_SYNC/iSYNC_WORD [6]) + ); + LUT5 #( + .INIT ( 32'h5E0E5404 )) + \U0/U_ICON/U_TDO_MUX/U_CS_MUX/I4.U_MUX16/Mmux_O11 ( + .I0(\U0/U_ICON/iCORE_ID [0]), + .I1(CONTROL0[3]), + .I2(\U0/U_ICON/iCORE_ID [1]), + .I3(CONTROL2[3]), + .I4(CONTROL1[3]), + .O(\U0/U_ICON/U_TDO_MUX/U_CS_MUX/I4.U_MUX16/Mmux_O1 ) + ); + LUT6 #( + .INIT ( 64'h9810101010101010 )) + \U0/U_ICON/U_TDO_MUX/U_CS_MUX/I4.U_MUX16/Mmux_O12 ( + .I0(\U0/U_ICON/iCORE_ID [3]), + .I1(\U0/U_ICON/iCORE_ID [2]), + .I2(\U0/U_ICON/U_TDO_MUX/U_CS_MUX/I4.U_MUX16/Mmux_O1 ), + .I3(\U0/U_ICON/iCORE_ID [0]), + .I4(\U0/U_ICON/iCORE_ID [1]), + .I5(\U0/U_ICON/iTDO_VEC [15]), + .O(\U0/U_ICON/iTDO_next ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/projects/LX150_makomk_Test/hdl/chipscope_vio_fromchip.ngc b/projects/LX150_makomk_Test/hdl/chipscope_vio_fromchip.ngc new file mode 100644 index 0000000..7b6983a --- /dev/null +++ b/projects/LX150_makomk_Test/hdl/chipscope_vio_fromchip.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$61b44<,[o}e~g`n;"2*736(-;0<95?0127?567:=1;==?;;14244=5<28:<=?9;209MKVR\3YRBNQFN=12>586>29=6D@@UU8@KKRUGE6897>11195wi~6=9~mkj886,17?2@AN8:0;KHIFGDEBC@ANOLM46OIABC0E5>6MGEBI\HLEBFZOTXT^J2:AJ7>EKC=1H@F>9;BNH5=613JF@>:>:;BNH6]>5:AOOF723JF@H<;4CMIGRf=DDBN]SIGYIEG6?FJLNQ20OAEIX0:37>EKZ01HC@CFTUGGe>EHF]XD@1>1b:ALJQTHD5;;2o5LOOVQKI:697h0OB@[RNN?578e3JEEX_AC<01=f>EHF]XD@1?;>c9@KKRUGE6:93l4CNLWVJJ;9?4i7NAATSMO8419j2IDBY\@L=3;:g=DGG^YCA2>9?c8GJHSZFF7=3l4CNLWVJJ;:94i7NAATSMO8779j2IDBY\@L=01:g=DGG^YCA2=3?`8GJHSZFF7>90m;BMMPWIK4;?5n6M@NUPLH9416k1HCCZ]OM>13;d05;d=1b:ALJQTHD59?2i5LOOVQKI:4=3:5n6M@NUPLH9526h1HCCZ]OM>0:d=DGG^YCA2;>`9@KKRUGE6>2l5LOOVQKI:16h1HCCZ]OM>4:d=DGG^YCA27>`9@KKRUGE622<:4CNPF[BCIM[K_EB@PIODL3>EUMH^NH<5K4:F24<4@CK:1MHH=4FEP7?CBUM8:0JKHIFGDEBC@ANOLN46H]OL]MGC7?5F339J07=N=01BBDZ\T@VF7>OI^;1GE?5CO79OKDBBL?1GCNEJD69OKBODIE>0@XZ>4:NVP62;P38V4=T>2YDY_MJ6:QWEQSTi2YRBNQFN=2=f>U^FJUBB1??>c9P]KEXAG6:=3l4SXL@[LH;9;4i7^WAC^KM8459j2YRBNQFN=37:g=TQGITEC2>5?`8W\HDW@D7=;0m;R[MGZOI48=5n6]VNB]JJ97?6k1XUCMPIO>2=;g<[PDHSD@31?`8W\HDW@D7>=0m;R[MGZOI4;;5n6]VNB]JJ9456k1XUCMPIO>17;d<[PDHSD@325U^FJUBB1<1b:QZJFYNF59;2i5\YOA\MK:493:5n6]VNB]JJ9566h1XUCMPIO>0:d=TQGITEC2;>`9P]KEXAG6>2l5\YOA\MK:16h1XUCMPIO>4:d=TQGITEC27>`9P]KEXAG622:5[NL]MAQ2<\PZN=?5Z0.K\RLI(LH^JSIAZT228Q5)NW_CD#JKA_R[MGZOIS9W%_T@L_HL\G@JK'HYRBNQI_LW[75=R8&CTZDA GDL\W\HDW@DP

I^TJK*ABFVYRBNQFNZ2^*V_IKVCESNKCL.QZJFYUWD_S??5Z0.K\RLI(OLDT_T@L_HLX4X(TQGITECQLEMN,WZGTQGITJR\JG208Q5)NW_CD#JKA_R[MGZOIS9W%_T@L_HL\G@JK'ZUJ_T@L_S]QAB563\:$ERXFO.EFJZU^FJUBBV>R.R[MGZOIWJOG@"]PSXL@[CYUMN9:7X> I^TJK*ABFVYRBNQFNZ2^*V_IKVCESNKCL.Q\W\HDW[UYIJ=>;T2,MZPNG&MNBR]VNB]JJ^6Z&ZSEORGA_BGOH*STM[UH@FQ]EF0g?P6(AV\BC"IJN^QZJFYNFR:V"^WAC^KM[FCKD&_XI_QBUY0g?P6(AV\BC"IJN^QZJFYNFR:V"^WAC^KM[FCKD&_XI_Q]EF0f?P6(AV\BC"IJN^QZJFYNFR:V"^WAC^KM[FCKD&_TJLBCIOE1`>S7'@U]EB!HEO]P]KEXAGQ;Q#]VNB]JJZEBDE%^S_G\IOE04>S7'@U]EB!HEO]P]KEXAGQ;Q#]VNB]JJZEBDE%^S^ZNTBOG[k4c3\:$ERXFO.EFJZU^FJUBBV>R.R[MGZOIWJOG@"[PSXL@[C4c3\:$ERXFO.EFJZU^FJUBBV>R.R[MGZOIWJOG@"[PSXL@[W533\:$ERXFO.EFJZU^FJUBBV>R.R[MGZOIWJOG@"o|yoa\ip~XnVe~x>:4U1-J[SOH'NOES^WAC^KM_5[)[PDHSD@PCDNO+du~fjUfyuQ}_nww6f=R8&CTZDA GDL\W\HDW@DP

S7'@U]EB!HEO]P]KEXAGQ;Q#]VNB]JJZEBDE%mmabfnf13?P6(AV\BC"IJN^QZJFYNFR:V"^WAC^KM[FCKD&lj`agag^mvp7e<]9%BS[G@/FGM[V_IKVCEW=S!SXL@[LHXKLFG#kj>_nww6f=R8&CTZDA GDL\W\HDW@DP

S7'@U]EB!HEO]P]KEXAGQ;Q#]VNB]JJZEBDE%mh>Q`uu0`?P6(AV\BC"IJN^QZJFYNFR:V"^WAC^KM[FCKD&lo8Razt3a8Q5)NW_CD#JKA_R[MGZOIS9W%_T@L_HL\G@JK'on>Sb{{2e9V4*OX^@E$KH@PSXL@[LH\8T$XUCMPIO]@AIJ(e|r:Sb{{2c9V4*OX^@E$KH@PSXL@[LH\8T$XUCMPIO]@AIJ(z`ybbj3\:$ERXFO.EFJZU^FJUBBV>R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ30?1:?P6(AV\BC"IJN^QZJFYNFR:V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7=3R.R[MGZOIWJOG@"}vnb]nq}YuWf?i5Z0.K\RLI(OLDT_T@L_HLX4X(TQGITECQLEMN,w|hdW{Unhjk I^N1L+SXLF__?55Z0.K\RLI(OLDT_T@L_HLX4X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ6402_;#DQYIN-DAKYTQGITECU?]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT01:?P6(AV\BC"IJN^QZJFYNFR:V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7<3=6;T2,MZPNG&MNBR]VNB]JJ^6Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;978m7X> I^TJK*ABFVYRBNQFNZ2^*V_IKVCESNKCL.qzjfYuWf>h5Z0.K\RLI(OLDT_T@L_HLX4X(TQGITECQLEMN,qvcuW`dTb>?4U1-J[SOH'NOES^WAC^KM_46Z&ZSEORGA_BGOH*GTQGITJRCZX238Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&KXUCMPR^OV\7`<]9%BS[G@/FGM[V_IKVCEW<>R.R[MGZOIWJOG@"]GIGV\V@A482_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB SXL@[CYJ]Q9;7X> I^TJK*ABFVYRBNQFNZ33Y+U^FJUBBRMJLM-P]KEXZVG^T>=4U1-J[SOH'NOES^WAC^KM_46Z&ZSEORGA_BGOH*UXIZSEORHPRDE07>S7'@U]EB!HEO]P]KEXAGQ:

S7'@U]EB!HEO]P]KEXAGQ:

I^TJK*ABFVYRBNQFNZ33Y+U^FJUBBRMJLM-V[CGKD@DL>h5Z0.K\RLI(OLDT_T@L_HLX55[)[PDHSD@PCDNO+PYUAZCEK>?4U1-J[SOH'NOES^WAC^KM_46Z&ZSEORGA_BGOH*SX[]K_O@JPn3g8Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&_T_T@L_G0f?P6(AV\BC"IJN^QZJFYNFR;;Q#]VNB]JJZEBDE%^S^WAC^P01>S7'@U]EB!HEO]P]KEXAGQ:

S7'@U]EB!HEO]P]KEXAGQ:

S7'@U]EB!HEO]P]KEXAGQ:

S7'@U]EB!HEO]P]KEXAGQ:

0\,P]KEXAGUHIAB fe3\kpr5l2_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB fe0\kpr5l2_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB fe1\kpr5l2_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB fe6\kpr5l2_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB fe7\kpr5m2_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB mtz2[jss:j1^<"GPVHM,C@HX[PDHSD@T11_-W\HDW@DTOHBC/skpmka482_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB rhqjjbYh}}9n7X> I^TJK*ABFVYRBNQFNZ33Y+U^FJUBBRMJLM-p}keXnVookh!F_I0O*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY24X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ:

13`9V4*OX^@E$KH@PSXL@[LH\99W%_T@L_HL\G@JK'zseoRhPeeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_46Z&ZSEORGA_BGOH*u~fjUmSb{{359V4*OX^@E$KH@PSXL@[LH\99W%_T@L_HL\G@JK'zseoRczx^d\kpr4<2_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB sxl`[hsW{Udyy=j;T2,MZPNG&MNBR]VNB]JJ^77U'YRBNQFN^AFHI)tqgiT~Rkkgd-J[I4O&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]68T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]]:856[?/H]UMJ)@MGUXUCMPIOY24X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ74i2_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP==S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&yrbnQ}_nww6c=R8&CTZDA GDL\W\HDW@DP==S!SXL@[LHXKLFG#x}jr^km[k563\:$ERXFO.EFJZU^FJUBBV?>]/QZJFYNFVIN@A!NSXL@[CYJ]Q9:7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-BW\HDW[UFYUS7'@U]EB!HEO]P]KEXAGQ:=P \YOA\MKYDMEF$_T@L_S]NQ]543\:$ERXFO.EFJZU^FJUBBV?>]/QZJFYNFVIN@A!\_@QZJFYAW[OL?>5Z0.K\RLI(OLDT_T@L_HLX54[)[PDHSD@PCDNO+VYF[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR;:Q#]VNB]JJZEBDE%XS^WAC^D\V@A4:2_;#DQYIN-DAKYTQGITECU>1\,P]KEXAGUHIAB S^QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX54[)[PDHSD@PCDNO+PUBZVIGGR\JG3g8Q5)NW_CD#JKA_R[MGZOIS8;V"^WAC^KM[FCKD&_XI_QBUY0f?P6(AV\BC"IJN^QZJFYNFR;:Q#]VNB]JJZEBDE%^_H\PRDE1b>S7'@U]EB!HEO]P]KEXAGQ:=P \YOA\MKYDMEF$YRHNLMKMC7c<]9%BS[G@/FGM[V_IKVCEW]/QZJFYNFVIN@A!Z_RVBPFKCWg8n7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-V[V_IKVL9i6[?/H]UMJ)@MGUXUCMPIOY25X(TQGITECQLEMN,QZU^FJUY?85Z0.K\RLI(OLDT_T@L_HLX54[)[PDHSD@PCDNO+du~fjUfyuQi_nww70=R8&CTZDA GDL\W\HDW@DP=i5Z0.K\RLI(OLDT_T@L_HLX54[)[PDHSD@PCDNO+fjhkboo>i5Z0.K\RLI(OLDT_T@L_HLX54[)[PDHSD@PCDNO+cgkd`dl?<5Z0.K\RLI(OLDT_T@L_HLX54[)[PDHSD@PCDNO+cgkd`dlSb{{2e9V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'on:Sb{{2e9V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'on9Sb{{2e9V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'on8Sb{{2e9V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'on?Sb{{2e9V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'on>Sb{{2d9V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'ds=Razt3a8Q5)NW_CD#JKA_R[MGZOIS8;V"^WAC^KM[FCKD&xbd`h319V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'{cxeciPotv0a>S7'@U]EB!HEO]P]KEXAGQ:=P \YOA\MKYDMEF$t`l_g]f`bc(AVB9@#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP=]/QZJFYNFVIN@A!|yoa\bZir|:>0Y=!F_WKL+BCIWZSEORGA[03^*V_IKVCESNKCL.qzjfYj}qUmSb{{359V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'zseoRczx^p\kpr4m2_;#DQYIN-DAKYTQGITECU>1\,P]KEXAGUHIAB sxl`[wYblno$ERB=H/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T10_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP=3`9V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6;2>o4U1-J[SOH'NOES^WAC^KM_47Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-p}keXzVe~x?h4U1-J[SOH'NOES^WAC^KM_47Z&ZSEORGA_BGOH*stm{UbbR`<1:W3+LYQAF%LICQ\YOA\MK]6:T$XUCMPIO]@AIJ(IZSEORHPMTZ05>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$M^WAC^P\IP^5n2_;#DQYIN-DAKYTQGITECU>2\,P]KEXAGUHIAB SIKEPZTBO::0Y=!F_WKL+BCIWZSEORGA[00^*V_IKVCESNKCL.QZJFYAWD_S?=5Z0.K\RLI(OLDT_T@L_HLX57[)[PDHSD@PCDNO+V_IKVXTAXV<3:W3+LYQAF%LICQ\YOA\MK]6:T$XUCMPIO]@AIJ([VKXUCMPF^PFC65<]9%BS[G@/FGM[V_IKVCEW<6[?/H]UMJ)@MGUXUCMPIOY26X(TQGITECQLEMN,WZU^FJUMS_KH339V4*OX^@E$KH@PSXL@[LH\9;W%_T@L_HL\G@JK'ZUXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW< I^TJK*ABFVYRBNQFNZ31Y+U^FJUBBRMJLM-VW@TXE\R9i6[?/H]UMJ)@MGUXUCMPIOY26X(TQGITECQLEMN,QVCUW[OL>k5Z0.K\RLI(OLDT_T@L_HLX57[)[PDHSD@PCDNO+PYAIEFBBJS7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$YR]VNB]E6`=R8&CTZDA GDL\W\HDW@DP=?S!SXL@[LHXKLFG#XQ\YOA\V63<]9%BS[G@/FGM[V_IKVCEW<;4U1-J[SOH'NOES^WAC^KM_44Z&ZSEORGA_BGOH*gtqgiTaxvPr^mvp7b<]9%BS[G@/FGM[V_IKVCEW< I^TJK*ABFVYRBNQFNZ31Y+U^FJUBBRMJLM-qmvoio::0Y=!F_WKL+BCIWZSEORGA[00^*V_IKVCESNKCL.pjwlh`Wf?h5Z0.K\RLI(OLDT_T@L_HLX57[)[PDHSD@PCDNO+vikVlTiiij/H]K6I(RWME^X>74U1-J[SOH'NOES^WAC^KM_44Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[00^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW<0Y=!F_WKL+BCIWZSEORGA[00^*V_IKVCESNKCL.qzjfYj}qUySb{{3d9V4*OX^@E$KH@PSXL@[LH\9;W%_T@L_HL\G@JK'zseoR|Peeef+LYK:A$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS88V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_44Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[00^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV?=]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$t`l_s]lqq4a3\:$ERXFO.EFJZU^FJUBBV?=]/QZJFYNFVIN@A!zsdp\mkYi;81^<"GPVHM,C@HX[PDHSD@T12_-W\HDW@DTOHBC/@QZJFYAWD_S?<5Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+DU^FJUYS@[W2g9V4*OX^@E$KH@PSXL@[LH\9:W%_T@L_HL\G@JK'ZBBJYQ]EF13?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%XUCMPF^OV\66<]9%BS[G@/FGM[V_IKVCEW<=R.R[MGZOIWJOG@"]VNB]Q[HS_;:1^<"GPVHM,C@HX[PDHSD@T12_-W\HDW@DTOHBC/R]BW\HDWOUYIJ=<;T2,MZPNG&MNBR]VNB]JJ^74U'YRBNQFN^AFHI)TWHYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP=>S!SXL@[LHXKLFG#^Q\YOA\BZTBO:80Y=!F_WKL+BCIWZSEORGA[01^*V_IKVCESNKCL.Q\W\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^74U'YRBNQFN^AFHI)R[LXTOAEPRDE1a>S7'@U]EB!HEO]P]KEXAGQ:?P \YOA\MKYDMEF$Y^K]_LW[6`=R8&CTZDA GDL\W\HDW@DP=>S!SXL@[LHXKLFG#X]JR^PFC7`<]9%BS[G@/FGM[V_IKVCEW<=R.R[MGZOIWJOG@"[PF@NOMKA5m2_;#DQYIN-DAKYTQGITECU>3\,P]KEXAGUHIAB U^PJWLH@;81^<"GPVHM,C@HX[PDHSD@T12_-W\HDW@DTOHBC/T]PPDRDEMUe>h5Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+PYTQGITJ?k4U1-J[SOH'NOES^WAC^KM_45Z&ZSEORGA_BGOH*SX[PDHS_=:;T2,MZPNG&MNBR]VNB]JJ^74U'YRBNQFN^AFHI)f{pdhS`{w_g]lqq523\:$ERXFO.EFJZU^FJUBBV?<]/QZJFYNFVIN@A!nsxl`[hsW{Udyy;T2,MZPNG&MNBR]VNB]JJ^74U'YRBNQFN^AFHI)aiefbbjQ`uu0g?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%mhQ`uu0g?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%mh9Q`uu0g?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%mh8Q`uu0f?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%fyu?Potv1g>S7'@U]EB!HEO]P]KEXAGQ:?P \YOA\MKYDMEF$~d}fnf13?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%ye~gag^mvp6c<]9%BS[G@/FGM[V_IKVCEW<=R.R[MGZOIWJOG@"}vnb]e[`b`m&CTD?B!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV?<]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^74U'YRBNQFN^AFHI)tqgiTjRkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY27X(TQGITECQLEMN,w|hdWoUnhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T12_-W\HDW@DTOHBC/r{mgZ`Xg|~886[?/H]UMJ)@MGUXUCMPIOY27X(TQGITECQLEMN,w|hdWdsSkQ`uu17?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%xucmPmtz\vZir|:o0Y=!F_WKL+BCIWZSEORGA[01^*V_IKVCESNKCL.qzjfYuWlnli"GPL3J-QZBH]]927X> I^TJK*ABFVYRBNQFNZ30Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV?<]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0=0V"^WAC^KM[FCKD&KXUCMPF^OV\67<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"O\YOA\VZKRP;l0Y=!F_WKL+BCIWZSEORGA[06^*V_IKVCESNKCL.QKMCRXZLM8<6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,W\HDWOUFYU=?;T2,MZPNG&MNBR]VNB]JJ^73U'YRBNQFN^AFHI)TQGIT^RCZX218Q5)NW_CD#JKA_R[MGZOIS8>V"^WAC^KM[FCKD&YTM^WAC^D\V@A4;2_;#DQYIN-DAKYTQGITECU>4\,P]KEXAGUHIAB S^CP]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_42Z&ZSEORGA_BGOH*UX[PDHSKQ]EF11?P6(AV\BC"IJN^QZJFYNFR;?Q#]VNB]JJZEBDE%XS^WAC^P\V@A4:2_;#DQYIN-DAKYTQGITECU>4\,P]KEXAGUHIAB URGQ[FJLW[OL>h5Z0.K\RLI(OLDT_T@L_HLX51[)[PDHSD@PCDNO+PUBZVG^T?k4U1-J[SOH'NOES^WAC^KM_42Z&ZSEORGA_BGOH*STM[UYIJV"^WAC^KM[FCKD&_T_YO[CLF\j7c<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"[PSXL@[C4b3\:$ERXFO.EFJZU^FJUBBV?;]/QZJFYNFVIN@A!Z_R[MGZT4=2_;#DQYIN-DAKYTQGITECU>4\,P]KEXAGUHIAB ar{mgZkrpVlTcxz<5:W3+LYQAF%LICQ\YOA\MK]64\,P]KEXAGUHIAB cmm`o`b5l2_;#DQYIN-DAKYTQGITECU>4\,P]KEXAGUHIAB f`nomka492_;#DQYIN-DAKYTQGITECU>4\,P]KEXAGUHIAB f`nomkaXg|~9h6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,ba7Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,ba4Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,ba5Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,ba2Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,ba3Xg|~9i6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,ip~6Wf>n5Z0.K\RLI(OLDT_T@L_HLX51[)[PDHSD@PCDNO+wotagm8<6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,vlunfnUdyy=j;T2,MZPNG&MNBR]VNB]JJ^73U'YRBNQFN^AFHI)tqgiTjRkkgd-J[M4K&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]64\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP=9S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS8>V"^WAC^KM[FCKD&yrbnQi_nww71=R8&CTZDA GDL\W\HDW@DP=9S!SXL@[LHXKLFG#~wac^ov|Z`Xg|~886[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,w|hdWdsSQ`uu1f?P6(AV\BC"IJN^QZJFYNFR;?Q#]VNB]JJZEBDE%xucmPr^ggc`)NWE8C"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ:8P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]62:66<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"}vnb]q[jss:o1^<"GPVHM,C@HX[PDHSD@T15_-W\HDW@DTOHBC/tqfvZoiWg9:7X> I^TJK*ABFVYRBNQFNZ36Y+U^FJUBBRMJLM-BW\HDWOUFYU=>;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)F[PDHS_QBUY0e?P6(AV\BC"IJN^QZJFYNFR;>Q#]VNB]JJZEBDE%XDDH[_SGD75=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#^WAC^D\IP^482_;#DQYIN-DAKYTQGITECU>5\,P]KEXAGUHIAB SXL@[WYJ]Q987X> I^TJK*ABFVYRBNQFNZ36Y+U^FJUBBRMJLM-P[DU^FJUMS_KH329V4*OX^@E$KH@PSXL@[LH\96[?/H]UMJ)@MGUXUCMPIOY21X(TQGITECQLEMN,WZU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\95\,P]KEXAGUHIAB U^DBHIOIO;o0Y=!F_WKL+BCIWZSEORGA[07^*V_IKVCESNKCL.W\VLUNFN9:7X> I^TJK*ABFVYRBNQFNZ36Y+U^FJUBBRMJLM-V[VRF\JGOSc_nww6a=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#kj=_nww6a=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#kj<_nww6a=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#kj;_nww6a=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#kj:_nww6`=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#`{w1^mvp7e<]9%BS[G@/FGM[V_IKVCEW<;R.R[MGZOIWJOG@"|fshld75=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#g|ioe\kpr4m2_;#DQYIN-DAKYTQGITECU>5\,P]KEXAGUHIAB sxl`[cYblno$ERF=L/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T14_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ>3`9V4*OX^@E$KH@PSXL@[LH\9o4U1-J[SOH'NOES^WAC^KM_43Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ36Y+U^FJUBBRMJLM-p}keXnVe~x>:4U1-J[SOH'NOES^WAC^KM_43Z&ZSEORGA_BGOH*u~fjUfyuQi_nww71=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#~wac^ov|ZtXg|~8i6[?/H]UMJ)@MGUXUCMPIOY21X(TQGITECQLEMN,w|hdW{Unhjk I^N1L+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX50[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T14_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS8?V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)tqgiT~Razt3d8Q5)NW_CD#JKA_R[MGZOIS8?V"^WAC^KM[FCKD&xiQfn^l05>S7'@U]EB!HEO]P]KEXAGQ::P \YOA\MKYDMEF$M^WAC^D\IP^492_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB AR[MGZTXE\R9j6[?/H]UMJ)@MGUXUCMPIOY22X(TQGITECQLEMN,WMOA\VXNK>>4U1-J[SOH'NOES^WAC^KM_40Z&ZSEORGA_BGOH*U^FJUMS@[W319V4*OX^@E$KH@PSXL@[LH\9?W%_T@L_HL\G@JK'ZSEOR\PMTZ07>S7'@U]EB!HEO]P]KEXAGQ::P \YOA\MKYDMEF$_RO\YOA\BZTBO:90Y=!F_WKL+BCIWZSEORGA[04^*V_IKVCESNKCL.Q\EV_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]6>T$XUCMPIO]@AIJ([VYRBNQI_SGD77=R8&CTZDA GDL\W\HDW@DP=;S!SXL@[LHXKLFG#^Q\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[04^*V_IKVCESNKCL.WPAWYDDBUYIJT$XUCMPIO]@AIJ(]ZOYS_KH2g9V4*OX^@E$KH@PSXL@[LH\9?W%_T@L_HL\G@JK'\UMMABFNF0f?P6(AV\BC"IJN^QZJFYNFR;=Q#]VNB]JJZEBDE%^S_G\IOE05>S7'@U]EB!HEO]P]KEXAGQ::P \YOA\MKYDMEF$YR][AUAN@Zh5m2_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB U^QZJFYA:l1^<"GPVHM,C@HX[PDHSD@T17_-W\HDW@DTOHBC/T]P]KEXZ:?0Y=!F_WKL+BCIWZSEORGA[04^*V_IKVCESNKCL.cp}keXe|rTjRazt278Q5)NW_CD#JKA_R[MGZOIS8>4U1-J[SOH'NOES^WAC^KM_40Z&ZSEORGA_BGOH*tn{`dlSb{{3d9V4*OX^@E$KH@PSXL@[LH\9?W%_T@L_HL\G@JK'zseoRhPeeef+LYO:E$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS874U1-J[SOH'NOES^WAC^KM_40Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[04^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV?9]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ::P \YOA\MKYDMEF$t`l_g]lqq533\:$ERXFO.EFJZU^FJUBBV?9]/QZJFYNFVIN@A!|yoa\ip~XnVe~x>:4U1-J[SOH'NOES^WAC^KM_40Z&ZSEORGA_BGOH*u~fjUfyuQ}_nww7`=R8&CTZDA GDL\W\HDW@DP=;S!SXL@[LHXKLFG#~wac^p\aaab'@UG>E Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW<8R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS8o4U1-J[SOH'NOES^WAC^KM_40Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ35Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB sxl`[wYh}}8m7X> I^TJK*ABFVYRBNQFNZ35Y+U^FJUBBRMJLM-vw`tXagUe?<5Z0.K\RLI(OLDT_T@L_HLX52[)[PDHSD@PCDNO+DU^FJUMS@[W309V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'HYRBNQ]_LW[6c=R8&CTZDA GDL\W\HDW@DP=:S!SXL@[LHXKLFG#^FFFU]QAB573\:$ERXFO.EFJZU^FJUBBV?8]/QZJFYNFVIN@A!\YOA\BZKRP::0Y=!F_WKL+BCIWZSEORGA[05^*V_IKVCESNKCL.QZJFYUWD_S?>5Z0.K\RLI(OLDT_T@L_HLX52[)[PDHSD@PCDNO+VYF[PDHSKQ]EF10?P6(AV\BC"IJN^QZJFYNFR;<4U1-J[SOH'NOES^WAC^KM_41Z&ZSEORGA_BGOH*UX[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR;7\,P]KEXAGUHIAB URGQ[HS_:l1^<"GPVHM,C@HX[PDHSD@T16_-W\HDW@DTOHBC/TQFVZTBO;l0Y=!F_WKL+BCIWZSEORGA[05^*V_IKVCESNKCL.W\BDJKAGM9i6[?/H]UMJ)@MGUXUCMPIOY23X(TQGITECQLEMN,QZTN[@DL?<5Z0.K\RLI(OLDT_T@L_HLX52[)[PDHSD@PCDNO+PYT\H^HAIQa2d9V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'\UXUCMPF3g8Q5)NW_CD#JKA_R[MGZOIS8=V"^WAC^KM[FCKD&_T_T@L_S16?P6(AV\BC"IJN^QZJFYNFR;7X> I^TJK*ABFVYRBNQFNZ34Y+U^FJUBBRMJLM-bw|hdWdsSQ`uu0g?P6(AV\BC"IJN^QZJFYNFR;7\,P]KEXAGUHIAB rhqjjb573\:$ERXFO.EFJZU^FJUBBV?8]/QZJFYNFVIN@A!}irkmcZir|:o0Y=!F_WKL+BCIWZSEORGA[05^*V_IKVCESNKCL.qzjfYaWlnli"GPH3N-QZBH]]927X> I^TJK*ABFVYRBNQFNZ34Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV?8]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR;k4U1-J[SOH'NOES^WAC^KM_41Z&ZSEORGA_BGOH*u~fjUyShjhe.K\H7N)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^70U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ34Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV?8]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ:;P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'zseoR|Potv1b>S7'@U]EB!HEO]P]KEXAGQ:;P \YOA\MKYDMEF$y~k}_hl\j67<]9%BS[G@/FGM[V_IKVCEW<6R.R[MGZOIWJOG@"O\YOA\BZKRP:;0Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.CP]KEXZVG^T?h4U1-J[SOH'NOES^WAC^KM_4>Z&ZSEORGA_BGOH*UOAO^T^HI<0:W3+LYQAF%LICQ\YOA\MK]60T$XUCMPIO]@AIJ([PDHSKQBUY13?P6(AV\BC"IJN^QZJFYNFR;3Q#]VNB]JJZEBDE%XUCMPR^OV\65<]9%BS[G@/FGM[V_IKVCEW<6R.R[MGZOIWJOG@"]PAR[MGZ@XZLM8?6[?/H]UMJ)@MGUXUCMPIOY26[?/H]UMJ)@MGUXUCMPIOY2 I^TJK*ABFVYRBNQFNZ3;Y+U^FJUBBRMJLM-V[V_IKVX896[?/H]UMJ)@MGUXUCMPIOY2S7'@U]EB!HEO]P]KEXAGQ:4P \YOA\MKYDMEF$m~wac^ov|ZtXg|~9h6[?/H]UMJ)@MGUXUCMPIOY2Tcxz=d:W3+LYQAF%LICQ\YOA\MK]60T$XUCMPIO]@AIJ(nm?Tcxz=e:W3+LYQAF%LICQ\YOA\MK]60T$XUCMPIO]@AIJ(e|r:Sb{{2b9V4*OX^@E$KH@PSXL@[LH\91W%_T@L_HL\G@JK'{cxeci<0:W3+LYQAF%LICQ\YOA\MK]60T$XUCMPIO]@AIJ(z`ybbjQ`uu1f?P6(AV\BC"IJN^QZJFYNFR;3Q#]VNB]JJZEBDE%xucmPf^ggc`)NWA8G"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ:4P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]60T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY22:66<]9%BS[G@/FGM[V_IKVCEW<6R.R[MGZOIWJOG@"}vnb]e[jss;=1^<"GPVHM,C@HX[PDHSD@T19_-W\HDW@DTOHBC/r{mgZkrpVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]60T$XUCMPIO]@AIJ({pdhS`{w_s]lqq5b3\:$ERXFO.EFJZU^FJUBBV?7]/QZJFYNFVIN@A!|yoa\vZccol%BSA8\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU20=>S7'@U]EB!HEO]P]KEXAGQ:4P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY?k5Z0.K\RLI(OLDT_T@L_HLX5=[)[PDHSD@PCDNO+pubzVceSc=>;T2,MZPNG&MNBR]VNB]JJ^7>U'YRBNQFN^AFHI)F[PDHSKQBUY12?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%J_T@L_S]NQ]4a3\:$ERXFO.EFJZU^FJUBBV?6]/QZJFYNFVIN@A!\HHDW[WC@;91^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/R[MGZ@XE\R8<6[?/H]UMJ)@MGUXUCMPIOY2=X(TQGITECQLEMN,W\HDW[UFYU=<;T2,MZPNG&MNBR]VNB]JJ^7>U'YRBNQFN^AFHI)TWHYRBNQI_SGD76=R8&CTZDA GDL\W\HDW@DP=4S!SXL@[LHXKLFG#^QNSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ3:Y+U^FJUBBRMJLM-P[V_IKVLT^HI<2:W3+LYQAF%LICQ\YOA\MK]61T$XUCMPIO]@AIJ([VYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP=4S!SXL@[LHXKLFG#X]JR^AOOZTBO;o0Y=!F_WKL+BCIWZSEORGA[0;^*V_IKVCESNKCL.WPAWYJ]Q8n7X> I^TJK*ABFVYRBNQFNZ3:Y+U^FJUBBRMJLM-VW@TXZLM9j6[?/H]UMJ)@MGUXUCMPIOY2=X(TQGITECQLEMN,QZ@FDECEK?k4U1-J[SOH'NOES^WAC^KM_4?Z&ZSEORGA_BGOH*SXZ@YBBJ=>;T2,MZPNG&MNBR]VNB]JJ^7>U'YRBNQFN^AFHI)RWZ^JXNCK_o0f?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%^S^WAC^D1a>S7'@U]EB!HEO]P]KEXAGQ:5P \YOA\MKYDMEF$YR]VNB]Q70=R8&CTZDA GDL\W\HDW@DP=4S!SXL@[LHXKLFG#l}vnb]nq}YaWf?85Z0.K\RLI(OLDT_T@L_HLX5<[)[PDHSD@PCDNO+du~fjUfyuQ}_nww6a=R8&CTZDA GDL\W\HDW@DP=4S!SXL@[LHXKLFG#nb`cjgg6a=R8&CTZDA GDL\W\HDW@DP=4S!SXL@[LHXKLFG#koclhld74=R8&CTZDA GDL\W\HDW@DP=4S!SXL@[LHXKLFG#koclhld[jss:m1^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/gf2[jss:m1^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/gf1[jss:m1^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/gf0[jss:m1^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/gf7[jss:m1^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/gf6[jss:l1^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/lw{5Zir|;i0Y=!F_WKL+BCIWZSEORGA[0;^*V_IKVCESNKCL.pjwlh`;91^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/skpmkaXg|~8i6[?/H]UMJ)@MGUXUCMPIOY2=X(TQGITECQLEMN,w|hdWoUnhjk I^J1H+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX5<[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP=4S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS83V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^7>U'YRBNQFN^AFHI)tqgiTjRazt268Q5)NW_CD#JKA_R[MGZOIS83V"^WAC^KM[FCKD&yrbnQbuy]e[jss;=1^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/r{mgZkrpVxTcxz3:6g<]9%BS[G@/FGM[V_IKVCEW<7R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%xucmPr^mvp7`<]9%BS[G@/FGM[V_IKVCEW<7R.R[MGZOIWJOG@"{|es]jjZh482_;#DQYIN-DAKYTQGITECU>]/QZJFYNFVIN@A!NSXL@[CYJ]Q9;7X> I^TJK*ABFVYRBNQFNZ3^*V_IKVCESNKCL.CP]KEXZVG^T?k4U1-J[SOH'NOES^WAC^KM_4[)[PDHSD@PCDNO+VNNN]UYIJ]/QZJFYNFVIN@A!\_@QZJFYUW[OL?<5Z0.K\RLI(OLDT_T@L_HLX5X(TQGITECQLEMN,WZU^FJUMS_KH309V4*OX^@E$KH@PSXL@[LH\9T$XUCMPIO]@AIJ([VYRBNQ]_SGD74=R8&CTZDA GDL\W\HDW@DP=P \YOA\MKYDMEF$Y^K]_BNH[WC@:m1^<"GPVHM,C@HX[PDHSD@T1\,P]KEXAGUHIAB URGQ[HS_:m1^<"GPVHM,C@HX[PDHSD@T1\,P]KEXAGUHIAB URGQ[WC@:l1^<"GPVHM,C@HX[PDHSD@T1\,P]KEXAGUHIAB U^DBHIOIO;n0Y=!F_WKL+BCIWZSEORGA[0_-W\HDW@DTOHBC/T]QMVOIO::0Y=!F_WKL+BCIWZSEORGA[0_-W\HDW@DTOHBC/T]PPDRDEMUe>i5Z0.K\RLI(OLDT_T@L_HLX5X(TQGITECQLEMN,QZU^FJUM>i5Z0.K\RLI(OLDT_T@L_HLX5X(TQGITECQLEMN,QZU^FJUY?95Z0.K\RLI(OLDT_T@L_HLX5X(TQGITECQLEMN,evikVg~tRhPotv00>S7'@U]EB!HEO]P]KEXAGQ:Q#]VNB]JJZEBDE%jt`l_lw{[wYh}}8h7X> I^TJK*ABFVYRBNQFNZ3^*V_IKVCESNKCL.aokfmbl;i0Y=!F_WKL+BCIWZSEORGA[0_-W\HDW@DTOHBC/gcohlh`;91^<"GPVHM,C@HX[PDHSD@T1\,P]KEXAGUHIAB f`nomkaXg|~9o6[?/H]UMJ)@MGUXUCMPIOY2Y+U^FJUBBRMJLM-e`4Yh}}8h7X> I^TJK*ABFVYRBNQFNZ3^*V_IKVCESNKCL.dg6Zir|;i0Y=!F_WKL+BCIWZSEORGA[0_-W\HDW@DTOHBC/gf0[jss:j1^<"GPVHM,C@HX[PDHSD@T1\,P]KEXAGUHIAB fe6\kpr5k2_;#DQYIN-DAKYTQGITECU>]/QZJFYNFVIN@A!id4]lqq4c3\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"czx0]lqq4e3\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"|fshld6c=R8&CTZDA GDL\W\HDW@DP=P \YOA\MKYDMEF$~d}fnf]lqq5c3\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"}vnb]e[`b`m&CTD?B!U^FLQQ5?3\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[02:8Q5)NW_CD#JKA_R[MGZOIS8W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^:?45Z0.K\RLI(OLDT_T@L_HLX5X(TQGITECQLEMN,w|hdWoUnhjk iEMVP969;01^<"GPVHM,C@HX[PDHSD@T1\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=3=6c=R8&CTZDA GDL\W\HDW@DP=P \YOA\MKYDMEF$t`l_g]lqq543\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"}vnb]nq}YaWf?>5Z0.K\RLI(OLDT_T@L_HLX5X(TQGITECQLEMN,w|hdWdsSQ`uu1g?P6(AV\BC"IJN^QZJFYNFR;V"^WAC^KM[FCKD&yrbnQ}_dfda*OXD;B%YRJ@UU1;?P6(AV\BC"IJN^QZJFYNFR;V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__<>64U1-J[SOH'NOES^WAC^KM_4[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR6;01^<"GPVHM,C@HX[PDHSD@T1\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=2=7<=R8&CTZDA GDL\W\HDW@DP=P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1?12g9V4*OX^@E$KH@PSXL@[LH\9T$XUCMPIO]@AIJ({pdhSQ`uu0f?P6(AV\BC"IJN^QZJFYNFR;V"^WAC^KM[FCKD&xiQfn^l05>S7'@U]EB!HEO]P]KEXAGQ9

>4U1-J[SOH'NOES^WAC^KM_76Z&ZSEORGA_BGOH*U^FJUMS@[W319V4*OX^@E$KH@PSXL@[LH\:9W%_T@L_HL\G@JK'ZSEOR\PMTZ07>S7'@U]EB!HEO]P]KEXAGQ9

=S!SXL@[LHXKLFG#^Q\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[32^*V_IKVCESNKCL.WPAWYDDBUYIJS7'@U]EB!HEO]P]KEXAGQ9

>4U1-J[SOH'NOES^WAC^KM_76Z&ZSEORGA_BGOH*tn{`dlSb{{3d9V4*OX^@E$KH@PSXL@[LH\:9W%_T@L_HL\G@JK'zseoRhPeeef+LYO:E$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS;:V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_76Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[32^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBVS7'@U]EB!HEO]P]KEXAGQ9

:4U1-J[SOH'NOES^WAC^KM_76Z&ZSEORGA_BGOH*u~fjUfyuQ}_nww7`=R8&CTZDA GDL\W\HDW@DP>=S!SXL@[LHXKLFG#~wac^p\aaab'@UG>E Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW?>R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS;:V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_76Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ03Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB sxl`[wYh}}8m7X> I^TJK*ABFVYRBNQFNZ03Y+U^FJUBBRMJLM-vw`tXagUe?<5Z0.K\RLI(OLDT_T@L_HLX64[)[PDHSD@PCDNO+DU^FJUMS@[W309V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'HYRBNQ]_LW[6c=R8&CTZDA GDL\W\HDW@DP>]/QZJFYNFVIN@A!\YOA\BZKRP::0Y=!F_WKL+BCIWZSEORGA[33^*V_IKVCESNKCL.QZJFYUWD_S?>5Z0.K\RLI(OLDT_T@L_HLX64[)[PDHSD@PCDNO+VYF[PDHSKQ]EF10?P6(AV\BC"IJN^QZJFYNFR8:Q#]VNB]JJZEBDE%XSL]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T20_-W\HDW@DTOHBC/R]P]KEXNVXNK><4U1-J[SOH'NOES^WAC^KM_77Z&ZSEORGA_BGOH*UX[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR8:Q#]VNB]JJZEBDE%^_H\PCMI\V@A5m2_;#DQYIN-DAKYTQGITECU=1\,P]KEXAGUHIAB URGQ[HS_:l1^<"GPVHM,C@HX[PDHSD@T20_-W\HDW@DTOHBC/TQFVZTBO;l0Y=!F_WKL+BCIWZSEORGA[33^*V_IKVCESNKCL.W\BDJKAGM9i6[?/H]UMJ)@MGUXUCMPIOY15X(TQGITECQLEMN,QZTN[@DL?<5Z0.K\RLI(OLDT_T@L_HLX64[)[PDHSD@PCDNO+PYT\H^HAIQa2d9V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'\UXUCMPF3g8Q5)NW_CD#JKA_R[MGZOIS;;V"^WAC^KM[FCKD&_T_T@L_S16?P6(AV\BC"IJN^QZJFYNFR8:Q#]VNB]JJZEBDE%jt`l_lw{[cYh}}9>7X> I^TJK*ABFVYRBNQFNZ02Y+U^FJUBBRMJLM-bw|hdWdsSQ`uu0g?P6(AV\BC"IJN^QZJFYNFR8:Q#]VNB]JJZEBDE%h`bmdee0g?P6(AV\BC"IJN^QZJFYNFR8:Q#]VNB]JJZEBDE%mmabfnf12?P6(AV\BC"IJN^QZJFYNFR8:Q#]VNB]JJZEBDE%mmabfnf]lqq4c3\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!id0]lqq4c3\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!id3]lqq4c3\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!id2]lqq4c3\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!id5]lqq4c3\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!id4]lqq4b3\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!buy3\kpr5k2_;#DQYIN-DAKYTQGITECU=1\,P]KEXAGUHIAB rhqjjb573\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!}irkmcZir|:o0Y=!F_WKL+BCIWZSEORGA[33^*V_IKVCESNKCL.qzjfYaWlnli"GPH3N-QZBH]]927X> I^TJK*ABFVYRBNQFNZ02Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR8:Q#]VNB]JJZEBDE%xucmPf^ggc`)nLF__0=0]/QZJFYNFVIN@A!|yoa\ip~XzVe~x>k4U1-J[SOH'NOES^WAC^KM_77Z&ZSEORGA_BGOH*u~fjUyShjhe.K\H7N)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^46U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ02Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ9=P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'zseoR|Potv1b>S7'@U]EB!HEO]P]KEXAGQ9=P \YOA\MKYDMEF$y~k}_hl\j67<]9%BS[G@/FGM[V_IKVCEW?6[?/H]UMJ)@MGUXUCMPIOY16X(TQGITECQLEMN,QVCUWJF@S_KH2d9V4*OX^@E$KH@PSXL@[LH\:;W%_T@L_HL\G@JK'\YN^RCZX3g8Q5)NW_CD#JKA_R[MGZOIS;8V"^WAC^KM[FCKD&_XI_Q]EF0e?P6(AV\BC"IJN^QZJFYNFR89Q#]VNB]JJZEBDE%^SKOCLHLD6`=R8&CTZDA GDL\W\HDW@DP>?S!SXL@[LHXKLFG#XQ]IRKMC67<]9%BS[G@/FGM[V_IKVCEW? I^TJK*ABFVYRBNQFNZ01Y+U^FJUBBRMJLM-V[V_IKVX896[?/H]UMJ)@MGUXUCMPIOY16X(TQGITECQLEMN,evikVg~tRhPotv01>S7'@U]EB!HEO]P]KEXAGQ9>P \YOA\MKYDMEF$m~wac^ov|ZtXg|~9h6[?/H]UMJ)@MGUXUCMPIOY16X(TQGITECQLEMN,giidcln9h6[?/H]UMJ)@MGUXUCMPIOY16X(TQGITECQLEMN,bdjkagm8=6[?/H]UMJ)@MGUXUCMPIOY16X(TQGITECQLEMN,bdjkagmTcxz=d:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ(nm;Tcxz=d:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ(nm8Tcxz=d:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ(nm9Tcxz=d:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ(nm>Tcxz=d:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ(nm?Tcxz=e:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ(e|r:Sb{{2b9V4*OX^@E$KH@PSXL@[LH\:;W%_T@L_HL\G@JK'{cxeci<0:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ(z`ybbjQ`uu1f?P6(AV\BC"IJN^QZJFYNFR89Q#]VNB]JJZEBDE%xucmPf^ggc`)NWA8G"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ9>P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY16X(TQGITECQLEMN,w|hdWoUnhjk iEMVP969;h1^<"GPVHM,C@HX[PDHSD@T23_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>2:66<]9%BS[G@/FGM[V_IKVCEW?S7'@U]EB!HEO]P]KEXAGQ9>P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY?k5Z0.K\RLI(OLDT_T@L_HLX67[)[PDHSD@PCDNO+pubzVceSc=>;T2,MZPNG&MNBR]VNB]JJ^44U'YRBNQFN^AFHI)F[PDHSKQBUY12?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%J_T@L_S]NQ]4a3\:$ERXFO.EFJZU^FJUBBV<<]/QZJFYNFVIN@A!\HHDW[WC@;91^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/R[MGZ@XE\R8<6[?/H]UMJ)@MGUXUCMPIOY17X(TQGITECQLEMN,W\HDW[UFYU=<;T2,MZPNG&MNBR]VNB]JJ^44U'YRBNQFN^AFHI)TWHYRBNQI_SGD76=R8&CTZDA GDL\W\HDW@DP>>S!SXL@[LHXKLFG#^QNSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ00Y+U^FJUBBRMJLM-P[V_IKVLT^HI<2:W3+LYQAF%LICQ\YOA\MK]5;T$XUCMPIO]@AIJ([VYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP>>S!SXL@[LHXKLFG#X]JR^AOOZTBO;o0Y=!F_WKL+BCIWZSEORGA[31^*V_IKVCESNKCL.WPAWYJ]Q8n7X> I^TJK*ABFVYRBNQFNZ00Y+U^FJUBBRMJLM-VW@TXZLM9j6[?/H]UMJ)@MGUXUCMPIOY17X(TQGITECQLEMN,QZ@FDECEK?k4U1-J[SOH'NOES^WAC^KM_75Z&ZSEORGA_BGOH*SXZ@YBBJ=>;T2,MZPNG&MNBR]VNB]JJ^44U'YRBNQFN^AFHI)RWZ^JXNCK_o0f?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%^S^WAC^D1a>S7'@U]EB!HEO]P]KEXAGQ9?P \YOA\MKYDMEF$YR]VNB]Q70=R8&CTZDA GDL\W\HDW@DP>>S!SXL@[LHXKLFG#l}vnb]nq}YaWf?85Z0.K\RLI(OLDT_T@L_HLX66[)[PDHSD@PCDNO+du~fjUfyuQ}_nww6a=R8&CTZDA GDL\W\HDW@DP>>S!SXL@[LHXKLFG#nb`cjgg6a=R8&CTZDA GDL\W\HDW@DP>>S!SXL@[LHXKLFG#koclhld74=R8&CTZDA GDL\W\HDW@DP>>S!SXL@[LHXKLFG#koclhld[jss:m1^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/gf2[jss:m1^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/gf1[jss:m1^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/gf0[jss:m1^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/gf7[jss:m1^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/gf6[jss:l1^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/lw{5Zir|;i0Y=!F_WKL+BCIWZSEORGA[31^*V_IKVCESNKCL.pjwlh`;91^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/skpmkaXg|~8i6[?/H]UMJ)@MGUXUCMPIOY17X(TQGITECQLEMN,w|hdWoUnhjk I^J1H+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX66[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP>>S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS;9V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^44U'YRBNQFN^AFHI)tqgiTjRazt268Q5)NW_CD#JKA_R[MGZOIS;9V"^WAC^KM[FCKD&yrbnQbuy]e[jss;=1^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/r{mgZkrpVxTcxz3:6g<]9%BS[G@/FGM[V_IKVCEW?=R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%xucmPr^mvp7`<]9%BS[G@/FGM[V_IKVCEW?=R.R[MGZOIWJOG@"{|es]jjZh492_;#DQYIN-DAKYTQGITECU=4\,P]KEXAGUHIAB AR[MGZ@XE\R8=6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,EV_IKVXTAXV=f:W3+LYQAF%LICQ\YOA\MK]5V"^WAC^KM[FCKD&YRBNQI_LW[75=R8&CTZDA GDL\W\HDW@DP>9S!SXL@[LHXKLFG#^WAC^P\IP^4;2_;#DQYIN-DAKYTQGITECU=4\,P]KEXAGUHIAB S^CP]KEXNVXNK>=4U1-J[SOH'NOES^WAC^KM_72Z&ZSEORGA_BGOH*UXIZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ98P \YOA\MKYDMEF$_R]VNB]E[WC@;;1^<"GPVHM,C@HX[PDHSD@T25_-W\HDW@DTOHBC/R]P]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_72Z&ZSEORGA_BGOH*STM[UH@FQ]EF0f?P6(AV\BC"IJN^QZJFYNFR8?Q#]VNB]JJZEBDE%^_H\PMTZ1a>S7'@U]EB!HEO]P]KEXAGQ98P \YOA\MKYDMEF$Y^K]_SGD6c=R8&CTZDA GDL\W\HDW@DP>9S!SXL@[LHXKLFG#XQIAMNJJB4b3\:$ERXFO.EFJZU^FJUBBV<;]/QZJFYNFVIN@A!Z_SKPMKA492_;#DQYIN-DAKYTQGITECU=4\,P]KEXAGUHIAB U^QWEQEJLVd9i6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,QZU^FJUM>h5Z0.K\RLI(OLDT_T@L_HLX61[)[PDHSD@PCDNO+PYTQGIT^>;4U1-J[SOH'NOES^WAC^KM_72Z&ZSEORGA_BGOH*gtqgiTaxvPf^mvp63<]9%BS[G@/FGM[V_IKVCEW?:R.R[MGZOIWJOG@"o|yoa\ip~XzVe~x?j4U1-J[SOH'NOES^WAC^KM_72Z&ZSEORGA_BGOH*ekgjanh?j4U1-J[SOH'NOES^WAC^KM_72Z&ZSEORGA_BGOH*`fdecek>?4U1-J[SOH'NOES^WAC^KM_72Z&ZSEORGA_BGOH*`fdecekRazt3f8Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&lo=Razt3f8Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&lo>Razt3f8Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&lo?Razt3f8Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&lo8Razt3f8Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&lo9Razt3g8Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&g~tV"^WAC^KM[FCKD&xbd`h_nww7`=R8&CTZDA GDL\W\HDW@DP>9S!SXL@[LHXKLFG#~wac^d\aaab'@UC>A Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW?:R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_72Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ07Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU=4\,P]KEXAGUHIAB sxl`[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ07Y+U^FJUBBRMJLM-p}keXe|rTjRazt268Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&yrbnQbuy]q[jss;l1^<"GPVHM,C@HX[PDHSD@T25_-W\HDW@DTOHBC/r{mgZtXmmmn#DQC2I,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[36^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW?:R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^43U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,w|hdW{Udyy8S!SXL@[LHXKLFG#L]VNB]Q[HS_:o1^<"GPVHM,C@HX[PDHSD@T24_-W\HDW@DTOHBC/RJJBQYUMN9;7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-P]KEXNVG^T>>4U1-J[SOH'NOES^WAC^KM_73Z&ZSEORGA_BGOH*U^FJUYS@[W329V4*OX^@E$KH@PSXL@[LH\:h5Z0.K\RLI(OLDT_T@L_HLX60[)[PDHSD@PCDNO+PUBZVXNK?h4U1-J[SOH'NOES^WAC^KM_73Z&ZSEORGA_BGOH*SXNHFGECI=e:W3+LYQAF%LICQ\YOA\MK]5=T$XUCMPIO]@AIJ(]VXB_D@H309V4*OX^@E$KH@PSXL@[LH\:8S!SXL@[LHXKLFG#XQ\YOA\B7c<]9%BS[G@/FGM[V_IKVCEW?;R.R[MGZOIWJOG@"[PSXL@[W523\:$ERXFO.EFJZU^FJUBBV<:]/QZJFYNFVIN@A!nsxl`[hsWoUdyy=:;T2,MZPNG&MNBR]VNB]JJ^42U'YRBNQFN^AFHI)f{pdhS`{w_s]lqq4c3\:$ERXFO.EFJZU^FJUBBV<:]/QZJFYNFVIN@A!llnahaa4c3\:$ERXFO.EFJZU^FJUBBV<:]/QZJFYNFVIN@A!iamnjjb563\:$ERXFO.EFJZU^FJUBBV<:]/QZJFYNFVIN@A!iamnjjbYh}}8o7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-e`4Yh}}8o7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-e`7Yh}}8o7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-e`6Yh}}8o7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-e`1Yh}}8o7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-e`0Yh}}8n7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-nq}7Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY11X(TQGITECQLEMN,vlunfn9;7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-qmvoioVe~x>k4U1-J[SOH'NOES^WAC^KM_73Z&ZSEORGA_BGOH*u~fjUmShjhe.K\L7J)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^42U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV<:]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ99P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\:S7'@U]EB!HEO]P]KEXAGQ99P \YOA\MKYDMEF$t`l_lw{[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-p}keXe|rT~Razt2g8Q5)NW_CD#JKA_R[MGZOIS;?V"^WAC^KM[FCKD&yrbnQ}_dfda*OXD;B%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR8>Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^42U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU=5\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#~wac^p\kpr5n2_;#DQYIN-DAKYTQGITECU=5\,P]KEXAGUHIAB urgq[lhXf:;0Y=!F_WKL+BCIWZSEORGA[34^*V_IKVCESNKCL.CP]KEXNVG^T>?4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*GTQGIT^RCZX3d8Q5)NW_CD#JKA_R[MGZOIS;S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$_T@L_G]NQ]573\:$ERXFO.EFJZU^FJUBBV<9]/QZJFYNFVIN@A!\YOA\VZKRP:90Y=!F_WKL+BCIWZSEORGA[34^*V_IKVCESNKCL.Q\EV_IKVLT^HI<3:W3+LYQAF%LICQ\YOA\MK]5>T$XUCMPIO]@AIJ([VKXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW?8R.R[MGZOIWJOG@"]PSXL@[CYUMN997X> I^TJK*ABFVYRBNQFNZ05Y+U^FJUBBRMJLM-P[V_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]5>T$XUCMPIO]@AIJ(]ZOYSNBD_SGD6`=R8&CTZDA GDL\W\HDW@DP>;S!SXL@[LHXKLFG#X]JR^OV\7c<]9%BS[G@/FGM[V_IKVCEW?8R.R[MGZOIWJOG@"[\ES]QAB4a3\:$ERXFO.EFJZU^FJUBBV<9]/QZJFYNFVIN@A!Z_GCOHLH@:l1^<"GPVHM,C@HX[PDHSD@T27_-W\HDW@DTOHBC/T]QMVOIO:;0Y=!F_WKL+BCIWZSEORGA[34^*V_IKVCESNKCL.W\WQGSKDNTb?k4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*SX[PDHSKT$XUCMPIO]@AIJ(izseoRczx^d\kpr4=2_;#DQYIN-DAKYTQGITECU=6\,P]KEXAGUHIAB ar{mgZkrpVxTcxz=d:W3+LYQAF%LICQ\YOA\MK]5>T$XUCMPIO]@AIJ(keehghj=d:W3+LYQAF%LICQ\YOA\MK]5>T$XUCMPIO]@AIJ(nhfgeci<1:W3+LYQAF%LICQ\YOA\MK]5>T$XUCMPIO]@AIJ(nhfgeciPotv1`>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$ji?Potv1`>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$jiS7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$ji=Potv1`>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$ji:Potv1`>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$ji;Potv1a>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$axv>_nww6f=R8&CTZDA GDL\W\HDW@DP>;S!SXL@[LHXKLFG#g|ioe04>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$~d}fnf]lqq5b3\:$ERXFO.EFJZU^FJUBBV<9]/QZJFYNFVIN@A!|yoa\bZccol%BSES7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY?T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5:5?l5Z0.K\RLI(OLDT_T@L_HLX63[)[PDHSD@PCDNO+vikVlTiiij/hFLQQ:66::0Y=!F_WKL+BCIWZSEORGA[34^*V_IKVCESNKCL.qzjfYaWf?95Z0.K\RLI(OLDT_T@L_HLX63[)[PDHSD@PCDNO+vikVg~tRhPotv00>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$t`l_lw{[wYh}}9n7X> I^TJK*ABFVYRBNQFNZ05Y+U^FJUBBRMJLM-p}keXzVookh!F_M0K*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY12X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU=6\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1>13`9V4*OX^@E$KH@PSXL@[LH\:?W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*u~fjUySb{{2g9V4*OX^@E$KH@PSXL@[LH\:?W%_T@L_HL\G@JK'|yn~Rga_o12?P6(AV\BC"IJN^QZJFYNFR8 I^TJK*ABFVYRBNQFNZ04Y+U^FJUBBRMJLM-PLL@SW[OL?=5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+V_IKVLTAXV<0:W3+LYQAF%LICQ\YOA\MK]5?T$XUCMPIO]@AIJ([PDHS_QBUY10?P6(AV\BC"IJN^QZJFYNFR8S7'@U]EB!HEO]P]KEXAGQ9;P \YOA\MKYDMEF$_R]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T26_-W\HDW@DTOHBC/TQFVZEKCVXNK?k4U1-J[SOH'NOES^WAC^KM_71Z&ZSEORGA_BGOH*STM[UFYUW%_T@L_HL\G@JK'hyrbnQbuy]q[jss:m1^<"GPVHM,C@HX[PDHSD@T26_-W\HDW@DTOHBC/bnlgncc:m1^<"GPVHM,C@HX[PDHSD@T26_-W\HDW@DTOHBC/gcohlh`;81^<"GPVHM,C@HX[PDHSD@T26_-W\HDW@DTOHBC/gcohlh`Wf>i5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+cb6Wf>i5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+cb5Wf>i5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+cb4Wf>i5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+cb3Wf>i5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+cb2Wf>h5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+hs9Ve~x?m4U1-J[SOH'NOES^WAC^KM_71Z&ZSEORGA_BGOH*tn{`dl?=5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+wotagmTcxzW%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^;?45Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR6;h1^<"GPVHM,C@HX[PDHSD@T26_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>3:6g<]9%BS[G@/FGM[V_IKVCEW?9R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR8S7'@U]EB!HEO]P]KEXAGQ9;P \YOA\MKYDMEF$t`l_s]f`bc(AVF9D#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP>:S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ?389V4*OX^@E$KH@PSXL@[LH\:>W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^:?l5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+vikVxTiiij/hFLQQ:76:k0Y=!F_WKL+BCIWZSEORGA[35^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?5;573\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!|yoa\vZir|;l0Y=!F_WKL+BCIWZSEORGA[35^*V_IKVCESNKCL.wpawYnfVd8=6[?/H]UMJ)@MGUXUCMPIOY1S7'@U]EB!HEO]P]KEXAGQ94P \YOA\MKYDMEF$_EGIT^PFC66<]9%BS[G@/FGM[V_IKVCEW?6R.R[MGZOIWJOG@"]VNB]E[HS_;91^<"GPVHM,C@HX[PDHSD@T29_-W\HDW@DTOHBC/R[MGZTXE\R8?6[?/H]UMJ)@MGUXUCMPIOY1 I^TJK*ABFVYRBNQFNZ0;Y+U^FJUBBRMJLM-V[WOTAGM8=6[?/H]UMJ)@MGUXUCMPIOY174U1-J[SOH'NOES^WAC^KM_7>Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[3:^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW?6R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ30?1b?P6(AV\BC"IJN^QZJFYNFR83Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0<0<0:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ({pdhSQ`uu0e?P6(AV\BC"IJN^QZJFYNFR83Q#]VNB]JJZEBDE%~h|Pio]m74=R8&CTZDA GDL\W\HDW@DP>4S!SXL@[LHXKLFG#L]VNB]E[HS_;81^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/@QZJFYUWD_S>k5Z0.K\RLI(OLDT_T@L_HLX6<[)[PDHSD@PCDNO+VNNN]UYIJ=?;T2,MZPNG&MNBR]VNB]JJ^4>U'YRBNQFN^AFHI)TQGITJRCZX228Q5)NW_CD#JKA_R[MGZOIS;3V"^WAC^KM[FCKD&YRBNQ]_LW[76=R8&CTZDA GDL\W\HDW@DP>4S!SXL@[LHXKLFG#^QNSXL@[CYUMN987X> I^TJK*ABFVYRBNQFNZ0:Y+U^FJUBBRMJLM-P[DU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\:0W%_T@L_HL\G@JK'ZUXUCMPF^PFC64<]9%BS[G@/FGM[V_IKVCEW?7R.R[MGZOIWJOG@"]PSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ0:Y+U^FJUBBRMJLM-VW@TXKEAT^HI=e:W3+LYQAF%LICQ\YOA\MK]51T$XUCMPIO]@AIJ(]ZOYS@[W2d9V4*OX^@E$KH@PSXL@[LH\:0W%_T@L_HL\G@JK'\YN^R\JG3d8Q5)NW_CD#JKA_R[MGZOIS;3V"^WAC^KM[FCKD&_TJLBCIOE1a>S7'@U]EB!HEO]P]KEXAGQ95P \YOA\MKYDMEF$YR\FSHLD74=R8&CTZDA GDL\W\HDW@DP>4S!SXL@[LHXKLFG#XQ\T@V@IAYi:l1^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/T]P]KEXN;o0Y=!F_WKL+BCIWZSEORGA[3;^*V_IKVCESNKCL.W\W\HDW[9>7X> I^TJK*ABFVYRBNQFNZ0:Y+U^FJUBBRMJLM-bw|hdWdsSkQ`uu16?P6(AV\BC"IJN^QZJFYNFR82Q#]VNB]JJZEBDE%jt`l_lw{[wYh}}8o7X> I^TJK*ABFVYRBNQFNZ0:Y+U^FJUBBRMJLM-`hjelmm8o7X> I^TJK*ABFVYRBNQFNZ0:Y+U^FJUBBRMJLM-eeijnfn9:7X> I^TJK*ABFVYRBNQFNZ0:Y+U^FJUBBRMJLM-eeijnfnUdyyU'YRBNQFN^AFHI)al8UdyyU'YRBNQFN^AFHI)al;UdyyU'YRBNQFN^AFHI)al:UdyyU'YRBNQFN^AFHI)al=UdyyU'YRBNQFN^AFHI)alU'YRBNQFN^AFHI)j}q;Tcxz=c:W3+LYQAF%LICQ\YOA\MK]51T$XUCMPIO]@AIJ(z`ybbj=?;T2,MZPNG&MNBR]VNB]JJ^4>U'YRBNQFN^AFHI)uazcekRazt2g8Q5)NW_CD#JKA_R[MGZOIS;3V"^WAC^KM[FCKD&yrbnQi_dfda*OX@;F%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR82Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^4>U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ0:Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU=9\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP>4S!SXL@[LHXKLFG#~wac^d\kpr4<2_;#DQYIN-DAKYTQGITECU=9\,P]KEXAGUHIAB sxl`[hsWoUdyy=;;T2,MZPNG&MNBR]VNB]JJ^4>U'YRBNQFN^AFHI)tqgiTaxvPr^mvp6c<]9%BS[G@/FGM[V_IKVCEW?7R.R[MGZOIWJOG@"}vnb]q[`b`m&CT@?F!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV<6]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR82Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^4>U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY1=X(TQGITECQLEMN,w|hdW{Unhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/r{mgZtXg|~9j6[?/H]UMJ)@MGUXUCMPIOY1=X(TQGITECQLEMN,qvcuW`dTb>>4U1-J[SOH'NOES^WAC^KM_7[)[PDHSD@PCDNO+DU^FJUMS@[W319V4*OX^@E$KH@PSXL@[LH\:T$XUCMPIO]@AIJ(IZSEOR\PMTZ1a>S7'@U]EB!HEO]P]KEXAGQ9Q#]VNB]JJZEBDE%XDDH[_SGD6c=R8&CTZDA GDL\W\HDW@DP>P \YOA\MKYDMEF$_T@L_G]NQ]4a3\:$ERXFO.EFJZU^FJUBBV<4U1-J[SOH'NOES^WAC^KM_7[)[PDHSD@PCDNO+VYF[PDHS_Q]EF12?P6(AV\BC"IJN^QZJFYNFR8V"^WAC^KM[FCKD&YT_T@L_G]QAB563\:$ERXFO.EFJZU^FJUBBV I^TJK*ABFVYRBNQFNZ0^*V_IKVCESNKCL.WPAWYDDBUYIJ0Y=!F_WKL+BCIWZSEORGA[3_-W\HDW@DTOHBC/`qzjfYj}qUySb{{2b9V4*OX^@E$KH@PSXL@[LH\:T$XUCMPIO]@AIJ(keehghj=c:W3+LYQAF%LICQ\YOA\MK]5U'YRBNQFN^AFHI)aiefbbj=?;T2,MZPNG&MNBR]VNB]JJ^4Z&ZSEORGA_BGOH*`fdecekRazt3a8Q5)NW_CD#JKA_R[MGZOIS;W%_T@L_HL\G@JK'on:Sb{{2b9V4*OX^@E$KH@PSXL@[LH\:T$XUCMPIO]@AIJ(nm8Tcxz=c:W3+LYQAF%LICQ\YOA\MK]5U'YRBNQFN^AFHI)al:Udyyi5Z0.K\RLI(OLDT_T@L_HLX6X(TQGITECQLEMN,ip~6Wf>o5Z0.K\RLI(OLDT_T@L_HLX6X(TQGITECQLEMN,vlunfn8m7X> I^TJK*ABFVYRBNQFNZ0^*V_IKVCESNKCL.pjwlh`Wf?i5Z0.K\RLI(OLDT_T@L_HLX6X(TQGITECQLEMN,w|hdWoUnhjk I^J1H+SXLF__?55Z0.K\RLI(OLDT_T@L_HLX6X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ6402_;#DQYIN-DAKYTQGITECU=]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT01:?P6(AV\BC"IJN^QZJFYNFR8V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7<3=6;T2,MZPNG&MNBR]VNB]JJ^4Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;978m7X> I^TJK*ABFVYRBNQFNZ0^*V_IKVCESNKCL.qzjfYaWf?>5Z0.K\RLI(OLDT_T@L_HLX6X(TQGITECQLEMN,w|hdWdsSkQ`uu10?P6(AV\BC"IJN^QZJFYNFR8V"^WAC^KM[FCKD&yrbnQbuy]q[jss;m1^<"GPVHM,C@HX[PDHSD@T2\,P]KEXAGUHIAB sxl`[wYblno$ERB=H/W\@JSS;11^<"GPVHM,C@HX[PDHSD@T2\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU20<>S7'@U]EB!HEO]P]KEXAGQ9Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X<=6;T2,MZPNG&MNBR]VNB]JJ^4Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;87927X> I^TJK*ABFVYRBNQFNZ0^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?5;4a3\:$ERXFO.EFJZU^FJUBBV?4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*GTQGIT^RCZX3d8Q5)NW_CD#JKA_R[MGZOIS::V"^WAC^KM[FCKD&YCEKZPRDE04>S7'@U]EB!HEO]P]KEXAGQ8

>R.R[MGZOIWJOG@"]PSXL@[CYUMN997X> I^TJK*ABFVYRBNQFNZ13Y+U^FJUBBRMJLM-P[V_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]48T$XUCMPIO]@AIJ(]ZOYSNBD_SGD6`=R8&CTZDA GDL\W\HDW@DP?=S!SXL@[LHXKLFG#X]JR^OV\7c<]9%BS[G@/FGM[V_IKVCEW>>R.R[MGZOIWJOG@"[\ES]QAB4a3\:$ERXFO.EFJZU^FJUBBV=?]/QZJFYNFVIN@A!Z_GCOHLH@:l1^<"GPVHM,C@HX[PDHSD@T31_-W\HDW@DTOHBC/T]QMVOIO:;0Y=!F_WKL+BCIWZSEORGA[22^*V_IKVCESNKCL.W\WQGSKDNTb?k4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*SX[PDHSKS7'@U]EB!HEO]P]KEXAGQ8

S7'@U]EB!HEO]P]KEXAGQ8

S7'@U]EB!HEO]P]KEXAGQ8

S7'@U]EB!HEO]P]KEXAGQ8

S7'@U]EB!HEO]P]KEXAGQ8

S7'@U]EB!HEO]P]KEXAGQ8

_nww6f=R8&CTZDA GDL\W\HDW@DP?=S!SXL@[LHXKLFG#g|ioe04>S7'@U]EB!HEO]P]KEXAGQ8

S7'@U]EB!HEO]P]KEXAGQ8

S7'@U]EB!HEO]P]KEXAGQ8

I^TJK*ABFVYRBNQFNZ13Y+U^FJUBBRMJLM-p}keXzVookh!F_M0K*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY04X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU<0\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ8

13`9V4*OX^@E$KH@PSXL@[LH\;9W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*u~fjUySb{{2g9V4*OX^@E$KH@PSXL@[LH\;9W%_T@L_HL\G@JK'|yn~Rga_o12?P6(AV\BC"IJN^QZJFYNFR9:Q#]VNB]JJZEBDE%J_T@L_G]NQ]563\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!NSXL@[WYJ]Q8m7X> I^TJK*ABFVYRBNQFNZ12Y+U^FJUBBRMJLM-PLL@SW[OL?=5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+V_IKVLTAXV<0:W3+LYQAF%LICQ\YOA\MK]49T$XUCMPIO]@AIJ([PDHS_QBUY10?P6(AV\BC"IJN^QZJFYNFR9:Q#]VNB]JJZEBDE%XSL]VNB]E[WC@;:1^<"GPVHM,C@HX[PDHSD@T30_-W\HDW@DTOHBC/R]BW\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^56U'YRBNQFN^AFHI)TWZSEORHPRDE06>S7'@U]EB!HEO]P]KEXAGQ8=P \YOA\MKYDMEF$_R]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T30_-W\HDW@DTOHBC/TQFVZEKCVXNK?k4U1-J[SOH'NOES^WAC^KM_67Z&ZSEORGA_BGOH*STM[UFYU]/QZJFYNFVIN@A!Z_R[MGZ@5m2_;#DQYIN-DAKYTQGITECU<1\,P]KEXAGUHIAB U^QZJFYU;<1^<"GPVHM,C@HX[PDHSD@T30_-W\HDW@DTOHBC/`qzjfYj}qUmSb{{349V4*OX^@E$KH@PSXL@[LH\;8W%_T@L_HL\G@JK'hyrbnQbuy]q[jss:m1^<"GPVHM,C@HX[PDHSD@T30_-W\HDW@DTOHBC/bnlgncc:m1^<"GPVHM,C@HX[PDHSD@T30_-W\HDW@DTOHBC/gcohlh`;81^<"GPVHM,C@HX[PDHSD@T30_-W\HDW@DTOHBC/gcohlh`Wf>i5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+cb6Wf>i5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+cb5Wf>i5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+cb4Wf>i5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+cb3Wf>i5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+cb2Wf>h5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+hs9Ve~x?m4U1-J[SOH'NOES^WAC^KM_67Z&ZSEORGA_BGOH*tn{`dl?=5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+wotagmTcxz3:6g<]9%BS[G@/FGM[V_IKVCEW>?R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR9:Q#]VNB]JJZEBDE%xucmPf^mvp62<]9%BS[G@/FGM[V_IKVCEW>?R.R[MGZOIWJOG@"}vnb]nq}YaWf?95Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+vikVg~tR|Potv0a>S7'@U]EB!HEO]P]KEXAGQ8=P \YOA\MKYDMEF$t`l_s]f`bc(AVF9D#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP?]/QZJFYNFVIN@A!|yoa\vZir|;l0Y=!F_WKL+BCIWZSEORGA[23^*V_IKVCESNKCL.wpawYnfVd8<6[?/H]UMJ)@MGUXUCMPIOY0Y+U^FJUBBRMJLM-BW\HDWOUFYU=?;T2,MZPNG&MNBR]VNB]JJ^5Z&ZSEORGA_BGOH*GTQGIT^RCZX3g8Q5)NW_CD#JKA_R[MGZOIS:W%_T@L_HL\G@JK'ZBBJYQ]EF0e?P6(AV\BC"IJN^QZJFYNFR9V"^WAC^KM[FCKD&YRBNQI_LW[6c=R8&CTZDA GDL\W\HDW@DP?P \YOA\MKYDMEF$_T@L_S]NQ]553\:$ERXFO.EFJZU^FJUBBV=R.R[MGZOIWJOG@"]PAR[MGZ@XZLM8>6[?/H]UMJ)@MGUXUCMPIOY0Y+U^FJUBBRMJLM-P[DU^FJUYS_KH309V4*OX^@E$KH@PSXL@[LH\;T$XUCMPIO]@AIJ([VYRBNQI_SGD74=R8&CTZDA GDL\W\HDW@DP?P \YOA\MKYDMEF$_R]VNB]Q[WC@;81^<"GPVHM,C@HX[PDHSD@T3\,P]KEXAGUHIAB URGQ[FJLW[OL>i5Z0.K\RLI(OLDT_T@L_HLX7X(TQGITECQLEMN,QVCUWD_S>i5Z0.K\RLI(OLDT_T@L_HLX7X(TQGITECQLEMN,QVCUW[OL>h5Z0.K\RLI(OLDT_T@L_HLX7X(TQGITECQLEMN,QZ@FDECEK?j4U1-J[SOH'NOES^WAC^KM_6[)[PDHSD@PCDNO+PYUAZCEK>>4U1-J[SOH'NOES^WAC^KM_6[)[PDHSD@PCDNO+PYT\H^HAIQa2e9V4*OX^@E$KH@PSXL@[LH\;T$XUCMPIO]@AIJ(]VYRBNQI2e9V4*OX^@E$KH@PSXL@[LH\;T$XUCMPIO]@AIJ(]VYRBNQ]359V4*OX^@E$KH@PSXL@[LH\;T$XUCMPIO]@AIJ(izseoRczx^d\kpr4<2_;#DQYIN-DAKYTQGITECU<]/QZJFYNFVIN@A!nsxl`[hsW{Udyyn5Z0.K\RLI(OLDT_T@L_HLX7X(TQGITECQLEMN,ba2Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY0Y+U^FJUBBRMJLM-e`0Yh}}8o7X> I^TJK*ABFVYRBNQFNZ1^*V_IKVCESNKCL.ov|4Yh}}8i7X> I^TJK*ABFVYRBNQFNZ1^*V_IKVCESNKCL.pjwlh`:o1^<"GPVHM,C@HX[PDHSD@T3\,P]KEXAGUHIAB rhqjjbYh}}9o7X> I^TJK*ABFVYRBNQFNZ1^*V_IKVCESNKCL.qzjfYaWlnli"GPH3N-QZBH]]937X> I^TJK*ABFVYRBNQFNZ1^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW46><]9%BS[G@/FGM[V_IKVCEW>S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ>389V4*OX^@E$KH@PSXL@[LH\;T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5:5?45Z0.K\RLI(OLDT_T@L_HLX7X(TQGITECQLEMN,w|hdWoUnhjk iEMVP979:o1^<"GPVHM,C@HX[PDHSD@T3\,P]KEXAGUHIAB sxl`[cYh}}987X> I^TJK*ABFVYRBNQFNZ1^*V_IKVCESNKCL.qzjfYj}qUmSb{{329V4*OX^@E$KH@PSXL@[LH\;T$XUCMPIO]@AIJ({pdhS`{w_s]lqq5c3\:$ERXFO.EFJZU^FJUBBV=R.R[MGZOIWJOG@"}vnb]q[`b`m&CT@?F!U^FLQQ5?3\:$ERXFO.EFJZU^FJUBBV=R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[02:8Q5)NW_CD#JKA_R[MGZOIS:W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^:?45Z0.K\RLI(OLDT_T@L_HLX7X(TQGITECQLEMN,w|hdW{Unhjk iEMVP969;01^<"GPVHM,C@HX[PDHSD@T3\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=3=6c=R8&CTZDA GDL\W\HDW@DP?P \YOA\MKYDMEF$t`l_s]lqq4b3\:$ERXFO.EFJZU^FJUBBV=R.R[MGZOIWJOG@"{|es]jjZh482_;#DQYIN-DAKYTQGITECU;]/QZJFYNFVIN@A!NSXL@[CYJ]Q9;7X> I^TJK*ABFVYRBNQFNZ6^*V_IKVCESNKCL.CP]KEXZVG^T?k4U1-J[SOH'NOES^WAC^KM_1[)[PDHSD@PCDNO+VNNN]UYIJV"^WAC^KM[FCKD&YTM^WAC^D\V@A4:2_;#DQYIN-DAKYTQGITECU;]/QZJFYNFVIN@A!\_@QZJFYUW[OL?<5Z0.K\RLI(OLDT_T@L_HLX0X(TQGITECQLEMN,WZU^FJUMS_KH309V4*OX^@E$KH@PSXL@[LH\i5Z0.K\RLI(OLDT_T@L_HLX0X(TQGITECQLEMN,QZU^FJUM>i5Z0.K\RLI(OLDT_T@L_HLX0X(TQGITECQLEMN,QZU^FJUY?95Z0.K\RLI(OLDT_T@L_HLX0X(TQGITECQLEMN,evikVg~tRhPotv00>S7'@U]EB!HEO]P]KEXAGQ?Q#]VNB]JJZEBDE%jt`l_lw{[wYh}}8h7X> I^TJK*ABFVYRBNQFNZ6^*V_IKVCESNKCL.aokfmbl;i0Y=!F_WKL+BCIWZSEORGA[5_-W\HDW@DTOHBC/gcohlh`;91^<"GPVHM,C@HX[PDHSD@T4\,P]KEXAGUHIAB f`nomkaXg|~9o6[?/H]UMJ)@MGUXUCMPIOY7Y+U^FJUBBRMJLM-e`4Yh}}8h7X> I^TJK*ABFVYRBNQFNZ6^*V_IKVCESNKCL.dg6Zir|;i0Y=!F_WKL+BCIWZSEORGA[5_-W\HDW@DTOHBC/gf0[jss:j1^<"GPVHM,C@HX[PDHSD@T4\,P]KEXAGUHIAB fe6\kpr5k2_;#DQYIN-DAKYTQGITECU;]/QZJFYNFVIN@A!id4]lqq4c3\:$ERXFO.EFJZU^FJUBBV:R.R[MGZOIWJOG@"czx0]lqq4e3\:$ERXFO.EFJZU^FJUBBV:R.R[MGZOIWJOG@"|fshld6c=R8&CTZDA GDL\W\HDW@DP8P \YOA\MKYDMEF$~d}fnf]lqq5c3\:$ERXFO.EFJZU^FJUBBV:R.R[MGZOIWJOG@"}vnb]e[`b`m&CTD?B!U^FLQQ5?3\:$ERXFO.EFJZU^FJUBBV:R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[02:8Q5)NW_CD#JKA_R[MGZOIS=W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^:?45Z0.K\RLI(OLDT_T@L_HLX0X(TQGITECQLEMN,w|hdWoUnhjk iEMVP969;01^<"GPVHM,C@HX[PDHSD@T4\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=3=6c=R8&CTZDA GDL\W\HDW@DP8P \YOA\MKYDMEF$t`l_g]lqq543\:$ERXFO.EFJZU^FJUBBV:R.R[MGZOIWJOG@"}vnb]nq}YaWf?>5Z0.K\RLI(OLDT_T@L_HLX0X(TQGITECQLEMN,w|hdWdsSQ`uu1g?P6(AV\BC"IJN^QZJFYNFR>V"^WAC^KM[FCKD&yrbnQ}_dfda*OXD;B%YRJ@UU1;?P6(AV\BC"IJN^QZJFYNFR>V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__<>64U1-J[SOH'NOES^WAC^KM_1[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR6;01^<"GPVHM,C@HX[PDHSD@T4\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=2=7<=R8&CTZDA GDL\W\HDW@DP8P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1?12g9V4*OX^@E$KH@PSXL@[LH\V"^WAC^KM[FCKD&xiQfn^l04>S7'@U]EB!HEO]P]KEXAGQ>Q#]VNB]JJZEBDE%J_T@L_G]NQ]573\:$ERXFO.EFJZU^FJUBBV;R.R[MGZOIWJOG@"O\YOA\VZKRP;o0Y=!F_WKL+BCIWZSEORGA[4_-W\HDW@DTOHBC/RJJBQYUMN8m7X> I^TJK*ABFVYRBNQFNZ7^*V_IKVCESNKCL.QZJFYAWD_S>k5Z0.K\RLI(OLDT_T@L_HLX1X(TQGITECQLEMN,W\HDW[UFYU==;T2,MZPNG&MNBR]VNB]JJ^3Z&ZSEORGA_BGOH*UXIZSEORHPRDE06>S7'@U]EB!HEO]P]KEXAGQ>Q#]VNB]JJZEBDE%XSL]VNB]Q[WC@;81^<"GPVHM,C@HX[PDHSD@T5\,P]KEXAGUHIAB S^QZJFYAW[OL?<5Z0.K\RLI(OLDT_T@L_HLX1X(TQGITECQLEMN,WZU^FJUYS_KH309V4*OX^@E$KH@PSXL@[LH\=T$XUCMPIO]@AIJ(]ZOYSNBD_SGD6a=R8&CTZDA GDL\W\HDW@DP9P \YOA\MKYDMEF$Y^K]_LW[6a=R8&CTZDA GDL\W\HDW@DP9P \YOA\MKYDMEF$Y^K]_SGD6`=R8&CTZDA GDL\W\HDW@DP9P \YOA\MKYDMEF$YRHNLMKMC7b<]9%BS[G@/FGM[V_IKVCEW8S!SXL@[LHXKLFG#XQ]IRKMC66<]9%BS[G@/FGM[V_IKVCEW8S!SXL@[LHXKLFG#XQ\T@V@IAYi:m1^<"GPVHM,C@HX[PDHSD@T5\,P]KEXAGUHIAB U^QZJFYA:m1^<"GPVHM,C@HX[PDHSD@T5\,P]KEXAGUHIAB U^QZJFYU;=1^<"GPVHM,C@HX[PDHSD@T5\,P]KEXAGUHIAB ar{mgZkrpVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]2U'YRBNQFN^AFHI)f{pdhS`{w_s]lqq4d3\:$ERXFO.EFJZU^FJUBBV;R.R[MGZOIWJOG@"mcobif`7e<]9%BS[G@/FGM[V_IKVCEW8S!SXL@[LHXKLFG#koclhld75=R8&CTZDA GDL\W\HDW@DP9P \YOA\MKYDMEF$jlbcioe\kpr5k2_;#DQYIN-DAKYTQGITECU:]/QZJFYNFVIN@A!id0]lqq4d3\:$ERXFO.EFJZU^FJUBBV;R.R[MGZOIWJOG@"hk2^mvp7e<]9%BS[G@/FGM[V_IKVCEW8S!SXL@[LHXKLFG#kj<_nww6f=R8&CTZDA GDL\W\HDW@DP9P \YOA\MKYDMEF$ji:Potv1g>S7'@U]EB!HEO]P]KEXAGQ>Q#]VNB]JJZEBDE%mh8Q`uu0g?P6(AV\BC"IJN^QZJFYNFR?V"^WAC^KM[FCKD&g~t64U1-J[SOH'NOES^WAC^KM_0[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR6;01^<"GPVHM,C@HX[PDHSD@T5\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=2=7<=R8&CTZDA GDL\W\HDW@DP9P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1?12g9V4*OX^@E$KH@PSXL@[LH\=T$XUCMPIO]@AIJ({pdhSkQ`uu10?P6(AV\BC"IJN^QZJFYNFR?V"^WAC^KM[FCKD&yrbnQbuy]e[jss;:1^<"GPVHM,C@HX[PDHSD@T5\,P]KEXAGUHIAB sxl`[hsW{Udyy=k;T2,MZPNG&MNBR]VNB]JJ^3Z&ZSEORGA_BGOH*u~fjUyShjhe.K\H7N)]VNDYY=7;T2,MZPNG&MNBR]VNB]JJ^3Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS8:20Y=!F_WKL+BCIWZSEORGA[4_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV27<=R8&CTZDA GDL\W\HDW@DP9P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1>1389V4*OX^@E$KH@PSXL@[LH\=T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5;5>k5Z0.K\RLI(OLDT_T@L_HLX1X(TQGITECQLEMN,w|hdW{Udyy I^TJK*ABFVYRBNQFNZ4^*V_IKVCESNKCL.Q\EV_IKVLT^HI<2:W3+LYQAF%LICQ\YOA\MK]1U'YRBNQFN^AFHI)TWHYRBNQ]_SGD74=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$_R]VNB]E[WC@;81^<"GPVHM,C@HX[PDHSD@T6\,P]KEXAGUHIAB S^QZJFYUW[OL?<5Z0.K\RLI(OLDT_T@L_HLX2X(TQGITECQLEMN,QVCUWJF@S_KH2e9V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ(]ZOYS@[W2e9V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ(]ZOYS_KH2d9V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ(]VLJ@AGAG3f8Q5)NW_CD#JKA_R[MGZOIS?W%_T@L_HL\G@JK'\UYE^GAG228Q5)NW_CD#JKA_R[MGZOIS?W%_T@L_HL\G@JK'\UXXLZLME]m6a=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$YR]VNB]E6a=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$YR]VNB]Q71=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$m~wac^ov|Z`Xg|~886[?/H]UMJ)@MGUXUCMPIOY5Y+U^FJUBBRMJLM-bw|hdWdsSQ`uu0`?P6(AV\BC"IJN^QZJFYNFRT$XUCMPIO]@AIJ(nhfgeciPotv1g>S7'@U]EB!HEO]P]KEXAGQ=Q#]VNB]JJZEBDE%mhRazt3a8Q5)NW_CD#JKA_R[MGZOIS?W%_T@L_HL\G@JK'on8Sb{{2b9V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ(nm>Tcxz=c:W3+LYQAF%LICQ\YOA\MK]1U'YRBNQFN^AFHI)alk5Z0.K\RLI(OLDT_T@L_HLX2X(TQGITECQLEMN,vlunfnUdyy=k;T2,MZPNG&MNBR]VNB]JJ^0Z&ZSEORGA_BGOH*u~fjUmShjhe.K\L7J)]VNDYY=7;T2,MZPNG&MNBR]VNB]JJ^0Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS8:20Y=!F_WKL+BCIWZSEORGA[7_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV27<=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1>1389V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5;5>k5Z0.K\RLI(OLDT_T@L_HLX2X(TQGITECQLEMN,w|hdWoUdyy=<;T2,MZPNG&MNBR]VNB]JJ^0Z&ZSEORGA_BGOH*u~fjUfyuQi_nww76=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$t`l_lw{[wYh}}9o7X> I^TJK*ABFVYRBNQFNZ4^*V_IKVCESNKCL.qzjfYuWlnli"GPL3J-QZBH]]937X> I^TJK*ABFVYRBNQFNZ4^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW46><]9%BS[G@/FGM[V_IKVCEW;S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ>389V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5:5?45Z0.K\RLI(OLDT_T@L_HLX2X(TQGITECQLEMN,w|hdW{Unhjk iEMVP979:o1^<"GPVHM,C@HX[PDHSD@T6\,P]KEXAGUHIAB sxl`[wYh}}8n7X> I^TJK*ABFVYRBNQFNZ4^*V_IKVCESNKCL.wpawYnfVd8<6[?/H]UMJ)@MGUXUCMPIOY4Y+U^FJUBBRMJLM-BW\HDWOUFYU=?;T2,MZPNG&MNBR]VNB]JJ^1Z&ZSEORGA_BGOH*GTQGIT^RCZX3g8Q5)NW_CD#JKA_R[MGZOIS>W%_T@L_HL\G@JK'ZBBJYQ]EF0e?P6(AV\BC"IJN^QZJFYNFR=V"^WAC^KM[FCKD&YRBNQI_LW[6c=R8&CTZDA GDL\W\HDW@DP;P \YOA\MKYDMEF$_T@L_S]NQ]553\:$ERXFO.EFJZU^FJUBBV9R.R[MGZOIWJOG@"]PAR[MGZ@XZLM8>6[?/H]UMJ)@MGUXUCMPIOY4Y+U^FJUBBRMJLM-P[DU^FJUYS_KH309V4*OX^@E$KH@PSXL@[LH\?T$XUCMPIO]@AIJ([VYRBNQI_SGD74=R8&CTZDA GDL\W\HDW@DP;P \YOA\MKYDMEF$_R]VNB]Q[WC@;81^<"GPVHM,C@HX[PDHSD@T7\,P]KEXAGUHIAB URGQ[FJLW[OL>i5Z0.K\RLI(OLDT_T@L_HLX3X(TQGITECQLEMN,QVCUWD_S>i5Z0.K\RLI(OLDT_T@L_HLX3X(TQGITECQLEMN,QVCUW[OL>h5Z0.K\RLI(OLDT_T@L_HLX3X(TQGITECQLEMN,QZ@FDECEK?j4U1-J[SOH'NOES^WAC^KM_2[)[PDHSD@PCDNO+PYUAZCEK>>4U1-J[SOH'NOES^WAC^KM_2[)[PDHSD@PCDNO+PYT\H^HAIQa2e9V4*OX^@E$KH@PSXL@[LH\?T$XUCMPIO]@AIJ(]VYRBNQI2e9V4*OX^@E$KH@PSXL@[LH\?T$XUCMPIO]@AIJ(]VYRBNQ]359V4*OX^@E$KH@PSXL@[LH\?T$XUCMPIO]@AIJ(izseoRczx^d\kpr4<2_;#DQYIN-DAKYTQGITECU8]/QZJFYNFVIN@A!nsxl`[hsW{Udyyn5Z0.K\RLI(OLDT_T@L_HLX3X(TQGITECQLEMN,ba2Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY4Y+U^FJUBBRMJLM-e`0Yh}}8o7X> I^TJK*ABFVYRBNQFNZ5^*V_IKVCESNKCL.ov|4Yh}}8i7X> I^TJK*ABFVYRBNQFNZ5^*V_IKVCESNKCL.pjwlh`:o1^<"GPVHM,C@HX[PDHSD@T7\,P]KEXAGUHIAB rhqjjbYh}}9o7X> I^TJK*ABFVYRBNQFNZ5^*V_IKVCESNKCL.qzjfYaWlnli"GPH3N-QZBH]]937X> I^TJK*ABFVYRBNQFNZ5^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW46><]9%BS[G@/FGM[V_IKVCEW:S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ>389V4*OX^@E$KH@PSXL@[LH\?T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5:5?45Z0.K\RLI(OLDT_T@L_HLX3X(TQGITECQLEMN,w|hdWoUnhjk iEMVP979:o1^<"GPVHM,C@HX[PDHSD@T7\,P]KEXAGUHIAB sxl`[cYh}}987X> I^TJK*ABFVYRBNQFNZ5^*V_IKVCESNKCL.qzjfYj}qUmSb{{329V4*OX^@E$KH@PSXL@[LH\?T$XUCMPIO]@AIJ({pdhS`{w_s]lqq5c3\:$ERXFO.EFJZU^FJUBBV9R.R[MGZOIWJOG@"}vnb]q[`b`m&CT@?F!U^FLQQ5?3\:$ERXFO.EFJZU^FJUBBV9R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[02:8Q5)NW_CD#JKA_R[MGZOIS>W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^:?45Z0.K\RLI(OLDT_T@L_HLX3X(TQGITECQLEMN,w|hdW{Unhjk iEMVP969;01^<"GPVHM,C@HX[PDHSD@T7\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=3=6c=R8&CTZDA GDL\W\HDW@DP;P \YOA\MKYDMEF$t`l_s]lqq4b3\:$ERXFO.EFJZU^FJUBBV9R.R[MGZOIWJOG@"{|es]jjZh482_;#DQYIN-DAKYTQGITECU7]/QZJFYNFVIN@A!NSXL@[CYJ]Q9;7X> I^TJK*ABFVYRBNQFNZ:^*V_IKVCESNKCL.CP]KEXZVG^T?k4U1-J[SOH'NOES^WAC^KM_=[)[PDHSD@PCDNO+VNNN]UYIJZ&ZSEORGA_BGOH*U^FJUMS@[W2g9V4*OX^@E$KH@PSXL@[LH\0T$XUCMPIO]@AIJ([PDHS_QBUY11?P6(AV\BC"IJN^QZJFYNFR2V"^WAC^KM[FCKD&YTM^WAC^D\V@A4:2_;#DQYIN-DAKYTQGITECU7]/QZJFYNFVIN@A!\_@QZJFYUW[OL?<5Z0.K\RLI(OLDT_T@L_HLXi5Z0.K\RLI(OLDT_T@L_HLXi5Z0.K\RLI(OLDT_T@L_HLXS7'@U]EB!HEO]P]KEXAGQ3Q#]VNB]JJZEBDE%jt`l_lw{[wYh}}8h7X> I^TJK*ABFVYRBNQFNZ:^*V_IKVCESNKCL.aokfmbl;i0Y=!F_WKL+BCIWZSEORGA[9_-W\HDW@DTOHBC/gcohlh`;91^<"GPVHM,C@HX[PDHSD@T8\,P]KEXAGUHIAB f`nomkaXg|~9o6[?/H]UMJ)@MGUXUCMPIOY;Y+U^FJUBBRMJLM-e`4Yh}}8h7X> I^TJK*ABFVYRBNQFNZ:^*V_IKVCESNKCL.dg6Zir|;i0Y=!F_WKL+BCIWZSEORGA[9_-W\HDW@DTOHBC/gf0[jss:j1^<"GPVHM,C@HX[PDHSD@T8\,P]KEXAGUHIAB fe6\kpr5k2_;#DQYIN-DAKYTQGITECU7]/QZJFYNFVIN@A!id4]lqq4c3\:$ERXFO.EFJZU^FJUBBV6R.R[MGZOIWJOG@"czx0]lqq4e3\:$ERXFO.EFJZU^FJUBBV6R.R[MGZOIWJOG@"|fshld6c=R8&CTZDA GDL\W\HDW@DP4P \YOA\MKYDMEF$~d}fnf]lqq5c3\:$ERXFO.EFJZU^FJUBBV6R.R[MGZOIWJOG@"}vnb]e[`b`m&CTD?B!U^FLQQ5?3\:$ERXFO.EFJZU^FJUBBV6R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[02:8Q5)NW_CD#JKA_R[MGZOIS1W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^:?45Z0.K\RLI(OLDT_T@L_HLX5Z0.K\RLI(OLDT_T@L_HLX64U1-J[SOH'NOES^WAC^KM_=[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR6;01^<"GPVHM,C@HX[PDHSD@T8\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=2=7<=R8&CTZDA GDL\W\HDW@DP4P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1?12g9V4*OX^@E$KH@PSXL@[LH\0T$XUCMPIO]@AIJ({pdhSQ`uu0f?P6(AV\BC"IJN^QZJFYNFR2V"^WAC^KM[FCKD&xiQfn^l04>S7'@U]EB!HEO]P]KEXAGQ2Q#]VNB]JJZEBDE%J_T@L_G]NQ]573\:$ERXFO.EFJZU^FJUBBV7R.R[MGZOIWJOG@"O\YOA\VZKRP;o0Y=!F_WKL+BCIWZSEORGA[8_-W\HDW@DTOHBC/RJJBQYUMN8m7X> I^TJK*ABFVYRBNQFNZ;^*V_IKVCESNKCL.QZJFYAWD_S>k5Z0.K\RLI(OLDT_T@L_HLX=X(TQGITECQLEMN,W\HDW[UFYU==;T2,MZPNG&MNBR]VNB]JJ^?Z&ZSEORGA_BGOH*UXIZSEORHPRDE06>S7'@U]EB!HEO]P]KEXAGQ2Q#]VNB]JJZEBDE%XSL]VNB]Q[WC@;81^<"GPVHM,C@HX[PDHSD@T9\,P]KEXAGUHIAB S^QZJFYAW[OL?<5Z0.K\RLI(OLDT_T@L_HLX=X(TQGITECQLEMN,WZU^FJUYS_KH309V4*OX^@E$KH@PSXL@[LH\1T$XUCMPIO]@AIJ(]ZOYSNBD_SGD6a=R8&CTZDA GDL\W\HDW@DP5P \YOA\MKYDMEF$Y^K]_LW[6a=R8&CTZDA GDL\W\HDW@DP5P \YOA\MKYDMEF$Y^K]_SGD6`=R8&CTZDA GDL\W\HDW@DP5P \YOA\MKYDMEF$YRHNLMKMC7b<]9%BS[G@/FGM[V_IKVCEW4S!SXL@[LHXKLFG#XQ]IRKMC66<]9%BS[G@/FGM[V_IKVCEW4S!SXL@[LHXKLFG#XQ\T@V@IAYi:m1^<"GPVHM,C@HX[PDHSD@T9\,P]KEXAGUHIAB U^QZJFYA:m1^<"GPVHM,C@HX[PDHSD@T9\,P]KEXAGUHIAB U^QZJFYU;=1^<"GPVHM,C@HX[PDHSD@T9\,P]KEXAGUHIAB ar{mgZkrpVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]>U'YRBNQFN^AFHI)f{pdhS`{w_s]lqq4d3\:$ERXFO.EFJZU^FJUBBV7R.R[MGZOIWJOG@"mcobif`7e<]9%BS[G@/FGM[V_IKVCEW4S!SXL@[LHXKLFG#koclhld75=R8&CTZDA GDL\W\HDW@DP5P \YOA\MKYDMEF$jlbcioe\kpr5k2_;#DQYIN-DAKYTQGITECU6]/QZJFYNFVIN@A!id0]lqq4d3\:$ERXFO.EFJZU^FJUBBV7R.R[MGZOIWJOG@"hk2^mvp7e<]9%BS[G@/FGM[V_IKVCEW4S!SXL@[LHXKLFG#kj<_nww6f=R8&CTZDA GDL\W\HDW@DP5P \YOA\MKYDMEF$ji:Potv1g>S7'@U]EB!HEO]P]KEXAGQ2Q#]VNB]JJZEBDE%mh8Q`uu0g?P6(AV\BC"IJN^QZJFYNFR3V"^WAC^KM[FCKD&g~t64U1-J[SOH'NOES^WAC^KM_<[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR6;01^<"GPVHM,C@HX[PDHSD@T9\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=2=7<=R8&CTZDA GDL\W\HDW@DP5P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1?12g9V4*OX^@E$KH@PSXL@[LH\1T$XUCMPIO]@AIJ({pdhSkQ`uu10?P6(AV\BC"IJN^QZJFYNFR3V"^WAC^KM[FCKD&yrbnQbuy]e[jss;:1^<"GPVHM,C@HX[PDHSD@T9\,P]KEXAGUHIAB sxl`[hsW{Udyy=k;T2,MZPNG&MNBR]VNB]JJ^?Z&ZSEORGA_BGOH*u~fjUyShjhe.K\H7N)]VNDYY=7;T2,MZPNG&MNBR]VNB]JJ^?Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS8:20Y=!F_WKL+BCIWZSEORGA[8_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV27<=R8&CTZDA GDL\W\HDW@DP5P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1>1389V4*OX^@E$KH@PSXL@[LH\1T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5;5>k5Z0.K\RLI(OLDT_T@L_HLX=X(TQGITECQLEMN,w|hdW{Udyy8:W3+LYQAF%BB][[_RJJBQ:687;37X> I^TJK*OIX\^T_EGIT=32:4><]9%BS[G@/HLSQQYT@@L_0<<1199V4*OX^@E$EC^ZT^QKMCR;9:4:46[?/H]UMJ)NFY__S^FFFU>20;7?3\:$ERXFO.KMTPRX[ACMX1?:>0:8Q5)NW_CD#D@_UU]PLL@S48<5=55Z0.K\RLI(AGZ^XR]GIGV?528602_;#DQYIN-JJUSSWZBBJY2>8?3;?P6(AV\BC"GAPTV\WMOA\5;22<94U1-J[SOH'@D[YYQ\HHDW848602_;#DQYIN-JJUSSWZBBJY2=0?3;?P6(AV\BC"GAPTV\WMOA\58:2<64U1-J[SOH'@D[YYQ\HHDW8749911^<"GPVHM,MKVR\VYCEKZ322<2<>S7'@U]EB!FNQWW[VNNN]6983?7;T2,MZPNG&CE\XZPSIKEP9426820Y=!F_WKL+LHW]]UXDDH[<34=5==R8&CTZDA IORVPZUOAO^7>:0>8:W3+LYQAF%BB][[_RJJBQ:507;37X> I^TJK*OIX\^T_EGIT=0::41<]9%BS[G@/HLSQQYT@@L_0?0>8:W3+LYQAF%BB][[_RJJBQ:487;37X> I^TJK*OIX\^T_EGIT=12:4><]9%BS[G@/HLSQQYT@@L_0><1169V4*OX^@E$EC^ZT^QKMCR;;7;<7X> I^TJK*OIX\^T_EGIT=6=52=R8&CTZDA IORVPZUOAO^793?8;T2,MZPNG&CE\XZPSIKEP9099>1^<"GPVHM,MKVR\VYCEKZ37?34?P6(AV\BC"GAPTV\WMOA\525=:5Z0.K\RLI(AGZ^XR]GIGV?=;c<]9%BS[G@/SGPAQ753\:$ERXFO.QWEQYCG\^:?6[?/H]UMJ)RWMK_MRAZTg9V4*OX^@E$YRJ@UU3b?P6(AV\BC"[PSUCWQV)DNNUHIR`=9:W3+LYQAF%^S^ZNTTQ,BZUSI]Q;Q#GPSUCW*PYT\H^956[?/H]UMJ)RWZ^JXX] F^QWEQ]6U'CT_YO[.T]PPDR512_;#DQYIN-V[VRF\\Y$JR][AUY1Y+OX[]K_"XQ\T@V1=>S7'@U]EB!Z_RVBPPU(NVY_MYU<]/K\WQGS&\UXXLZ=9:W3+LYQAF%^S^ZNTTQ,BZUSI]Q?Q#GPSUCW*PYT\H^956[?/H]UMJ)RWZ^JXX] F^QWEQ]2U'CT_YO[.T]PPDR512_;#DQYIN-V[VRF\\Y$JR][AUY5Y+OX[]K_"XQ\T@V1=>S7'@U]EB!Z_RVBPPU(NVY_MYU8]/K\WQGS&\UXXLZ>a:W3+LYQAF%^S^ZNTTQ,PAIXflr=55Z0.K\RLI(]VY_MY[\/T]@AZh4<2_;#DQYIN-V[VRF\\Y$YR]BUY-V[FUXE\R$E9 Z_LW[53)Je|rTC?=:;T2,MZPNG&_T_YO[UR-V[VKRP&_TO^QBUY-J0+SXE\R::"Cbuy]L64513\:$ERXFO.W\WQGS]Z%^S^CZX.W\GVYJ]Q%B8#[PMTZ22*Kj}qUD><><5:W3+LYQAF%^S^ZNTTQ,QZUJ]Q%^SN]PMTZ,M1(RWD_S=;!Bmtz\K744=2_;#DQYIN-V[VRF\\Y$YR]BUY-V[FUXE\R$E9 Z_LW[53)Je|rTC?=<5:W3+LYQAF%^S^ZNTTQ,QZUJ]Q%^SN]PMTZ,M1(RWD_S=;!Bmtz\K724=2_;#DQYIN-V[VRF\\Y$YR]BUY-V[FUXE\R$E9 Z_LW[53)Je|rTC?;<5:W3+LYQAF%^S^ZNTTQ,QZUJ]Q%^SN]PMTZ,M1(RWD_S=;!Bmtz\K704=2_;#DQYIN-V[VRF\\Y$YR]BUY-V[FUXE\R$E9 Z_LW[53)Je|rTC?9<5:W3+LYQAF%^S^ZNTTQ,QZUJ]Q%^SN]PMTZ,M1(RWD_S=;!Bmtz\K7>4=2_;#DQYIN-V[VRF\\Y$YR]BUY-V[FUXE\R$E9 Z_LW[53)Je|rTC?7=2:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!LI=3=67=R8&CTZDA U^QWEQST'\UXXLZPCOV,GL:56;80Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'JC7?3<=;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"MF<5<16>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-@M939:;1^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(K@6=2?<4U1-J[SOH'\UXXLZZS.W\WQGSWJD_#NG37?02?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.F?4;463\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*B;978:7X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&N7>3<>;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"J33?02?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.F?0;463\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*B;=78:7X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&N7:3<>;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"J37?0g?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.EX4X(@fA$^S@[WCX0;?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.EX4X(RWONYI?94U1-J[SOH'\UXXLZZS.W\WQGSWJD_#JU?]/W\HPR512_;#DQYIN-V[VRF\\Y$YR][AU]@JQ)@S9W%YRV@RB[1`>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_4[)OgB%YRCZXB[1<>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_4[)]VLO^H<8;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"IT1\,V[ISS:01^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(OR;V"XQWOSAZ6a=R8&CTZDA U^QWEQST'\UXXLZPCOV,C^4Z&NdC"XQBUYAZ6==R8&CTZDA U^QWEQST'\UXXLZPCOV,C^4Z&\UMH_K=7:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!H[3_-QZJR\;30Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'NQ9Q#[PXNP@]7b<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+B]4U'MeD#[PMTZ@]7><]9%BS[G@/T]PPDRR[&_T_YO[_BLW+B]4U'_TJI\J269V4*OX^@E$YR][AUWP+PYT\H^TOCZ GZ1^*PYK]]827X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&MP?P Z_YMQG\4c3\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*A\45Z0.K\RLI(]VY_MY[\/T]PPDRXKG^$KV;R.T][KWE^:m1^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(ORS7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_3[)]VF^X?74U1-J[SOH'\UXXLZZS.W\WQGSWJD_#JU9]/W\\JTDQ;20Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'NQ I^TJK*SX[]K_Y^!Z_RVBPZEI\&Y7<3<>;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"]31?02?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.Q?6;463\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*U;;78:7X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&Y783<>;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"]35?02?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.Q?2;463\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*U;?7;<7X> I^TJK*SX[]K_Y^!Z_UFL5d=R8&CTZDA U^QWEQST'`Y_MY2?>0c8Q5)NW_CD#XQ\T@VVW*oT\H^7=3?n;T2,MZPNG&_T_YO[UR-jWQGS4;4:m6[?/H]UMJ)RWZ^JXX] iRVBP9599h1^<"GPVHM,QZUSI]_X#d][AU>7:4g<]9%BS[G@/T]PPDRR[&cXXLZ35?3b?P6(AV\BC"[PSUCWQV)n[]K_0;0>a:W3+LYQAF%^S^ZNTTQ,mVRF\5=5=h5Z0.K\RLI(]VY_MY[\/hQWEQYDF]6;2e:W3+LYQAF%^S^ZNTTQ,mVRF\VIEX1=11d9V4*OX^@E$YR][AUWP+lUSI]UHBY2;>0g8Q5)NW_CD#XQ\T@VVW*oT\H^TOCZ35?3f?P6(AV\BC"[PSUCWQV)n[]K_SN@[<7<2a>S7'@U]EB!Z_RVBPPU(aZ^JXRMAT=5=67=R8&CTZDA rdqfpZ`Xmmmn#DQG2M,V[AIR\8n0Y=!F_WKL+wctm}UmShjhe.W\@JSS88n0Y=!F_WKL+wctm}UmShjhe.W\@JSS98o0Y=!F_WKL+wctm}UmShjhe.kGKPR;87;n7X> I^TJK*tb{l~TjRkkgd-j@JSS484h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDji;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk54_^][HKKXWV;;SRQZ0.K\RLI(OLDT_T@L_HLX65[)[PDHSD@PCDNO+DU^FJUYS@[W419\[Z^KFDUTSYXWQFEARQP13]\[P6(AV\BC"IJN^QZJFYNFR89Q#]VNB]JJZEBDE%J_T@L_S]NQ]273VUTTA@B_^]27ZYX]9%BS[G@/FGM[V_IKVCEW?=R.R[MGZOIWJOG@"O\YOA\VZKRP=:0SRQWLOO\[Z73WVU^<"GPVHM,C@HX[PDHSD@T25_-W\HDW@DTOHBC/@QZJFYUWD_S8=5P_^ZOJHYXW8?TSR[?/H]UMJ)@MGUXUCMPIOY11X(TQGITECQLEMN,EV_IKVXTAXV;0:]\[]JIEVUT=;QP_T2,MZPNG&MNBR]VNB]JJ^41U'YRBNQFN^AFHI)F[PDHS_QBUY63?ZYXPEDFSRQ>7^]\Q5)NW_CD#JKA_R[MGZOIS;=V"^WAC^KM[FCKD&KXUCMPR^OV\165S!SXL@[LHXKLFG#L]VNB]Q[HS_<91TSRVCNL]\[4?XWV_;#DQYIN-DAKYTQGITECU=9\,P]KEXAGUHIAB AR[MGZTXE\R8j6QP_YNMIZYX9VUTY=!F_WKL+BCIWZSEORGA[03^*V_IKVCESNKCL.CP]KEXZVG^T9>4_^][HKKXWV8;SRQZ0.K\RLI(OLDT_T@L_HLX75[)[PDHSD@PCDNO+DU^FJUYS@[W419\[Z^KFDUTS??P_^W3+LYQAF%LICQ\YOA\MK]49T$XUCMPIO]@AIJ(IZSEOR\PMTZ0b>YXWQFEARQP23]\[P6(AV\BC"IJN^QZJFYNFR:V"^WAC^KM[FCKD&KXUCMPR^OV\6` I^TJK*ABFVYRBNQFNZ6^*V_IKVCESNKCL.CP]KEXZVG^T>h4_^][HKKXWV85QP_T2,MZPNG&MNBR]VNB]JJ^0Z&ZSEORGA_BGOH*GTQGIT^RCZX2d8[ZY_DGGTSR<6_^]V4*OX^@E$KH@PSXL@[LH\?T$XUCMPIO]@AIJ(IZSEOR\PMTZ0b>YXWQFEARQP2^]\Q5)NW_CD#JKA_R[MGZOIS88V"^WAC^KM[FCKD&KXUCMPR^OV\6` I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-P]KEXZVG^T>h4_^][HKKXWV9?SRQZ0.K\RLI(OLDT_T@L_HLX57[)[PDHSD@PCDNO+V_IKVXTAXVYXWQFEARQP36]\[P6(AV\BC"IJN^QZJFYNFR;>Q#]VNB]JJZEBDE%XUCMPR^OV\6`3\,P]KEXAGUHIAB AR[MGZTXE\R8j6QP_YNMIZYX<9UTSX> I^TJK*ABFVYRBNQFNZ3;Y+U^FJUBBRMJLM-P]KEXZVG^T>h4_^][HKKXWV>:SRQZ0.K\RLI(OLDT_T@L_HLX5<[)[PDHSD@PCDNO+V_IKVXTAXVYXWQFEARQP45]\[P6(AV\BC"IJN^QZJFYNFR89Q#]VNB]JJZEBDE%XUCMPR^OV\6`>S!SXL@[LHXKLFG#^WAC^P\IP^4n2UTSUBAM^]\03YXW\:$ERXFO.EFJZU^FJUBBV<;]/QZJFYNFVIN@A!\YOA\VZKRP:l0SRQWLOO\[Z20WVU^<"GPVHM,C@HX[PDHSD@T24_-W\HDW@DTOHBC/R[MGZTXE\R8j6QP_YNMIZYX<1UTSX> I^TJK*ABFVYRBNQFNZ05Y+U^FJUBBRMJLM-P]KEXZVG^T>h4_^][HKKXWV>2SRQZ0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+V_IKVXTAXVYXWQFEARQP50]\[P6(AV\BC"IJN^QZJFYNFR82Q#]VNB]JJZEBDE%XUCMPR^OV\6`]/QZJFYNFVIN@A!\YOA\VZKRP:o0SRQWLOO\[Z33WVU^<"GPVHM,C@HX[PDHSD@T0\,P]KEXAGUHIAB SXL@[WYJ]Q9n7RQPXMLN[ZY2=VUTY=!F_WKL+BCIWZSEORGA[0_-W\HDW@DTOHBC/R[MGZTXE\R8i6QP_YNMIZYX=?UTSX> I^TJK*ABFVYRBNQFNZ0^*V_IKVCESNKCL.QZJFYUWD_S?h5P_^ZOJHYXW<=TSR[?/H]UMJ)@MGUXUCMPIOY0Y+U^FJUBBRMJLM-P]KEXZVG^T>k4_^][HKKXWV?3SRQZ0.K\RLI(OLDT_T@L_HLX0X(TQGITECQLEMN,W\HDW[UFYU=j;^]\\IHJWVU>5RQPU1-J[SOH'NOES^WAC^KM_0[)[PDHSD@PCDNO+V_IKVXTAXVT$XUCMPIO]@AIJ([PDHS_QBUY1f?ZYXPEDFSRQ91^]\Q5)NW_CD#JKA_R[MGZOIS>W%_T@L_HL\G@JK'ZSEOR\PMTZ0a>YXWQFEARQP63]\[P6(AV\BC"IJN^QZJFYNFR2V"^WAC^KM[FCKD&YRBNQ]_LW[7`=XWVRGB@QP_71\[ZS7'@U]EB!HEO]P]KEXAGQ2Q#]VNB]JJZEBDE%XUCMPR^OV\6b=S!SXL@[LHXKLFG#X]JR^OV\6b84cikswfiwmV|bcRh}olakmu*d``zxob~j_wkl[s7X8=Uj CAAE-LLJ@4al2ice}}loqg\rliXn{efoeg,bjjtvehxlU}ebQy1^27[d*|kVrhcRbfsu?@khvhfldSCobeCiikfnSL}vnbjqkkir{VE~x}{{_Qmqp8`fdzo0_t`lhsmmkpuXAgz~xR^`ru]Tmaro5:80_t`lhsmmkpuXG|~{yyQ_osv\Slbs`420M~wacipljjstW@d{yyQ_osv\Slbs`420M~wacipljjstWF|xzPPnpw[Roc|a737D`yesv\GiidcVCe|xz2f`npa)eXpmo}enkialko}8uwi{~jb;"l_bmqaZr~xl72 nQbff]j`87+kVgjfb|Pvdppmjh:9:&hS`gaos]uawungg7: nQmuhng[wcqazcdb0>#c^alv`YjiceyS{k}=0.`[fiumVgbbb|Pvdp>6)eXkfxnS`gaos]bhunfWoy149#c^qqh40X|pzn1?"l_tqf[lhqWjf`1="l_tqf[du~fjUbb0>#c^wpaZgtqgiTcxz20-a\qvcX{pdhSd`21-a\qvcX{pdhSb{{=1.`[du~fjUbbRyfduj><)eXizseoRazt^uj`qn:0%iTt`l_hl\slbs`499 nQ|yoa\kprX`nd06#c^g{ehvkmVnndia=upva){492ice}}loqg\rliX~8U;8Ro# Ykomk~'KFXN,Jkaescwkw&6;';<7naznugq5g=d{Vidby|`lcwp[}usfx`mazne48gvYj}q20o~Qbuy35b>ccolUoiykltnp\5c=blnoThhzjcumq[7>030LMv82b8E>1<6sZ>n6;j6:649564ckk21j9;tn7f4>4=i>m21:6*9d485gc=z[;=1:i75778277bdj10m8i5\668473<72899hnl7:g73?V402>9=6=4>33f`f==7{Z70;295?7=;01]:i852zw44?7<}>;1<6s+798b?g15k3:1884<1`801`}O>ji0(l:573a8^`<5s1026p*9d5846c=#9;0<>i5+4e846`=n1k0;6)9n:8c8j2?=821b544?:%5b>31=65f9683>!1f20k0b:752:9j=3<72-=j64o4n6;97>=n1<0;6)9n:8c8j2?=<21b594?:%5b>31965f9283>!1f20k0b:756:9j=7<72-=j64o4n6;93>=n180;6)9n:8c8j2?=021b5=4?:%5b>31565f8g83>!1f20k0b:75a:9j<`<72-=j64o4n6;9f>=n0j0;6)9n:8c8j2?=k21b4o4?:%5b>31h65f8`83>!1f20k0b:75e:9j<<<72-=j64o4n6;9b>=n010;6)9n:8c8j2?=9910e5950;&4e??f3g=265<#?h02m6`89;31?>o?=3:1(:o59`9m3<<6;21b494?:%5b>31=954i9194?"0i33j7c96:078?lg5290/;l46a:l4=?7132cj=7>5$6c9=d=i?00:;65fa183>!1f20k0b:751998m<`=83.4?<3`3n6=4+7`8:e>h013;j76g6d;29 2g=1h1e;44>b:9j=f<72-=j64o4n6;95f=28n07d6k:18'3d<>i2d<57?j;:k;6?6=,>k15l5a7882b>=,<<0;6)9n:568j2?=821 8>4?:%5b>1231=65$4383>!1f2=>0b:752:9(04<72-=j69:4n6;97>=,<90;6)9n:568j2?=<21 ?k4?:%5b>1231965$3e83>!1f2=>0b:756:9(7f<72-=j69:4n6;93>=,;k0;6)9n:568j2?=021 ?l4?:%5b>1231565$3883>!1f2=>0b:75a:9(7=<72-=j69:4n6;9f>=,;>0;6)9n:568j2?=k21 ?;4?:%5b>1231h65$3483>!1f2=>0b:75e:9(71<72-=j69:4n6;9b>=,;;0;6)9n:568j2?=9910'>?50;&4e?233g=265<#?h0?86`89;31?>-5n3:1(:o5459m3<<6;21 >h4?:%5b>1231=954+3f94?"0i3>?7c96:078?.4d290/;l4;4:l4=?7132!9n7>5$6c901=i?00:;65$2`83>!1f2=>0b:751998/7?=83.4?<3">h6=4+7`870>h013;j76%;b;29 2g=<=1e;44>b:9(0d<72-=j69:4n6;95f=<#=31<7*8a;67?k1>28n07&:7:18'3d<3<2d<57?j;:)73?6=,>k1895a7882b>=,;l0;6)9n:568j2?=:810'>=50;&4e?233g=26?<4;*0;>5<#?h0?86`89;00?>o6<3:17&:9:18'3d<3<2d<57m;0D;ml;n54>5<7>51;294~"f<3?i7E8k1:J5gf=h=h0;66sm67;94?2=83:p(l:56c9K2a7<@?ih7)8<:028m0b=831b9h4?::k6b?6=3f=>6=44}c4`5;n56>5<55;294~"f<3:0><6g:d;29?l3b2900e8h50;9j25<722e<97>5;|`52a<72<0;6=u+a585g>N1l81C:nm4$7193>o2l3:17d;j:188m0`=831b:=4?::m41?6=3th=:h4?:483>5}#i=0=o6F9d09K2fe<,?9196g:d;29?l3b2900e8h50;9j25<722e<97>5;|`52c<72<0;6=u+a585g>N1l81C:nm4$7196>o2l3:17d;j:188m0`=831b:=4?::m41?6=3th=;=4?:483>5}#i=0=o6F9d09K2fe<,?91?6g:d;29?l3b2900e8h50;9j25<722e<97>5;|`534<72<0;6=u+a585g>N1l81C:nm4$7196>o2l3:17d;j:188m0`=831b:=4?::m41?6=3th=;?4?:483>5}#i=0=o6F9d09K2fe<,?91>6g:d;29?l3b2900e8h50;9j25<722e<97>5;|`531<72;0;6=u+a5850>N1l81C:nm4i4a94?=h?<0;66sm6c494?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm68094?5=83:p(l:56e28L3b63A3;29?l022900c:;50;9~f3>a290?6=4?{%c7>3`<@?n:7E8lc:k27?6=3`?:6=44i6d94?=h>?0;66sm68494?5=83:p(l:56e28L3b63A3;29?l022900c:;50;9~f3?4290?6=4?{%c7>3`<@?n:7E8lc:k27?6=3`?:6=44i6d94?=h>?0;66sm68c94?5=83:p(l:56e28L3b63A3;29?l022900c:;50;9~f3?0290?6=4?{%c7>3`<@?n:7E8lc:k27?6=3`?:6=44i6d94?=h>?0;66sm68g94?5=83:p(l:56e28L3b63A3;29?l022900c:;50;9~f3?e290?6=4?{%c7>3`<@?n:7E8lc:k27?6=3`?:6=44i6d94?=h>?0;66sm6`094?5=83:p(l:56e28L3b63A3;29?l022900c:;50;9~f3?a290?6=4?{%c7>3`<@?n:7E8lc:k27?6=3`?:6=44i6d94?=h>?0;66sm6`494?5=83:p(l:56e28L3b63A3;29?l022900c:;50;9~f3g4290?6=4?{%c7>3`<@?n:7E8lc:k27?6=3`?:6=44i6d94?=h>?0;66sm6`c94?5=83:p(l:56e28L3b63A3;29?l022900c:;50;9~f3g0290?6=4?{%c7>3`<@?n:7E8lc:k27?6=3`?:6=44i6d94?=h>?0;66sm6`f94?5=83:p(l:56e28L3b63A3;29?l022900c:;50;9~f3?629096=4?{%c7>3g<@?n:7E8lc:&57?0>{e>0?1<7<50;2x d2=>h1C:i?4H7a`?!042?1b9i4?::m41?6=3th=544?:383>5}#i=0=m6F9d09K2fe<,?91:6g:d;29?j122900qo86d;296?6=8r.j878n;I4g5>N1kj1/:>49;h7g>5<?1<75rb7c2>5<5290;w)o;:7c8L3b63At$`692d=O>m;0D;ml;%40>3=n=m0;66a85;29?xd1i00;6?4?:1y'e1<1i2B=h<5G6ba8 35=>2c>h7>5;n56>5<52;294~"f<3:0=7d;k:188k23=831vn;7?:186>5<7s-k?6894H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188m2c=831d;i4?::a2<2=83?1<7>t$`6912=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831b;h4?::m4`?6=3th=554?:483>5}#i=0>;6F9d09K2fe<,?91=6gi:188m47=831b8k4?::k4a?6=3f=o6=44}c4:g?6==3:1;I4`g>"1;3;0ek4?::k25?6=3`>m6=44i6g94?=h?m0;66sm6`694?3=83:p(l:5569K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=n?l0;66a8d;29?xd1i10;684?:1y'e1<2?2B=h<5G6ba8 35=92cm6=44i0394?=nN1kj1/:>4>;hd94?=n980;66g;f;29?l1b2900c:j50;9~f1c6290?6=4?{%c7>3d<@?n:7E8lc:&57?773-=i6:>n;h7g>5<>i0=3:17pl;e383>1<729q/m949b:J5`4=O>ji0(;=5119'3g<08h1b9i4?::k6a?6=3`?m6=44o6794?=zj=nn6=4;:183!g32?h0D;j>;I4`g>"1;3;;7)9m:7g4?l3c2900e8k50;9j1c<722e<97>5;|`7`c<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0=i:5f5e83>>o2m3:17d;i:188k23=831vn9k7:187>5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>2413`?o6=44i4g94?=n=o0;66a85;29?xd3mj0;6?4?:1y'e1<1<2B=h<5G6ba8m0e=831d;84?::a0cb=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a0cc=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a0ce=83>1<7>t$`6913=O>m;0D;ml;%40>4=nn3:17d:i:188m2c=831d;i4?::a153=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a150=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a152=83>1<7>t$`6913=O>m;0D;ml;%40>4=nn3:17d:i:188m2c=831d;i4?::a0`b=83?1<7>t$`6912=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831b;h4?::m4`?6=3th?ih4?:483>5}#i=0>;6F9d09K2fe<,?91=6gi:188m47=831b8k4?::k4a?6=3f=o6=44}c6f3?6=<3:1"0j3=;56g:d;29?l3b2900e8h50;9l30<722wi8o;50;694?6|,h>1:o5G6e38L3ed3-<86<>4$6`935?>o2n3:17b9::188yg2e93:187>50z&b0?0e3Al<0e8j50;9j1`<722c>j7>5;n56>5<in7>54;294~"f<3:0:<6*8b;52<>o2l3:17d;j:188m0`=831d;84?::a0g`=8381<7>t$`6921=O>m;0D;ml;h7`>5<?1<75rb5f3>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb5f2>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb5ae>5<3290;w)o;:448L3b63A5;h5f>5<n1<75rb5f;>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb5f:>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb5f4>5<3290;w)o;:448L3b63A5;h5f>5<n1<75rb5a3>5<2290;w)o;:458L3b63A5;h6e>5<o1<75`7e83>>{e1C:i?4H7a`?!04281bj7>5;h32>5<>i0l3:17pl;b`83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl;b283>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl;b983>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl;b883>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl;b783>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl;b683>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl;bb83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl;5`83>1<729q/m949b:J5`4=O>ji0(;=5119'3g<0811b9i4?::k6a?6=3`?m6=44o6794?=zj=?i6=4;:183!g32?h0D;j>;I4`g>"1;3;;7)9m:62;?l3c2900e8k50;9j1c<722e<97>5;|`712<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0=i95f5e83>>o2m3:17d;i:188k23=831vn9;7:187>5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>3c33`?o6=44i4g94?=n=o0;66a85;29?xd3>80;694?:1y'e1<1j2B=h<5G6ba8 35=991/;o48279j1a<722c>i7>5;h7e>5<?1<75rb546>5<5290;w)o;:768L3b63AN1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo:87;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo:85;290?6=8r.j87;9;I4g5>N1kj1/:>4>;hd94?=nN1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo:8f;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo:8d;290?6=8r.j87;9;I4g5>N1kj1/:>4>;hd94?=nN1kj1/:>4>;hd94?=n980;66g;f;29?l1b2900c:j50;9~f100290>6=4?{%c7>01<@?n:7E8lc:&57?71;29?l2a2900e:k50;9l3a<722wi8;>50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi88750;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi88k50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi88h50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi88m50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi88j50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi8;<50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi8?j50;694?6|,h>1:o5G6e38L3ed3-<86<>4$6`9351>o2n3:17b9::188yg25m3:187>50z&b0?0e3Al90e8j50;9j1`<722c>j7>5;n56>5<9n7>54;294~"f<3:0:<6*8b;4f7>o2l3:17d;j:188m0`=831d;84?::a062=83>1<7>t$`692g=O>m;0D;ml;%40>46<,>h1;??4i4f94?=n=l0;66g:f;29?j122900qo:<8;296?6=8r.j878;;I4g5>N1kj1b9n4?::m41?6=3th?844?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th?8l4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th?854?:583>5}#i=0>:6F9d09K2fe<,?91=6gi:188m1`=831b;h4?::m4`?6=3th?9<4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th?9?4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th?9=4?:583>5}#i=0>:6F9d09K2fe<,?91=6gi:188m1`=831b;h4?::m4`?6=3th??44?:483>5}#i=0>;6F9d09K2fe<,?91=6gi:188m47=831b8k4?::k4a?6=3f=o6=44}c60e?6==3:1;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj=8h6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj=9:6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj=996=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj=8m6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj=9;6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj=9>6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj=:;6=4;:183!g32?h0D;j>;I4`g>"1;3;;7)9m:626?l3c2900e8k50;9j1c<722e<97>5;|`744<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0<<85f5e83>>o2m3:17d;i:188k23=831vn>hk:187>5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>3c53`?o6=44i4g94?=n=o0;66a85;29?xd4nl0;694?:1y'e1<1j2B=h<5G6ba8 35=991/;o49e39j1a<722c>i7>5;h7e>5<?1<75rb524>5<3290;w)o;:7`8L3b63A8:7d;k:188m0c=831b9k4?::m41?6=3th?5}#i=0=86F9d09K2fe>{e<8i1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e<8n1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e<8h1<7:50;2x d2==?1C:i?4H7a`?!04281bj7>5;h6e>5<o1<75`7e83>>{e<;>1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e<;?1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e<;91<7:50;2x d2==?1C:i?4H7a`?!04281bj7>5;h6e>5<o1<75`7e83>>{e<9i1<7;50;2x d2==>1C:i?4H7a`?!04281bj7>5;h32>5<>i0l3:17pl;0e83>0<729q/m94:7:J5`4=O>ji0(;=51:ke>5<>o0m3:17b9k:188yg27>3:187>50z&b0?323A>o3n3:17b9k:188yg5an3:187>50z&b0?323A>o3n3:17b9k:188yg27<3:187>50z&b0?323A>o3n3:17b9k:188yg27=3:187>50z&b0?323A>o3n3:17b9k:188yg27:3:187>50z&b0?323A>o3n3:17b9k:188yg27;3:187>50z&b0?323A>o3n3:17b9k:188yg2703:187>50z&b0?323A>o3n3:17b9k:188yg5c;3:187>50z&b0?0e3A0e8j50;9j1`<722c>j7>5;n56>5<54;294~"f<3:0:<6*8b;4f5>o2l3:17d;j:188m0`=831d;84?::a7a7=83>1<7>t$`692g=O>m;0D;ml;%40>46<,>h1:h?4i4f94?=n=l0;66g:f;29?j122900qo=ka;290?6=8r.j878m;I4g5>N1kj1/:>4>0:&4f?1582c>h7>5;h7f>5<>{e;mo1<7<50;2x d2=>=1C:i?4H7a`?l3d2900c:;50;9~f6ca290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f6`7290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f6cb290?6=4?{%c7>00<@?n:7E8lc:&57?703<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f6`?290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f6`1290?6=4?{%c7>00<@?n:7E8lc:&57?76=4?{%c7>01<@?n:7E8lc:&57?71;29?l2a2900e:k50;9l3a<722wi?h>50;794?6|,h>19:5G6e38L3ed3-<86<5ff;29?l762900e9h50;9j3`<722e5;|`0`<<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`0`7<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`0`2<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`0`=<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`0`0<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`0`3<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`0`g<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`0e3<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0<<>5f5e83>>o2m3:17d;i:188k23=831vn>o8:187>5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>2643`?o6=44i4g94?=n=o0;66a85;29?xd4i:0;694?:1y'e1<1j2B=h<5G6ba8 35=991/;o49e19j1a<722c>i7>5;h7e>5<?1<75rb2c7>5<3290;w)o;:7`8L3b63A5}#i=0=n6F9d09K2fe<,?91==5+7c8465=n=m0;66g:e;29?l3a2900c:;50;9~f6d629096=4?{%c7>32<@?n:7E8lc:k6g?6=3f=>6=44}c1`6?6=<3:1;I4`g>"1;3;0ek4?::k25?6=3`>m6=44i6g94?=h?m0;66sm3`a94?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm3`794?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm3`c94?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm3``94?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm3`:94?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm3`;94?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm3`g94?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm36;94?2=83:p(l:56c9K2a7<@?ih7)8<:028 2d=?980e8j50;9j1`<722c>j7>5;n56>5<54;294~"f<3:0:<6*8b;536>o2l3:17d;j:188m0`=831d;84?::a720=83>1<7>t$`692g=O>m;0D;ml;%40>46<,>h1:ih4i4f94?=n=l0;66g:f;29?j122900qo=87;290?6=8r.j878m;I4g5>N1kj1/:>4>0:&4f?0cn2c>h7>5;h7f>5<>{e;1:1<7:50;2x d2=>k1C:i?4H7a`?!0428:0(:l570d8m0b=831b9h4?::k6b?6=3f=>6=44}c1;0?6=:3:1>i0=3:17pl<9483>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl<9783>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl<9583>1<729q/m94:6:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl<9e83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl<9d83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl<9b83>1<729q/m94:6:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl<8483>0<729q/m94:7:J5`4=O>ji0(;=51:ke>5<>o0m3:17b9k:188yg5?>3:197>50z&b0?303A>o3n3:17d9j:188k2b=831vn>9i:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn>97:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn>9k:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn>9j:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn>9m:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn>9l:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn>6>:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn>:l:187>5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>2663`?o6=44i4g94?=n=o0;66a85;29?xd4i7>5;h7e>5<?1<75rb26:>5<3290;w)o;:7`8L3b63A5}#i=0=n6F9d09K2fe<,?91==5+7c85``=n=m0;66g:e;29?l3a2900c:;50;9~f634290?6=4?{%c7>3d<@?n:7E8lc:&57?773-=i6:?i;h7g>5<>i0=3:17pl<5683>7<729q/m9494:J5`4=O>ji0e8m50;9l30<722wi?;650;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi?;750;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi?;950;694?6|,h>19;5G6e38L3ed3-<86<5ff;29?l2a2900e:k50;9l3a<722wi?:>50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi?:?50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi?;h50;694?6|,h>19;5G6e38L3ed3-<86<5ff;29?l2a2900e:k50;9l3a<722wi?8650;794?6|,h>19:5G6e38L3ed3-<86<5ff;29?l762900e9h50;9j3`<722e5;|`01<<72<0;6=u+a5863>N1l81C:nm4$7195>oa2900e5;n5g>5<>7>54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<<7>54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<=7>54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<87>54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<54;294~"f<3:0:<6*8b;534>o2l3:17d;j:188m0`=831d;84?::a776=83>1<7>t$`692g=O>m;0D;ml;%40>46<,>h1;=>4i4f94?=n=l0;66g:f;29?j122900qo=>c;290?6=8r.j878m;I4g5>N1kj1/:>4>0:&4f?0cl2c>h7>5;h7f>5<>{e;8n1<7:50;2x d2=>k1C:i?4H7a`?!0428:0(:l56ef8m0b=831b9h4?::k6b?6=3f=>6=44}c112?6=<3:1"0j3=:i6g:d;29?l3b2900e8h50;9l30<722wi??o50;094?6|,h>1:95G6e38L3ed3`?h6=44o6794?=zj:9i6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj:9h6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj:9j6=4;:183!g32<<0D;j>;I4`g>"1;3;0ek4?::k7b?6=3`=n6=44o6f94?=zj:>86=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj:>?6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj:>96=4;:183!g32<<0D;j>;I4`g>"1;3;0ek4?::k7b?6=3`=n6=44o6f94?=zj:8i6=4::183!g32<=0D;j>;I4`g>"1;3;0ek4?::k25?6=3`>m6=44i6g94?=h?m0;66sm33a94?3=83:p(l:5569K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=n?l0;66a8d;29?xd4:<0;694?:1y'e1<2=2B=h<5G6ba8 35=92cm6=44i0394?=n0;694?:1y'e1<2=2B=h<5G6ba8 35=92cm6=44i0394?=ni7>5;h7e>5<?1<75rb3d0>5<3290;w)o;:7`8L3b63A5}#i=0=n6F9d09K2fe<,?91==5+7c85`f=n=m0;66g:e;29?l3a2900c:;50;9~f7`7290?6=4?{%c7>3d<@?n:7E8lc:&57?773-=i6;jl;h7g>5<>i0=3:17pl=f883>1<729q/m949b:J5`4=O>ji0(;=5119'3g<09l1b9i4?::k6a?6=3`?m6=44o6794?=zj;lo6=4=:183!g32?>0D;j>;I4`g>o2k3:17b9::188yg57m3:187>50z&b0?323A>o3n3:17b9k:188yg57n3:187>50z&b0?323A>o3n3:17b9k:188yg57l3:187>50z&b0?313A>o0m3:17b9k:188yg56>3:187>50z&b0?323A>o3n3:17b9k:188yg56?3:187>50z&b0?323A>o3n3:17b9k:188yg56=3:187>50z&b0?313A>o0m3:17b9k:188yg4am3:197>50z&b0?303A>o3n3:17d9j:188k2b=831vn?hi:186>5<7s-k?6894H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188m2c=831d;i4?::a6c>=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a6c7=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a6c0=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a6c1=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a6c2=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a6c3=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a6cg=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a6f3=83>1<7>t$`692g=O>m;0D;ml;%40>46<,>h1:kk4i4f94?=n=l0;66g:f;29?j122900qoN1kj1/:>4>0:&4f?0am2c>h7>5;h7f>5<>{e:j81<7:50;2x d2=>k1C:i?4H7a`?!0428:0(:l56e`8m0b=831b9h4?::k6b?6=3f=>6=44}c0`7?6=<3:1"0j3nm50;694?6|,h>1:o5G6e38L3ed3-<86<>4$6`9341>o2n3:17b9::188yg4c83:1>7>50z&b0?033A5;|`1a4<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`1a7<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`1a5<72=0;6=u+a5862>N1l81C:nm4$7195>oa2900e9h50;9j3`<722e5;|`1a<<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`1ad<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`1a=<72=0;6=u+a5862>N1l81C:nm4$7195>oa2900e9h50;9j3`<722e5;|`1`4<72<0;6=u+a5863>N1l81C:nm4$7195>oa2900e5;n5g>5<7>55;294~"f<3?<7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;h5f>5<n1<75rb3aa>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb3a7>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb3a:>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb3ab>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb3a4>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb3a;>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb3ag>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb34a>5<3290;w)o;:7`8L3b63A5}#i=0=n6F9d09K2fe<,?91==5+7c85ba=n=m0;66g:e;29?l3a2900c:;50;9~f70?290?6=4?{%c7>3d<@?n:7E8lc:&57?773-=i6:<6;h7g>5<>i0=3:17pl=6883>1<729q/m949b:J5`4=O>ji0(;=5119'3g<0:01b9i4?::k6a?6=3`?m6=44o6794?=zj;=96=4;:183!g32?h0D;j>;I4`g>"1;3;;7)9m:606?l3c2900e8k50;9j1c<722e<97>5;|`133<72;0;6=u+a5850>N1l81C:nm4i4a94?=h?<0;66sm29594?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm29:94?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm29494?2=83:p(l:5579K2a7<@?ih7)8<:09jb?6=3`>m6=44i6g94?=h?m0;66sm29d94?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm28294?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66sm29g94?2=83:p(l:5579K2a7<@?ih7)8<:09jb?6=3`>m6=44i6g94?=h?m0;66sm26594?3=83:p(l:5569K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=n?l0;66a8d;29?xd5?10;684?:1y'e1<2?2B=h<5G6ba8 35=92cm6=44i0394?=nN1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo<9a;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo<9f;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo<80;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo<9d;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo<9e;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo<83;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo<N1kj1/:>4>0:&4f?0ak2c>h7>5;h7f>5<>{e::l1<7:50;2x d2=>k1C:i?4H7a`?!0428:0(:l56ga8m0b=831b9h4?::k6b?6=3f=>6=44}c00f?6=<3:1"0j3=946g:d;29?l3b2900e8h50;9l30<722wi>>m50;694?6|,h>1:o5G6e38L3ed3-<86<>4$6`937>>o2n3:17b9::188yg43=3:187>50z&b0?0e3Ad:k6`?6=3`?n6=44i4d94?=h?<0;66sm25;94?4=83:p(l:5659K2a7<@?ih7d;l:188k23=831vn?;n:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn?;m:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn?;6:187>5<7s-k?6884H7f2?M0dk2.=?7?4ig83>>o3n3:17d9j:188k2b=831vn?8=:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn?8<:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn?8>:187>5<7s-k?6884H7f2?M0dk2.=?7?4ig83>>o3n3:17d9j:188k2b=831vn?:n:186>5<7s-k?6894H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188m2c=831d;i4?::a61d=83?1<7>t$`6912=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831b;h4?::m4`?6=3th9894?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th9?i4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th98?4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th98>4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th98=4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th98<4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th98;4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th9=<4?:583>5}#i=0=n6F9d09K2fe<,?91==5+7c85bd=n=m0;66g:e;29?l3a2900c:;50;9~f775290?6=4?{%c7>3d<@?n:7E8lc:&57?773-=i6;hn;h7g>5<>i0=3:17pl=0d83>1<729q/m949b:J5`4=O>ji0(;=5119'3g<0:>1b9i4?::k6a?6=3`?m6=44o6794?=zj;:m6=4;:183!g32?h0D;j>;I4`g>"1;3;;7)9m:604?l3c2900e8k50;9j1c<722e<97>5;|`15=<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0<=i5f5e83>>o2m3:17d;i:188k23=831vn??l:181>5<7s-k?6;:4H7f2?M0dk2c>o7>5;n56>5<54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<54;294~"f<3?=7E8k1:J5gf=#>:0:7dh50;9j0c<722c5;n5g>5<54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<54;294~"f<3?=7E8k1:J5gf=#>:0:7dh50;9j0c<722c5;n5g>5<55;294~"f<3?<7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;h5f>5<n1<75rb33f>5<2290;w)o;:458L3b63A5;h6e>5<o1<75`7e83>>{e:8=1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e:8:1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e:8?1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e:8<1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e:891<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e:8>1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e:831<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e9l>1<7:50;2x d2=>k1C:i?4H7a`?!0428:0(:l56g;8m0b=831b9h4?::k6b?6=3f=>6=44}c3f1?6=<3:1"0j31:o5G6e38L3ed3-<86<>4$6`934e>o2n3:17b9::188yg7b:3:187>50z&b0?0e3Ac:k6`?6=3`?n6=44i4d94?=h?<0;66sm1d`94?2=83:p(l:56c9K2a7<@?ih7)8<:028 2d=?8h0e8j50;9j1`<722c>j7>5;n56>5<52;294~"f<32B=h<5G6ba8 35=92cm6=44i5d94?=n?l0;66a8d;29?xd5810;694?:1y'e1<2=2B=h<5G6ba8 35=92cm6=44i0394?=n0;694?:1y'e1<2>2B=h<5G6ba8 35=92cm6=44i5d94?=n?l0;66a8d;29?xd6n90;684?:1y'e1<2?2B=h<5G6ba8 35=92cm6=44i0394?=nN1kj1/:>4>;hd94?=n980;66g;f;29?l1b2900c:j50;9~f4cf290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f4c4290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f4c?290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f4c>290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f4c1290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f4c0290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f4cd290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f4d0290?6=4?{%c7>3d<@?n:7E8lc:&57?773-=i6;h7;h7g>5<>i0=3:17pl>b983>1<729q/m949b:J5`4=O>ji0(;=5119'3g<1n11b9i4?::k6a?6=3`?m6=44o6794?=zj8h?6=4;:183!g32?h0D;j>;I4`g>"1;3;;7)9m:632?l3c2900e8k50;9j1c<722e<97>5;|`2f0<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0<=<5f5e83>>o2m3:17d;i:188k23=831vn5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>27e3`?o6=44i4g94?=n=o0;66a85;29?xd6k;0;6?4?:1y'e1<1<2B=h<5G6ba8m0e=831d;84?::a5a5=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a5a2=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a5a4=83>1<7>t$`6913=O>m;0D;ml;%40>4=nn3:17d:i:188m2c=831d;i4?::a5ad=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a5ae=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a5ag=83>1<7>t$`6913=O>m;0D;ml;%40>4=nn3:17d:i:188m2c=831d;i4?::a5f5=83?1<7>t$`6912=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831b;h4?::m4`?6=3th:o94?:483>5}#i=0>;6F9d09K2fe<,?91=6gi:188m47=831b8k4?::k4a?6=3f=o6=44}c3a`?6=<3:1"0j31:o5G6e38L3ed3-<86<>4$6`92c1>o2n3:17b9::188yg7??3:187>50z&b0?0e3Aj7>5;n56>5<54;294~"f<3:0:<6*8b;52e>o2l3:17d;j:188m0`=831d;84?::a5<3=8381<7>t$`6921=O>m;0D;ml;h7`>5<?1<75rb0c5>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb0c4>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb0c6>5<3290;w)o;:448L3b63A5;h5f>5<n1<75rb0cf>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb0ce>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb0cg>5<3290;w)o;:448L3b63A5;h5f>5<n1<75rb0;5>5<2290;w)o;:458L3b63A5;h6e>5<o1<75`7e83>>{e90=1<7;50;2x d2==>1C:i?4H7a`?!04281bj7>5;h32>5<>i0l3:17pl>9183>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl>8883>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl>8d83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl>8g83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl>8b83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl>8e83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl>9383>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl>5e83>1<729q/m949b:J5`4=O>ji0(;=5119'3g<1n?1b9i4?::k6a?6=3`?m6=44o6794?=zj8?n6=4;:183!g32?h0D;j>;I4`g>"1;3;;7)9m:7d5?l3c2900e8k50;9j1c<722e<97>5;|`21d<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0=jo5f5e83>>o2m3:17d;i:188k23=831vn<;m:187>5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>3`e3`?o6=44i4g94?=n=o0;66a85;29?xd6>=0;694?:1y'e1<1j2B=h<5G6ba8 35=991/;o481`9j1a<722c>i7>5;h7e>5<?1<75rb04;>5<5290;w)o;:768L3b63AN1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo?8a;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo?88;290?6=8r.j87;9;I4g5>N1kj1/:>4>;hd94?=nN1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo?72;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo?70;290?6=8r.j87;9;I4g5>N1kj1/:>4>;hd94?=nN1kj1/:>4>;hd94?=n980;66g;f;29?l1b2900c:j50;9~f40f290>6=4?{%c7>01<@?n:7E8lc:&57?71;29?l2a2900e:k50;9l3a<722wi=;=50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi=8m50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi=;?50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi=;<50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi=8h50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi=;>50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi=;;50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi=>>50;694?6|,h>1:o5G6e38L3ed3-<86<>4$6`92c3>o2n3:17b9::188yg7493:187>50z&b0?0e3Ao:0e8j50;9j1`<722c>j7>5;n56>5<54;294~"f<3:0:<6*8b;4e4>o2l3:17d;j:188m0`=831d;84?::a561=83>1<7>t$`692g=O>m;0D;ml;%40>46<,>h1;<74i4f94?=n=l0;66g:f;29?j122900qo?N1kj1b9n4?::m41?6=3th:8n4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th:8i4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th:8o4?:583>5}#i=0>:6F9d09K2fe<,?91=6gi:188m1`=831b;h4?::m4`?6=3th:994?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th:984?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th:9>4?:583>5}#i=0>:6F9d09K2fe<,?91=6gi:188m1`=831b;h4?::m4`?6=3th:?n4?:483>5}#i=0>;6F9d09K2fe<,?91=6gi:188m47=831b8k4?::k4a?6=3f=o6=44}c30`?6==3:1;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj88m6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj89?6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj89>6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj8996=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj8986=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj8936=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj8:86=4;:183!g32?h0D;j>;I4`g>"1;3;;7)9m:7d7?l3c2900e8k50;9j1c<722e<97>5;|`241<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0=j95f5e83>>o2m3:17d;i:188k23=831vn<>?:187>5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>3c23`?o6=44i4g94?=n=o0;66a85;29?xd6880;694?:1y'e1<1j2B=h<5G6ba8 35=991/;o49e49j1a<722c>i7>5;h7e>5<?1<75rb02b>5<3290;w)o;:7`8L3b63A;27d;k:188m0c=831b9k4?::m41?6=3th:5}#i=0=86F9d09K2fe>{e98l1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e9;:1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e98o1<7:50;2x d2==?1C:i?4H7a`?!04281bj7>5;h6e>5<o1<75`7e83>>{e9;=1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e9;21<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e9;<1<7:50;2x d2==?1C:i?4H7a`?!04281bj7>5;h6e>5<o1<75`7e83>>{e99l1<7;50;2x d2==>1C:i?4H7a`?!04281bj7>5;h32>5<>i0l3:17pl>1183>0<729q/m94:7:J5`4=O>ji0(;=51:ke>5<>o0m3:17b9k:188yg7713:187>50z&b0?323A>o3n3:17b9k:188yg77:3:187>50z&b0?323A>o3n3:17b9k:188yg77?3:187>50z&b0?323A>o3n3:17b9k:188yg7703:187>50z&b0?323A>o3n3:17b9k:188yg77=3:187>50z&b0?323A>o3n3:17b9k:188yg77>3:187>50z&b0?323A>o3n3:17b9k:188yg77j3:187>50z&b0?323A>o3n3:17b9k:188ygb1290?6=4?{%c7>3d<@?n:7E8lc:&57?773-=i6;h<;h7g>5<>i0=3:17plk7;290?6=8r.j878m;I4g5>N1kj1/:>4>0:&4f?0a;2c>h7>5;h7f>5<>{el:0;694?:1y'e1<1j2B=h<5G6ba8 35=991/;o49d`9j1a<722c>i7>5;h7e>5<?1<75rbe694?2=83:p(l:56c9K2a7<@?ih7)8<:028 2d=>mk0e8j50;9j1`<722c>j7>5;n56>5<;I4`g>"1;3;;7)9m:635?l3c2900e8k50;9j1c<722e<97>5;|`f5?6=:3:1>i0=3:17pli2;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qoh<:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vnk?50;694?6|,h>19;5G6e38L3ed3-<86<5ff;29?l2a2900e:k50;9l3a<722wijl4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3thmn7>54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<;I4`g>"1;3;0ek4?::k7b?6=3`=n6=44o6f94?=zjl81<7;50;2x d2==>1C:i?4H7a`?!04281bj7>5;h32>5<>i0l3:17plj3;291?6=8r.j87;8;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?l1b2900c:j50;9~fae=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a`0<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`ge?6=<3:15<3290;w)o;:478L3b63A5;h6e>5<n1<75rbe:94?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66smd883>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17plke;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo8>c;290?6=8r.j878m;I4g5>N1kj1/:>4>0:&4f?16=2c>h7>5;h7f>5<>{e>8n1<7:50;2x d2=>k1C:i?4H7a`?!0428:0(:l57078m0b=831b9h4?::k6b?6=3f=>6=44}c42=?6=<3:1"0j36g:d;29?l3b2900e8h50;9l30<722wi:1:o5G6e38L3ed3-<86<>4$6`92c4>o2n3:17b9::188yg05;3:187>50z&b0?0e3A5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn;=6:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn;=8:187>5<7s-k?6884H7f2?M0dk2.=?7?4ig83>>o3n3:17d9j:188k2b=831vn;:?:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn;:>:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vn;=i:187>5<7s-k?6884H7f2?M0dk2.=?7?4ig83>>o3n3:17d9j:188k2b=831vn;<7:186>5<7s-k?6894H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188m2c=831d;i4?::a27?=83?1<7>t$`6912=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831b;h4?::m4`?6=3th=>?4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th==o4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th=>=4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th=><4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th==h4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th==k4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th=>94?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th>ik4?:583>5}#i=0=n6F9d09K2fe<,?91==5+7c8451=n=m0;66g:e;29?l3a2900c:;50;9~f0`7290?6=4?{%c7>3d<@?n:7E8lc:&57?773-=i6:?;;h7g>5<>i0=3:17pl:eb83>1<729q/m949b:J5`4=O>ji0(;=5119'3g<1n81b9i4?::k6a?6=3`?m6=44o6794?=zj;I4`g>"1;3;;7)9m:7d2?l3c2900e8k50;9j1c<722e<97>5;|`6b3<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0<>95f5e83>>o2m3:17d;i:188k23=831vn8hn:181>5<7s-k?6;:4H7f2?M0dk2c>o7>5;n56>5<54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<54;294~"f<3?=7E8k1:J5gf=#>:0:7dh50;9j0c<722c5;n5g>5<54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<7>54;294~"f<3?=7E8k1:J5gf=#>:0:7dh50;9j0c<722c5;n5g>5<55;294~"f<3?<7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;h5f>5<n1<75rb4d`>5<2290;w)o;:458L3b63A5;h6e>5<o1<75`7e83>>{e=o?1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e=lo1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e=o91<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e=o>1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e=o;1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e=o81<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e=o=1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e=j81<7:50;2x d2=>k1C:i?4H7a`?!0428:0(:l57018m0b=831b9h4?::k6b?6=3f=>6=44}c7`7?6=<3:1"0j3=:?6g:d;29?l3b2900e8h50;9l30<722wi9oh50;694?6|,h>1:o5G6e38L3ed3-<86<>4$6`92``>o2n3:17b9::188yg3d83:187>50z&b0?0e3A0e8j50;9j1`<722c>j7>5;n56>5<52;294~"f<32B=h<5G6ba8 35=92cm6=44i5d94?=n?l0;66a8d;29?xd2m?0;694?:1y'e1<2=2B=h<5G6ba8 35=92cm6=44i0394?=n0;694?:1y'e1<2=2B=h<5G6ba8 35=92cm6=44i0394?=n2B=h<5G6ba8 35=92cm6=44i5d94?=n?l0;66a8d;29?xd2kl0;684?:1y'e1<2?2B=h<5G6ba8 35=92cm6=44i0394?=nN1kj1/:>4>;hd94?=n980;66g;f;29?l1b2900c:j50;9~f0e?290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f0e6290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f0e1290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f0e0290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f0e3290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f0e2290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f0ef290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f0?2290?6=4?{%c7>3d<@?n:7E8lc:&57?773-=i6:?=;h7g>5<>i0=3:17pl:9783>1<729q/m949b:J5`4=O>ji0(;=5119'3g<09;1b9i4?::k6a?6=3`?m6=44o6794?=zj<396=4;:183!g32?h0D;j>;I4`g>"1;3;;7)9m:7gf?l3c2900e8k50;9j1c<722e<97>5;|`6=6<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0=ih5f5e83>>o2m3:17d;i:188k23=831vn87l:187>5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>2443`?o6=44i4g94?=n=o0;66a85;29?xd2i90;6?4?:1y'e1<1<2B=h<5G6ba8m0e=831d;84?::a1g7=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a1g4=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a1g6=83>1<7>t$`6913=O>m;0D;ml;%40>4=nn3:17d:i:188m2c=831d;i4?::a1g?=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a1gg=83>1<7>t$`6910=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831d;i4?::a1g>=83>1<7>t$`6913=O>m;0D;ml;%40>4=nn3:17d:i:188m2c=831d;i4?::a1d7=83?1<7>t$`6912=O>m;0D;ml;%40>4=nn3:17d?>:188m1`=831b;h4?::m4`?6=3th>m?4?:483>5}#i=0>;6F9d09K2fe<,?91=6gi:188m47=831b8k4?::k4a?6=3f=o6=44}c7:f?6=<3:1"0j3=:<6g:d;29?l3b2900e8h50;9l30<722wi9;750;694?6|,h>1:o5G6e38L3ed3-<86<>4$6`9346>o2n3:17b9::188yg31=3:187>50z&b0?0e3Aln0e8j50;9j1`<722c>j7>5;n56>5<54;294~"f<3:0:<6*8b;517>o2l3:17d;j:188m0`=831d;84?::a125=8381<7>t$`6921=O>m;0D;ml;h7`>5<?1<75rb4:7>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb4:6>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb4:0>5<3290;w)o;:448L3b63A5;h5f>5<n1<75rb4:`>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb4:g>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb4:a>5<3290;w)o;:448L3b63A5;h5f>5<n1<75rb457>5<2290;w)o;:458L3b63A5;h6e>5<o1<75`7e83>>{e=>?1<7;50;2x d2==>1C:i?4H7a`?!04281bj7>5;h32>5<>i0l3:17pl:6d83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl:6683>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl:6b83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl:6e83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl:6`83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl:6c83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl:7183>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17pl:3c83>1<729q/m949b:J5`4=O>ji0(;=5119'3g<08o1b9i4?::k6a?6=3`?m6=44o6794?=zj<9h6=4;:183!g32?h0D;j>;I4`g>"1;3;;7)9m:62e?l3c2900e8k50;9j1c<722e<97>5;|`67=<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0=in5f5e83>>o2m3:17d;i:188k23=831vn8=6:187>5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>3cd3`?o6=44i4g94?=n=o0;66a85;29?xd2<;0;694?:1y'e1<1j2B=h<5G6ba8 35=991/;o48239j1a<722c>i7>5;h7e>5<?1<75rb465>5<5290;w)o;:768L3b63AN1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo;:8;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo;:6;290?6=8r.j87;9;I4g5>N1kj1/:>4>;hd94?=nN1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo;90;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qo;:e;290?6=8r.j87;9;I4g5>N1kj1/:>4>;hd94?=nN1kj1/:>4>;hd94?=n980;66g;f;29?l1b2900c:j50;9~f02?290>6=4?{%c7>01<@?n:7E8lc:&57?71;29?l2a2900e:k50;9l3a<722wi99?50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi9>o50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi9>h50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi99>50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi9>j50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi9>k50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi99=50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722wi9=k50;694?6|,h>1:o5G6e38L3ed3-<86<>4$6`935c>o2n3:17b9::188yg37n3:187>50z&b0?0e3Alh0e8j50;9j1`<722c>j7>5;n56>5<54;294~"f<3:0:<6*8b;4ff>o2l3:17d;j:188m0`=831d;84?::a143=83>1<7>t$`692g=O>m;0D;ml;%40>46<,>h1;<64i4f94?=n=l0;66g:f;29?j122900qo;>9;296?6=8r.j878;;I4g5>N1kj1b9n4?::m41?6=3th>>l4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th>>o4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th>>44?:583>5}#i=0>:6F9d09K2fe<,?91=6gi:188m1`=831b;h4?::m4`?6=3th>??4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th>?>4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th>?<4?:583>5}#i=0>:6F9d09K2fe<,?91=6gi:188m1`=831b;h4?::m4`?6=3th>=l4?:483>5}#i=0>;6F9d09K2fe<,?91=6gi:188m47=831b8k4?::k4a?6=3f=o6=44}c72f?6==3:1;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj<:o6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj<;96=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj<;86=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj<;;6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj<;:6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj<;=6=4;:183!g32;I4`g>"1;3;0ek4?::k25?6=3`>m6=44o6f94?=zj=2<6=4;:183!g32?h0D;j>;I4`g>"1;3;;7)9m:62g?l3c2900e8k50;9j1c<722e<97>5;|`7<=<72=0;6=u+a585f>N1l81C:nm4$71955=#?k0<>o2m3:17d;i:188k23=831vn96;:187>5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>3cf3`?o6=44i4g94?=n=o0;66a85;29?xd30<0;694?:1y'e1<1j2B=h<5G6ba8 35=991/;o49e`9j1a<722c>i7>5;h7e>5<?1<75rb5:f>5<3290;w)o;:7`8L3b63A;<7d;k:188m0c=831b9k4?::m41?6=3th?5?4?:383>5}#i=0=86F9d09K2fe>{e5;h32>5<>{e1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{e5;h6e>5<o1<75`7e83>>{e5;h32>5<>{e5;h32>5<>{e5;h6e>5<o1<75`7e83>>{e<091<7;50;2x d2==>1C:i?4H7a`?!04281bj7>5;h32>5<>i0l3:17pl;9583>0<729q/m94:7:J5`4=O>ji0(;=51:ke>5<>o0m3:17b9k:188yg2?l3:187>50z&b0?323A>o3n3:17b9k:188yg2?>3:187>50z&b0?323A>o3n3:17b9k:188yg2?j3:187>50z&b0?323A>o3n3:17b9k:188yg2?k3:187>50z&b0?323A>o3n3:17b9k:188yg2?13:187>50z&b0?323A>o3n3:17b9k:188yg2?i3:187>50z&b0?323A>o3n3:17b9k:188yg2?n3:187>50z&b0?323A>o3n3:17b9k:188yg4>03:187>50z&b0?0e3Aj7>5;n56>5<54;294~"f<3:0:<6*8b;4f=>o2l3:17d;j:188m0`=831d;84?::a6<0=83>1<7>t$`692g=O>m;0D;ml;%40>46<,>h1:h74i4f94?=n=l0;66g:f;29?j122900qo<6f;290?6=8r.j878m;I4g5>N1kj1/:>4>0:&4f?16>2c>h7>5;h7f>5<>{e:h91<7<50;2x d2=>=1C:i?4H7a`?l3d2900c:;50;9~f7d3290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f7d2290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f7d4290?6=4?{%c7>00<@?n:7E8lc:&57?703<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f7dc290?6=4?{%c7>03<@?n:7E8lc:&57?71;29?l2a2900c:j50;9~f7de290?6=4?{%c7>00<@?n:7E8lc:&57?76=4?{%c7>01<@?n:7E8lc:&57?71;29?l2a2900e:k50;9l3a<722wi>l;50;794?6|,h>19:5G6e38L3ed3-<86<5ff;29?l762900e9h50;9j3`<722e5;|`1=`<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`1=2<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`1=f<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`1=a<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`1=d<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`1=g<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`1e5<72=0;6=u+a5861>N1l81C:nm4$7195>oa2900e5;|`b=?6=<3:1"0j3=;n6g:d;29?l3b2900e8h50;9l30<722wiml4?:583>5}#i=0=n6F9d09K2fe<,?91==5+7c844g=n=m0;66g:e;29?l3a2900c:;50;9~fd0=83>1<7>t$`692g=O>m;0D;ml;%40>46<,>h1:h64i4f94?=n=l0;66g:f;29?j122900qoo8:187>5<7s-k?6;l4H7f2?M0dk2.=?7??;%5a>3c?3`?o6=44i4g94?=n=o0;66a85;29?xde83:187>50z&b0?0e3A7<729q/m9494:J5`4=O>ji0e8m50;9l30<722wio84?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3thh:7>54;294~"f<3?>7E8k1:J5gf=#>:0:7dh50;9j54<722c?j7>5;n5g>5<;I4`g>"1;3;0ek4?::k7b?6=3`=n6=44o6f94?=zjjn1<7:50;2x d2==<1C:i?4H7a`?!04281bj7>5;h32>5<>{ekl0;694?:1y'e1<2=2B=h<5G6ba8 35=92cm6=44i0394?=n50z&b0?313A>o0m3:17b9k:188ygd2290>6=4?{%c7>01<@?n:7E8lc:&57?71;29?l2a2900e:k50;9l3a<722win;4?:483>5}#i=0>;6F9d09K2fe<,?91=6gi:188m47=831b8k4?::k4a?6=3f=o6=44}cce>5<3290;w)o;:478L3b63A5;h6e>5<n1<75rb`:94?2=83:p(l:5549K2a7<@?ih7)8<:09jb?6=3`;:6=44i5d94?=h?m0;66smae83>1<729q/m94:5:J5`4=O>ji0(;=51:ke>5<>i0l3:17plne;290?6=8r.j87;:;I4g5>N1kj1/:>4>;hd94?=n980;66g;f;29?j1c2900qoom:187>5<7s-k?68;4H7f2?M0dk2.=?7?4ig83>>o693:17d:i:188k2b=831vnlm50;694?6|,h>1985G6e38L3ed3-<86<5ff;29?l762900e9h50;9l3a<722win<4?:583>5}#i=0>96F9d09K2fe<,?91=6gi:188m47=831b8k4?::m4`?6=3th=;;4?:683>5}#i=0=i6F9d09K2fe<,?919>5f5e83>>o2m3:17d;i:188m36=831b:<4?::k56?6=3f=>6=44}c44o2l3:17d;j:188m0`=831b:=4?::k55?6=3`<96=44o6794?=zj?=26=48:183!g32?o0D;j>;I4`g>"1;3i0e8j50;9j1`<722c>j7>5;h43>5<>i0=3:17pl97`83>2<729q/m949e:J5`4=O>ji0(;=5c:k6`?6=3`?n6=44i4d94?=n>90;66g91;29?l052900c:;50;9~f31e290<6=4?{%c7>3c<@?n:7E8lc:&57?e>o2n3:17d8?:188m37=831b:?4?::m41?6=3th=;n4?:683>5}#i=0=i6F9d09K2fe<,?91o6g:d;29?l3b2900e8h50;9j25<722c==7>5;h41>5<?1<75rb75g>5<0290;w)o;:7g8L3b63A;0;66a85;29?xd1?l0;684?:1y'e1<1k2B=h<5G6ba8 35=j2c>h7>5;h7f>5<>i0=3:17pl97g83>2<729q/m949e:J5`4=O>ji0(;=5599j1a<722c>i7>5;h7e>5<>o1:3:17b9::188yg00?3:1:7>50z&b0?0c3A02<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?7290?6=4?{%c7>02<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?75290?6=4?{%c7>02<@?n:7E8lc:&57?74290?6=4?{%c7>02<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?7290?6=4?{%c7>02<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?7290?6=4?{%c7>02<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?702<@?n:7E8lc:&57?71<7>t$`6911=O>m;0D;ml;%40>4=nn3:17d<9:188m1`=831d;i4?::aa5<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`560<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`563<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`6b=<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`6b<<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`6gg<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`6gf<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`6=`<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`6=c<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`634<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`637<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`601<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`600<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`652<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`65=<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`7=5<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`7=4<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`1e4<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`1e7<72=0;6=u+a5860>N1l81C:nm4$7195>oa2900e?850;9j0c<722e5;|`a6?6=<3:1;4?::k7b?6=3f=o6=44}c`0>5<3290;w)o;:468L3b63A5;h6e>5<n1<75rs8`94?0|V0h019k8:5d890622=l019hk:5d891cd21`<5=n369h4=5f3>1`<5=hm68m4=5`f>c=z{0=1<78t^85891072=l0199j:5d891112=l0198::4a891032o1v4850;4xZ<0<5=9869h4=572>1`<5=>269h4=51;>0e<5=9<6k5rs8794?0|V0?019>9:5d891432=l019?l:5d8916e2n:g9~w<2=831`<5:l<69h4=2ge>1`<5:nn68m4=2fg>c=z{091<78t^81896gd2=l01>mn:5d896e52=l01>l>:4a896d72o1v4<50;4xZ<4<5:=m69h4=2;g>1`<5:3>69h4=2:7>0e<5:286k5rs8394?0|V0;01>;=:5d896172=l01>87:5d896302;9:g9~w<6=834=206>1`<5:>869h4=21a>1`<5:8j68m4=20:>c=z{1l1<78t^9d897`?2=l01>?9:5d8966b2=l01?hk:4a897`d2o1v5k50;4xZ=c<5;ii69h4=3g:>1`<5;o:69h4=3f3>0e<5;im6k5rs9a94?0|V1i01?9>:5d897>a2=l01?68:5d8971121`<5;<969h4=37b>1`<5;>268m4=36;>c=z{1k1<78t^9c897702=l01?=::5d8974c2=l01??l:4a8977e2o1v5750;4xZ=?<58oj69h4=32;>1`<5;:;69h4=0ge>0e<58on6k5rs9:94?0|V1201:g9~w=1=831`<58kn69h4=0c5>1`<583>68m4=0;7>c=z{1<1<78t^94894042=l01<6>:5d8941>2=l01<87:4a894002o1v5;50;4xZ=3<589=69h4=077>1`<58>h69h4=01a>0e<589j6k5rs9694?0|V1>01<>6:5d894402=l01k:g9~w=5=835f:pe7<72?qUm?5263090c=:>=:18k5262:90c=:>;=19n526349b>{ti80;6;uQa09>1c3=25d=1c?=n2wxm=4?:7y]e5=:=j218k525d490c=:=mo18k525bf91f=:=ji1j6s|9g83>3}Y1o1694l54g9>1g?=1d6==j1694h5f:p=`<72?qU5h5257g90c=:=1i18k5259690c=:=>919n525609b>{t1m0;6;uQ9e9>117=101=113=n2wx5n4?:7y]=f=:=8>18k5252090c=:=;k18k5250;91f=:=821j6s|9983>3}Y111685j54g9>0dd=0<4==j1684?5f:p{t0;0;6;uQ839>ec<3n27hh7:i;1`<5k>19n52b28e?xu3=3:1>vP;5:?53`<182wx8>4?:3y]06=:>>o19k5rs5094?4|V=801;9j:4f8yv262909wS:>;<44a?3b3ty?<7>52z\74>;1?m0=>6s|3g83>7}Y;o16::j5609~w6b=838pR>j4=75g>36;|q03?6=:rT8;6397`854>{t;?0;6?uQ379>22g==o1v>;50;0xZ63<5?=j68j4}r17>5<5sW9?7088a;7f?xu4:3:1>vP<2:?53<<1:2wx?<4?:3y]74=:>>31:<5rs2294?4|V::01;96:728yv4a2909wS52z\1a>;1?00>h6s|2e83>7}Y:m16::755d9~w7e=838pR?m4=75`>3445266a91c=z{=i1<7?319k524d:91c=:19k5247391c=:<<219k5244591c=:<19k5243`91c=:<;k19k5243g91c=:<;n19k5241591c=:;oo19k523gf91c=:<9;19k5241291c=:;mk19k523e391c=:;m:19k523e691c=:;m919k523`f91c=:;h>19k523`191c=:;h=19k523`491c=:;1:19k5236591c=:;><19k5236c91c=:;>319k5234191c=:;=k19k5235;91c=:;=n19k5235a91c=:;;<19k5230f91c=:;8i19k5233291c=:;8l19k522g;91c=::o:19k522dd91c=::o919k522g091c=::ji19k522b191c=::j819k522b491c=::j?19k5226091c=::?319k5227:91c=::?i19k5227`91c=::=?19k5222a91c=:::h19k5222d91c=:::o19k5220:91c=::9l19k5221g91c=::8819k5220391c=:9lh19k521d091c=:9l;19k521d791c=:9l>19k521cg91c=:9k?19k521c691c=:9k219k521c591c=:90;19k5219:91c=:91=19k5219`91c=:91k19k5217691c=:919k5211191c=:lm0>j63k4;7e?8b42`3<2n27=>>4:f:?55d<2n27==44:f:?55a<2n27==n4:f:?6b3<2n27>ii4:f:?6af<2n27>j=4:f:?6ac<2n27>o44:f:?6g5<2n27>nk4:f:?6g6<2n27>o?4:f:?6=f<2n27>5>4:f:?6=7<2n27>5;4:f:?6=0<2n27>:k4:f:?623<2n27>:84:f:?62<<2n27>:54:f:?607<2n27>?44:f:?67=<2n27>?n4:f:?67g<2n27>=84:f:?64f<2n27>j63n9;7e?800j3<97p};9;296~X3127=;o491:p0=<72;qU855266`925=z{==1<7=t^5589313252z\07>;1?k0>i6s|2983>fc|V;201;87:g9>2f>=n27=o44i;<4`3?`<5?h=6k526``9b>;1i10m708n4;d893g72o16:4m5f:?5==c=:0`3=n27?i=4i;<6ag?`<5=h<6k524c49b>;3j00m70:m8;d891d42o168;<5f:?71a>o7h4=57e>c=:<07`=n27???4i;<605?`<5=8h6k5241:9b>;38:0m70:?2;d891622o168=:5f:?0bcc=:;m?1j63j=:g9>7dc=n278m44i;<1b;4i<0m70=71;d8961d2o16?:l5f:?03`c=:;<>1j63<4g8e?853m3l01>;>:g9>706=n2788o4i;<113?`<5:896k523339b>;4:=0m70==3;d8967b2o16>ko5f:?1b0c=::o<1j63=f08e?84dl3l01?m7:g9>6f1=n279ol4i;<0`=?`<5;i?6k522619b>;5>l0m70<9d;d897172o16>;h5f:?12dc=::=:1j63=428e?843:3l01?=k:g9>64?=n279=94i;<027?`<5;;=6k522079b>;5990m70?jc;d894c02o16=h85f:?2a<c=:9kl1j63>b`8e?87e13l015gd=n27:n;4i;<3:6?`<582o6k5219a9b>;60o0m70?7e;d894>>2o16=;;5f:?225j7h4=041>c=:9?;1j63>5b8e?87403l01<=<:g9>564=n27:?84i;<300?`<588m6k5211`9b>;68?0m70??5;d8946?2o16==95f:?247c=:l<0m708=4;d8937a2o16:c=:=o=1j63:f38e?83a93l018h;:g9>1c5=n27>ih4i;<7`e?`<56k525b69b>;2k>0m70;l6;d890e62o1694j5f:?6==c=:=031j63:958e?83083l0188m:g9>13g=n27>:i4i;<75g?`<5<<<6k525519b>;2;l0m70;h5f:?67dc=:=8:1j63:128e?836:3l018>k:g9>0=`=n27?4l4i;<6;=?`<5=2h6k5249`9b>;30?0m704o5f:?1=ac=:j80m70ol:g9>eg;28?0m70;?4;d891`c2o168kk5f:?7bfim7h4=5a2>c=:0a6=n27?h<4i;<6`b?`<5=<;6k524759b>;3>?0m70:8e;d8911a2o168:j5f:?733<;7h4=556>c=:<:91j63;3`8e?82413l019;>:g9>004=n27?9=4i;<67=?`<5=>j6k5245:9b>;38?0m70:?d;d8916d2o168?:5f:?7609?7h4=53`>c=:<8n1j63;1c8e?85c13l01>k?:g9>7a`=n278j:4i;<1e;4n90m70=je;d896gd2o16?o=5f:?0f7c=:;j31j63m>:g9>72`=n2784;4i;<1;1?`<5:3o6k5238g9b>;41j0m70=65;d896?12o16?4:5f:?01757h4=27;>c=:;>:1j63<708e?851n3l01>87:g9>73?=n278::4i;<111?`<5:8h6k5233`9b>;4<:0m70=;4;d896252o16?>l5f:?07fc=::ol1j63=fd8e?856>3l01>?8:g9>743=n278;5l;0m702o16>ho5f:?1a=c=::l:1j63=708e?84003l01?98:g9>6=`=n2795=4i;<0;a?`<5;2<6k5229:9b>;50?0m70<;4;d8972e2o16>9o5f:?127c=::64c=n279=i4i;<001?`<5;9=6k522269b>;5:m0m70<=e;d8974d2o16=ho5f:?2b4c=::931j63=068e?84783l01?>>:g9>5c`=n27:ni4i;<3`0?`<58i86k521e`9b>;6lj0m70?ka;d894b42o16=i:5f:?2`7c=:90<1j63>ad8e?87fn3l015d0=n27:m:4i;<3b1?`<58<86k5217c9b>;6>00m70?71;d894>52o16=5>5f:?23<c=:9:<1j63>3e8e?874k3l01<;;:g9>503=n27:9>4i;<37g?`<58>o6k5215`9b>;6800m70?>0;d8946a2o16=?95f:?26=c=:9;:1j63>1d8e?8bd2o16i>4i;c=:nh0m70hm:g9>b<c=:>=;1j6393g8e?80403l01;=6:g9>261=n27>j84i;<7eg?`<5;19=0m708>2;d8936e2o16:=m5f:?54dc=:=jo1j63:e78e?83b?3l018k::g9>1ac=n27>hk4i;<7g`?`<5<3i6k525`09b>;2i80m70;m9;d890df2o169o65f:?6f47h4=4`3>c=:=?o1j63:748e?830<3l0186l:g9>1=b=n27>4o4i;<7;0?`<5<2>6k525919b>;2<80m70;;8;d890202o1698h5f:?625i7h4=474>c=:=<21j63:578e?836<3l018?m:g9>14g=n27>??4i;<707?`<5<9:6k5253c9b>;2:k0m70;=9;d891>c2o1684:5f:?7=6jn7h4=5c`>c=:6;5jk0m70o=5f:?bb?`<5k<1j63m5;d89fb=n27hi7h4=ba9b>;d=3l01n85f:?`0?`=6=4={_65?80113=>7p}82;295``|5?n86:94=74;>47<5?i3647<5?h=647<5?k3647<5?k;647<5?33647<5?3;645<5?396<=4=5g:>47<5=o?647<5=o=647<5=o;647<5=on69h4=5gg>1`<5<:>647<5=lo647<5=hh647<5=h=647<5=h3647<5=hj61`<5=i;69h4=5f;>47<5=n2647<5=n:647<5=?o647<5=?m647<5=?2647<5=<<69h4=545>1`<5==n647<5===647<5=9>647<5=8m647<5=9:647<5=9861`<5=9269h4=572>47<5=?9647<5=>j647<5=:8647<5=:>647<5:lm647<5=:o69h4=52`>1`<5=8?647<5=;h647<5:ni647<5:n>647<5:n<647<5:n261`<5:nm69h4=2d4>47<5:l3647<5:l;647<5:k2647<5:ki647<5:k>647<5:h869h4=2`1>1`<5:ij647<5:i9647<5:2:647<5:=i647<5:=o647<5:=m61`<5:2>69h4=2;g>47<5:3n647<5:3=647<5:>m647<5:?:647<5:>i647<5:?269h4=27;>1`<5:=;647<5:<3647<5:8<647<5:8:647<5:88647<5:8>61`<5:8i69h4=260>47<5:>?647<5:9h647<5;l>647<5;l<647<5;l:647<5;lm69h4=3df>1`<5:;=647<5::n647<5;io647<5;i<647<5;i2647<5;ii61`<5;n:69h4=3g:>47<5;oj647<5;o9647<5;47<5;=;647<5;47<5;=369h4=354>1`<5;2m647<5;2<647<5;>=647<5;>;647<5;>9647<5;>?61`<5;>j69h4=341>47<5;<8647<5;?i647<5;;?647<5;;=647<5;;;647<5;;n69h4=33g>1`<5;9>647<5;8o647<58oh647<58o=647<58o3647<58oj61`<58l;69h4=32;>47<5;:2647<5;::647<58hj647<58hh647<58h=647<58i?69h4=0a0>1`<58ni647<58n8647<5839647<582h647<582n647<583;61`<583=69h4=0cf>47<58km647<58k<647<58<;647<58<9647<58?h647<581`<582:647<58=2647<5893647<5899647<589?647<589=61`<589h69h4=077>47<58?>647<58>o647<58:=647<58:3647<58:9647<58;;69h4=02e>1`<588<647<58;m647<5mo1=<52d8825>;c03;:70jm:0389ag=9816h84>1:?gg?7634o869h4=d090c=:nh0:=63ib;32?8`528;01k=5109>272=9816:24c=9816:??5109>276=9816:274=9816:?754g9>27>=5109>217=9816:>65109>26?=98169k95109>1c4=98169k?5109>1c2=98169k=5109>1`c=98169k;5109>1ce=245=9816:<:5109>25d=9816:=m5109>1fg=98169n;5109>1f2=98169n95109>1f0=98169n?5109>1f>=98169nh54g9>1fc=1`1=98169ik5109>1a`=981694j5109>1<>=98169495109>11<2=981694l5109>1d4=1g?=98169oo5109>1g7=98169o<5109>126=98169;l5109>13g=98169;j5109>13e=98169;95109>13c=98169:;54g9>122=1=b=981695:5109>1=3=981699=5109>16c=98169>j5109>116=98169>h5109>16g=981699?5109>11>=10`=98169;>5109>101=98169865109>140=98169146=98169<=5109>144=98169=j5109>142=9816914g=<5109>165=98169?o5109>17d=981685h5109>0=g=98168575109>0=e=981685l5109>0=0=981685j5109>0<2=0dd=98168lm5109>0d5=98168l:5109>6d6=9816>4l5109>64j5109>6495109>6l;54g9>6d2=om5109>6gb=9816>o:5109>6g3=9816n<4>1:?bg?7634ki6f0<3n27hh7?>;47<5j?1=<52c7825>;3mh0?j63;ec87b>;3jm0?j63;bd87b>;3>:0?j63;6587b>;3;?0?j63;3687b>;3800?j63;0`87b>;4lj0?j63;4io0?j63;40;0?j63<8287b>;4=<0?j63<5787b>;4:10?j63<2887b>;5nk0?j63=fb87b>;5kl0?j63=cg87b>;5?=0?j63=7487b>;5<>0?j63=4987b>;59h0?j63=1c87b>;6mm0?j63>ed87b>;6k90?j63>c087b>;61:0?j63>9587b>;6>?0?j63>6687b>;6;00?j63>3`87b>;68j0?j63>0e87b>;cn3>m70k?:5d893422=l01;<9:5d890`?2=l018h6:5d890ee2=l018ml:5d890?b2=l0187i:5d890162=l0189=:5d890232=l018:::5d890702=l018?7:5d891?72=l0197>:5d897g62=l01?o=:5d89g4=4;f:p36<720q6:i<55`9>2d1==816:l=5509>2<`==816:4l5509>2<1==816:4=5509>2=`==816n=4:e:p2fg=839p1;m7:6f893e>2=l01;m8:6g8yv0dj3:1>v39c884`>;1k>0?j6s|a483>7}:>?21;i5267;91`=z{?<=6=471z?5g2<0l27?ih48e:?7aa<0m27?o<48e:?7g5<0m27?::48e:?723<0m27??l48e:?77<<0m27?48e:?0f7<0m2784;48e:?0<0<0m2789448e:?01=<0m278>n48e:?06g<0m279jk48e:?1b`<0m279h?48e:?1`4<0m279;548e:?132<0m2798o48e:?10d<0m279=h48e:?15a<0m27:j<48e:?2b5<0m27:o948e:?2g6<0m27:5:48e:?2=3<0m27::l48e:?22<<0m27:?i48e:?27f<0m27:==48e:?24c<0m27n?79j;2c<5?826:k4=70;>2c<52c<52c<52c<5<=>6:k4=457>2c<5<>36:k4=464>2c<5<;i6:k4=43b>2c<5=3?6:k4=5;0>2c<5;k>6:k4=3c7>2c<5k<1;h52b484a>;3mh09:63;ec812>;3jm09:63;bd812>;3>:09:63;65812>;3;?09:63;36812>;38009:63;0`812>;4lj09:63;4io09:63;40;09:63<82812>;4=<09:63<57812>;4:109:63<28812>;5nk09:63=fb812>;5kl09:63=cg812>;5?=09:63=74812>;5<>09:63=49812>;59h09:63=1c812>;6mm09:63>ed812>;6k909:63>c0812>;61:09:63>95812>;6>?09:63>66812>;6;009:63>3`812>;68j09:63>0e812>;cn38=70k?:34893422;<01;<9:34890`?2;<018h6:34890ee2;<018ml:34890?b2;<0187i:34890162;<0189=:34890232;<018:::34890702;<018?7:34891?72;<0197>:34897g62;<01?o=:3489g4=:?16n>4=6:p20c=838p1;87:5d891c72>n0q~8:d;296~;3m10>i63;b284`>{t>0c<5=?26:j4}r46e?6=:r7?:<4:e:?76f<0l2wx:8750;0x91532hi:6f8yv0203:1>v3;0686a>;4l;02b:7>52z?0ea<2m278;548d:p203=838p1>6?:4g8962e2>n0q~8:4;296~;4=:0>i63<1d84`>{t><91<70c<5;l:6:j4}r466?6=:r79j44:e:?1g1<0l2wx:8?50;0x97ed2v3=7386a>;5;m0>68k4=333>2b52z?15=<2m27:i>48d:p21e=838p1n0q~8;b;296~;6jl0>i63>8884`>{t>=k1<70c<58?h6:j4}r47=?6=:r7::94:e:?26c<0l2wx:9650;0x94502=:6f8yv03?3:1>v3>0`86a>;c=3=o7p}94783>7}:lm0>i6391c84`>{t>??1<70c<5j;4:e:?6g4<0l2wx:;=50;0x90e>2v3:9b86a>;2>>02b52z?607<2m27>12>n0q~8:b;296~;30l0>i63=9684`>{t><:1<70c<5h21;i5rs744>5<5s4<=57;k;<4a2?1c3ty=;>4?:3y>2g0=7}:>?i1;852664927=z{?h26=4={<45`?1234<<47;i;|q5fd<72;q6:;k5749>220==o1v;lm:181801n3=>70888;42?xu1jj0;6?u2662930=:>><1:<5rs7`g>5<5s4<<=79:;<44224=?<16::85619~w30f2902w0884;56?80fj3=n708n8;5f?80f<3=n708n0;5f?80>k3=n70868;5f?80><3=n70860;5f?xu1k:0;6>u26`293a=:>h;19i5266591`=z{?i?6=4;{<4b0?1c342d?==m16::855d9>22>==l1v;m9:18080fj3=o708nc;7g?800?3?m7p}9c383>d}:>>81:=52663925=:>>:1:=5267d925=:>?o1:=5267f925=:>?i1:=5267`925=:>0i1;i5268f91a=z{?i:6=4n{<446?3a34<<=7;i;<444?3a34<=j7;i;<45a?3a34<=h7;i;<45g?3a34<=n7;i;<4:227==l16::>55d9>23`==l16:;k55d9>23b==l16:;m55d9>23d==l16:4:57e9>2<3==m1v;li:18b800:3?o70881;7g?80083?o7089f;7g?801m3?o7089d;7g?801k3?o7089b;7g?80>83=o70861;7g?xu10>0;6?u268290c=:>081;85rs7:3>5<4s4<2?7?<;<4:2?7434<3j789;|q5<=<72;q6:4:54g9>2<0=?<1v;6>:18080>?3;87086a;30?80>;3<=7p}98883>7}:>0218k5268c930=z{?296=4<{<4:f?7434<2i7?<;<4:3?013ty=4l4?:3y>242908w086f;30?80f:3;87086b;45?xu10k0;6?u26`290c=:>h81;85rs7:7>5<4s42d0=?<1v;6::18080f?3;8708na;30?80f;3<=7p}98e83>7}:>h218k526`c930=z{?2=6=4={<4b`?74342db=?<1v;oj:18080>93=>7087f;5e?80>:3<>7p}9ag83>6}:>0?1;85268193c=:>0<1:85rs7`3>5<4s4<2579:;<4:3?1a34<2m78:;|q5f4<72:q6:4j5749>2n3=m708n2;46?xu1j:0;6>u26`7930=:>h91;k526`4920=z{?h?6=4<{<4b=?12342de=?<16:lj5649~w1`a2908w0:id;5g?82am3>m70:ic;5f?xu2890;6?u24gg93a=:5<4s4?;979k;<732?2a34?;87:i;|q64=<72;q69=857e9>152=?l1v9h<:18182cm3?o70:ja;5g?xu28;0;6?u24d790c=:5<5s4>n:7:i;<6f6?123ty?ik4?:3y>0`5=7p};f883>7}:nn79k;|q64d<72;q68hm5749>0`g=n2wx9=?50;0x91cc2>n019k>:4f8yv2a:3:1>v3;ee825>;3nj00bmm7>52z?7a`<6927><948d:p0c7=838p19k8:6f891c?2{t2b<5=o:68k4}r6e2?6=:r7?i>48d:?7a7<2m2wx8k;50;0x91c32>n019jj:4g8yv2a<3:1>v3;e884`>;3lo0>i6s|4e094?5|5=n;6:j4=5f2>1`<5=im6:k4}r6g7?6=:r7?h<48d:?7gc<3n2wx8io50;1x91b?2>n019j6:5d891b02=l0q~:kb;296~;3l00{t0b<5=ho6:j4}r6g1?6=:r7?n54;f:?7f1<0=2wx8i850;0x91d>2=l019l::678yv2d:3:1>v3;b787b>;3j80<96s|4b194?4|5=h<69h4=5`1>23ho7>52z?7ff<3n27?no485:p0fc=838p19l=:4f891db2>n0q~:kd;296~;3jo0<963;be8e?xu3l=0;6?u24b293a=:19i5rs5a6>5<5s4>h<7?>;<6`b?1c3ty?hn4?:3y>0f7=?m168o;55e9~w1ec2909w0:l1;32?82c?3=o7p};c583>7}:i?7:i;|q7gd<72;q68o757e9>0g2==l1v9m6:18182e>3=o70:m5;7f?xu3k10;6?u24c593a=:5<5s4>io79k;<6a6?3b3ty?;54?:2y>020=?m168:954g9>023=?l1v996:181820?3=o70:85;6e?xu3090;6>u246g93a=:<>l18k5246f90c=z{=2:6=4={<64b?1c34>035=?m1v99m:181822m3>m70::a;56?xu3?j0;6?u244d90c=:<5<5s4>>o7:i;<663?123ty?:44?:3y>00b=7p};7583>7}:<<219i5247693a=z{=286=4={<651?1234>=?7h4}r64e?6=:r7?:;48d:?71d<2l2wx8;l50;0x910128;0199::6f8yv2?:3:1>v3;6684`>;3=k0>h6s|46194?4|5=<<62b=m7>52z?725<0l27?:<4:d:p027=838p19;j:6f8913>2=l0q~:80;296~;3=o0{t2b<5=?i68k4}r65a?6=:r7?9i48d:?712<2m2wx8;j50;0x91052>n019;7:4g8yv23j3:1?v3;4884`>;3{t<=i1<72b<5=>369h4}r667?6=;r7?9<48d:?717<3n27?9=4;f:p002=838p19;=:6f891372>o0q~:h63;3784`>{t<=o1<71`<5=8o6:;4}r67b?6=:r7???4;f:?76`<0=2wx8>l50;0x914a2=l019v3;3187b>;3:k0<96s|45794?4|5=9>69h4=517>23?;7>52z?76g<2l27??:48d:p000=838p19=7:67891512o1v9:k:18182413=o70:=d;7g?xu3;l0;6?u242;954=:<=21;i5rs576>5<5s4>8m79k;<61a?3c3ty?8;4?:3y>06g=981688>57e9~w15c2909w0:<3;5g?824<3?o7p};4583>7}:<:;1;i5243a90c=z{=>86=4={<606?1c34>9h7;j;|q707<72;q68?h57e9>07c==l1v9:>:18182483=o70:=a;7f?xu3<90;6?u242793a=:<;h19h5rs53f>5<4s4>:o79k;<62`?2a34>:n79j;|q75c<72;q6804d=m7p};2683>7}:<;?1;i5243193`=z{=;96=4={<1e`?3c34>;579k;|q764<72;q68=:54g9>056=?<1v9<=:181827=3>m70:?1;56?xu38l0;6?u241090c=:;on1;85rs52e>5<5s4>;?7:i;<1ea?123ty?=54?:3y>05>=7}:<9h1;85241;9b>{t<;:1<72b<5=:;68j4}r625?6=:r7?1:?75g<0l2wx8?650;0x916c2>n019>>:4f8yv2613:1>v3;0e825>;3::00b:;7>52z?741<0l278jk4;f:p040=838p19>::6f8916725;296~;38;0{t<8>1<72b<5:lo68k4}r627?6=:r7?<548d:?0b`<2m2wx?k?50;1x96ca2>n01>h?:5d896cb2>o0q~=i2;296~;4n90{t;o31<7=t=2d4>2b<5:l369h4=2d5>1`52z?0b=<0l278j;48e:p7`3=838p1>j?:4f896bd2>n0q~=i4;296~;4l>0?j63{t;o?1<71`<5:n?6:;4}r1f5?6=:r78h84;f:?0`5<0=2wx?h<50;0x96b12=l01>j>:678yv5bj3:1>v3;4lh0<96s|3df94?4|5:n:68j4=2fg>2b52z?0``<0=278hn4i;|q0b6<72;q6?ih57e9>7a5==m1v>k;:18185cn3;:70=je;5g?xu4nk0;6?u23d293a=:;m>19i5rs2g`>5<5s49n<7?>;<1e2?1c3ty8i>4?:3y>7a?=?m16?io55e9~w6cf2909w0=k7;5g?85c:3>m7p}7}:;m21;i523e191`=z{:o36=4={<1g1?1c349o87;j;|q0a2<72;q6?i857e9>7a6==l1v>k9:18185cj3=o70=k1;7f?xu4k=0;6>u23b093a=:;j918k523b393`=z{:i>6=4={<1`7?1c349h=7:i;|q0gf<72:q6?no57e9>7fd=7}:;h919i523`d93a=z{:i<6=4={<1be?2a349j:79:;|q0g=<72;q6?ll54g9>7d1=?<1v>l;:18185f03>m70=n3;56?xu4j<0;6?u23`;90c=:;h>1;85rs2`f>5<5s49ji7:i;<1b`?123ty8o=4?:3y>7d2==m16?o>57e9~w6ea2909w0=m1;56?85fn3l0q~=l6;296~;4j;0{t;k=1<747<5:i:6:j4}r1`a?6=:r78n>48d:?0e2<2l2wx?oh50;0x96d428;01>m6:6f8yv5e>3:1>v3;4im0>h6s|3cf94?4|5:kj6:j4=2c6>1`52z?0eg<0l278m;4:e:p7gd=838p1>o7:6f896g02{t;k31<72b<5:k?68k4}r1:3?6=;r785848d:?0=3<3n2785948e:p7<>=838p1>79:6f896?32=l0q~=6f;297~;41m0;41j0?j6s|3`294?4|5:3n6:j4=2;`>2c52z?033<2l2784?48d:p79k:5d8961>2>?0q~=6b;296~;4?l0?j63<7`841>{t;1=1<71`<5:==6:;4}r1;62=l01>6?:678yv5>;3:1>v3<7686`>;40:0c=z{:326=4={<1;1?1c349<57;k;|q07<2=?m1v>o>:18185?>3=o70=8a;7g?xu41;0;6?u2394954=:;0i1;i5rs2::>5<5s4972b=?m16?:654g9~w6>a2909w0=8e;5g?85013?n7p}<8d83>7}:;>h1;i5236c91`=z{:2o6=4={<14g?1c349<:7;j;|q0721==l1v>8n:18085103=o70=99;6e?851?3=n7p}<6c83>7}:;?31;i5237590c=z{:=96=4<{<144?1c349<=7:i;<15b?2a3ty8;>4?:3y>727=?m16?;h57d9~w63b2909w0=;9;7g?852=3=o7p}<6e83>7}:;<:18k5235a930=z{:71?=?<1v>;m:181853n3>m70=;a;56?xu4>=0;6?u234690c=:;<91;85rs245>5<5s49?m7;k;<162?1c3ty8;84?:3y>701=?<16?8;5f:p73e=838p1>;7:6f8962d2{t;>>1<72b<5:>o68j4}r151?6=:r78944>1:?02c<0l2wx?8m50;0x96352>n01>;<:4f8yv51;3:1>v3<5184`>;40c52z?00`<0l2788i4:e:p736=838p1>:i:6f8962>2{t;:n1<7=t=21a>2b<5:9h69h4=21b>2c52z?07f<0l278?l4;f:p713=839p1>:<:6f896232=l01>:=:5d8yv53>3:1>v3<4584`>;4<;02b52z?066<3n278=k485:p717=838p1><;:5d896472>?0q~==d;296~;4:80?j63<1b841>{t;;o1<71`<5:;o6:;4}r103?6=:r78>:4;f:?063<0=2wx?>750;0x967c2<6:6f8yv5303:1>v3<2`841>;4:10m7p}<3g83>7}:;;h1;i5230d91a=z{:9;6=4={<11f?763498m79k;|q002<72;q6??m57e9>776==m1v>=7:181855k3;:70=;2;5g?xu4:o0;6?u233793a=:;;<19i5rs215>5<5s499?79k;<12a?2a3ty8?84?:3y>772=?m16?7}:;;81;i5230a91`=z{:996=4={<113?1c349:h7;j;|q055<72:q6?=k57e9>75`=m7p}<1983>6}:;8<1;i5230590c=:;8?18k5rs23:>5<5s49:;79k;<121?1b3ty8<94?:3y>6``==m16>kl57e9~w6742909w07p}<1583>7}::o=18k522g1930=z{::;6=4={<0e0?2a348nj79:;|q044<72;q6>k;54g9>6c6=?<1v>>n:18184ai3>m705<5s48mh79:;<0ef?`7>52z?1b`<0l279j?4:d:p755=838p1?hj:038966c2>n0q~=>a;296~;5no0{t;9h1<747<5:;>6:j4}r136?6=:r79j548d:?1b<<2l2wx?=750;0x97`12>n01?h>:5d8yv5703:1>v3=f684`>;5n;0>i6s|31594?4|5;l?6:j4=3d0>0c52z?1b0<0l279ik4:e:p753=838p1?hn:6f897`72;5m901`53z?1a<<0l279il4;f:?1a=<3n2wx>hm50;0x97cf2>n01?k7:6g8yv4c?3:1>v3=c386`>;5kl02352z?1gd<3n279o;485:p6a5=838p1?m8:5d897e52>?0q~{t:mn1<71`<5;ih6:;4}r0gb?6=:r79o>4:d:?1gc<0l2wx>hk50;0x97b72>?01?mj:g9~w7c22909w07}::m;1=<522d293a=z{;oo6=4={<0g6?1c348h:7;k;|q1``<72;q6>i<5109>6`>=?m1v?j::18184dj3=o7018k5rs3fa>5<5s48hm79k;<0`1?3b3ty9hl4?:3y>6f1=?m16>n855d9~w7b>2909w07}::jn1;i522b191`=z{;226=4<{<0;3?1c348347:i;<0;2?1b3ty94l4?:3y>6=>=?m16>5854g9~w7?62908w0<7f;5g?84>83>m70<7e;6e?xu51;0;6?u228293a=::1o1;h5rs35g>5<5s48=47;k;<040?1c3ty94n4?:3y>63`=;l5749~w7>c2909w0<80;6e?841k3=>7p}=7883>7}::?n18k5227:930=z{;=j6=4={<05a?2a348=579:;|q1<6<72;q6>:=54g9>624=?<1v?6::18184113?o70<85;5g?xu51=0;6?u2264930=::>>1j6s|29`94?4|5;=<6:j4=34a>0b52z?132<692794;48d:p6<5=838p1?97:6f8970d2{t:>h1<72b<5;=968j4}r0;6?6=:r79:k48d:?12d<3n2wx>5?50;0x97172>n01?8m:4g8yv4?83:1>v3=6e84`>;5>j0>i6s|26d94?4|5;0c52z?136<0l279:44:e:p60e=839p1?;n:6f8973e2=l01?;6:6g8yv42l3:1>v3=5c84`>;5=00?j6s|27694?5|5;<96:j4=340>1`<5;<:69h4}r051?6=:r79:>48d:?124<0m2wx>8>50;0x975e2v3=4387b>;5;l0<96s|27294?4|5;>869h4=31e>2352z?105<3n279?o485:p61b=838p1?:>:5d8975d2>?0q~<:6;296~;5{t:<21<70b<5;>36:j4}r053?6=:r7984485:?10261g=?m16>>k55e9~w72a2909w0<;a;32?84213=o7p}=6783>7}::=h1;i5222d91a=z{;?<6=4={<07f?76348==79k;|q10`<72;q6>9:57e9>613==m1v?;::181843:3=o70<5<5s48?<79k;<00b?3b3ty99?4?:3y>617=?m16>>l55d9~w7362909w0<;6;5g?844k3?n7p}=2g83>6}::;n1;i5223g90c=::;i1;h5rs313>5<5s489i79k;<01g?2a3ty9?:4?:2y>663=?m16>>854g9>662=3=o70<<4;5f?xu5::0;6?u221g91a=::8k1;i5rs311>5<5s48:97:i;<025?123ty9?>4?:3y>640=<<5749~w77a2909w0<>3;6e?847m3=>7p}=2183>7}::8>18k5221d930=z{;826=4={<02=?2a348:479:;|q16g<72;q6>=h55e9>64d=?m1v?=n:181846k3=>70<>a;d8yv4493:1>v3=1e84`>;5980>h6s|23094?4|5;;o62b52z?15`<0l279=?4:d:p67g=838p1??j:03897532>n0q~<=1;296~;59>0{t:;21<72b<5;;;69h4}r013?6=:r79=;48d:?154<2m2wx>?850;0x97742>n01??=:4g8yv45=3:1>v3=1584`>;58l0>i6s|23694?4|5;;26:j4=32e>0c7>53z?145<0l279<<4;f:?2bc<0m2wx>==50;0x97662>n01;5800?j63=0687b>{t:9h1<72b<5;:<6:k4}r3e2?6=:r7:i<4:d:?2aa<0l2wx>=;50;0x94c?2=l013:1>v3>e887b>;6m<0<96s|1g094?4|58o=69h4=0g2>2352z?2a2<3n27:i?485:p5ce=838p1?0q~?ie;296~;6m;0>h63>ed84`>{t:9n1<723<58oo6k5rs327>5<5s4;m<79k;<3f0?3c3ty:j84?:3y>5c6=9816=kh57e9~w76d2909w0?i1;5g?87b=3?o7p}>fe83>7}:9o;1=<5221593a=z{8l?6=4={<3fe?1c34;nn7;k;|q2bg<72;q6=h657e9>5`5=5<5s4;n;79k;<3f5?3b3ty:j:4?:3y>5`e=?m16=h<55d9~w4b22908w0?k3;5g?87c<3>m70?k2;5f?xu6l?0;6?u21e693a=:9m818k5rs0fg>5<4s4;on79k;<3gg?2a34;om7:i;|q2``<72;q6=im57e9>5ag=?l1v5<5s4;io7:i;<3a5g?=7p}>cg83>7}:9kl18k521cg930=z{8n:6=4={<3a1?3c34;h=79k;|q2a5<72;q6=n<5749>5f6=n2wx=i950;0x94e42>n01v3>c2825>;6l;00b52z?2g1<6927:hl48d:p5f1=838p1b787b>{t9jn1<72b<58h<68k4}r3`g?6=:r7:n448d:?2f=<2m2wx=nl50;0x94df2>n01v3>bg84`>;6j<0>i6s|1`:94?5|58k=6:j4=0c4>1`<58k>6:k4}r3b=?6=:r7:m:48d:?2e0<3n2wx=o>50;1x94gb2>n01ae84a>{t90i1<70b<58386:j4}r3bf?6=:r7:4h4;f:?2a2=l01<6m:678yv7>03:1>v3>8b87b>;60>0<96s|18;94?4|582o69h4=0:;>237>52z?2=7<3n27:5<485:p5d2=838p1<67:4f894?32>n0q~?m3;296~;61<0<963>928e?xu6ih0;6?u218493a=:91k19i5rs0;a>5<5s4;2:7?>;<3b1?1c3ty:n?4?:3y>5<1=?m16=5l55e9~w4g42909w0?67;32?87fl3=o7p}>9`83>7}:90:1;i5218391a=z{8k:6=4={<3;a?1c34;357:i;|q2e5<72;q6=5h57e9>5=g==l1v<7i:18187?k3=o70?7b;7f?xu61l0;6?u219f93a=:91=19h5rs0;g>5<5s4;2>79k;<3;52?=?m16=:o54g9>52>=?l1v<9l:181870i3=o70?88;6e?xu60:0;6>u219393a=:91818k5219290c=z{82?6=4={<3;6?1c34;3<79j;|q22c<72;q6=8o55e9>530=?m1v<9j:18187193>m70?:d;56?xu6?o0;6?u217090c=:95<5s4;>j7:i;<36e?123ty::n4?:3y>536=7p}>7683>7}:928;01<97:6f8yv7?=3:1>v3>6`84`>;6=l0>h6s|16494?4|582b52z?226<0l27::94:d:p522=838p1<8>:6f8943d2=l0q~?83;296~;6>;05e86a>{t9>81<72b<58?n68k4}r345?6=:r7::=48d:?21d<2m2wx=:>50;0x94022>n01<;m:4g8yv73m3:1?v3>4b84`>;64c84a>{t9=l1<72b<58>i69h4}r362?6=;r7:9948d:?210<3n27:9>4;f:p501=838p1<;::6f894342>o0q~?;2;296~;6:m0>h63>3884`>{t9<;1<71`<589;6:;4}r366?6=:r7:?84;f:?274<0=2wx=>k50;0x94552=l01<v3>3287b>;6:l0<96s|15:94?4|589369h4=014>2352z?26`<2l27:?l48d:p50?=838p1<=m:678945>2o1v<;?:181874k3=o70?<0;7g?xu6<80;6?u212a954=:9=h1;i5rs07;>5<5s4;8h79k;<305?3c3ty:844?:3y>56b=9816=8=57e9~w4272909w0?<6;5g?874?3?o7p}>4683>7}:9:>1;i5213d90c=z{8>=6=4={<301?1c34;8<7;j;|q200<72;q6=><57e9>567==l1v<:;:181874;3=o70?=d;7f?xu6<:0;6?u212:93a=:9;o19h5rs002>5<4s4;:j79k;<314?2a34;:i79j;|q267<72;q6=?>57e9>54c=3>m7p}>2`83>7}:9;21;i5213493`=z{8;>6=4={<334?3c34;;o79k;|q261<72;q6==954g9>555=?<1v<<::18187703>m70??4;56?xu6980;6?u211790c=:99:1;85rs031>5<5s4;;:7:i;<335?123ty:=o4?:3y>55d=2b83>7}:99o1;85211a9b>{t9;91<72b<58:868j4}r320?6=:r7:1:?25`<0l2wx=?l50;0x94772>n01<>;:4f8yv76k3:1>v3>11825>;6:?00b52z?242<0l27:7:6f8946428;296~;68<00586a>{t98=1<72b<58:;68k4}r322?6=:r7:b7<0l27m?7:i;2c6=4={2b<5o;18k5rsga94?5|5ok1;i52fc87b>;a13>m7p}id;296~;aj3=o70h6:6g8yvc?2909w0j<:4f89a`=?m1vk950;0x9ag=52z?g5<5s4n269h4=e6930=z{lo1<77}:l=0>h63j0;5g?xuan3:1>v3j1;56?8ba2o1vk850;0x9`4=?m16h;4:d:pa2<72;q6i?4>1:?e5?1c3tymi7>52z?f7?1c34n<68j4}rge>5<5s4o86h6s|ee83>7}:lh0v3kb;5g?8b12n01i955d9~w`g=838p1i757e9>`6<2m2wxi44?:3y>``<0l27o87;j;|q57d<72:q6:>657e9>26?=957d9~w35e2909w08<9;5g?804?3>m7p}94383>6}:>=:1;i5265390c=:>:l18k5rs760>5<5s4h4?:3y>24?==m16:?;57e9~w35c2909w08=0;6e?806k3=>7p}93d83>7}:>;;18k5260f930=z{?8j6=4={<42a?2a34<:579:;|q56g<72;q6:24g=?<1v;=;:181805<3>m708=3;56?xu1;?0;6?u260c91a=:>;<1;i5rs766>5<5s4<9;79:;<411?`52z?56=<0l27==n4:d:p27b=838p1;<7:03893502>n0q~8;4;296~;1:00{t>:?1<747<5?9m6:j4}r41g?6=:r7=>?48d:?566<2l2wx:>=50;0x93472>n01;?m:5d8yv04:3:1>v392084`>;19j0>i6s|62394?4|5?;n6:j4=73g>0c52z?55c<0l27==44:e:p27`=838p1;<;:6f8937f2;18h01`53z?556<0l27==94;f:?557<3n2wx:<850;0x93732>n01;?=:6g8yv0793:1>v3:eb86`>;2n102352z?6b1<3n27>j=485:p1cb=838p18h>:5d890cd2>?0q~;ie;296~;2n;0?j63:ee841>{t>9=1<71`<5ii4:d:?6b<<0l2wx:<650;0x90`f2>?018h7:g9~w36a2909w0;ib;5g?83bn3?o7p}90183>7}:=oh1=<5261c93a=z{?;<6=4={<7eg?1c34?m<7;k;|q54=<72;q69km5109>244=?m1v8hi:18183a=3=o70;i6;7g?xu18?0;6?u25g193a=:=lo18k5rs726>5<5s4?m879k;<7fb?3b3ty=<94?:3y>1c7=?m169k>55d9~w3642909w0;i2;5g?83bk3?n7p}90383>7}:=o=1;i525df91`=z{i<4?:3y>1a`=?m169ij54g9~w0c?2908w0;j6;5g?83b?3>m70;j5;6e?xu2m00;6?u25d593a=:=l?1;h5rs4f7>5<5s4?ij7;k;<7`f?1c3ty>i>4?:3y>1f0=7p}:d183>7}:=j>18k525cd930=z{1f?=?<1v8jl:18183d83?o70;lc;5g?xu2mk0;6?u25bf930=:=jh1j6s|5d094?4|50b52z?6g`<6927>hi48d:p1`g=838p18mi:6f890e42{t=m81<72b<5o;48d:?6g4<3n2wx9i650;0x90e02>n018m=:4g8yv3c?3:1>v3:c584`>;2k:0>i6s|5e494?4|56:j4=4`e>0c52z?6gd<0l27>o=4:e:p1g5=839p18l>:6f890d52=l018l?:6g8yv3e<3:1>v3:b384`>;2j90?j6s|5c`94?5|51`<5nl48d:?6f=<0m2wx9l950;0x90?523:1>v3:9887b>;21<0<96s|5c594?4|5<3j69h4=4;5>2352z?6=2<3n27>5?485:p1d2=838p1877:5d890?42>?0q~;nd;296~;21m0?j63:9b841>{t=hl1<70b<5<3m6:j4}r7aa?6=:r7>m=485:?6=`n84?:3y>1d7=?m1694;55e9~w0g12909w0;n1;32?83e83=o7p}:be83>7}:=h81;i5258491a=z{113=o70;64;6e?xu2ik0;6?u258c93a=:=0?19h5rs4cb>5<5s4?2;79k;<7:2?3b3ty>m44?:3y>1<>=?m1694<55d9~w0g?2909w0;6d;5g?83>;3?n7p}:8783>6}:=1>1;i5259790c=:=191;h5rs4:4>5<5s4?3979k;<7;7?2a3ty>4h4?:2y>1=e=?m1695j54g9>1=d=;1;i5rs4::>5<5s4?=o7:i;<754l4?:3y>13b=7p}:7683>7}:=?h18k52574930=z{<2;6=4={<744?2a34?=j79:;|q6<7<72;q69;855e9>124=?m1v87>:181830;3=>70;81;d8yv3?03:1>v3:7584`>;2>10>h6s|56;94?4|5<=?62b52z?630<0l27>:44:d:p1=7=838p189::03890>e2>n0q~;88;296~;2>l0{t=>l1<72b<5<<<69h4}r74a?6=:r7>:i48d:?62=<2m2wx9:j50;0x900f2>n01886:4g8yv30k3:1>v3:6c84`>;2><0>i6s|56`94?4|5<=;6:j4=445>0c57>53z?612<0l27>954;f:?613<0m2wx98o50;0x903?2>n018;9:5d8yv3193:1?v3:5g84`>;2>90?j63:5d87b>{t=?81<72b<5?54:d:?601<0l2wx98m50;0x905a2=l018=m:678yv32l3:1>v3:4187b>;2;j0<96s|55;94?4|5<9o69h4=41;>2352z?67`<3n27>?4485:p105=838p18:<:5d890252>?0q~;:5;296~;2;00>h63:4484`>{t=?>1<723<5<>?6k5rs47a>5<5s4??;79k;<70f?3c3ty>8n4?:3y>111=981698857e9~w0042909w0;;8;5g?834k3?o7p}:5583>7}:==21=<5254g93a=z{<>i6=4={<775?1c34??>7;k;|q617<72;q69>h57e9>16g=:18183383=o70;5<5s4?8i79k;<708h4?:3y>115=?m169>755d9~w04d2908w0;=a;5g?835j3>m70;=9;5f?xu2:m0;6?u253`93a=:=;318k5rs417>5<4s4?8>79k;<707?2a34?8=7:i;|q670<72;q69>=57e9>167=?l1v87;5g?xu2:o0;6?u250090c=:=9o1;85rs413>5<5s4?:?7:i;<73b?123ty>=n4?:3y>146=1;6e?837k3=>7p}:2783>7}:=8<18k52507930=z{<836=4={<73g?3c34?:479k;|q672<72;q69<75749>141=n2wx9?k50;0x907f2>n018>j:4f8yv36n3:1>v3:1`825>;2:000b52z?65g<6927>?<48d:p14c=838p18?;:6f890722{t=;>1<72b<5<:n68k4}r717?6=:r7>==48d:?64c<2m2wx9?<50;0x90762>n018>m:4g8yv3593:1>v3:1784`>;28j0>i6s|4`794?5|5=k86:j4=5c7>1`<5=k96:k4}r6b2?6=:r7?m948d:?7e7<3n2wx8lj50;1x91ge2>n019ol:5d891gf2=l0q~:ne;296~;3ij0{t<031<70b<5=3;6:j4}r6bd2=l01967:678yv2>=3:1>v3;8887b>;30=0<96s|48494?4|5=2j69h4=5:6>232j7>52z?7n0q~:m0;296~;31;0<963;918e?xu3i>0;6?u248193a=:<1=19i5rs5;;>5<5s4>2?7?>;<6b6?1c3ty?mk4?:3y>0<2=?m1685655e9~w1g72909w0:64;32?82fi3=o7p};9683>7}:<1n1;i5249g91a=z{=3n6=4={<6;f?1c34>3:7:i;|q7=a<72;q685m57e9>0=1==l1v97l:18182?13=o70:78;7f?xu31k0;6?u249c93a=:<1>19h5rs5;b>5<5s4>3j79k;<6;1?3b3ty9n;4?:2y>6g2=?m16>o;54g9>6g5=?l1v?l8:18184e=3=o70u22ca93a=::kn18k522c`90c=z{;hm6=4={<0a`?1c348in79j;|q1ed<72;q6>4;55e9>6d7=?m1v?l6:18184>k3>m70<68;56?xu5jh0;6?u228f90c=::031;85rs3c5>5<5s482m7:i;<0:1?123ty9m:4?:3y>6485749~w7d72909w0n3=>7p}=b383>7}::0<19i522`093a=z{;i:6=4={<0b7?12348j=7h4}r0al750;0x97g328;01?l<:6f8yv4d83:1>v3=a484`>;5100>h6s|2c394?4|5;k>62b52z?1=`<0l2795k4:d:p6d`=838p1?7l:6f897?02=l0q~{t:hn1<72b<5;3268k4}r0bg?6=:r795o48d:?1=0<2m2wx>ll50;0x97g72>n01?79:4g8yve02908w0m::6f89f0=53z?``?1c34in69h4=ba90c=z{m:1<77}:i?0>h63m2;5g?xudi3:1>v3nd;6e?8g>2>?0q~mm:1818gb2=l01lo5749~wg1=838p1ll54g9>e3<0=2wxn54?:3y>ef<3n27j;79:;|q`5?6=:r7i=7:i;<`3>230b<5k91;i5rse094?4|5k>1;852b38e?xud13:1>v3m5;5g?8g>2ed<2l2wxo?4?:3y>f3<6927ho79k;|qa=?6=:r7jj79k;<`3>0b2b<5h218k5rscd94?4|5ho1;i52a886a>{tjl0;6?u2ac84`>;fi3?n7p}md;296~;fk3=o70o9:4g8yvdd2909w0l>:6f89d1==l1v;9::181800>3=>70887;42?xu1??0;6?u266:930=:>>=1:=5rs75;>5<5s4<<579:;<44b?3c3ty=;44?:3y>22g=?<16::h55d9~w31f2909w088b;56?800n3?m7p}97c83>7}:>>i1;85266d925=z{?=h6=4={<44`?1234<;|q53a<72;q6::k5749>22`=>;1v;9j:181800n3=>70887;7g?x{inmn1<7?tH7a`?xhall0;65<6sA4}O>ji0qchj7;295~N1kj1vbkk7:182M0dk2wejh750;3xL3ed3tdmil4?:0yK2fe51zJ5gf=zfooh6=4>{I4`g>{inln1<7?tH7a`?xhaml0;65<6sA4}O>ji0qchi7;295~N1kj1vbkh7:182M0dk2wejk750;3xL3ed3tdmjl4?:0yK2fe51zJ5gf=zfolh6=4>{I4`g>{inon1<7?tH7a`?xhanl0;63:1=vF9cb9~j467?3:1=vF9cb9~j46703:1=vF9cb9~j46713:1=vF9cb9~j467i3:1=vF9cb9~j467j3:1=vF9cb9~j467k3:1=vF9cb9~j467l3:1=vF9cb9~j467m3:1=vF9cb9~j467n3:1=vF9cb9~j46683:1=vF9cb9~j46693:1=vF9cb9~j466:3:1=vF9cb9~j466;3:1=vF9cb9~j466<3:1=vF9cb9~j466=3:1=vF9cb9~j466>3:1=vF9cb9~j466?3:1=vF9cb9~j46603:1=vF9cb9~j46613:1=vF9cb9~j466i3:1=vF9cb9~j466j3:1=vF9cb9~j466k3:1=vF9cb9~j466l3:1=vF9cb9~j466m3:1=vF9cb9~j466n3:1=vF9cb9~j46583:1=vF9cb9Ye6<6s<81qW;6:0yb7?{zf8:9=7>51zJ5gf=zf8:9>7>51zJ5gf=zf8:9?7>51zJ5gf=zf8:987>51zJ5gf=zf8:997>51zJ5gf=zf8:9:7>51zJ5gf=zf8:9;7>51zJ5gf=zf8:947>51zJ5gf=zf8:957>51zJ5gf=zf8:9m7>51zJ5gf=zf8:9n7>51zJ5gf=zf8:9o7>51zJ5gf=zf8:9h7>51zJ5gf=zf8:9i7>51zJ5gf=zf8:9j7>51zJ5gf=zf8:8<7>51zJ5gf=zf8:8=7>51zJ5gf=zf8:8>7>51zJ5gf=zf8:8?7>51zJ5gf=zf8:887>51zJ5gf=zf8:897>51zJ5gf=zf8:8:7>51zJ5gf=zf8:8;7>51zJ5gf=zf8:847>51zJ5gf=zf8:857>51zJ5gf=zf8:8m7>51zJ5gf=zf8:8n7>51zJ5gf=zf8:8o7>51zJ5gf=zf8:8h7>51zJ5gf=zf8:8i7>51zJ5gf=zf8:8j7>51zJ5gf=zf8:?<7>51zJ5gf=zf8:?=7>51zJ5gf=zf8:?>7>51zJ5gf=zf8:??7>51zJ5gf=zf8:?87>51zJ5gf=zf8:?97>51zJ5gf=zf8:?:7>51zJ5gf=zf8:?;7>51zJ5gf=zf8:?47>51zJ5gf=zf8:?57>51zJ5gf=zf8:?m7>51zJ5gf=zf8:?n7>51zJ5gf=zf8:?o7>51zJ5gf=zf8:?h7>51zJ5gf=zf8:?i7>51zJ5gf=zf8:?j7>51zJ5gf=zf8:><7>51zJ5gf=zf8:>=7>51zJ5gf=zf8:>>7>51zJ5gf=zf8:>?7>51zJ5gf=zf8:>87>51zJ5gf=zf8:>97>51zJ5gf=zf8:>:7>51zJ5gf=zf8:>;7>51zJ5gf=zf8:>47>51zJ5gf=zf8:>57>51zJ5gf=zf8:>m7>51zJ5gf=zf8:>n7>51zJ5gf=zf8:>o7>51zJ5gf=zf8:>h7>51zJ5gf=zf8:>i7>51zJ5gf=zf8:>j7>51zJ5gf=zf8:=<7>51zJ5gf=zf8:==7>51zJ5gf=zf8:=>7>51zJ5gf=zf8:=?7>51zJ5gf=zf8:=87>51zJ5gf=zf8:=97>51zJ5gf=zf8:=:7>51zJ5gf=zf8:=;7>51zJ5gf=zf8:=47>51zJ5gf=zf8:=57>51zJ5gf=zf8:=m7>51zJ5gf=zf8:=n7>51zJ5gf=zf8:=o7>51zJ5gf=zf8:=h7>51zJ5gf=zf8:=i7>51zJ5gf=zf8:=j7>51zJ5gf=zf8:<<7>51zJ5gf=zf8:<=7>51zJ5gf=zf8:<>7>51zJ5gf=zf8:51zJ5gf=zf8:<87>51zJ5gf=zf8:<97>51zJ5gf=zf8:<:7>51zJ5gf=zf8:<;7>51zJ5gf=zf8:<47>51zJ5gf=zf8:<57>51zJ5gf=zf8:51zJ5gf=zf8:51zJ5gf=zf8:51zJ5gf=zf8:51zJ5gf=zf8:51zJ5gf=zf8:51zJ5gf=zf8:3<7>51zJ5gf=zf8:3=7>51zJ5gf=zf8:3>7>51zJ5gf=zf8:3?7>51zJ5gf=zf8:387>51zJ5gf=zf8:397>51zJ5gf=zf8:3:7>51zJ5gf=zf8:3;7>51zJ5gf=zf8:347>51zJ5gf=zf8:357>51zJ5gf=zf8:3m7>51zJ5gf=zf8:3n7>51zJ5gf=zf8:3o7>51zJ5gf=zf8:3h7>51zJ5gf=zf8:3i7>51zJ5gf=zf8:3j7>51zJ5gf=zf8:2<7>51zJ5gf=zf8:2=7>51zJ5gf=zf8:2>7>51zJ5gf=zf8:2?7>51zJ5gf=zf8:287>51zJ5gf=zf8:297>51zJ5gf=zf8:2:7>51zJ5gf=zf8:2;7>51zJ5gf=zf8:247>51zJ5gf=zf8:257>51zJ5gf=zf8:2m7>51zJ5gf=zf8:2n7>51zJ5gf=zf8:2o7>51zJ5gf=zf8:2h7>51zJ5gf=zf8:2i7>51zJ5gf=zf8:2j7>51zJ5gf=zf8:j<7>51zJ5gf=zf8:j=7>51zJ5gf=zf8:j>7>51zJ5gf=zf8:j?7>51zJ5gf=zf8:j87>51zJ5gf=zf8:j97>51zJ5gf=zf8:j:7>51zJ5gf=zf8:j;7>51zJ5gf=zf8:j47>51zJ5gf=zf8:j57>51zJ5gf=zf8:jm7>51zJ5gf=zf8:jn7>51zJ5gf=zf8:jo7>51zJ5gf=zf8:jh7>51zJ5gf=zf8:ji7>51zJ5gf=zf8:jj7>51zJ5gf=zf8:i<7>51zJ5gf=zf8:i=7>51zJ5gf=zf8:i>7>51zJ5gf=zf8:i?7>51zJ5gf=zf8:i87>51zJ5gf=zf8:i97>51zJ5gf=zf8:i:7>51zJ5gf=zf8:i;7>51zJ5gf=zf8:i47>51zJ5gf=zf8:i57>51zJ5gf=zf8:im7>51zJ5gf=zf8:in7>51zJ5gf=zf8:io7>51zJ5gf=zf8:ih7>51zJ5gf=zf8:ii7>51zJ5gf=zf8:ij7>51zJ5gf=zf8:h<7>51zJ5gf=zf8:h=7>51zJ5gf=zf8:h>7>51zJ5gf=zf8:h?7>51zJ5gf=zf8:h87>51zJ5gf=zf8:h97>51zJ5gf=zf8:h:7>51zJ5gf=zf8:h;7>51zJ5gf=zf8:h47>51zJ5gf=zf8:h57>51zJ5gf=zf8:hm7>51zJ5gf=zf8:hn7>51zJ5gf=zf8:ho7>51zJ5gf=zf8:hh7>51zJ5gf=zf8:hi7>51zJ5gf=zf8:hj7>51zJ5gf=zf8:o<7>51zJ5gf=zf8:o=7>51zJ5gf=zf8:o>7>51zJ5gf=zf8:o?7>51zJ5gf=zf8:o87>51zJ5gf=zf8:o97>51zJ5gf=zf8:o:7>51zJ5gf=zf8:o;7>51zJ5gf=zf8:o47>51zJ5gf=zf8:o57>51zJ5gf=zf8:om7>51zJ5gf=zf8:on7>51zJ5gf=zf8:oo7>51zJ5gf=zf8:oh7>51zJ5gf=zf8:oi7>51zJ5gf=zf8:oj7>51zJ5gf=zf8:n<7>51zJ5gf=zf8:n=7>51zJ5gf=zf8:n>7>51zJ5gf=zf8:n?7>51zJ5gf=zf8:n87>51zJ5gf=zf8:n97>51zJ5gf=zf8:n:7>51zJ5gf=zf8:n;7>51zJ5gf=zf8:n47>51zJ5gf=zf8:n57>51zJ5gf=zf8:nm7>51zJ5gf=zf8:nn7>51zJ5gf=zf8:no7>51zJ5gf=zf8:nh7>51zJ5gf=zf8:ni7>51zJ5gf=zf8:nj7>51zJ5gf=zf8:m<7>51zJ5gf=zf8:m=7>51zJ5gf=zf8:m>7>51zJ5gf=zf8:m?7>51zJ5gf=zf8:m87>51zJ5gf=zf8:m97>51zJ5gf=zf8:m:7>51zJ5gf=zf8:m;7>51zJ5gf=zf8:m47>51zJ5gf=zf8:m57>51zJ5gf=zf8:mm7>51zJ5gf=zf8:mn7>51zJ5gf=zf8:mo7>51zJ5gf=zf8:mh7>51zJ5gf=zf8:mi7>51zJ5gf=zf8:mj7>51zJ5gf=zf8;;<7>51zJ5gf=zf8;;=7>51zJ5gf=zf8;;>7>51zJ5gf=zf8;;?7>51zJ5gf=zf8;;87>51zJ5gf=zf8;;97>51zJ5gf=zf8;;:7>51zJ5gf=zf8;;;7>51zJ5gf=zf8;;47>51zJ5gf=zf8;;57>51zJ5gf=zf8;;m7>51zJ5gf=zf8;;n7>51zJ5gf=zf8;;o7>51zJ5gf=zf8;;h7>51zJ5gf=zf8;;i7>51zJ5gf=zf8;;j7>51zJ5gf=zf8;:<7>51zJ5gf=zf8;:=7>51zJ5gf=zf8;:>7>51zJ5gf=zf8;:?7>51zJ5gf=zf8;:87>51zJ5gf=zf8;:97>51zJ5gf=zf8;::7>51zJ5gf=zf8;:;7>51zJ5gf=zf8;:47>51zJ5gf=zf8;:57>51zJ5gf=zf8;:m7>51zJ5gf=zf8;:n7>51zJ5gf=zf8;:o7>51zJ5gf=zf8;:h7>51zJ5gf=zf8;:i7>51zJ5gf=zf8;:j7>51zJ5gf=zf8;9<7>51zJ5gf=zf8;9=7>51zJ5gf=zf8;9>7>51zJ5gf=zf8;9?7>51zJ5gf=zf8;987>51zJ5gf=zf8;997>51zJ5gf=zf8;9:7>51zJ5gf=zf8;9;7>51zJ5gf=zf8;947>51zJ5gf=zf8;957>51zJ5gf=zf8;9m7>51zJ5gf=zf8;9n7>51zJ5gf=zf8;9o7>51zJ5gf=zf8;9h7>51zJ5gf=zf8;9i7>51zJ5gf=zf8;9j7>51zJ5gf=zf8;8<7>51zJ5gf=zf8;8=7>51zJ5gf=zf8;8>7>51zJ5gf=zf8;8?7>51zJ5gf=zf8;887>51zJ5gf=zf8;897>51zJ5gf=zf8;8:7>51zJ5gf=zf8;8;7>51zJ5gf=zf8;847>51zJ5gf=zf8;857>51zJ5gf=zf8;8m7>51zJ5gf=zf8;8n7>51zJ5gf=zf8;8o7>51zJ5gf=zf8;8h7>51zJ5gf=zf8;8i7>51zJ5gf=zf8;8j7>51zJ5gf=zf8;?<7>51zJ5gf=zf8;?=7>51zJ5gf=zf8;?>7>51zJ5gf=zf8;??7>51zJ5gf=zf8;?87>51zJ5gf=zf8;?97>51zJ5gf=zf8;?:7>51zJ5gf=zf8;?;7>51zJ5gf=zf8;?47>51zJ5gf=zf8;?57>51zJ5gf=zf8;?m7>51zJ5gf=zf8;?n7>51zJ5gf=zf8;?o7>51zJ5gf=zf8;?h7>51zJ5gf=zf8;?i7>51zJ5gf=zf8;?j7>51zJ5gf=zf8;><7>51zJ5gf=zf8;>=7>51zJ5gf=zf8;>>7>51zJ5gf=zf8;>?7>51zJ5gf=zf8;>87>51zJ5gf=zf8;>97>51zJ5gf=zf8;>:7>51zJ5gf=zf8;>;7>51zJ5gf=zf8;>47>51zJ5gf=zf8;>57>51zJ5gf=zf8;>m7>51zJ5gf=zf8;>n7>51zJ5gf=zf8;>o7>51zJ5gf=zf8;>h7>51zJ5gf=zf8;>i7>51zJ5gf=zf8;>j7>51zJ5gf=zf8;=<7>51zJ5gf=zf8;==7>51zJ5gf=zf8;=>7>51zJ5gf=zf8;=?7>51zJ5gf=zf8;=87>51zJ5gf=zf8;=97>51zJ5gf=zf8;=:7>51zJ5gf=zf8;=;7>51zJ5gf=zf8;=47>51zJ5gf=zf8;=57>51zJ5gf=zf8;=m7>51zJ5gf=zf8;=n7>51zJ5gf=zf8;=o7>51zJ5gf=zf8;=h7>51zJ5gf=zf8;=i7>51zJ5gf=zf8;=j7>51zJ5gf=zf8;<<7>51zJ5gf=zf8;<=7>51zJ5gf=zf8;<>7>51zJ5gf=zf8;51zJ5gf=zf8;<87>51zJ5gf=zf8;<97>51zJ5gf=zf8;<:7>51zJ5gf=zf8;<;7>51zJ5gf=zf8;<47>51zJ5gf=zf8;<57>51zJ5gf=zf8;51zJ5gf=zf8;51zJ5gf=zf8;51zJ5gf=zf8;51zJ5gf=zf8;51zJ5gf=zf8;51zJ5gf=zf8;3<7>51zJ5gf=zf8;3=7>51zJ5gf=zf8;3>7>51zJ5gf=zf8;3?7>51zJ5gf=zf8;387>51zJ5gf=zf8;397>51zJ5gf=zf8;3:7>51zJ5gf=zf8;3;7>51zJ5gf=zf8;347>51zJ5gf=zf8;357>51zJ5gf=zf8;3m7>51zJ5gf=zf8;3n7>51zJ5gf=zf8;3o7>51zJ5gf=zf8;3h7>51zJ5gf=zf8;3i7>51zJ5gf=zf8;3j7>51zJ5gf=zf8;2<7>51zJ5gf=zf8;2=7>51zJ5gf=zf8;2>7>51zJ5gf=zf8;2?7>51zJ5gf=zf8;287>51zJ5gf=zf8;297>51zJ5gf=zf8;2:7>51zJ5gf=zf8;2;7>51zJ5gf=zf8;247>51zJ5gf=zf8;257>51zJ5gf=zf8;2m7>51zJ5gf=zf8;2n7>51zJ5gf=zf8;2o7>51zJ5gf=zf8;2h7>51zJ5gf=zf8;2i7>51zJ5gf=zf8;2j7>51zJ5gf=zf8;j<7>51zJ5gf=zf8;j=7>51zJ5gf=zf8;j>7>51zJ5gf=zf8;j?7>51zJ5gf=zf8;j87>51zJ5gf=zf8;j97>51zJ5gf=zf8;j:7>51zJ5gf=zf8;j;7>51zJ5gf=zf8;j47>51zJ5gf=zf8;j57>51zJ5gf=zf8;jm7>51zJ5gf=zf8;jn7>51zJ5gf=zf8;jo7>51zJ5gf=zf8;jh7>51zJ5gf=zf8;ji7>51zJ5gf=zf8;jj7>51zJ5gf=zf8;i<7>51zJ5gf=zf8;i=7>51zJ5gf=zf8;i>7>51zJ5gf=zf8;i?7>51zJ5gf=zf8;i87>51zJ5gf=zf8;i97>51zJ5gf=zf8;i:7>51zJ5gf=zf8;i;7>51zJ5gf=zf8;i47>51zJ5gf=zf8;i57>51zJ5gf=zf8;im7>51zJ5gf=zf8;in7>51zJ5gf=zf8;io7>51zJ5gf=zf8;ih7>51zJ5gf=zf8;ii7>51zJ5gf=zf8;ij7>51zJ5gf=zf8;h<7>51zJ5gf=zf8;h=7>51zJ5gf=zf8;h>7>51zJ5gf=zf8;h?7>51zJ5gf=zf8;h87>51zJ5gf=zf8;h97>51zJ5gf=zf8;h:7>51zJ5gf=zf8;h;7>51zJ5gf=zf8;h47>51zJ5gf=zf8;h57>51zJ5gf=zf8;hm7>51zJ5gf=zf8;hn7>51zJ5gf=zf8;ho7>51zJ5gf=zf8;hh7>51zJ5gf=zf8;hi7>51zJ5gf=zf8;hj7>51zJ5gf=zf8;o<7>51zJ5gf=zf8;o=7>51zJ5gf=zf8;o>7>51zJ5gf=zf8;o?7>51zJ5gf=zf8;o87>51zJ5gf=zf8;o97>51zJ5gf=zf8;o:7>51zJ5gf=zf8;o;7>51zJ5gf=zf8;o47>51zJ5gf=zf8;o57>51zJ5gf=zf8;om7>51zJ5gf=zf8;on7>51zJ5gf=zf8;oo7>51zJ5gf=zf8;oh7>51zJ5gf=zf8;oi7>51zJ5gf=zf8;oj7>51zJ5gf=zf8;n<7>51zJ5gf=zf8;n=7>51zJ5gf=zf8;n>7>51zJ5gf=zf8;n?7>51zJ5gf=zf8;n87>51zJ5gf=zf8;n97>51zJ5gf=zf8;n:7>51zJ5gf=zf8;n;7>51zJ5gf=zf8;n47>51zJ5gf=zf8;n57>51zJ5gf=zf8;nm7>51zJ5gf=zf8;nn7>51zJ5gf=zf8;no7>51zJ5gf=zf8;nh7>51zJ5gf=zf8;ni7>51zJ5gf=zf8;nj7>51zJ5gf=zf8;m<7>51zJ5gf=zf8;m=7>51zJ5gf=zf8;m>7>51zJ5gf=zf8;m?7>51zJ5gf=zf8;m87>51zJ5gf=zf8;m97>51zJ5gf=zf8;m:7>51zJ5gf=zf8;m;7>51zJ5gf=zf8;m47>51zJ5gf=zf8;m57>51zJ5gf=zf8;mm7>51zJ5gf=zf8;mn7>51zJ5gf=zf8;mo7>51zJ5gf=zf8;mh7>51zJ5gf=zf8;mi7>51zJ5gf=zf8;mj7>51zJ5gf=zf88;<7>51zJ5gf=zf88;=7>51zJ5gf=zf88;>7>51zJ5gf=zf88;?7>51zJ5gf=zf88;87>51zJ5gf=zf88;97>51zJ5gf=zf88;:7>51zJ5gf=zf88;;7>51zJ5gf=zf88;47>51zJ5gf=zf88;57>51zJ5gf=zf88;m7>51zJ5gf=zf88;n7>51zJ5gf=zf88;o7>51zJ5gf=zf88;h7>51zJ5gf=zf88;i7>51zJ5gf=zf88;j7>51zJ5gf=zf88:<7>51zJ5gf=zf88:=7>51zJ5gf=zf88:>7>51zJ5gf=zf88:?7>51zJ5gf=zf88:87>51zJ5gf=zf88:97>51zJ5gf=zf88::7>51zJ5gf=zf88:;7>51zJ5gf=zf88:47>51zJ5gf=zf88:57>51zJ5gf=zf88:m7>51zJ5gf=zf88:n7>51zJ5gf=zf88:o7>51zJ5gf=zf88:h7>51zJ5gf=zf88:i7>51zJ5gf=zf88:j7>51zJ5gf=zf889<7>51zJ5gf=zf889=7>51zJ5gf=zf889>7>51zJ5gf=zf889?7>51zJ5gf=zf88987>51zJ5gf=zf88997>51zJ5gf=zf889:7>51zJ5gf=zf889;7>51zJ5gf=zf88947>51zJ5gf=zf88957>51zJ5gf=zf889m7>51zJ5gf=zf889n7>51zJ5gf=zf889o7>51zJ5gf=zf889h7>51zJ5gf=zf889i7>51zJ5gf=zf889j7>51zJ5gf=zf888<7>51zJ5gf=zf888=7>51zJ5gf=zf888>7>51zJ5gf=zf888?7>51zJ5gf=zf88887>51zJ5gf=zf88897>51zJ5gf=zf888:7>51zJ5gf=zf888;7>51zJ5gf=zf88847>51zJ5gf=zf88857>51zJ5gf=zf888m7>51zJ5gf=zf888n7>51zJ5gf=zf888o7>51zJ5gf=zf888h7>51zJ5gf=zf888i7>51zJ5gf=zf888j7>51zJ5gf=zf88?<7>51zJ5gf=zf88?=7>51zJ5gf=zf88?>7>51zJ5gf=zf88??7>51zJ5gf=zf88?87>51zJ5gf=zf88?97>51zJ5gf=zf88?:7>51zJ5gf=zf88?;7>51zJ5gf=zf88?47>51zJ5gf=zf88?57>51zJ5gf=zf88?m7>51zJ5gf=zf88?n7>51zJ5gf=zf88?o7>51zJ5gf=zf88?h7>51zJ5gf=zf88?i7>51zJ5gf=zf88?j7>51zJ5gf=zf88><7>51zJ5gf=zf88>=7>51zJ5gf=zf88>>7>51zJ5gf=zf88>?7>51zJ5gf=zf88>87>51zJ5gf=zf88>97>51zJ5gf=zf88>:7>51zJ5gf=zf88>;7>51zJ5gf=zf88>47>51zJ5gf=zf88>57>51zJ5gf=zf88>m7>51zJ5gf=zf88>n7>51zJ5gf=zf88>o7>51zJ5gf=zf88>h7>51zJ5gf=zf88>i7>51zJ5gf=zf88>j7>51zJ5gf=zf88=<7>51zJ5gf=zf88==7>51zJ5gf=zf88=>7>51zJ5gf=zf88=?7>51zJ5gf=zf88=87>51zJ5gf=zf88=97>51zJ5gf=zf88=:7>51zJ5gf=zf88=;7>51zJ5gf=zf88=47>51zJ5gf=zf88=57>51zJ5gf=zf88=m7>51zJ5gf=zf88=n7>51zJ5gf=zf88=o7>51zJ5gf=zf88=h7>51zJ5gf=zf88=i7>51zJ5gf=zf88=j7>51zJ5gf=zf88<<7>51zJ5gf=zf88<=7>51zJ5gf=zf88<>7>51zJ5gf=zf8851zJ5gf=zf88<87>51zJ5gf=zf88<97>51zJ5gf=zf88<:7>51zJ5gf=zf88<;7>51zJ5gf=zf88<47>51zJ5gf=zf88<57>51zJ5gf=zf8851zJ5gf=zf8851zJ5gf=zf8851zJ5gf=zf8851zJ5gf=zf8851zJ5gf=zf8851zJ5gf=zf883<7>51zJ5gf=zf883=7>51zJ5gf=zf883>7>51zJ5gf=zf883?7>51zJ5gf=zf88387>51zJ5gf=zf88397>51zJ5gf=zf883:7>51zJ5gf=zf883;7>51zJ5gf=zf88347>51zJ5gf=zf88357>51zJ5gf=zf883m7>51zJ5gf=zf883n7>51zJ5gf=zf883o7>51zJ5gf=zf883h7>51zJ5gf=zf883i7>51zJ5gf=zf883j7>51zJ5gf=zf882<7>51zJ5gf=zf882=7>51zJ5gf=zf882>7>51zJ5gf=zf882?7>51zJ5gf=zf88287>51zJ5gf=zf88297>51zJ5gf=zf882:7>51zJ5gf=zf882;7>51zJ5gf=zf88247>51zJ5gf=zf88257>51zJ5gf=zf882m7>51zJ5gf=zf882n7>51zJ5gf=zf882o7>51zJ5gf=zf882h7>51zJ5gf=zf882i7>51zJ5gf=zf882j7>51zJ5gf=zf88j<7>51zJ5gf=zf88j=7>51zJ5gf=zf88j>7>51zJ5gf=zf88j?7>51zJ5gf=zf88j87>51zJ5gf=zf88j97>51zJ5gf=zf88j:7>51zJ5gf=zf88j;7>51zJ5gf=zf88j47>51zJ5gf=zf88j57>51zJ5gf=zf88jm7>51zJ5gf=zf88jn7>51zJ5gf=zf88jo7>51zJ5gf=zf88jh7>51zJ5gf=zf88ji7>51zJ5gf=zf88jj7>51zJ5gf=zf88i<7>51zJ5gf=zf88i=7>51zJ5gf=zf88i>7>51zJ5gf=zf88i?7>51zJ5gf=zf88i87>51zJ5gf=zf88i97>51zJ5gf=zf88i:7>51zJ5gf=zf88i;7>51zJ5gf=zf88i47>51zJ5gf=zf88i57>51zJ5gf=zf88im7>51zJ5gf=zf88in7>51zJ5gf=zf88io7>51zJ5gf=zf88ih7>51zJ5gf=zf88ii7>51zJ5gf=zf88ij7>51zJ5gf=zf88h<7>51zJ5gf=zf88h=7>51zJ5gf=zf88h>7>51zJ5gf=zf88h?7>51zJ5gf=zf88h87>51zJ5gf=zf88h97>51zJ5gf=zf88h:7>51zJ5gf=zf88h;7>51zJ5gf=zf88h47>51zJ5gf=zf88h57>51zJ5gf=zf88hm7>51zJ5gf=zf88hn7>51zJ5gf=zf88ho7>51zJ5gf=zf88hh7>51zJ5gf=zf88hi7>51zJ5gf=zf88hj7>51zJ5gf=zf88o<7>51zJ5gf=zf88o=7>51zJ5gf=zf88o>7>51zJ5gf=zf88o?7>51zJ5gf=zf88o87>51zJ5gf=zf88o97>51zJ5gf=zf88o:7>51zJ5gf=zf88o;7>51zJ5gf=zf88o47>51zJ5gf=zf88o57>51zJ5gf=zf88om7>51zJ5gf=zf88on7>51zJ5gf=zf88oo7>51zJ5gf=zf88oh7>51zJ5gf=zf88oi7>51zJ5gf=zf88oj7>51zJ5gf=zf88n<7>51zJ5gf=zf88n=7>51zJ5gf=zf88n>7>51zJ5gf=zf88n?7>51zJ5gf=zf88n87>51zJ5gf=zf88n97>51zJ5gf=zf88n:7>51zJ5gf=zf88n;7>51zJ5gf=zf88n47>51zJ5gf=zf88n57>51zJ5gf=zf88nm7>51zJ5gf=zf88nn7>51zJ5gf=zf88no7>51zJ5gf=zf88nh7>51zJ5gf=zf88ni7>51zJ5gf=zf88nj7>51zJ5gf=zf88m<7>51zJ5gf=zf88m=7>51zJ5gf=zf88m>7>51zJ5gf=zf88m?7>51zJ5gf=zf88m87>51zJ5gf=zf88m97>51zJ5gf=zf88m:7>51zJ5gf=zf88m;7>51zJ5gf=zf88m47>51zJ5gf=zf88m57>51zJ5gf=zf88mm7>51zJ5gf=zf88mn7>51zJ5gf=zf88mo7>51zJ5gf=zf88mh7>51zJ5gf=zf88mi7>51zJ5gf=zf88mj7>51zJ5gf=zf89;<7>51zJ5gf=zf89;=7>51zJ5gf=zf89;>7>51zJ5gf=zf89;?7>51zJ5gf=zf89;87>51zJ5gf=zf89;97>51zJ5gf=zf89;:7>51zJ5gf=zf89;;7>51zJ5gf=zf89;47>51zJ5gf=zf89;57>51zJ5gf=zf89;m7>51zJ5gf=zf89;n7>51zJ5gf=zf89;o7>51zJ5gf=zf89;h7>51zJ5gf=zf89;i7>51zJ5gf=zf89;j7>51zJ5gf=zf89:<7>51zJ5gf=zf89:=7>51zJ5gf=zf89:>7>51zJ5gf=zf89:?7>51zJ5gf=zf89:87>51zJ5gf=zf89:97>51zJ5gf=zf89::7>51zJ5gf=zf89:;7>51zJ5gf=zf89:47>51zJ5gf=zf89:57>51zJ5gf=zf89:m7>51zJ5gf=zf89:n7>51zJ5gf=zf89:o7>51zJ5gf=zf89:h7>51zJ5gf=zf89:i7>51zJ5gf=zf89:j7>51zJ5gf=zf899<7>51zJ5gf=zf899=7>51zJ5gf=zf899>7>51zJ5gf=zf899?7>51zJ5gf=zf89987>51zJ5gf=zf89997>51zJ5gf=zf899:7>51zJ5gf=zf899;7>51zJ5gf=zf89947>51zJ5gf=zf89957>51zJ5gf=zf899m7>51zJ5gf=zf899n7>51zJ5gf=zf899o7>51zJ5gf=zf899h7>51zJ5gf=zf899i7>51zJ5gf=zf899j7>51zJ5gf=zf898<7>51zJ5gf=zf898=7>51zJ5gf=zf898>7>51zJ5gf=zf898?7>51zJ5gf=zf89887>51zJ5gf=zf89897>51zJ5gf=zf898:7>51zJ5gf=zf898;7>51zJ5gf=zf89847>51zJ5gf=zf89857>51zJ5gf=zf898m7>51zJ5gf=zutwKLNu>68;9653?i=:8qMNM{1CDU}zHI \ No newline at end of file diff --git a/projects/LX150_makomk_Test/hdl/chipscope_vio_fromchip.v b/projects/LX150_makomk_Test/hdl/chipscope_vio_fromchip.v new file mode 100644 index 0000000..2d3d072 --- /dev/null +++ b/projects/LX150_makomk_Test/hdl/chipscope_vio_fromchip.v @@ -0,0 +1,7111 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: O.40d +// \ \ Application: netgen +// / / Filename: chipscope_vio_fromchip.v +// /___/ /\ Timestamp: Thu May 19 17:39:18 2011 +// \ \ / \ +// \___\/\___\ +// +// Command : -w -sim -ofmt verilog D:/Documents/Projects/xilinx_fpgaminer/ipcore_dir/tmp/_cg/chipscope_vio_fromchip.ngc D:/Documents/Projects/xilinx_fpgaminer/ipcore_dir/tmp/_cg/chipscope_vio_fromchip.v +// Device : xc6slx150t-fgg676-3 +// Input file : D:/Documents/Projects/xilinx_fpgaminer/ipcore_dir/tmp/_cg/chipscope_vio_fromchip.ngc +// Output file : D:/Documents/Projects/xilinx_fpgaminer/ipcore_dir/tmp/_cg/chipscope_vio_fromchip.v +// # of Modules : 1 +// Design Name : chipscope_vio_fromchip +// Xilinx : F:\Xilinx\13.1\ISE_DS\ISE\ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module chipscope_vio_fromchip ( + CLK, SYNC_IN, CONTROL +)/* synthesis syn_black_box syn_noprune=1 */; + input CLK; + input [31 : 0] SYNC_IN; + inout [35 : 0] CONTROL; + + // synthesis translate_off + + wire N0; + wire N1; + wire \U0/I_VIO/DATA_DOUT ; + wire \U0/I_VIO/RESET ; + wire \U0/I_VIO/STAT_DOUT ; + wire \U0/I_VIO/U_STATUS/TDO_next ; + wire \U0/I_VIO/U_STATUS/CFG_CE_n ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/falling_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/async_mux_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/async_mux_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/mux1_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/rising_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/user_in_n ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/falling ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/rising ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/clocked ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd5_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd4_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd3_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd2_out ; + wire \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd1_out ; + wire \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O2 ; + wire \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O21_854 ; + wire \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O22_855 ; + wire \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O23_856 ; + wire \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O24_857 ; + wire \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O25_858 ; + wire \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O26_859 ; + wire \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O27_860 ; + wire \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O28_861 ; + wire [1 : 0] \U0/I_VIO/reset_f_edge/iDOUT ; + wire [32 : 1] \U0/I_VIO/INPUT_SHIFT ; + wire [7 : 0] \U0/I_VIO/U_STATUS/iSTAT ; + wire [7 : 0] \U0/I_VIO/U_STATUS/iSTAT_CNT ; + wire [7 : 0] \U0/I_VIO/U_STATUS/U_STAT_CNT/D ; + wire [7 : 1] \U0/I_VIO/U_STATUS/U_STAT_CNT/CI ; + wire [7 : 0] \U0/I_VIO/U_STATUS/U_STAT_CNT/S ; + VCC XST_VCC ( + .P(N0) + ); + GND XST_GND ( + .G(N1) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/U_DOUT ( + .I0(\U0/I_VIO/STAT_DOUT ), + .I1(\U0/I_VIO/DATA_DOUT ), + .I2(CONTROL[7]), + .O(CONTROL[3]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/reset_f_edge/U_DOUT0 ( + .C(CONTROL[0]), + .CE(N0), + .D(CONTROL[7]), + .Q(\U0/I_VIO/reset_f_edge/iDOUT [0]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/reset_f_edge/U_DOUT1 ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/reset_f_edge/iDOUT [0]), + .Q(\U0/I_VIO/reset_f_edge/iDOUT [1]) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/reset_f_edge/I_H2L.U_DOUT ( + .C(CONTROL[0]), + .D(\U0/I_VIO/reset_f_edge/iDOUT [1]), + .R(\U0/I_VIO/reset_f_edge/iDOUT [0]), + .Q(\U0/I_VIO/RESET ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/U_DATA_OUT ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/INPUT_SHIFT [32]), + .Q(\U0/I_VIO/DATA_DOUT ) + ); + LUT4 #( + .INIT ( 16'h0101 )) + \U0/I_VIO/U_STATUS/F_STAT[0].I_STAT.U_STAT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [0]), + .I1(\U0/I_VIO/U_STATUS/iSTAT_CNT [1]), + .I2(\U0/I_VIO/U_STATUS/iSTAT_CNT [2]), + .I3(\U0/I_VIO/U_STATUS/iSTAT_CNT [3]), + .O(\U0/I_VIO/U_STATUS/iSTAT [0]) + ); + LUT4 #( + .INIT ( 16'hD109 )) + \U0/I_VIO/U_STATUS/F_STAT[1].I_STAT.U_STAT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [0]), + .I1(\U0/I_VIO/U_STATUS/iSTAT_CNT [1]), + .I2(\U0/I_VIO/U_STATUS/iSTAT_CNT [2]), + .I3(\U0/I_VIO/U_STATUS/iSTAT_CNT [3]), + .O(\U0/I_VIO/U_STATUS/iSTAT [1]) + ); + LUT4 #( + .INIT ( 16'h2100 )) + \U0/I_VIO/U_STATUS/F_STAT[2].I_STAT.U_STAT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [0]), + .I1(\U0/I_VIO/U_STATUS/iSTAT_CNT [1]), + .I2(\U0/I_VIO/U_STATUS/iSTAT_CNT [2]), + .I3(\U0/I_VIO/U_STATUS/iSTAT_CNT [3]), + .O(\U0/I_VIO/U_STATUS/iSTAT [2]) + ); + LUT4 #( + .INIT ( 16'h0610 )) + \U0/I_VIO/U_STATUS/F_STAT[3].I_STAT.U_STAT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [0]), + .I1(\U0/I_VIO/U_STATUS/iSTAT_CNT [1]), + .I2(\U0/I_VIO/U_STATUS/iSTAT_CNT [2]), + .I3(\U0/I_VIO/U_STATUS/iSTAT_CNT [3]), + .O(\U0/I_VIO/U_STATUS/iSTAT [3]) + ); + LUT4 #( + .INIT ( 16'h0000 )) + \U0/I_VIO/U_STATUS/F_STAT[4].I_STAT.U_STAT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [0]), + .I1(\U0/I_VIO/U_STATUS/iSTAT_CNT [1]), + .I2(\U0/I_VIO/U_STATUS/iSTAT_CNT [2]), + .I3(\U0/I_VIO/U_STATUS/iSTAT_CNT [3]), + .O(\U0/I_VIO/U_STATUS/iSTAT [4]) + ); + LUT4 #( + .INIT ( 16'h0002 )) + \U0/I_VIO/U_STATUS/F_STAT[5].I_STAT.U_STAT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [0]), + .I1(\U0/I_VIO/U_STATUS/iSTAT_CNT [1]), + .I2(\U0/I_VIO/U_STATUS/iSTAT_CNT [2]), + .I3(\U0/I_VIO/U_STATUS/iSTAT_CNT [3]), + .O(\U0/I_VIO/U_STATUS/iSTAT [5]) + ); + LUT4 #( + .INIT ( 16'h0000 )) + \U0/I_VIO/U_STATUS/F_STAT[6].I_STAT.U_STAT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [0]), + .I1(\U0/I_VIO/U_STATUS/iSTAT_CNT [1]), + .I2(\U0/I_VIO/U_STATUS/iSTAT_CNT [2]), + .I3(\U0/I_VIO/U_STATUS/iSTAT_CNT [3]), + .O(\U0/I_VIO/U_STATUS/iSTAT [6]) + ); + LUT4 #( + .INIT ( 16'h0000 )) + \U0/I_VIO/U_STATUS/F_STAT[7].I_STAT.U_STAT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [0]), + .I1(\U0/I_VIO/U_STATUS/iSTAT_CNT [1]), + .I2(\U0/I_VIO/U_STATUS/iSTAT_CNT [2]), + .I3(\U0/I_VIO/U_STATUS/iSTAT_CNT [3]), + .O(\U0/I_VIO/U_STATUS/iSTAT [7]) + ); + INV \U0/I_VIO/U_STATUS/U_CE_n ( + .I(CONTROL[4]), + .O(\U0/I_VIO/U_STATUS/CFG_CE_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/U_STATUS/U_TDO ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/U_STATUS/TDO_next ), + .Q(\U0/I_VIO/STAT_DOUT ) + ); + XORCY \U0/I_VIO/U_STATUS/U_STAT_CNT/G[0].U_XORCY ( + .CI(N0), + .LI(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [0]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [0]) + ); + MUXCY_L \U0/I_VIO/U_STATUS/U_STAT_CNT/G[0].GnH.U_MUXCY ( + .CI(N0), + .DI(N1), + .S(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [0]), + .LO(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [1]) + ); + XORCY \U0/I_VIO/U_STATUS/U_STAT_CNT/G[1].U_XORCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [1]), + .LI(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [1]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [1]) + ); + MUXCY_L \U0/I_VIO/U_STATUS/U_STAT_CNT/G[1].GnH.U_MUXCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [1]), + .DI(N1), + .S(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [1]), + .LO(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [2]) + ); + XORCY \U0/I_VIO/U_STATUS/U_STAT_CNT/G[2].U_XORCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [2]), + .LI(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [2]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [2]) + ); + MUXCY_L \U0/I_VIO/U_STATUS/U_STAT_CNT/G[2].GnH.U_MUXCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [2]), + .DI(N1), + .S(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [2]), + .LO(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [3]) + ); + XORCY \U0/I_VIO/U_STATUS/U_STAT_CNT/G[3].U_XORCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [3]), + .LI(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [3]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [3]) + ); + MUXCY_L \U0/I_VIO/U_STATUS/U_STAT_CNT/G[3].GnH.U_MUXCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [3]), + .DI(N1), + .S(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [3]), + .LO(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [4]) + ); + XORCY \U0/I_VIO/U_STATUS/U_STAT_CNT/G[4].U_XORCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [4]), + .LI(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [4]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [4]) + ); + MUXCY_L \U0/I_VIO/U_STATUS/U_STAT_CNT/G[4].GnH.U_MUXCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [4]), + .DI(N1), + .S(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [4]), + .LO(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [5]) + ); + XORCY \U0/I_VIO/U_STATUS/U_STAT_CNT/G[5].U_XORCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [5]), + .LI(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [5]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [5]) + ); + MUXCY_L \U0/I_VIO/U_STATUS/U_STAT_CNT/G[5].GnH.U_MUXCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [5]), + .DI(N1), + .S(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [5]), + .LO(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [6]) + ); + XORCY \U0/I_VIO/U_STATUS/U_STAT_CNT/G[6].U_XORCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [6]), + .LI(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [6]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [6]) + ); + MUXCY_L \U0/I_VIO/U_STATUS/U_STAT_CNT/G[6].GnH.U_MUXCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [6]), + .DI(N1), + .S(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [6]), + .LO(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [7]) + ); + XORCY \U0/I_VIO/U_STATUS/U_STAT_CNT/G[7].U_XORCY ( + .CI(\U0/I_VIO/U_STATUS/U_STAT_CNT/CI [7]), + .LI(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [7]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [7]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[0].U_LUT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [0]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [0]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[1].U_LUT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [1]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [1]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[2].U_LUT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [2]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [2]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[3].U_LUT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [3]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [3]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[4].U_LUT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [4]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [4]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[5].U_LUT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [5]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [5]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[6].U_LUT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [6]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [6]) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[7].U_LUT ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [7]), + .O(\U0/I_VIO/U_STATUS/U_STAT_CNT/S [7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[0].U_FDRE ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [0]), + .R(\U0/I_VIO/U_STATUS/CFG_CE_n ), + .Q(\U0/I_VIO/U_STATUS/iSTAT_CNT [0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[1].U_FDRE ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [1]), + .R(\U0/I_VIO/U_STATUS/CFG_CE_n ), + .Q(\U0/I_VIO/U_STATUS/iSTAT_CNT [1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[2].U_FDRE ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [2]), + .R(\U0/I_VIO/U_STATUS/CFG_CE_n ), + .Q(\U0/I_VIO/U_STATUS/iSTAT_CNT [2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[3].U_FDRE ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [3]), + .R(\U0/I_VIO/U_STATUS/CFG_CE_n ), + .Q(\U0/I_VIO/U_STATUS/iSTAT_CNT [3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[4].U_FDRE ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [4]), + .R(\U0/I_VIO/U_STATUS/CFG_CE_n ), + .Q(\U0/I_VIO/U_STATUS/iSTAT_CNT [4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[5].U_FDRE ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [5]), + .R(\U0/I_VIO/U_STATUS/CFG_CE_n ), + .Q(\U0/I_VIO/U_STATUS/iSTAT_CNT [5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[6].U_FDRE ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [6]), + .R(\U0/I_VIO/U_STATUS/CFG_CE_n ), + .Q(\U0/I_VIO/U_STATUS/iSTAT_CNT [6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/U_STATUS/U_STAT_CNT/G[7].U_FDRE ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/U_STATUS/U_STAT_CNT/D [7]), + .R(\U0/I_VIO/U_STATUS/CFG_CE_n ), + .Q(\U0/I_VIO/U_STATUS/iSTAT_CNT [7]) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [31]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[31]), + .O(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[31]), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[31]), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[31]), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [32]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [30]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[30]), + .O(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[30]), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[30]), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[30]), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [31]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [29]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[29]), + .O(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[29]), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[29]), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[29]), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [30]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [28]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[28]), + .O(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[28]), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[28]), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[28]), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [29]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [27]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[27]), + .O(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[27]), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[27]), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[27]), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [28]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [26]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[26]), + .O(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[26]), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[26]), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[26]), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [27]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [25]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[25]), + .O(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[25]), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[25]), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[25]), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [26]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [24]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[24]), + .O(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[24]), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[24]), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[24]), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [25]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [23]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[23]), + .O(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[23]), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[23]), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[23]), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [24]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [22]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[22]), + .O(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[22]), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[22]), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[22]), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [23]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [21]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[21]), + .O(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[21]), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[21]), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[21]), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [22]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [20]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[20]), + .O(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[20]), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[20]), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[20]), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [21]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [19]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[19]), + .O(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[19]), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[19]), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[19]), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [20]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [18]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[18]), + .O(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[18]), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[18]), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[18]), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [19]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [17]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[17]), + .O(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[17]), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[17]), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[17]), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [18]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [16]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[16]), + .O(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[16]), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[16]), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[16]), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [17]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [15]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[15]), + .O(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[15]), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[15]), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[15]), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [16]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [14]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[14]), + .O(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[14]), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[14]), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[14]), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [15]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [13]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[13]), + .O(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[13]), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[13]), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[13]), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [14]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [12]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[12]), + .O(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[12]), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[12]), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[12]), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [13]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [11]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[11]), + .O(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[11]), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[11]), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[11]), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [12]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [10]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[10]), + .O(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[10]), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[10]), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[10]), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [11]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [9]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[9]), + .O(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[9]), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[9]), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[9]), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [10]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [8]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[8]), + .O(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[8]), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[8]), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[8]), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [9]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [7]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[7]), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[7]), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[7]), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [8]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [6]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[6]), + .O(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[6]), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[6]), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[6]), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [7]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [5]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[5]), + .O(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[5]), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[5]), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[5]), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [6]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [4]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[4]), + .O(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[4]), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[4]), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[4]), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [5]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [3]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[3]), + .O(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[3]), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[3]), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[3]), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [4]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [2]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[2]), + .O(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[2]), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[2]), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[2]), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [3]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/clocked ), + .I1(\U0/I_VIO/INPUT_SHIFT [1]), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[1]), + .O(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[1]), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[1]), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[1]), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [2]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/fd1_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/SYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd4_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/SYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd3_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/ASYNC_F_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/falling_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd2_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/async_mux_f_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/ASYNC_R_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/rising_out ), + .I1(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd1_out ), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/async_mux_r_out ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/USER_MUX ( + .I0(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/clocked ), + .I1(N1), + .I2(CONTROL[7]), + .O(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/mux1_out ) + ); + INV \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/U_STATCMD_n ( + .I(SYNC_IN[0]), + .O(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/user_in_n ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[0]), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_edge/I_H2L.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_edge/iDOUT<1> ), + .R(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/falling ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_edge/U_DOUT0 ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[0]), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_edge/U_DOUT1 ( + .C(CLK), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_edge/I_L2H.U_DOUT ( + .C(CLK), + .D(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_edge/iDOUT<0> ), + .R(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_edge/iDOUT<1> ), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/rising ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/U_SYNC_F ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/falling ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_f_out ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/U_SYNC_R ( + .C(CLK), + .CE(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/rising ), + .D(N0), + .R(\U0/I_VIO/RESET ), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_r_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/USER_CLK_REG ( + .C(CLK), + .CE(N0), + .D(SYNC_IN[0]), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/clocked ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/SHIFT_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd5_out ), + .Q(\U0/I_VIO/INPUT_SHIFT [1]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/S_SYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd5_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/S_SYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/sync_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd4_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/S_ASYNC_F_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/async_mux_f_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd3_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/S_ASYNC_R_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/async_mux_r_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd2_out ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/USER_REG ( + .C(CONTROL[0]), + .CE(N0), + .D(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/mux1_out ), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/fd1_out ) + ); + LUT6 #( + .INIT ( 64'hFD75B931EC64A820 )) + \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O21 ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [5]), + .I1(\U0/I_VIO/U_STATUS/iSTAT_CNT [6]), + .I2(\U0/I_VIO/U_STATUS/iSTAT [3]), + .I3(\U0/I_VIO/U_STATUS/iSTAT [7]), + .I4(\U0/I_VIO/U_STATUS/iSTAT [5]), + .I5(\U0/I_VIO/U_STATUS/iSTAT [1]), + .O(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O2 ) + ); + LUT6 #( + .INIT ( 64'hFD75B931EC64A820 )) + \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O22 ( + .I0(\U0/I_VIO/U_STATUS/iSTAT_CNT [5]), + .I1(\U0/I_VIO/U_STATUS/iSTAT_CNT [6]), + .I2(\U0/I_VIO/U_STATUS/iSTAT [2]), + .I3(\U0/I_VIO/U_STATUS/iSTAT [6]), + .I4(\U0/I_VIO/U_STATUS/iSTAT [4]), + .I5(\U0/I_VIO/U_STATUS/iSTAT [0]), + .O(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O21_854 ) + ); + LUT6 #( + .INIT ( 64'h7FFFFFFFFFFFFFFF )) + \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O23 ( + .I0(CONTROL[15]), + .I1(CONTROL[14]), + .I2(CONTROL[16]), + .I3(CONTROL[17]), + .I4(CONTROL[18]), + .I5(CONTROL[19]), + .O(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O22_855 ) + ); + LUT6 #( + .INIT ( 64'h7FFFFFFFFFFFFFFF )) + \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O24 ( + .I0(CONTROL[21]), + .I1(CONTROL[20]), + .I2(CONTROL[22]), + .I3(CONTROL[23]), + .I4(CONTROL[24]), + .I5(CONTROL[25]), + .O(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O23_856 ) + ); + LUT6 #( + .INIT ( 64'h7FFFFFFFFFFFFFFF )) + \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O25 ( + .I0(CONTROL[2]), + .I1(CONTROL[1]), + .I2(CONTROL[4]), + .I3(CONTROL[5]), + .I4(CONTROL[6]), + .I5(CONTROL[7]), + .O(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O24_857 ) + ); + LUT6 #( + .INIT ( 64'h7FFFFFFFFFFFFFFF )) + \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O26 ( + .I0(CONTROL[9]), + .I1(CONTROL[8]), + .I2(CONTROL[10]), + .I3(CONTROL[11]), + .I4(CONTROL[12]), + .I5(CONTROL[13]), + .O(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O25_858 ) + ); + LUT6 #( + .INIT ( 64'h7FFFFFFFFFFFFFFF )) + \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O27 ( + .I0(CONTROL[27]), + .I1(CONTROL[26]), + .I2(CONTROL[28]), + .I3(CONTROL[29]), + .I4(CONTROL[30]), + .I5(CONTROL[31]), + .O(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O26_859 ) + ); + LUT4 #( + .INIT ( 16'h7FFF )) + \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O28 ( + .I0(CONTROL[33]), + .I1(CONTROL[32]), + .I2(CONTROL[34]), + .I3(CONTROL[35]), + .O(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O27_860 ) + ); + LUT6 #( + .INIT ( 64'hFFFFFFFFFFFFFFFE )) + \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O29 ( + .I0(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O22_855 ), + .I1(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O23_856 ), + .I2(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O24_857 ), + .I3(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O25_858 ), + .I4(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O26_859 ), + .I5(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O27_860 ), + .O(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O28_861 ) + ); + LUT5 #( + .INIT ( 32'hAFACA3A0 )) + \U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O210 ( + .I0(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O28_861 ), + .I1(\U0/I_VIO/U_STATUS/iSTAT_CNT [4]), + .I2(\U0/I_VIO/U_STATUS/iSTAT_CNT [7]), + .I3(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O21_854 ), + .I4(\U0/I_VIO/U_STATUS/U_SMUX/U_CS_MUX/I4.U_MUX16/Mmux_O2 ), + .O(\U0/I_VIO/U_STATUS/TDO_next ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[31]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[31].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[30]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[30].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[29]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[29].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[28]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[28].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[27]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[27].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[26]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[26].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[25]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[25].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[24]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[24].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[23]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[23].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[22]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[22].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[21]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[21].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[20]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[20].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[19]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[19].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[18]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[18].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[17]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[17].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[16]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[16].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[15]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[15].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[14]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[14].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[13]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[13].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[12]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[12].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[11]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[11].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[10]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[10].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[9]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[9].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[8]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[8].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[7]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[7].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[6]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[6].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[5]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[5].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[4]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[4].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[3]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[3].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[2]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[2].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[1]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[1].SYNC_IN_CELL/rising_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/U_FALLING ( + .C(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/user_in_n ), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/falling_out ) + ); + FDC #( + .INIT ( 1'b0 )) + \U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/U_RISING ( + .C(SYNC_IN[0]), + .CLR(\U0/I_VIO/RESET ), + .D(N0), + .Q(\U0/I_VIO/GEN_SYNC_IN[0].SYNC_IN_CELL/rising_out ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/projects/LX150_makomk_Test/hdl/chipscope_vio_tochip.ngc b/projects/LX150_makomk_Test/hdl/chipscope_vio_tochip.ngc new file mode 100644 index 0000000..c6a6c24 --- /dev/null +++ b/projects/LX150_makomk_Test/hdl/chipscope_vio_tochip.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$bab44<,[o}e~g`n;"2*736(-;0<95?0127?5668=1;==?;;14244=5<28:<=?n;375>JSSX\^1_T@L_NWW8732294::6=9:HLLQQLMJ<>47GDEBC@ANOLMJKHI1::1?D653H;97L<=;@1;?D@FKH9J<55MUR]JJCI63J80OL<4CD31?FNBKBUGENKASD]W]UC53JC87NBD4:AOO50EHF]XD@1?>>c9@KKRUGE6:>3l4CNLWVJJ;9:4i7NAATSMO8429j2IDBY\@L=36:g=DGG^YCA2>6?`8GJHSZFF7=:0m;BMMPWIK4825n6M@NUPLH97>6h1HCCZ]OM>2:g=DGG^YCA2=0?`8GJHSZFF7><0m;BMMPWIK4;85n6M@NUPLH9446k1HCCZ]OM>10;d>1b:ALJQTHD59:2o5LOOVQKI:4:7h0OB@[RNN?768e3JEEX_AC<26=`>EHF]XD@1=::1;1a:ALJQTHD595m6M@NUPLH929i2IDBY\@L=7=e>EHF]XD@181a:ALJQTHD5=5m6M@NUPLH9>9i2IDBY\@L=;=51=DG[OTKH@JR@VJKKYNFOE<7N\JAUGG5>B33M;;5?5KI49G[FJL991MH:;M923FG32F0;:87KJL4:DGG@5<4I508M0?Kfbfx]i}fooa8Ilhhz_oydaa2:L36>H6?2DNXZA]K09L3>I)<9nT@<5_4:RBVQgU^FJUDYY2>03;>e9P]KEXG\^7==;1d:QZJFYH]]6:<;0k;R[MGZIR\5;;;3j4SXL@[JSS48:32i5\YOA\KPR;9935o6]VNB]LQQ:687n0_T@L_NWW84776m1XUCMPOTV?5479l2YRBNQ@UU>2578c3ZSEORAZT=327;b<[PDHSB[[<037:a=TQGITCXZ3107=`>U^FJUDYY2>177?f8W\HDWF__0e9P]KEXG\^7=<71c:QZJFYH]]6:=3j4SXL@[JSS488;2i5\YOA\KPR;9;;5h6]VNB]LQQ:6:;4o7^WAC^MVP975;7n0_T@L_NWW84436m1XUCMPOTV?5739l2YRBNQ@UU>2638c3ZSEORAZT=313;b<[PDHSB[[<00;:a=TQGITCXZ313;=g>U^FJUDYY2>2?f8W\HDWF__0<=?>e9P]KEXG\^7=>?1d:QZJFYH]]6:??0k;R[MGZIR\5;8?3j4SXL@[JSS489?2i5\YOA\KPR;9:?5h6]VNB]LQQ:6;?4o7^WAC^MVP974?7n0_T@L_NWW845?6m1XUCMPOTV?56?9k2YRBNQ@UU>27;b<[PDHSB[[<063:a=TQGITCXZ3153=`>U^FJUDYY2>43e9P]KEXG\^7=9;1d:QZJFYH]]6:8;0k;R[MGZIR\5;?;3j4SXL@[JSS48>32i5\YOA\KPR;9=35o6]VNB]LQQ:6<7n0_T@L_NWW84376m1XUCMPOTV?5079l2YRBNQ@UU>2178c3ZSEORAZT=367;b<[PDHSB[[<077:a=TQGITCXZ3147=`>U^FJUDYY2>57e9P]KEXG\^7=871c:QZJFYH]]6:93j4SXL@[JSS48<;2i5\YOA\KPR;9?;5h6]VNB]LQQ:6>;4o7^WAC^MVP971;7n0_T@L_NWW84036m1XUCMPOTV?5339l2YRBNQ@UU>2238c3ZSEORAZT=353;b<[PDHSB[[<04;:a=TQGITCXZ317;=g>U^FJUDYY2>6?f8W\HDWF__0<9?>e9P]KEXG\^7=:?1d:QZJFYH]]6:;?0k;R[MGZIR\5;?5h6]VNB]LQQ:6??4o7^WAC^MVP970?7n0_T@L_NWW841?6m1XUCMPOTV?52?9k2YRBNQ@UU>23;b<[PDHSB[[<0:3:a=TQGITCXZ3193=`>U^FJUDYY2>83e9P]KEXG\^7=5;1d:QZJFYH]]6:4;0k;R[MGZIR\5;3;3j4SXL@[JSS48232i5\YOA\KPR;9135o6]VNB]LQQ:607n0_T@L_NWW84?76m1XUCMPOTV?5<79l2YRBNQ@UU>2=78c3ZSEORAZT=3:7;b<[PDHSB[[<0;7:a=TQGITCXZ3187=`>U^FJUDYY2>97e9P]KEXG\^7=471c:QZJFYH]]6:53l4SXL@[JSS484o7^WAC^MVP94787n0_T@L_NWW87666m1XUCMPOTV?6549l2YRBNQ@UU>1468c3ZSEORAZT=030;b<[PDHSB[[<326:a=TQGITCXZ3214=`>U^FJUDYY2=066>b9P]KEXG\^7>=0k;R[MGZIR\58:<3j4SXL@[JSS4;;:2i5\YOA\KPR;:885h6]VNB]LQQ:59:4o7^WAC^MVP946<7n0_T@L_NWW87726m1XUCMPOTV?6409l2YRBNQ@UU>1528c3ZSEORAZT=02<;b<[PDHSB[[<33::f=TQGITCXZ320>e9P]KEXG\^7>?<1d:QZJFYH]]69>>0k;R[MGZIR\58983j4SXL@[JSS4;8>2i5\YOA\KPR;:;<5h6]VNB]LQQ:5:>4o7^WAC^MVP94507n0_T@L_NWW874>6j1XUCMPOTV?678c3ZSEORAZT=004;b<[PDHSB[[<312:a=TQGITCXZ3220=`>U^FJUDYY2=32e9P]KEXG\^7>>81d:QZJFYH]]69?:0k;R[MGZIR\58843j4SXL@[JSS4;922n5\YOA\KPR;::4o7^WAC^MVP94387n0_T@L_NWW87266m1XUCMPOTV?6149l2YRBNQ@UU>1068c3ZSEORAZT=070;b<[PDHSB[[<366:a=TQGITCXZ3254=`>U^FJUDYY2=46b9P]KEXG\^7>90k;R[MGZIR\58><3j4SXL@[JSS4;?:2i5\YOA\KPR;:<85h6]VNB]LQQ:5=:4o7^WAC^MVP942<7l0_T@L_NWW8732294o7^WAC^MVP942=7i0_T@L_NWW8739k2YRBNQ@UU>12;e<[PDHSB[[<35=g>U^FJUDYY2=8?a8W\HDWF__0?71b:QZJFYH]]692n5\YOA\KPR;;94h7^WAC^MVP9566j1XUCMPOTV?778d3ZSEORAZT=10:f=TQGITCXZ335<`?V_IKVE^X1=:>b9P]KEXG\^7?;0l;R[MGZIR\59<2n5\YOA\KPR;;14h7^WAC^MVP95>6k1XUCMPOTV?7;e<[PDHSB[[<52=g>U^FJUDYY2;1?a8W\HDWF__09<1c:QZJFYH]]6??3m4SXL@[JSS4=>5o6]VNB]LQQ:3=7i0_T@L_NWW8109k2YRBNQ@UU>73;e<[PDHSB[[<5:=g>U^FJUDYY2;9?`8W\HDWF__090l;R[MGZIR\5?;2n5\YOA\KPR;=84h7^WAC^MVP9356j1XUCMPOTV?168d3ZSEORAZT=77:f=TQGITCXZ354<`?V_IKVE^X1;9>b9P]KEXG\^79:0l;R[MGZIR\5?32n5\YOA\KPR;=04i7^WAC^MVP939k2YRBNQ@UU>54;e<[PDHSB[[<73=g>U^FJUDYY292?a8W\HDWF__0;=1c:QZJFYH]]6=83m4SXL@[JSS4??5o6]VNB]LQQ:1>7i0_T@L_NWW8319k2YRBNQ@UU>5<;e<[PDHSB[[<7;=f>U^FJUDYY29>b9P]KEXG\^7;=0l;R[MGZIR\5=:2n5\YOA\KPR;?;4h7^WAC^MVP9146j1XUCMPOTV?318d3ZSEORAZT=56:f=TQGITCXZ377<`?V_IKVE^X198>b9P]KEXG\^7;50l;R[MGZIR\5=22o5\YOA\KPR;?7i0_T@L_NWW8=69k2YRBNQ@UU>;5;e<[PDHSB[[<90=g>U^FJUDYY273?a8W\HDWF__05:1c:QZJFYH]]6393m4SXL@[JSS41<5o6]VNB]LQQ:??7i0_T@L_NWW8=>9k2YRBNQ@UU>;=;d<[PDHSB[[<9<`?V_IKVE^X17?>b9P]KEXG\^75<0l;R[MGZIR\5392n5\YOA\KPR;1:4h7^WAC^MVP9?36j1XUCMPOTV?=08d3ZSEORAZT=;5:f=TQGITCXZ396<`?V_IKVE^X177>b9P]KEXG\^7540m;R[MGZIR\535;6ZAM^LFP1=SQYO:>6[?/H]UMJ)FZDU{ya}j139V4*OX^@E$HLZN_EMVP63<]9%BS[G@/FGM[V_IKVE^XV>R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@?k4U1-J[SOH'NOES^WAC^MVP^6Z&ZSEORAZT^AFHI)K]]UDYY=:;T2,MZPNG&MNBR]VNB]LQQ]7U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu4a3\:$ERXFO.EFJZU^FJUDYYU?]/QZJFYH]]UHIAB URGQ[WC@:o1^<"GPVHM,C@HX[PDHSB[[[1_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY245[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W<>?]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT112^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R;;

#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P==?R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU>00_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS8::Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W<>>]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[021Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q:S7'@U]EB!HEO]P]KEXG\^P==<]/QZJFYH]]UHIAB SIKEPZIR\V~na}=>;T2,MZPNG&MNBR]VNB]LQQ]68:W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q:<>S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU>05_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS8:?Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P==:R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^77Q#]VNB]LQQYDMEF$cxzPtdos72=R8&CTZDA GDL\W\HDWF__W<>9]/QZJFYH]]UHIAB I^QQHZR5&\UX^A=?;T2,MZPNG&MNBR]VNB]LQQ]68?W%_T@L_NWW[FCKD&F^XRAZT258Q5)NW_CD#JKA_R[MGZIR\R;;:P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8=6[?/H]UMJ)@MGUXUCMPOTVX550Z&ZSEORAZT^AFHI)R[LXT^HI<1:W3+LYQAF%LICQ\YOA\KPR\99S7'@U]EB!HEO]P]KEXG\^P==9R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@>>4U1-J[SOH'NOES^WAC^MVP^77?T$XUCMPOTV\G@JK'E__SB[[369V4*OX^@E$KH@PSXL@[JSSS8: I^TJK*ABFVYRBNQ@UUY242[)[PDHSB[[_BGOH*STM[UYIJ=>;T2,MZPNG&MNBR]VNB]LQQ]68>W%_T@L_NWW[FCKD&e~xRzjmq14?P6(AV\BC"IJN^QZJFYH]]Q:<5S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG?=5Z0.K\RLI(OLDT_T@L_NWW_46?U'YRBNQ@UU]@AIJ(D\^TCXZ<7:W3+LYQAF%LICQ\YOA\KPR\992V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx:;0Y=!F_WKL+BCIWZSEORAZTZ33?4U1-J[SOH'NOES^WAC^MVP^770T$XUCMPOTV\G@JK'fSykbp258Q5)NW_CD#JKA_R[MGZIR\R;;5P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F8<6[?/H]UMJ)@MGUXUCMPOTVX55?Z&ZSEORAZT^AFHI)K]]UDYY=8;T2,MZPNG&MNBR]VNB]LQQ]680W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;81^<"GPVHM,C@HX[PDHSB[[[02:Y+U^FJUDYYQLEMN,QVCUW[OL?<5Z0.K\RLI(OLDT_T@L_NWW_46>U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS8:V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ33Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX55[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W<>R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT11_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY255[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W0\,P]KEXG\^TOHBC/nww[qcjx:=0Y=!F_WKL+BCIWZSEORAZTZ325X(TQGITCXZPCDNO+LYTZEU_>#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P=10_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS8;:Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[031Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q:=?S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV?>2\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\988V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P=<;T2,MZPNG&MNBR]VNB]LQQ]69:W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q:=>S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU>15_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS8;?Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P=<:R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^765\,P]KEXG\^TOHBC/H]PVIYS:'_T__B<0:W3+LYQAF%LICQ\YOA\KPR\98?V"^WAC^MVPZEBDE%GYYQ@UU14?P6(AV\BC"IJN^QZJFYH]]Q:=8S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?<5Z0.K\RLI(OLDT_T@L_NWW_472U'YRBNQ@UU]@AIJ(]ZOYS_KH309V4*OX^@E$KH@PSXL@[JSSS8;>Q#]VNB]LQQYDMEF$cxzPtdos72=R8&CTZDA GDL\W\HDWF__WS7'@U]EB!HEO]P]KEXG\^P=<9R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@>>4U1-J[SOH'NOES^WAC^MVP^76?T$XUCMPOTV\G@JK'E__SB[[369V4*OX^@E$KH@PSXL@[JSSS8; I^TJK*ABFVYRBNQ@UUY252[)[PDHSB[[_BGOH*STM[UYIJ=>;T2,MZPNG&MNBR]VNB]LQQ]69>W%_T@L_NWW[FCKD&e~xRzjmq14?P6(AV\BC"IJN^QZJFYH]]Q:=5S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG?=5Z0.K\RLI(OLDT_T@L_NWW_47?U'YRBNQ@UU]@AIJ(D\^TCXZ<7:W3+LYQAF%LICQ\YOA\KPR\982V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx:;0Y=!F_WKL+BCIWZSEORAZTZ32?4U1-J[SOH'NOES^WAC^MVP^760T$XUCMPOTV\G@JK'fSykbp258Q5)NW_CD#JKA_R[MGZIR\R;:5P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F8<6[?/H]UMJ)@MGUXUCMPOTVX54?Z&ZSEORAZT^AFHI)K]]UDYY=8;T2,MZPNG&MNBR]VNB]LQQ]690W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;81^<"GPVHM,C@HX[PDHSB[[[03:Y+U^FJUDYYQLEMN,QVCUW[OL?<5Z0.K\RLI(OLDT_T@L_NWW_47>U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS8;V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ32Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX54[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W I^TJK*ABFVYRBNQ@UUY265[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W<#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P=??R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU>20_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS88:Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W<<>]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[001Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q:>?S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV?=2\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\9;8V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P=?;T2,MZPNG&MNBR]VNB]LQQ]6::W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q:>>S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU>25_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS88?Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P=?:R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^758S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?<5Z0.K\RLI(OLDT_T@L_NWW_442U'YRBNQ@UU]@AIJ(]ZOYS_KH309V4*OX^@E$KH@PSXL@[JSSS88>Q#]VNB]LQQYDMEF$cxzPtdos72=R8&CTZDA GDL\W\HDWF__W<<9]/QZJFYH]]UHIAB I^QQHZR5&\UX^A=?;T2,MZPNG&MNBR]VNB]LQQ]6:?W%_T@L_NWW[FCKD&F^XRAZT258Q5)NW_CD#JKA_R[MGZIR\R;9:P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8=6[?/H]UMJ)@MGUXUCMPOTVX570Z&ZSEORAZT^AFHI)R[LXT^HI<1:W3+LYQAF%LICQ\YOA\KPR\9;S7'@U]EB!HEO]P]KEXG\^P=?9R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@>>4U1-J[SOH'NOES^WAC^MVP^75?T$XUCMPOTV\G@JK'E__SB[[369V4*OX^@E$KH@PSXL@[JSSS88 I^TJK*ABFVYRBNQ@UUY262[)[PDHSB[[_BGOH*STM[UYIJ=>;T2,MZPNG&MNBR]VNB]LQQ]6:>W%_T@L_NWW[FCKD&e~xRzjmq14?P6(AV\BC"IJN^QZJFYH]]Q:>5S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG?=5Z0.K\RLI(OLDT_T@L_NWW_44?U'YRBNQ@UU]@AIJ(D\^TCXZ<7:W3+LYQAF%LICQ\YOA\KPR\9;2V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx:;0Y=!F_WKL+BCIWZSEORAZTZ31?4U1-J[SOH'NOES^WAC^MVP^750T$XUCMPOTV\G@JK'fSykbp258Q5)NW_CD#JKA_R[MGZIR\R;95P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F8<6[?/H]UMJ)@MGUXUCMPOTVX57?Z&ZSEORAZT^AFHI)K]]UDYY=8;T2,MZPNG&MNBR]VNB]LQQ]6:0W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;81^<"GPVHM,C@HX[PDHSB[[[00:Y+U^FJUDYYQLEMN,QVCUW[OL?<5Z0.K\RLI(OLDT_T@L_NWW_44>U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS88V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ31Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX57[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W< I^TJK*ABFVYRBNQ@UUY275[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W<=?]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT122^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R;8

#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P=>?R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU>30_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS89:Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W<=>]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[011Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q:??S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV?<2\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\9:8V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P=>;T2,MZPNG&MNBR]VNB]LQQ]6;:W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q:?>S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU>35_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS89?Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P=>:R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^74Q#]VNB]LQQYDMEF$cxzPtdos72=R8&CTZDA GDL\W\HDWF__W<=9]/QZJFYH]]UHIAB I^QQHZR5&\UX^A=?;T2,MZPNG&MNBR]VNB]LQQ]6;?W%_T@L_NWW[FCKD&F^XRAZT258Q5)NW_CD#JKA_R[MGZIR\R;8:P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8=6[?/H]UMJ)@MGUXUCMPOTVX560Z&ZSEORAZT^AFHI)R[LXT^HI<1:W3+LYQAF%LICQ\YOA\KPR\9:S7'@U]EB!HEO]P]KEXG\^P=>9R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@>>4U1-J[SOH'NOES^WAC^MVP^74?T$XUCMPOTV\G@JK'E__SB[[369V4*OX^@E$KH@PSXL@[JSSS89 I^TJK*ABFVYRBNQ@UUY272[)[PDHSB[[_BGOH*STM[UYIJ=>;T2,MZPNG&MNBR]VNB]LQQ]6;>W%_T@L_NWW[FCKD&e~xRzjmq14?P6(AV\BC"IJN^QZJFYH]]Q:?5S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG?=5Z0.K\RLI(OLDT_T@L_NWW_45?U'YRBNQ@UU]@AIJ(D\^TCXZ<7:W3+LYQAF%LICQ\YOA\KPR\9:2V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx:;0Y=!F_WKL+BCIWZSEORAZTZ30?4U1-J[SOH'NOES^WAC^MVP^740T$XUCMPOTV\G@JK'fSykbp258Q5)NW_CD#JKA_R[MGZIR\R;85P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F8<6[?/H]UMJ)@MGUXUCMPOTVX56?Z&ZSEORAZT^AFHI)K]]UDYY=8;T2,MZPNG&MNBR]VNB]LQQ]6;0W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;81^<"GPVHM,C@HX[PDHSB[[[01:Y+U^FJUDYYQLEMN,QVCUW[OL?<5Z0.K\RLI(OLDT_T@L_NWW_45>U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS89V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ30Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX56[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W<=R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT12_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY205[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W<:?]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT152^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R;?

#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P=9?R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU>40_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS8>:Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W<:>]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[061Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q:8?S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV?;2\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\9=8V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P=9;T2,MZPNG&MNBR]VNB]LQQ]6<:W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q:8>S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU>45_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS8>?Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P=9:R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^73>Q#]VNB]LQQYDMEF$cxzPtdos72=R8&CTZDA GDL\W\HDWF__W<:9]/QZJFYH]]UHIAB I^QQHZR5&\UX^A=?;T2,MZPNG&MNBR]VNB]LQQ]6S7'@U]EB!HEO]P]KEXG\^P=99R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@>>4U1-J[SOH'NOES^WAC^MVP^73?T$XUCMPOTV\G@JK'E__SB[[369V4*OX^@E$KH@PSXL@[JSSS8> I^TJK*ABFVYRBNQ@UUY202[)[PDHSB[[_BGOH*STM[UYIJ=>;T2,MZPNG&MNBR]VNB]LQQ]6<>W%_T@L_NWW[FCKD&e~xRzjmq14?P6(AV\BC"IJN^QZJFYH]]Q:85S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG?=5Z0.K\RLI(OLDT_T@L_NWW_42?U'YRBNQ@UU]@AIJ(D\^TCXZ<7:W3+LYQAF%LICQ\YOA\KPR\9=2V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx:;0Y=!F_WKL+BCIWZSEORAZTZ37?4U1-J[SOH'NOES^WAC^MVP^730T$XUCMPOTV\G@JK'fSykbp258Q5)NW_CD#JKA_R[MGZIR\R;?5P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F8<6[?/H]UMJ)@MGUXUCMPOTVX51?Z&ZSEORAZT^AFHI)K]]UDYY=8;T2,MZPNG&MNBR]VNB]LQQ]6<0W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;81^<"GPVHM,C@HX[PDHSB[[[06:Y+U^FJUDYYQLEMN,QVCUW[OL?<5Z0.K\RLI(OLDT_T@L_NWW_42>U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS8>V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ37Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX51[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W<:R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT15_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY215[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W<;?]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT142^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R;>

#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P=8?R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU>50_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS8?:Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W<;>]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[071Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q:9?S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV?:2\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\9<8V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P=8?P \YOA\KPRXKLFG#A[[_NWW72=R8&CTZDA GDL\W\HDWF__W<;<]/QZJFYH]]UHIAB SIKEPZIR\V~na}=>;T2,MZPNG&MNBR]VNB]LQQ]6=:W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q:9>S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU>55_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS8??Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P=8:R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^728P \YOA\KPRXKLFG#b{{_ugnt61<]9%BS[G@/FGM[V_IKVE^XV?:5\,P]KEXG\^TOHBC/H]PVIYS:'_T__B<0:W3+LYQAF%LICQ\YOA\KPR\9Q#]VNB]LQQYDMEF$cxzPtdos72=R8&CTZDA GDL\W\HDWF__W<;9]/QZJFYH]]UHIAB I^QQHZR5&\UX^A=?;T2,MZPNG&MNBR]VNB]LQQ]6=?W%_T@L_NWW[FCKD&F^XRAZT258Q5)NW_CD#JKA_R[MGZIR\R;>:P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8=6[?/H]UMJ)@MGUXUCMPOTVX500Z&ZSEORAZT^AFHI)R[LXT^HI<1:W3+LYQAF%LICQ\YOA\KPR\9<S7'@U]EB!HEO]P]KEXG\^P=89R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@>>4U1-J[SOH'NOES^WAC^MVP^72?T$XUCMPOTV\G@JK'E__SB[[369V4*OX^@E$KH@PSXL@[JSSS8? I^TJK*ABFVYRBNQ@UUY212[)[PDHSB[[_BGOH*STM[UYIJ=>;T2,MZPNG&MNBR]VNB]LQQ]6=>W%_T@L_NWW[FCKD&e~xRzjmq14?P6(AV\BC"IJN^QZJFYH]]Q:95S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG?=5Z0.K\RLI(OLDT_T@L_NWW_43?U'YRBNQ@UU]@AIJ(D\^TCXZ<7:W3+LYQAF%LICQ\YOA\KPR\9<2V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx:;0Y=!F_WKL+BCIWZSEORAZTZ36?4U1-J[SOH'NOES^WAC^MVP^720T$XUCMPOTV\G@JK'fSykbp258Q5)NW_CD#JKA_R[MGZIR\R;>5P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F8<6[?/H]UMJ)@MGUXUCMPOTVX50?Z&ZSEORAZT^AFHI)K]]UDYY=8;T2,MZPNG&MNBR]VNB]LQQ]6=0W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;81^<"GPVHM,C@HX[PDHSB[[[07:Y+U^FJUDYYQLEMN,QVCUW[OL?<5Z0.K\RLI(OLDT_T@L_NWW_43>U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS8?V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ36Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX50[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W<;R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT14_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY225[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W<8?]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT172^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R;=

#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P=;?R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU>60_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS8<:Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W<8>]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[041Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q::?S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV?92\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\9?8V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P=;;T2,MZPNG&MNBR]VNB]LQQ]6>:W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q::>S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU>65_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS8S7'@U]EB!HEO]P]KEXG\^P=;:R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^71Q#]VNB]LQQYDMEF$cxzPtdos72=R8&CTZDA GDL\W\HDWF__W<89]/QZJFYH]]UHIAB I^QQHZR5&\UX^A=?;T2,MZPNG&MNBR]VNB]LQQ]6>?W%_T@L_NWW[FCKD&F^XRAZT258Q5)NW_CD#JKA_R[MGZIR\R;=:P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8=6[?/H]UMJ)@MGUXUCMPOTVX530Z&ZSEORAZT^AFHI)R[LXT^HI<1:W3+LYQAF%LICQ\YOA\KPR\9?S7'@U]EB!HEO]P]KEXG\^P=;9R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@>>4U1-J[SOH'NOES^WAC^MVP^71?T$XUCMPOTV\G@JK'E__SB[[369V4*OX^@E$KH@PSXL@[JSSS8< I^TJK*ABFVYRBNQ@UUY222[)[PDHSB[[_BGOH*STM[UYIJ=>;T2,MZPNG&MNBR]VNB]LQQ]6>>W%_T@L_NWW[FCKD&e~xRzjmq14?P6(AV\BC"IJN^QZJFYH]]Q::5S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG?=5Z0.K\RLI(OLDT_T@L_NWW_40?U'YRBNQ@UU]@AIJ(D\^TCXZ<7:W3+LYQAF%LICQ\YOA\KPR\9?2V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx:;0Y=!F_WKL+BCIWZSEORAZTZ35?4U1-J[SOH'NOES^WAC^MVP^710T$XUCMPOTV\G@JK'fSykbp258Q5)NW_CD#JKA_R[MGZIR\R;=5P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F8<6[?/H]UMJ)@MGUXUCMPOTVX53?Z&ZSEORAZT^AFHI)K]]UDYY=8;T2,MZPNG&MNBR]VNB]LQQ]6>0W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;81^<"GPVHM,C@HX[PDHSB[[[04:Y+U^FJUDYYQLEMN,QVCUW[OL?<5Z0.K\RLI(OLDT_T@L_NWW_40>U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS8 I^TJK*ABFVYRBNQ@UUY235[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W<9?]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT162^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R;<

#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P=:?R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU>70_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS8=:Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W<9>]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[051Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q:;?S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV?82\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\9>8V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P=:;T2,MZPNG&MNBR]VNB]LQQ]6?:W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q:;>S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU>75_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS8=?Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P=::R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^70?V"^WAC^MVPZEBDE%GYYQ@UU14?P6(AV\BC"IJN^QZJFYH]]Q:;8S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?<5Z0.K\RLI(OLDT_T@L_NWW_412U'YRBNQ@UU]@AIJ(]ZOYS_KH309V4*OX^@E$KH@PSXL@[JSSS8=>Q#]VNB]LQQYDMEF$cxzPtdos72=R8&CTZDA GDL\W\HDWF__W<99]/QZJFYH]]UHIAB I^QQHZR5&\UX^A=?;T2,MZPNG&MNBR]VNB]LQQ]6??W%_T@L_NWW[FCKD&F^XRAZT258Q5)NW_CD#JKA_R[MGZIR\R;<:P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8=6[?/H]UMJ)@MGUXUCMPOTVX520Z&ZSEORAZT^AFHI)R[LXT^HI<1:W3+LYQAF%LICQ\YOA\KPR\9>S7'@U]EB!HEO]P]KEXG\^P=:9R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@>>4U1-J[SOH'NOES^WAC^MVP^70?T$XUCMPOTV\G@JK'E__SB[[369V4*OX^@E$KH@PSXL@[JSSS8= I^TJK*ABFVYRBNQ@UUY232[)[PDHSB[[_BGOH*STM[UYIJ=>;T2,MZPNG&MNBR]VNB]LQQ]6?>W%_T@L_NWW[FCKD&e~xRzjmq14?P6(AV\BC"IJN^QZJFYH]]Q:;5S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG?=5Z0.K\RLI(OLDT_T@L_NWW_41?U'YRBNQ@UU]@AIJ(D\^TCXZ<7:W3+LYQAF%LICQ\YOA\KPR\9>2V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx:;0Y=!F_WKL+BCIWZSEORAZTZ34?4U1-J[SOH'NOES^WAC^MVP^700T$XUCMPOTV\G@JK'fSykbp258Q5)NW_CD#JKA_R[MGZIR\R;<5P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F8<6[?/H]UMJ)@MGUXUCMPOTVX52?Z&ZSEORAZT^AFHI)K]]UDYY=8;T2,MZPNG&MNBR]VNB]LQQ]6?0W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;81^<"GPVHM,C@HX[PDHSB[[[05:Y+U^FJUDYYQLEMN,QVCUW[OL?<5Z0.K\RLI(OLDT_T@L_NWW_41>U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS8=V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ34Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX52[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W<9R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT16_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY2<5[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W<6?]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT192^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R;3

#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P=5?R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU>80_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS82:Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W<6>]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[0:1Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q:4?S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV?72\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\918V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P=5;T2,MZPNG&MNBR]VNB]LQQ]60:W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q:4>S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU>85_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS82?Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P=5:R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^7?2U'YRBNQ@UU]@AIJ(]ZOYS_KH309V4*OX^@E$KH@PSXL@[JSSS82>Q#]VNB]LQQYDMEF$cxzPtdos72=R8&CTZDA GDL\W\HDWF__W<69]/QZJFYH]]UHIAB I^QQHZR5&\UX^A=?;T2,MZPNG&MNBR]VNB]LQQ]60?W%_T@L_NWW[FCKD&F^XRAZT258Q5)NW_CD#JKA_R[MGZIR\R;3:P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8=6[?/H]UMJ)@MGUXUCMPOTVX5=0Z&ZSEORAZT^AFHI)R[LXT^HI<1:W3+LYQAF%LICQ\YOA\KPR\91S7'@U]EB!HEO]P]KEXG\^P=59R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@>>4U1-J[SOH'NOES^WAC^MVP^7??T$XUCMPOTV\G@JK'E__SB[[369V4*OX^@E$KH@PSXL@[JSSS82 I^TJK*ABFVYRBNQ@UUY2<2[)[PDHSB[[_BGOH*STM[UYIJ=>;T2,MZPNG&MNBR]VNB]LQQ]60>W%_T@L_NWW[FCKD&e~xRzjmq14?P6(AV\BC"IJN^QZJFYH]]Q:45S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG?=5Z0.K\RLI(OLDT_T@L_NWW_4>?U'YRBNQ@UU]@AIJ(D\^TCXZ<7:W3+LYQAF%LICQ\YOA\KPR\912V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx:;0Y=!F_WKL+BCIWZSEORAZTZ3;?4U1-J[SOH'NOES^WAC^MVP^7?0T$XUCMPOTV\G@JK'fSykbp258Q5)NW_CD#JKA_R[MGZIR\R;35P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F8<6[?/H]UMJ)@MGUXUCMPOTVX5=?Z&ZSEORAZT^AFHI)K]]UDYY=8;T2,MZPNG&MNBR]VNB]LQQ]600W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;81^<"GPVHM,C@HX[PDHSB[[[0::Y+U^FJUDYYQLEMN,QVCUW[OL?<5Z0.K\RLI(OLDT_T@L_NWW_4>>U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS82V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ3;Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX5=[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W<6R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT19_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY2=5[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W<7?]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT182^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R;2

#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P=4?R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU>90_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS83:Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W<7>]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[0;1Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q:5?S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV?62\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\908V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P=4;T2,MZPNG&MNBR]VNB]LQQ]61:W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q:5>S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU>95_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS83?Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P=4:R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^7>Q#]VNB]LQQYDMEF$cxzPtdos72=R8&CTZDA GDL\W\HDWF__W<79]/QZJFYH]]UHIAB I^QQHZR5&\UX^A=?;T2,MZPNG&MNBR]VNB]LQQ]61?W%_T@L_NWW[FCKD&F^XRAZT258Q5)NW_CD#JKA_R[MGZIR\R;2:P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8=6[?/H]UMJ)@MGUXUCMPOTVX5<0Z&ZSEORAZT^AFHI)R[LXT^HI<1:W3+LYQAF%LICQ\YOA\KPR\90S7'@U]EB!HEO]P]KEXG\^P=49R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@>>4U1-J[SOH'NOES^WAC^MVP^7>?T$XUCMPOTV\G@JK'E__SB[[369V4*OX^@E$KH@PSXL@[JSSS83 I^TJK*ABFVYRBNQ@UUY2=2[)[PDHSB[[_BGOH*STM[UYIJ=>;T2,MZPNG&MNBR]VNB]LQQ]61>W%_T@L_NWW[FCKD&e~xRzjmq14?P6(AV\BC"IJN^QZJFYH]]Q:55S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG?=5Z0.K\RLI(OLDT_T@L_NWW_4??U'YRBNQ@UU]@AIJ(D\^TCXZ<7:W3+LYQAF%LICQ\YOA\KPR\902V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx:;0Y=!F_WKL+BCIWZSEORAZTZ3:?4U1-J[SOH'NOES^WAC^MVP^7>0T$XUCMPOTV\G@JK'fSykbp258Q5)NW_CD#JKA_R[MGZIR\R;25P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F8<6[?/H]UMJ)@MGUXUCMPOTVX5U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS83V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ3:Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX5<[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W<7R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT18_-W\HDWF__SNKCL.mvpZrbey9>7X> I^TJK*ABFVYRBNQ@UUY2Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0f?P6(AV\BC"IJN^QZJFYH]]Q:Q#]VNB]LQQYDMEF$@XZPOTV01>S7'@U]EB!HEO]P]KEXG\^P=P \YOA\KPRXKLFG#^FFFU]LQQYsmdz9j6[?/H]UMJ)@MGUXUCMPOTVX5X(TQGITCXZPCDNO+PUBZVXNK?h4U1-J[SOH'NOES^WAC^MVP^7Z&ZSEORAZT^AFHI)h}}Ui`~<7:W3+LYQAF%LICQ\YOA\KPR\:9:V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD::0Y=!F_WKL+BCIWZSEORAZTZ034X(TQGITCXZPCDNO+ISSWF__?:5Z0.K\RLI(OLDT_T@L_NWW_767U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu563\:$ERXFO.EFJZU^FJUDYYU=01_-W\HDWF__SNKCL.WPAWYUMN9:7X> I^TJK*ABFVYRBNQ@UUY145[)[PDHSB[[_BGOH*ir|V~na}=8;T2,MZPNG&MNBR]VNB]LQQ]588W%_T@L_NWW[FCKD&CT__BPT3,V[VTK;91^<"GPVHM,C@HX[PDHSB[[[322Y+U^FJUDYYQLEMN,HPRXG\^8;6[?/H]UMJ)@MGUXUCMPOTVX657Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt67<]9%BS[G@/FGM[V_IKVE^XV94U1-J[SOH'NOES^WAC^MVP^47:T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ482_;#DQYIN-DAKYTQGITCXZT210^*V_IKVE^XRMJLM-OQQYH]]9<7X> I^TJK*ABFVYRBNQ@UUY147[)[PDHSB[[_BGOH*UOAO^TCXZPtdos74=R8&CTZDA GDL\W\HDWF__W?>=]/QZJFYH]]UHIAB URGQ[WC@;81^<"GPVHM,C@HX[PDHSB[[[321Y+U^FJUDYYQLEMN,kprX|lg{?:5Z0.K\RLI(OLDT_T@L_NWW_764U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI573\:$ERXFO.EFJZU^FJUDYYU=02_-W\HDWF__SNKCL.NVPZIR\:=0Y=!F_WKL+BCIWZSEORAZTZ037X(TQGITCXZPCDNO+VNNN]UDYYQ{elr05>S7'@U]EB!HEO]P]KEXG\^P>==R.R[MGZIR\VIN@A!ZSDP\V@A492_;#DQYIN-DAKYTQGITCXZT211^*V_IKVE^XRMJLM-lqqYsmdz8;6[?/H]UMJ)@MGUXUCMPOTVX652Z&ZSEORAZT^AFHI)NWZXGSY1^<"GPVHM,C@HX[PDHSB[[[327Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq12?P6(AV\BC"IJN^QZJFYH]]Q9<9S!SXL@[JSSWJOG@"[\ES]QAB563\:$ERXFO.EFJZU^FJUDYYU=05_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY140[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W?>:]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT217^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R8;9P \YOA\KPRXKLFG#X]JR^PFC67<]9%BS[G@/FGM[V_IKVE^XV#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P>=8R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU=07_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS;:=Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W?>9]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[324Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q9<:S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XVS7'@U]EB!HEO]P]KEXG\^P>=9R.R[MGZIR\VIN@A!`uu]wahv4?2_;#DQYIN-DAKYTQGITCXZT21:^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L228Q5)NW_CD#JKA_R[MGZIR\R8;4P \YOA\KPRXKLFG#A[[_NWW72=R8&CTZDA GDL\W\HDWF__W?>7]/QZJFYH]]UHIAB SIKEPZIR\V~na}=>;T2,MZPNG&MNBR]VNB]LQQ]581W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q9<5S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU=08_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS;:2Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P>=7R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^471T$XUCMPOTV\G@JK'\YN^R\JG238Q5)NW_CD#JKA_R[MGZIR\R8;5P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV I^TJK*ABFVYRBNQ@UUY155[)[PDHSB[[_BGOH*ir|V~na}=8;T2,MZPNG&MNBR]VNB]LQQ]598W%_T@L_NWW[FCKD&CT__BPT3,V[VTK;91^<"GPVHM,C@HX[PDHSB[[[332Y+U^FJUDYYQLEMN,HPRXG\^8;6[?/H]UMJ)@MGUXUCMPOTVX647Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt67<]9%BS[G@/FGM[V_IKVE^XV<>1\,P]KEXG\^TOHBC/TQFVZTBO:;0Y=!F_WKL+BCIWZSEORAZTZ025X(TQGITCXZPCDNO+jssW}of|>94U1-J[SOH'NOES^WAC^MVP^46:T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ482_;#DQYIN-DAKYTQGITCXZT200^*V_IKVE^XRMJLM-OQQYH]]9<7X> I^TJK*ABFVYRBNQ@UUY157[)[PDHSB[[_BGOH*UOAO^TCXZPtdos74=R8&CTZDA GDL\W\HDWF__W??=]/QZJFYH]]UHIAB URGQ[WC@;81^<"GPVHM,C@HX[PDHSB[[[331Y+U^FJUDYYQLEMN,kprX|lg{?:5Z0.K\RLI(OLDT_T@L_NWW_774U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI573\:$ERXFO.EFJZU^FJUDYYU=12_-W\HDWF__SNKCL.NVPZIR\:=0Y=!F_WKL+BCIWZSEORAZTZ027X(TQGITCXZPCDNO+VNNN]UDYYQ{elr05>S7'@U]EB!HEO]P]KEXG\^P><=R.R[MGZIR\VIN@A!ZSDP\V@A492_;#DQYIN-DAKYTQGITCXZT201^*V_IKVE^XRMJLM-lqqYsmdz8;6[?/H]UMJ)@MGUXUCMPOTVX642Z&ZSEORAZT^AFHI)NWZXGSY4\,P]KEXG\^TOHBC/MWW[JSS;>1^<"GPVHM,C@HX[PDHSB[[[337Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq12?P6(AV\BC"IJN^QZJFYH]]Q9=9S!SXL@[JSSWJOG@"[\ES]QAB563\:$ERXFO.EFJZU^FJUDYYU=15_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY150[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W??:]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT207^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R8:9P \YOA\KPRXKLFG#X]JR^PFC67<]9%BS[G@/FGM[V_IKVE^XV<>5\,P]KEXG\^TOHBC/nww[qcjx:=0Y=!F_WKL+BCIWZSEORAZTZ022X(TQGITCXZPCDNO+LYTZEU_>#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P><8R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU=17_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS;;=Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W??9]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[334Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q9=:S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV<>7\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\:8=V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P><9R.R[MGZIR\VIN@A!`uu]wahv4?2_;#DQYIN-DAKYTQGITCXZT20:^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L228Q5)NW_CD#JKA_R[MGZIR\R8:4P \YOA\KPRXKLFG#A[[_NWW72=R8&CTZDA GDL\W\HDWF__W??7]/QZJFYH]]UHIAB SIKEPZIR\V~na}=>;T2,MZPNG&MNBR]VNB]LQQ]591W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q9=5S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU=18_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS;;2Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P><7R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^461T$XUCMPOTV\G@JK'\YN^R\JG238Q5)NW_CD#JKA_R[MGZIR\R8:5P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV<>]/QZJFYH]]UHIAB I^QQHZR5&\UX^A I^TJK*ABFVYRBNQ@UUY165[)[PDHSB[[_BGOH*ir|V~na}=8;T2,MZPNG&MNBR]VNB]LQQ]5:8W%_T@L_NWW[FCKD&CT__BPT3,V[VTK;91^<"GPVHM,C@HX[PDHSB[[[302Y+U^FJUDYYQLEMN,HPRXG\^8;6[?/H]UMJ)@MGUXUCMPOTVX677Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt67<]9%BS[G@/FGM[V_IKVE^XV<=1\,P]KEXG\^TOHBC/TQFVZTBO:;0Y=!F_WKL+BCIWZSEORAZTZ015X(TQGITCXZPCDNO+jssW}of|>94U1-J[SOH'NOES^WAC^MVP^45:T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ482_;#DQYIN-DAKYTQGITCXZT230^*V_IKVE^XRMJLM-OQQYH]]9<7X> I^TJK*ABFVYRBNQ@UUY167[)[PDHSB[[_BGOH*UOAO^TCXZPtdos74=R8&CTZDA GDL\W\HDWF__W?<=]/QZJFYH]]UHIAB URGQ[WC@;81^<"GPVHM,C@HX[PDHSB[[[301Y+U^FJUDYYQLEMN,kprX|lg{?:5Z0.K\RLI(OLDT_T@L_NWW_744U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI573\:$ERXFO.EFJZU^FJUDYYU=22_-W\HDWF__SNKCL.NVPZIR\:=0Y=!F_WKL+BCIWZSEORAZTZ017X(TQGITCXZPCDNO+VNNN]UDYYQ{elr05>S7'@U]EB!HEO]P]KEXG\^P>?=R.R[MGZIR\VIN@A!ZSDP\V@A492_;#DQYIN-DAKYTQGITCXZT231^*V_IKVE^XRMJLM-lqqYsmdz8;6[?/H]UMJ)@MGUXUCMPOTVX672Z&ZSEORAZT^AFHI)NWZXGSY1^<"GPVHM,C@HX[PDHSB[[[307Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq12?P6(AV\BC"IJN^QZJFYH]]Q9>9S!SXL@[JSSWJOG@"[\ES]QAB563\:$ERXFO.EFJZU^FJUDYYU=25_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY160[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W?<:]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT237^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R899P \YOA\KPRXKLFG#X]JR^PFC67<]9%BS[G@/FGM[V_IKVE^XV<=5\,P]KEXG\^TOHBC/nww[qcjx:=0Y=!F_WKL+BCIWZSEORAZTZ012X(TQGITCXZPCDNO+LYTZEU_>#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P>?8R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU=27_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS;8=Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W?<9]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[304Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q9>:S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV<=7\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\:;=V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P>?9R.R[MGZIR\VIN@A!`uu]wahv4?2_;#DQYIN-DAKYTQGITCXZT23:^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L228Q5)NW_CD#JKA_R[MGZIR\R894P \YOA\KPRXKLFG#A[[_NWW72=R8&CTZDA GDL\W\HDWF__W?<7]/QZJFYH]]UHIAB SIKEPZIR\V~na}=>;T2,MZPNG&MNBR]VNB]LQQ]5:1W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q9>5S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU=28_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS;82Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P>?7R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^451T$XUCMPOTV\G@JK'\YN^R\JG238Q5)NW_CD#JKA_R[MGZIR\R895P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV<=]/QZJFYH]]UHIAB I^QQHZR5&\UX^A I^TJK*ABFVYRBNQ@UUY175[)[PDHSB[[_BGOH*ir|V~na}=8;T2,MZPNG&MNBR]VNB]LQQ]5;8W%_T@L_NWW[FCKD&CT__BPT3,V[VTK;91^<"GPVHM,C@HX[PDHSB[[[312Y+U^FJUDYYQLEMN,HPRXG\^8;6[?/H]UMJ)@MGUXUCMPOTVX667Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt67<]9%BS[G@/FGM[V_IKVE^XV<<1\,P]KEXG\^TOHBC/TQFVZTBO:;0Y=!F_WKL+BCIWZSEORAZTZ005X(TQGITCXZPCDNO+jssW}of|>94U1-J[SOH'NOES^WAC^MVP^44:T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ482_;#DQYIN-DAKYTQGITCXZT220^*V_IKVE^XRMJLM-OQQYH]]9<7X> I^TJK*ABFVYRBNQ@UUY177[)[PDHSB[[_BGOH*UOAO^TCXZPtdos74=R8&CTZDA GDL\W\HDWF__W?==]/QZJFYH]]UHIAB URGQ[WC@;81^<"GPVHM,C@HX[PDHSB[[[311Y+U^FJUDYYQLEMN,kprX|lg{?:5Z0.K\RLI(OLDT_T@L_NWW_754U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI573\:$ERXFO.EFJZU^FJUDYYU=32_-W\HDWF__SNKCL.NVPZIR\:=0Y=!F_WKL+BCIWZSEORAZTZ007X(TQGITCXZPCDNO+VNNN]UDYYQ{elr05>S7'@U]EB!HEO]P]KEXG\^P>>=R.R[MGZIR\VIN@A!ZSDP\V@A492_;#DQYIN-DAKYTQGITCXZT221^*V_IKVE^XRMJLM-lqqYsmdz8;6[?/H]UMJ)@MGUXUCMPOTVX662Z&ZSEORAZT^AFHI)NWZXGSY1^<"GPVHM,C@HX[PDHSB[[[317Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq12?P6(AV\BC"IJN^QZJFYH]]Q9?9S!SXL@[JSSWJOG@"[\ES]QAB563\:$ERXFO.EFJZU^FJUDYYU=35_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY170[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W?=:]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT227^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R889P \YOA\KPRXKLFG#X]JR^PFC67<]9%BS[G@/FGM[V_IKVE^XV<<5\,P]KEXG\^TOHBC/nww[qcjx:=0Y=!F_WKL+BCIWZSEORAZTZ002X(TQGITCXZPCDNO+LYTZEU_>#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P>>8R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU=37_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS;9=Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W?=9]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[314Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q9?:S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV<<7\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\::=V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P>>9R.R[MGZIR\VIN@A!`uu]wahv4?2_;#DQYIN-DAKYTQGITCXZT22:^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L228Q5)NW_CD#JKA_R[MGZIR\R884P \YOA\KPRXKLFG#A[[_NWW72=R8&CTZDA GDL\W\HDWF__W?=7]/QZJFYH]]UHIAB SIKEPZIR\V~na}=>;T2,MZPNG&MNBR]VNB]LQQ]5;1W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q9?5S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU=38_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS;92Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P>>7R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^441T$XUCMPOTV\G@JK'\YN^R\JG238Q5)NW_CD#JKA_R[MGZIR\R885P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV<<]/QZJFYH]]UHIAB I^QQHZR5&\UX^A I^TJK*ABFVYRBNQ@UUY105[)[PDHSB[[_BGOH*ir|V~na}=8;T2,MZPNG&MNBR]VNB]LQQ]5<8W%_T@L_NWW[FCKD&CT__BPT3,V[VTK;91^<"GPVHM,C@HX[PDHSB[[[362Y+U^FJUDYYQLEMN,HPRXG\^8;6[?/H]UMJ)@MGUXUCMPOTVX617Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt67<]9%BS[G@/FGM[V_IKVE^XV<;1\,P]KEXG\^TOHBC/TQFVZTBO:;0Y=!F_WKL+BCIWZSEORAZTZ075X(TQGITCXZPCDNO+jssW}of|>94U1-J[SOH'NOES^WAC^MVP^43:T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ482_;#DQYIN-DAKYTQGITCXZT250^*V_IKVE^XRMJLM-OQQYH]]9<7X> I^TJK*ABFVYRBNQ@UUY107[)[PDHSB[[_BGOH*UOAO^TCXZPtdos74=R8&CTZDA GDL\W\HDWF__W?:=]/QZJFYH]]UHIAB URGQ[WC@;81^<"GPVHM,C@HX[PDHSB[[[361Y+U^FJUDYYQLEMN,kprX|lg{?:5Z0.K\RLI(OLDT_T@L_NWW_724U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI573\:$ERXFO.EFJZU^FJUDYYU=42_-W\HDWF__SNKCL.NVPZIR\:=0Y=!F_WKL+BCIWZSEORAZTZ077X(TQGITCXZPCDNO+VNNN]UDYYQ{elr05>S7'@U]EB!HEO]P]KEXG\^P>9=R.R[MGZIR\VIN@A!ZSDP\V@A492_;#DQYIN-DAKYTQGITCXZT251^*V_IKVE^XRMJLM-lqqYsmdz8;6[?/H]UMJ)@MGUXUCMPOTVX612Z&ZSEORAZT^AFHI)NWZXGSY1^<"GPVHM,C@HX[PDHSB[[[367Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq12?P6(AV\BC"IJN^QZJFYH]]Q989S!SXL@[JSSWJOG@"[\ES]QAB563\:$ERXFO.EFJZU^FJUDYYU=45_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY100[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W?::]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT257^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R8?9P \YOA\KPRXKLFG#X]JR^PFC67<]9%BS[G@/FGM[V_IKVE^XV<;5\,P]KEXG\^TOHBC/nww[qcjx:=0Y=!F_WKL+BCIWZSEORAZTZ072X(TQGITCXZPCDNO+LYTZEU_>#[PSSN04>S7'@U]EB!HEO]P]KEXG\^P>98R.R[MGZIR\VIN@A!CUU]LQQ503\:$ERXFO.EFJZU^FJUDYYU=47_-W\HDWF__SNKCL.QKMCRXG\^Txhc309V4*OX^@E$KH@PSXL@[JSSS;>=Q#]VNB]LQQYDMEF$Y^K]_SGD74=R8&CTZDA GDL\W\HDWF__W?:9]/QZJFYH]]UHIAB otv\p`kw;>1^<"GPVHM,C@HX[PDHSB[[[364Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM13?P6(AV\BC"IJN^QZJFYH]]Q98:S!SXL@[JSSWJOG@"BZT^MVP61<]9%BS[G@/FGM[V_IKVE^XV<;7\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<1:W3+LYQAF%LICQ\YOA\KPR\:==V"^WAC^MVPZEBDE%^_H\PRDE05>S7'@U]EB!HEO]P]KEXG\^P>99R.R[MGZIR\VIN@A!`uu]wahv4?2_;#DQYIN-DAKYTQGITCXZT25:^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L228Q5)NW_CD#JKA_R[MGZIR\R8?4P \YOA\KPRXKLFG#A[[_NWW72=R8&CTZDA GDL\W\HDWF__W?:7]/QZJFYH]]UHIAB SIKEPZIR\V~na}=>;T2,MZPNG&MNBR]VNB]LQQ]5<1W%_T@L_NWW[FCKD&_XI_Q]EF12?P6(AV\BC"IJN^QZJFYH]]Q985S!SXL@[JSSWJOG@"azt^vfiu503\:$ERXFO.EFJZU^FJUDYYU=48_-W\HDWF__SNKCL.K\WWJX\;$^S^\C319V4*OX^@E$KH@PSXL@[JSSS;>2Q#]VNB]LQQYDMEF$@XZPOTV03>S7'@U]EB!HEO]P]KEXG\^P>97R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>?4U1-J[SOH'NOES^WAC^MVP^431T$XUCMPOTV\G@JK'\YN^R\JG238Q5)NW_CD#JKA_R[MGZIR\R8?5P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV<;]/QZJFYH]]UHIAB I^QQHZR5&\UX^AV"^WAC^MVPZEBDE%XDDH[_NWW[qcjx::0Y=!F_WKL+BCIWZSEORAZTZ07Y+U^FJUDYYQLEMN,QVCUW[OL?=5Z0.K\RLI(OLDT_T@L_NWW_72Z&ZSEORAZT^AFHI)h}}Ui`~<7:W3+LYQAF%LICQ\YOA\KPR\:<:V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD::0Y=!F_WKL+BCIWZSEORAZTZ064X(TQGITCXZPCDNO+ISSWF__?:5Z0.K\RLI(OLDT_T@L_NWW_737U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu563\:$ERXFO.EFJZU^FJUDYYU=51_-W\HDWF__SNKCL.WPAWYUMN9:7X> I^TJK*ABFVYRBNQ@UUY115[)[PDHSB[[_BGOH*ir|V~na}=8;T2,MZPNG&MNBR]VNB]LQQ]5=8W%_T@L_NWW[FCKD&CT__BPT3,V[VTK;91^<"GPVHM,C@HX[PDHSB[[[372Y+U^FJUDYYQLEMN,HPRXG\^8;6[?/H]UMJ)@MGUXUCMPOTVX607Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt67<]9%BS[G@/FGM[V_IKVE^XV<:1\,P]KEXG\^TOHBC/TQFVZTBO:;0Y=!F_WKL+BCIWZSEORAZTZ065X(TQGITCXZPCDNO+jssW}of|>94U1-J[SOH'NOES^WAC^MVP^42:T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ482_;#DQYIN-DAKYTQGITCXZT240^*V_IKVE^XRMJLM-OQQYH]]9<7X> I^TJK*ABFVYRBNQ@UUY117[)[PDHSB[[_BGOH*UOAO^TCXZPtdos74=R8&CTZDA GDL\W\HDWF__W?;=]/QZJFYH]]UHIAB URGQ[WC@;81^<"GPVHM,C@HX[PDHSB[[[371Y+U^FJUDYYQLEMN,kprX|lg{?:5Z0.K\RLI(OLDT_T@L_NWW_734U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI573\:$ERXFO.EFJZU^FJUDYYU=52_-W\HDWF__SNKCL.NVPZIR\:=0Y=!F_WKL+BCIWZSEORAZTZ067X(TQGITCXZPCDNO+VNNN]UDYYQ{elr05>S7'@U]EB!HEO]P]KEXG\^P>8=R.R[MGZIR\VIN@A!ZSDP\V@A492_;#DQYIN-DAKYTQGITCXZT241^*V_IKVE^XRMJLM-lqqYsmdz8;6[?/H]UMJ)@MGUXUCMPOTVX602Z&ZSEORAZT^AFHI)NWZXGSY1^<"GPVHM,C@HX[PDHSB[[[377Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq12?P6(AV\BC"IJN^QZJFYH]]Q999S!SXL@[JSSWJOG@"[\ES]QAB563\:$ERXFO.EFJZU^FJUDYYU=55_-W\HDWF__SNKCL.mvpZrbey9<7X> I^TJK*ABFVYRBNQ@UUY110[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO75=R8&CTZDA GDL\W\HDWF__W?;:]/QZJFYH]]UHIAB LTV\KPR4?2_;#DQYIN-DAKYTQGITCXZT247^*V_IKVE^XRMJLM-PLL@SWF__Sykbp238Q5)NW_CD#JKA_R[MGZIR\R8>9P \YOA\KPRXKLFG#X]JR^PFC67<]9%BS[G@/FGM[V_IKVE^XV<:5\,P]KEXG\^TOHBC/nww[qcjx:<0Y=!F_WKL+BCIWZSEORAZTZ06Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0e?P6(AV\BC"IJN^QZJFYH]]Q99P \YOA\KPRXKLFG#A[[_NWW73=R8&CTZDA GDL\W\HDWF__W?;R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>>4U1-J[SOH'NOES^WAC^MVP^42U'YRBNQ@UU]@AIJ(]ZOYS_KH319V4*OX^@E$KH@PSXL@[JSSS;?V"^WAC^MVPZEBDE%dyyQ{elr02>S7'@U]EB!HEO]P]KEXG\^P>;S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG>k5Z0.K\RLI(OLDT_T@L_NWW_70Z&ZSEORAZT^AFHI)K]]UDYY=9;T2,MZPNG&MNBR]VNB]LQQ]5>T$XUCMPOTV\G@JK'ZBBJYQ@UU]wahv482_;#DQYIN-DAKYTQGITCXZT27_-W\HDWF__SNKCL.WPAWYUMN9;7X> I^TJK*ABFVYRBNQ@UUY12X(TQGITCXZPCDNO+jssW}of|>84U1-J[SOH'NOES^WAC^MVP^40U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI4a3\:$ERXFO.EFJZU^FJUDYYU=7\,P]KEXG\^TOHBC/MWW[JSS;?1^<"GPVHM,C@HX[PDHSB[[[35^*V_IKVE^XRMJLM-PLL@SWF__Sykbp228Q5)NW_CD#JKA_R[MGZIR\R82_;#DQYIN-DAKYTQGITCXZT29_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2g9V4*OX^@E$KH@PSXL@[JSSS;2V"^WAC^MVPZEBDE%GYYQ@UU15?P6(AV\BC"IJN^QZJFYH]]Q94P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8<6[?/H]UMJ)@MGUXUCMPOTVX6=[)[PDHSB[[_BGOH*STM[UYIJ=?;T2,MZPNG&MNBR]VNB]LQQ]50T$XUCMPOTV\G@JK'fSykbp248Q5)NW_CD#JKA_R[MGZIR\R82Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8m7X> I^TJK*ABFVYRBNQ@UUY1=X(TQGITCXZPCDNO+ISSWF__?;5Z0.K\RLI(OLDT_T@L_NWW_7?Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt66<]9%BS[G@/FGM[V_IKVE^XV<6]/QZJFYH]]UHIAB URGQ[WC@;91^<"GPVHM,C@HX[PDHSB[[[3;^*V_IKVE^XRMJLM-lqqYsmdz896[?/H]UMJ)@MGUXUCMPOTVX6X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1a>S7'@U]EB!HEO]P]KEXG\^P>P \YOA\KPRXKLFG#A[[_NWW70=R8&CTZDA GDL\W\HDWF__W?S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{>k5Z0.K\RLI(OLDT_T@L_NWW_7[)[PDHSB[[_BGOH*STM[UYIJ>R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT31_-W\HDWF__SNKCL.mvpZrbey9=7X> I^TJK*ABFVYRBNQ@UUY05X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P?]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]49T$XUCMPOTV\G@JK'\YN^R\JG228Q5)NW_CD#JKA_R[MGZIR\R9:Q#]VNB]LQQYDMEF$cxzPtdos73=R8&CTZDA GDL\W\HDWF__W>S7'@U]EB!HEO]P]KEXG\^P?9S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?=5Z0.K\RLI(OLDT_T@L_NWW_62Z&ZSEORAZT^AFHI)R[LXT^HI<0:W3+LYQAF%LICQ\YOA\KPR\;=W%_T@L_NWW[FCKD&e~xRzjmq15?P6(AV\BC"IJN^QZJFYH]]Q89P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F9j6[?/H]UMJ)@MGUXUCMPOTVX70[)[PDHSB[[_BGOH*JR\VE^X>84U1-J[SOH'NOES^WAC^MVP^52U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu573\:$ERXFO.EFJZU^FJUDYYU<5\,P]KEXG\^TOHBC/TQFVZTBO::0Y=!F_WKL+BCIWZSEORAZTZ16Y+U^FJUDYYQLEMN,kprX|lg{?;5Z0.K\RLI(OLDT_T@L_NWW_60Z&ZSEORAZT^AFHI)NWZXGSY2_;#DQYIN-DAKYTQGITCXZT37_-W\HDWF__SNKCL.QKMCRXG\^Txhc319V4*OX^@E$KH@PSXL@[JSSS:S7'@U]EB!HEO]P]KEXG\^P?;S!SXL@[JSSWJOG@"azt^vfiu513\:$ERXFO.EFJZU^FJUDYYU<7\,P]KEXG\^TOHBC/H]PVIYS:'_T__B=f:W3+LYQAF%LICQ\YOA\KPR\;>W%_T@L_NWW[FCKD&F^XRAZT248Q5)NW_CD#JKA_R[MGZIR\R9 I^TJK*ABFVYRBNQ@UUY03X(TQGITCXZPCDNO+PUBZVXNK>>4U1-J[SOH'NOES^WAC^MVP^50U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS:2V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ1;Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX7=[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W>6R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT39_-W\HDWF__SNKCL.mvpZrbey9=7X> I^TJK*ABFVYRBNQ@UUY0=X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P?4S!SXL@[JSSWJOG@"BZT^MVP60<]9%BS[G@/FGM[V_IKVE^XV=6]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]41T$XUCMPOTV\G@JK'\YN^R\JG228Q5)NW_CD#JKA_R[MGZIR\R92Q#]VNB]LQQYDMEF$cxzPtdos70=R8&CTZDA GDL\W\HDWF__W>S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG>h5Z0.K\RLI(OLDT_T@L_NWW_6[)[PDHSB[[_BGOH*JR\VE^X>;4U1-J[SOH'NOES^WAC^MVP^5Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt7`<]9%BS[G@/FGM[V_IKVE^XV=R.R[MGZIR\VIN@A!ZSDP\V@A5n2_;#DQYIN-DAKYTQGITCXZT3\,P]KEXG\^TOHBC/nww[qcjx:<0Y=!F_WKL+BCIWZSEORAZTZ63Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0e?P6(AV\BC"IJN^QZJFYH]]Q?

R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>>4U1-J[SOH'NOES^WAC^MVP^27U'YRBNQ@UU]@AIJ(]ZOYS_KH319V4*OX^@E$KH@PSXL@[JSSS=:V"^WAC^MVPZEBDE%dyyQ{elr02>S7'@U]EB!HEO]P]KEXG\^P8k5Z0.K\RLI(OLDT_T@L_NWW_17Z&ZSEORAZT^AFHI)K]]UDYY=9;T2,MZPNG&MNBR]VNB]LQQ]39T$XUCMPOTV\G@JK'ZBBJYQ@UU]wahv482_;#DQYIN-DAKYTQGITCXZT40_-W\HDWF__SNKCL.WPAWYUMN9;7X> I^TJK*ABFVYRBNQ@UUY75X(TQGITCXZPCDNO+jssW}of|>84U1-J[SOH'NOES^WAC^MVP^25U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI4a3\:$ERXFO.EFJZU^FJUDYYU;2\,P]KEXG\^TOHBC/MWW[JSS;?1^<"GPVHM,C@HX[PDHSB[[[50^*V_IKVE^XRMJLM-PLL@SWF__Sykbp228Q5)NW_CD#JKA_R[MGZIR\R>9Q#]VNB]LQQYDMEF$Y^K]_SGD75=R8&CTZDA GDL\W\HDWF__W92_;#DQYIN-DAKYTQGITCXZT42_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2g9V4*OX^@E$KH@PSXL@[JSSS=9V"^WAC^MVPZEBDE%GYYQ@UU15?P6(AV\BC"IJN^QZJFYH]]Q??P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8<6[?/H]UMJ)@MGUXUCMPOTVX06[)[PDHSB[[_BGOH*STM[UYIJ=?;T2,MZPNG&MNBR]VNB]LQQ]3;T$XUCMPOTV\G@JK'fSykbp248Q5)NW_CD#JKA_R[MGZIR\R>?Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8m7X> I^TJK*ABFVYRBNQ@UUY70X(TQGITCXZPCDNO+ISSWF__?;5Z0.K\RLI(OLDT_T@L_NWW_12Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt66<]9%BS[G@/FGM[V_IKVE^XV:;]/QZJFYH]]UHIAB URGQ[WC@;91^<"GPVHM,C@HX[PDHSB[[[56^*V_IKVE^XRMJLM-lqqYsmdz8:6[?/H]UMJ)@MGUXUCMPOTVX00[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO6c=R8&CTZDA GDL\W\HDWF__W9;R.R[MGZIR\VIN@A!CUU]LQQ513\:$ERXFO.EFJZU^FJUDYYU;5\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<0:W3+LYQAF%LICQ\YOA\KPR\<T$XUCMPOTV\G@JK'E__SB[[379V4*OX^@E$KH@PSXL@[JSSS=W%_T@L_NWW[FCKD&CT__BPT3,V[VTK:o1^<"GPVHM,C@HX[PDHSB[[[55^*V_IKVE^XRMJLM-OQQYH]]9=7X> I^TJK*ABFVYRBNQ@UUY73X(TQGITCXZPCDNO+VNNN]UDYYQ{elr04>S7'@U]EB!HEO]P]KEXG\^P8:S!SXL@[JSSWJOG@"[\ES]QAB573\:$ERXFO.EFJZU^FJUDYYU;7\,P]KEXG\^TOHBC/nww[qcjx:<0Y=!F_WKL+BCIWZSEORAZTZ6;Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0e?P6(AV\BC"IJN^QZJFYH]]Q?4P \YOA\KPRXKLFG#A[[_NWW73=R8&CTZDA GDL\W\HDWF__W96R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>>4U1-J[SOH'NOES^WAC^MVP^2?U'YRBNQ@UU]@AIJ(]ZOYS_KH319V4*OX^@E$KH@PSXL@[JSSS=2V"^WAC^MVPZEBDE%dyyQ{elr02>S7'@U]EB!HEO]P]KEXG\^P84S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG>k5Z0.K\RLI(OLDT_T@L_NWW_1?Z&ZSEORAZT^AFHI)K]]UDYY=9;T2,MZPNG&MNBR]VNB]LQQ]31T$XUCMPOTV\G@JK'ZBBJYQ@UU]wahv482_;#DQYIN-DAKYTQGITCXZT48_-W\HDWF__SNKCL.WPAWYUMN9;7X> I^TJK*ABFVYRBNQ@UUY7=X(TQGITCXZPCDNO+jssW}of|>;4U1-J[SOH'NOES^WAC^MVP^2Z&ZSEORAZT^AFHI)NWZXGSY

84U1-J[SOH'NOES^WAC^MVP^37U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu573\:$ERXFO.EFJZU^FJUDYYU:0\,P]KEXG\^TOHBC/TQFVZTBO::0Y=!F_WKL+BCIWZSEORAZTZ73Y+U^FJUDYYQLEMN,kprX|lg{?;5Z0.K\RLI(OLDT_T@L_NWW_07Z&ZSEORAZT^AFHI)NWZXGSY]/QZJFYH]]UHIAB LTV\KPR4>2_;#DQYIN-DAKYTQGITCXZT50_-W\HDWF__SNKCL.QKMCRXG\^Txhc319V4*OX^@E$KH@PSXL@[JSSS<;V"^WAC^MVPZEBDE%^_H\PRDE04>S7'@U]EB!HEO]P]KEXG\^P9 I^TJK*ABFVYRBNQ@UUY66X(TQGITCXZPCDNO+PUBZVXNK>>4U1-J[SOH'NOES^WAC^MVP^35U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS<9V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ70Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX16[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W8=R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT52_-W\HDWF__SNKCL.mvpZrbey9=7X> I^TJK*ABFVYRBNQ@UUY60X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P99S!SXL@[JSSWJOG@"BZT^MVP60<]9%BS[G@/FGM[V_IKVE^XV;;]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]2T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ5n2_;#DQYIN-DAKYTQGITCXZT57_-W\HDWF__SNKCL.NVPZIR\:<0Y=!F_WKL+BCIWZSEORAZTZ75Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq13?P6(AV\BC"IJN^QZJFYH]]Q>:P \YOA\KPRXKLFG#X]JR^PFC66<]9%BS[G@/FGM[V_IKVE^XV;9]/QZJFYH]]UHIAB otv\p`kw;?1^<"GPVHM,C@HX[PDHSB[[[45^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3d8Q5)NW_CD#JKA_R[MGZIR\R?S7'@U]EB!HEO]P]KEXG\^P9:S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?=5Z0.K\RLI(OLDT_T@L_NWW_01Z&ZSEORAZT^AFHI)R[LXT^HI<0:W3+LYQAF%LICQ\YOA\KPR\=>W%_T@L_NWW[FCKD&e~xRzjmq15?P6(AV\BC"IJN^QZJFYH]]Q>4P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F9j6[?/H]UMJ)@MGUXUCMPOTVX1=[)[PDHSB[[_BGOH*JR\VE^X>84U1-J[SOH'NOES^WAC^MVP^3?U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu573\:$ERXFO.EFJZU^FJUDYYU:8\,P]KEXG\^TOHBC/TQFVZTBO::0Y=!F_WKL+BCIWZSEORAZTZ7;Y+U^FJUDYYQLEMN,kprX|lg{?;5Z0.K\RLI(OLDT_T@L_NWW_0?Z&ZSEORAZT^AFHI)NWZXGSY2_;#DQYIN-DAKYTQGITCXZT58_-W\HDWF__SNKCL.QKMCRXG\^Txhc319V4*OX^@E$KH@PSXL@[JSSS<3V"^WAC^MVPZEBDE%^_H\PRDE04>S7'@U]EB!HEO]P]KEXG\^P94S!SXL@[JSSWJOG@"azt^vfiu523\:$ERXFO.EFJZU^FJUDYYU:]/QZJFYH]]UHIAB I^QQHZR5&\UX^AR.R[MGZIR\VIN@A!CUU]LQQ513\:$ERXFO.EFJZU^FJUDYYU90\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<0:W3+LYQAF%LICQ\YOA\KPR\>9W%_T@L_NWW[FCKD&_XI_Q]EF13?P6(AV\BC"IJN^QZJFYH]]Q=

]/QZJFYH]]UHIAB I^QQHZR5&\UX^A;W%_T@L_NWW[FCKD&CT__BPT3,V[VTK:o1^<"GPVHM,C@HX[PDHSB[[[70^*V_IKVE^XRMJLM-OQQYH]]9=7X> I^TJK*ABFVYRBNQ@UUY56X(TQGITCXZPCDNO+VNNN]UDYYQ{elr04>S7'@U]EB!HEO]P]KEXG\^P:?S!SXL@[JSSWJOG@"[\ES]QAB573\:$ERXFO.EFJZU^FJUDYYU92\,P]KEXG\^TOHBC/nww[qcjx:<0Y=!F_WKL+BCIWZSEORAZTZ40Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0e?P6(AV\BC"IJN^QZJFYH]]Q=?P \YOA\KPRXKLFG#A[[_NWW73=R8&CTZDA GDL\W\HDWF__W;=R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>>4U1-J[SOH'NOES^WAC^MVP^04U'YRBNQ@UU]@AIJ(]ZOYS_KH319V4*OX^@E$KH@PSXL@[JSSS?9V"^WAC^MVPZEBDE%dyyQ{elr02>S7'@U]EB!HEO]P]KEXG\^P:9S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG>k5Z0.K\RLI(OLDT_T@L_NWW_32Z&ZSEORAZT^AFHI)K]]UDYY=9;T2,MZPNG&MNBR]VNB]LQQ]1 I^TJK*ABFVYRBNQ@UUY50X(TQGITCXZPCDNO+jssW}of|>84U1-J[SOH'NOES^WAC^MVP^02U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI4a3\:$ERXFO.EFJZU^FJUDYYU95\,P]KEXG\^TOHBC/MWW[JSS;?1^<"GPVHM,C@HX[PDHSB[[[77^*V_IKVE^XRMJLM-PLL@SWF__Sykbp228Q5)NW_CD#JKA_R[MGZIR\R<>Q#]VNB]LQQYDMEF$Y^K]_SGD75=R8&CTZDA GDL\W\HDWF__W;;R.R[MGZIR\VIN@A!`uu]wahv4>2_;#DQYIN-DAKYTQGITCXZT67_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2g9V4*OX^@E$KH@PSXL@[JSSS?T$XUCMPOTV\G@JK'fSykbp248Q5)NW_CD#JKA_R[MGZIR\R< I^TJK*ABFVYRBNQ@UUY53X(TQGITCXZPCDNO+ISSWF__?;5Z0.K\RLI(OLDT_T@L_NWW_31Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt66<]9%BS[G@/FGM[V_IKVE^XV88]/QZJFYH]]UHIAB URGQ[WC@;91^<"GPVHM,C@HX[PDHSB[[[75^*V_IKVE^XRMJLM-lqqYsmdz8:6[?/H]UMJ)@MGUXUCMPOTVX2=[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO6c=R8&CTZDA GDL\W\HDWF__W;6R.R[MGZIR\VIN@A!CUU]LQQ513\:$ERXFO.EFJZU^FJUDYYU98\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<0:W3+LYQAF%LICQ\YOA\KPR\>1W%_T@L_NWW[FCKD&_XI_Q]EF13?P6(AV\BC"IJN^QZJFYH]]Q=4P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV86]/QZJFYH]]UHIAB I^QQHZR5&\UX^AT$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ5m2_;#DQYIN-DAKYTQGITCXZT6\,P]KEXG\^TOHBC/MWW[JSS;<1^<"GPVHM,C@HX[PDHSB[[[7_-W\HDWF__SNKCL.QKMCRXG\^Txhc2g9V4*OX^@E$KH@PSXL@[JSSS?W%_T@L_NWW[FCKD&_XI_Q]EF0e?P6(AV\BC"IJN^QZJFYH]]Q=Q#]VNB]LQQYDMEF$cxzPtdos73=R8&CTZDA GDL\W\HDWF__W:>R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@?h4U1-J[SOH'NOES^WAC^MVP^17U'YRBNQ@UU]@AIJ(D\^TCXZ<6:W3+LYQAF%LICQ\YOA\KPR\?9W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;91^<"GPVHM,C@HX[PDHSB[[[62^*V_IKVE^XRMJLM-VW@TXZLM8<6[?/H]UMJ)@MGUXUCMPOTVX35[)[PDHSB[[_BGOH*ir|V~na}=9;T2,MZPNG&MNBR]VNB]LQQ]09T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ5n2_;#DQYIN-DAKYTQGITCXZT70_-W\HDWF__SNKCL.NVPZIR\:<0Y=!F_WKL+BCIWZSEORAZTZ52Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq13?P6(AV\BC"IJN^QZJFYH]]Q<=P \YOA\KPRXKLFG#X]JR^PFC66<]9%BS[G@/FGM[V_IKVE^XV9>]/QZJFYH]]UHIAB otv\p`kw;?1^<"GPVHM,C@HX[PDHSB[[[60^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3d8Q5)NW_CD#JKA_R[MGZIR\R=9Q#]VNB]LQQYDMEF$@XZPOTV02>S7'@U]EB!HEO]P]KEXG\^P;?S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?=5Z0.K\RLI(OLDT_T@L_NWW_24Z&ZSEORAZT^AFHI)R[LXT^HI<0:W3+LYQAF%LICQ\YOA\KPR\?;W%_T@L_NWW[FCKD&e~xRzjmq15?P6(AV\BC"IJN^QZJFYH]]Q84U1-J[SOH'NOES^WAC^MVP^14U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu573\:$ERXFO.EFJZU^FJUDYYU83\,P]KEXG\^TOHBC/TQFVZTBO::0Y=!F_WKL+BCIWZSEORAZTZ50Y+U^FJUDYYQLEMN,kprX|lg{?;5Z0.K\RLI(OLDT_T@L_NWW_22Z&ZSEORAZT^AFHI)NWZXGSY2_;#DQYIN-DAKYTQGITCXZT75_-W\HDWF__SNKCL.QKMCRXG\^Txhc319V4*OX^@E$KH@PSXL@[JSSS>>V"^WAC^MVPZEBDE%^_H\PRDE04>S7'@U]EB!HEO]P]KEXG\^P;9S!SXL@[JSSWJOG@"azt^vfiu513\:$ERXFO.EFJZU^FJUDYYU85\,P]KEXG\^TOHBC/H]PVIYS:'_T__B=f:W3+LYQAF%LICQ\YOA\KPR\?Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey9;7X> I^TJK*ABFVYRBNQ@UUY41X(TQGITCXZPCDNO+PUBZVXNK>>4U1-J[SOH'NOES^WAC^MVP^12U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS> I^TJK*ABFVYRBNQ@UUY43X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P;:S!SXL@[JSSWJOG@"BZT^MVP60<]9%BS[G@/FGM[V_IKVE^XV98]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]0?T$XUCMPOTV\G@JK'\YN^R\JG228Q5)NW_CD#JKA_R[MGZIR\R=W%_T@L_NWW[FCKD&F^XRAZT278Q5)NW_CD#JKA_R[MGZIR\R=V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx;l0Y=!F_WKL+BCIWZSEORAZTZ5^*V_IKVE^XRMJLM-VW@TXZLM9j6[?/H]UMJ)@MGUXUCMPOTVX3X(TQGITCXZPCDNO+jssW}of|>84U1-J[SOH'NOES^WAC^MVP^>7U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI4a3\:$ERXFO.EFJZU^FJUDYYU70\,P]KEXG\^TOHBC/MWW[JSS;?1^<"GPVHM,C@HX[PDHSB[[[92^*V_IKVE^XRMJLM-PLL@SWF__Sykbp228Q5)NW_CD#JKA_R[MGZIR\R2;Q#]VNB]LQQYDMEF$Y^K]_SGD75=R8&CTZDA GDL\W\HDWF__W5>R.R[MGZIR\VIN@A!`uu]wahv4>2_;#DQYIN-DAKYTQGITCXZT80_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2g9V4*OX^@E$KH@PSXL@[JSSS1;V"^WAC^MVPZEBDE%GYYQ@UU15?P6(AV\BC"IJN^QZJFYH]]Q3=P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8<6[?/H]UMJ)@MGUXUCMPOTVX<4[)[PDHSB[[_BGOH*STM[UYIJ=?;T2,MZPNG&MNBR]VNB]LQQ]?9T$XUCMPOTV\G@JK'fSykbp248Q5)NW_CD#JKA_R[MGZIR\R29Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8m7X> I^TJK*ABFVYRBNQ@UUY;6X(TQGITCXZPCDNO+ISSWF__?;5Z0.K\RLI(OLDT_T@L_NWW_=4Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt66<]9%BS[G@/FGM[V_IKVE^XV6=]/QZJFYH]]UHIAB URGQ[WC@;91^<"GPVHM,C@HX[PDHSB[[[90^*V_IKVE^XRMJLM-lqqYsmdz8:6[?/H]UMJ)@MGUXUCMPOTVX<6[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO6c=R8&CTZDA GDL\W\HDWF__W5=R.R[MGZIR\VIN@A!CUU]LQQ513\:$ERXFO.EFJZU^FJUDYYU73\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<0:W3+LYQAF%LICQ\YOA\KPR\0:W%_T@L_NWW[FCKD&_XI_Q]EF13?P6(AV\BC"IJN^QZJFYH]]Q3?P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV6;]/QZJFYH]]UHIAB I^QQHZR5&\UX^AV"^WAC^MVPZEBDE%XDDH[_NWW[qcjx::0Y=!F_WKL+BCIWZSEORAZTZ:7Y+U^FJUDYYQLEMN,QVCUW[OL?=5Z0.K\RLI(OLDT_T@L_NWW_=2Z&ZSEORAZT^AFHI)h}}Ui`~<6:W3+LYQAF%LICQ\YOA\KPR\0 I^TJK*ABFVYRBNQ@UUY;1X(TQGITCXZPCDNO+VNNN]UDYYQ{elr04>S7'@U]EB!HEO]P]KEXG\^P48S!SXL@[JSSWJOG@"[\ES]QAB573\:$ERXFO.EFJZU^FJUDYYU75\,P]KEXG\^TOHBC/nww[qcjx:<0Y=!F_WKL+BCIWZSEORAZTZ:5Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0e?P6(AV\BC"IJN^QZJFYH]]Q3:P \YOA\KPRXKLFG#A[[_NWW73=R8&CTZDA GDL\W\HDWF__W58R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>>4U1-J[SOH'NOES^WAC^MVP^>1U'YRBNQ@UU]@AIJ(]ZOYS_KH319V4*OX^@E$KH@PSXL@[JSSS1S7'@U]EB!HEO]P]KEXG\^P4:S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG>k5Z0.K\RLI(OLDT_T@L_NWW_=1Z&ZSEORAZT^AFHI)K]]UDYY=9;T2,MZPNG&MNBR]VNB]LQQ]??T$XUCMPOTV\G@JK'ZBBJYQ@UU]wahv482_;#DQYIN-DAKYTQGITCXZT86_-W\HDWF__SNKCL.WPAWYUMN9;7X> I^TJK*ABFVYRBNQ@UUY;3X(TQGITCXZPCDNO+jssW}of|>84U1-J[SOH'NOES^WAC^MVP^>?U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI4a3\:$ERXFO.EFJZU^FJUDYYU78\,P]KEXG\^TOHBC/MWW[JSS;?1^<"GPVHM,C@HX[PDHSB[[[9:^*V_IKVE^XRMJLM-PLL@SWF__Sykbp228Q5)NW_CD#JKA_R[MGZIR\R23Q#]VNB]LQQYDMEF$Y^K]_SGD75=R8&CTZDA GDL\W\HDWF__W56R.R[MGZIR\VIN@A!`uu]wahv4>2_;#DQYIN-DAKYTQGITCXZT88_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2g9V4*OX^@E$KH@PSXL@[JSSS13V"^WAC^MVPZEBDE%GYYQ@UU15?P6(AV\BC"IJN^QZJFYH]]Q35P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8<6[?/H]UMJ)@MGUXUCMPOTVX<<[)[PDHSB[[_BGOH*STM[UYIJ=?;T2,MZPNG&MNBR]VNB]LQQ]?1T$XUCMPOTV\G@JK'fSykbp278Q5)NW_CD#JKA_R[MGZIR\R2V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;o0Y=!F_WKL+BCIWZSEORAZTZ:^*V_IKVE^XRMJLM-OQQYH]]9>7X> I^TJK*ABFVYRBNQ@UUY;Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq0e?P6(AV\BC"IJN^QZJFYH]]Q3Q#]VNB]LQQYDMEF$Y^K]_SGD6c=R8&CTZDA GDL\W\HDWF__W5S!SXL@[JSSWJOG@"azt^vfiu513\:$ERXFO.EFJZU^FJUDYYU60\,P]KEXG\^TOHBC/H]PVIYS:'_T__B=f:W3+LYQAF%LICQ\YOA\KPR\19W%_T@L_NWW[FCKD&F^XRAZT248Q5)NW_CD#JKA_R[MGZIR\R3;Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey9;7X> I^TJK*ABFVYRBNQ@UUY:4X(TQGITCXZPCDNO+PUBZVXNK>>4U1-J[SOH'NOES^WAC^MVP^?7U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS0;V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ;2Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX=4[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W4?R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT90_-W\HDWF__SNKCL.mvpZrbey9=7X> I^TJK*ABFVYRBNQ@UUY:6X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P5?S!SXL@[JSSWJOG@"BZT^MVP60<]9%BS[G@/FGM[V_IKVE^XV7=]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]>:T$XUCMPOTV\G@JK'\YN^R\JG228Q5)NW_CD#JKA_R[MGZIR\R39Q#]VNB]LQQYDMEF$cxzPtdos73=R8&CTZDA GDL\W\HDWF__W4=R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@?h4U1-J[SOH'NOES^WAC^MVP^?4U'YRBNQ@UU]@AIJ(D\^TCXZ<6:W3+LYQAF%LICQ\YOA\KPR\1:W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;91^<"GPVHM,C@HX[PDHSB[[[81^*V_IKVE^XRMJLM-VW@TXZLM8<6[?/H]UMJ)@MGUXUCMPOTVX=6[)[PDHSB[[_BGOH*ir|V~na}=9;T2,MZPNG&MNBR]VNB]LQQ]>Q#]VNB]LQQYDMEF$@XZPOTV02>S7'@U]EB!HEO]P]KEXG\^P58S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?=5Z0.K\RLI(OLDT_T@L_NWW_<3Z&ZSEORAZT^AFHI)R[LXT^HI<0:W3+LYQAF%LICQ\YOA\KPR\184U1-J[SOH'NOES^WAC^MVP^?1U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu573\:$ERXFO.EFJZU^FJUDYYU66\,P]KEXG\^TOHBC/TQFVZTBO::0Y=!F_WKL+BCIWZSEORAZTZ;5Y+U^FJUDYYQLEMN,kprX|lg{?;5Z0.K\RLI(OLDT_T@L_NWW_<1Z&ZSEORAZT^AFHI)NWZXGSY2_;#DQYIN-DAKYTQGITCXZT96_-W\HDWF__SNKCL.QKMCRXG\^Txhc319V4*OX^@E$KH@PSXL@[JSSS0=V"^WAC^MVPZEBDE%^_H\PRDE04>S7'@U]EB!HEO]P]KEXG\^P5:S!SXL@[JSSWJOG@"azt^vfiu513\:$ERXFO.EFJZU^FJUDYYU68\,P]KEXG\^TOHBC/H]PVIYS:'_T__B=f:W3+LYQAF%LICQ\YOA\KPR\11W%_T@L_NWW[FCKD&F^XRAZT248Q5)NW_CD#JKA_R[MGZIR\R33Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey9;7X> I^TJK*ABFVYRBNQ@UUY:>4U1-J[SOH'NOES^WAC^MVP^??U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS03V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ;:Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX=<[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W47R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT98_-W\HDWF__SNKCL.mvpZrbey9>7X> I^TJK*ABFVYRBNQ@UUY:Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0f?P6(AV\BC"IJN^QZJFYH]]Q2Q#]VNB]LQQYDMEF$@XZPOTV01>S7'@U]EB!HEO]P]KEXG\^P5P \YOA\KPRXKLFG#^FFFU]LQQYsmdz9j6[?/H]UMJ)@MGUXUCMPOTVX=X(TQGITCXZPCDNO+PUBZVXNK?h4U1-J[SOH'NOES^WAC^MVP^?Z&ZSEORAZT^AFHI)h}}Ui`~<4:W3+LYQAF%LICQ\YOA\KPRXIMNY"^WAC^MVPZGCL[%HCX@[/BK?5;533\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.ALQKR(K@692>:4U1-J[SOH'NOES^WAC^MVPZGCL[$XUCMPOTV\EABU'JE^BY!LI=1=76=R8&CTZDA GDL\W\HDWF__SLJKR/QZJFYH]]UJHI\ CNWMP*B;87987X> I^TJK*ABFVYRBNQ@UU]B@AT)[PDHSB[[_@FGV*EH]G^$H1?1329V4*OX^@E$KH@PSXL@[JSSWHNO^#]VNB]LQQYFLMX$OB[AT.F?6;543\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.ALQKR(L595?k5Z0.K\RLI(OLDT_T@L_NWW[DBCZ'YRBNQ@UU]B@AT(KF_EX"IT0\,DjM(RWD_SOT=n;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&IDYCZ GZ2^*PYAL[O856[?/H]UMJ)@MGUXUCMPOTV\EABU&ZSEORAZT^CG@W)DG\D_#JU?]/W\HPR4j2_;#DQYIN-DAKYTQGITCXZPAEFQ*V_IKVE^XROKDS-@KPHS'NQ;Q#[PXNP@]6`<]9%BS[G@/FGM[V_IKVE^XROKDS,P]KEXG\^TMIJ]/BMVJQ)@S8W%KcF!U^OV\F_4i2_;#DQYIN-DAKYTQGITCXZPAEFQ*V_IKVE^XROKDS-@KPHS'NQ:Q#[PFEPF7<=R8&CTZDA GDL\W\HDWF__SLJKR/QZJFYH]]UJHI\ CNWMP*A\9T$^SA[[3c9V4*OX^@E$KH@PSXL@[JSSWHNO^#]VNB]LQQYFLMX$OB[AT.EX5X(RWQEYOT=i;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&IDYCZ GZ0^*BhO&\UFYUMV3`9V4*OX^@E$KH@PSXL@[JSSWHNO^#]VNB]LQQYFLMX$OB[AT.EX6X(RWONYI>74U1-J[SOH'NOES^WAC^MVPZGCL[$XUCMPOTV\EABU'JE^BY!H[3_-QZJR\:h0Y=!F_WKL+BCIWZSEORAZT^CG@W(TQGITCXZPAEFQ+FIRF]%LW?S!U^ZLVF_4i2_;#DQYIN-DAKYTQGITCXZPAEFQ*V_IKVE^XROKDS-@KPHS'NQ8Q#[PFEPF7<=R8&CTZDA GDL\W\HDWF__SLJKR/QZJFYH]]UJHI\ CNWMP*A\;T$^SA[[3c9V4*OX^@E$KH@PSXL@[JSSWHNO^#]VNB]LQQYFLMX$OB[AT.EX7X(RWQEYOT=<;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&IDYCZ S=2=76=R8&CTZDA GDL\W\HDWF__SLJKR/QZJFYH]]UJHI\ CNWMP*U;97987X> I^TJK*ABFVYRBNQ@UU]B@AT)[PDHSB[[_@FGV*EH]G^$_1<1329V4*OX^@E$KH@PSXL@[JSSWHNO^#]VNB]LQQYFLMX$OB[AT.Q?7;563\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.FO]4YUMN9:7X> I^TJK*ABFVYRBNQ@UU]B@AT)[PDHSB[[_@FGV*BKQ;UYIJS7'@U]EB!HEO]P]KEXG\^TMIJ].R[MGZIR\VKOH_!nrl]gh|7492_;#DQYIN-DAKYTQGITCXZPAEFQ*V_IKVE^XROKDS-bvhYcdp89j6[?/H]UMJ)@MGUXUCMPOTV\EABU&ZSEORAZT^CG@W)df}Uhi><4U1-J[SOH'NOES^WAC^MVPZGCL[$XUCMPOTV\EABU'jdSk|eu03?P6(AV\BC"IJN^VQEKU)]VKYA"[PCMGBV4`<]9%BS[G@/FGM[QTFFZ$^SL\B/T]GKPR582_;#DQYIN-DAKYSZHDX"XQNRL-V[AIR\98;7X> I^TJK*ABFV^YMC]!U^CQI*SXLF__=?m4U1-J[SOH'NOESY\NNR,V[DTJ'\ULICQKEMCZ_4[)]VLO>=5Z0.K\RLI(OLDTX_OAS/W\EWK(]VXMH_K=0:W3+LYQAF%LICQ[R@LP*PYFZD%^SYHKRD07?P6(AV\BC"IJN^VQEKU)]VKYA"jfn^nbpfnbl8n0Y=!F_WKL+BCIW]XJB^ Z_@PN+lEKZ;:0Y=!F_WKL+BCIW]XJB^ Z_@PN+lBNF5:5>=5Z0.K\RLI(OLDTX_OAS/W\EWK(aMCE0<0=5:W3+LYQAF%LICQ[R@LP*PYFZD%bHB[[_enz8585=2_;#DQYIN-DAKYSZHDX"XQNRL-j@JSSWmfr0<0<9:W3+LYQAF%LICQZPECWAZIR\R8>:P ZPECWAZEBDE%LICQLLJ,VW@TXZLM8>6[?/H]UMJ)@MGU^\IO[E^MVP^42>T$^\IO[E^AFHI)T@@L_S_KH389V4*OX^@E$KH@PUQFBP@YH]]Q99:S!UQFBP@YDMEF$KH@PCMI-QVCUW[OL??5Z0.K\RLI(OLDTY]JNTD]LQQ]5=>W%Y]JNTD]@AIJ([ACMXR\JG2;8Q5)NW_CD#JKA_TRGEQCXG\^P>86R.TRGEQCXKLFG#JKA_BNH*PUBZVXNK><4U1-J[SOH'NOESX^KAUG\KPR\:<2V"X^KAUG\G@JK'ZBBJYQ]EF1:?P6(AV\BC"IJN^WS@DRBWF__W?;6]/WS@DRBWJOG@"IJN^AOO+STM[UYIJ==;T2,MZPNG&MNBR[_D@VF[JSSS;?2Q#[_D@VF[FCKD&YCEKZPRDE0=>S7'@U]EB!HEO]VTAGSMVE^XV<90\,VTAGSMVIN@A!HEO]@HN(R[LXT^HI<2:W3+LYQAF%LICQZPECWAZIR\R8=

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

<3\:$ERXFO.EFJZSWLH^NSB[[[420Y+SWLH^NSNKCL.EFJZEKC'_XI_Q]EF11?P6(AV\BC"IJN^WS@DRBWF__W8><]/WS@DRBWJOG@"]GIGV\V@A412_;#DQYIN-DAKYRXMK_IRAZTZ730X(RXMK_IRMJLM-DAKYDDB$^_H\PRDE06>S7'@U]EB!HEO]VTAGSMVE^XV;?4\,VTAGSMVIN@A!\HHDW[WC@;01^<"GPVHM,C@HX]YNJXHQ@UUY640[)]YNJXHQLEMN,C@HXKEA%Y^K]_SGD77=R8&CTZDA GDL\QUBF\LUDYYU:04_-QUBF\LUHIAB SIKEPZTBO:30Y=!F_WKL+BCIW\ZOMYKPOTVX150Z&\ZOMYKPCDNO+BCIWJF@"X]JR^PFC64<]9%BS[G@/FGM[PVCI]OTCXZT514^*PVCI]OTOHBC/RJJBQYUMN927X> I^TJK*ABFV_[HLZJ_NWW_060U'_[HLZJ_BGOH*ABFVIGG#[\ES]QAB553\:$ERXFO.EFJZSWLH^NSB[[[424Y+SWLH^NSNKCL.QKMCRXZLM856[?/H]UMJ)@MGU^\IO[E^MVP^370T$^\IO[E^AFHI)@MGUH@F ZSDP\V@A4:2_;#DQYIN-DAKYRXMK_IRAZTZ7374U1-J[SOH'NOESX^KAUG\KPR\=8:V"X^KAUG\G@JK'NOESNBD.TQFVZTBO:80Y=!F_WKL+BCIW\ZOMYKPOTVX146Z&\ZOMYKPCDNO+VNNN]UYIJ=6;T2,MZPNG&MNBR[_D@VF[JSSS<;:Q#[_D@VF[FCKD&MNBRMCK/WPAWYUMN997X> I^TJK*ABFV_[HLZJ_NWW_076U'_[HLZJ_BGOH*UOAO^T^HI<1:W3+LYQAF%LICQZPECWAZIR\R?:=P ZPECWAZEBDE%dyyQ{elr2e>S7'@U]EB!@UURVPZUOAO^7==>11`9V4*OX^@E$CXZ_UU]PLL@S48::2 I^TJK*IR\Y__S^FFFU>24686i2_;#DQYIN-LQQVR\VYCEKZ3116=5d=R8&CTZDA OTVSQQYT@@L_0<>:>0c8Q5)NW_CD#B[[PTV\WMOA\5;;:3?n;T2,MZPNG&E^X][[_RJJBQ:68>4:m6[?/H]UMJ)H]]Z^XR]GIGV?55>99h1^<"GPVHM,KPRW]]UXDDH[<02::4?<]9%BS[G@/NWWTPRX[ACMX1??>0c8Q5)NW_CD#B[[PTV\WMOA\5;:<3?n;T2,MZPNG&E^X][[_RJJBQ:6984:m6[?/H]UMJ)H]]Z^XR]GIGV?54499h1^<"GPVHM,KPRW]]UXDDH[<030:4g<]9%BS[G@/NWWTPRX[ACMX1?>4?3b?P6(AV\BC"AZTQWW[VNNN]6:=80>a:W3+LYQAF%DYY^ZT^QKMCR;98<5=l5Z0.K\RLI(G\^[YYQ\HHDW847068k0Y=!F_WKL+JSSX\^T_EGIT=32<;7f3\:$ERXFO.MVPUSSWZBBJY2>18<2=>S7'@U]EB!@UURVPZUOAO^7=<0>a:W3+LYQAF%DYY^ZT^QKMCR;9;:5=l5Z0.K\RLI(G\^[YYQ\HHDW844668k0Y=!F_WKL+JSSX\^T_EGIT=316;7f3\:$ERXFO.MVPUSSWZBBJY2>22<2e>S7'@U]EB!@UURVPZUOAO^7=?:11`9V4*OX^@E$CXZ_UU]PLL@S488>27;j7X> I^TJK*IR\Y__S^FFFU>26286i2_;#DQYIN-LQQVR\VYCEKZ313:=5d=R8&CTZDA OTVSQQYT@@L_0<<6>0;8Q5)NW_CD#B[[PTV\WMOA\5;92 I^TJK*IR\Y__S^FFFU>27486i2_;#DQYIN-LQQVR\VYCEKZ3120=5d=R8&CTZDA OTVSQQYT@@L_0<=<>0c8Q5)NW_CD#B[[PTV\WMOA\5;883?n;T2,MZPNG&E^X][[_RJJBQ:6;<4:m6[?/H]UMJ)H]]Z^XR]GIGV?56099h1^<"GPVHM,KPRW]]UXDDH[<014:4g<]9%BS[G@/NWWTPRX[ACMX1?<8?3b?P6(AV\BC"AZTQWW[VNNN]6:?40>9:W3+LYQAF%DYY^ZT^QKMCR;9:4:m6[?/H]UMJ)H]]Z^XR]GIGV?51699h1^<"GPVHM,KPRW]]UXDDH[<062:4g<]9%BS[G@/NWWTPRX[ACMX1?;2?3b?P6(AV\BC"AZTQWW[VNNN]6:8>0>a:W3+LYQAF%DYY^ZT^QKMCR;9=>5=l5Z0.K\RLI(G\^[YYQ\HHDW842268k0Y=!F_WKL+JSSX\^T_EGIT=372;7f3\:$ERXFO.MVPUSSWZBBJY2>46<2e>S7'@U]EB!@UURVPZUOAO^7=9611`9V4*OX^@E$CXZ_UU]PLL@S48>22<74U1-J[SOH'F__\XZPSIKEP97368k0Y=!F_WKL+JSSX\^T_EGIT=364;7f3\:$ERXFO.MVPUSSWZBBJY2>50<2e>S7'@U]EB!@UURVPZUOAO^7=8<11`9V4*OX^@E$CXZ_UU]PLL@S48?82 I^TJK*IR\Y__S^FFFU>21086i2_;#DQYIN-LQQVR\VYCEKZ3144=5d=R8&CTZDA OTVSQQYT@@L_0<;8>0c8Q5)NW_CD#B[[PTV\WMOA\5;>43?n;T2,MZPNG&E^X][[_RJJBQ:6=04:56[?/H]UMJ)H]]Z^XR]GIGV?5086i2_;#DQYIN-LQQVR\VYCEKZ3172=5d=R8&CTZDA OTVSQQYT@@L_0<8>>0c8Q5)NW_CD#B[[PTV\WMOA\5;=>3?n;T2,MZPNG&E^X][[_RJJBQ:6>:4:m6[?/H]UMJ)H]]Z^XR]GIGV?53299h1^<"GPVHM,KPRW]]UXDDH[<046:4g<]9%BS[G@/NWWTPRX[ACMX1?96?3b?P6(AV\BC"AZTQWW[VNNN]6:::0>a:W3+LYQAF%DYY^ZT^QKMCR;9?25=l5Z0.K\RLI(G\^[YYQ\HHDW840>6830Y=!F_WKL+JSSX\^T_EGIT=35:4g<]9%BS[G@/NWWTPRX[ACMX1?80?3b?P6(AV\BC"AZTQWW[VNNN]6:;<0>a:W3+LYQAF%DYY^ZT^QKMCR;9>85=l5Z0.K\RLI(G\^[YYQ\HHDW841468k0Y=!F_WKL+JSSX\^T_EGIT=340;7f3\:$ERXFO.MVPUSSWZBBJY2>74<2e>S7'@U]EB!@UURVPZUOAO^7=:811`9V4*OX^@E$CXZ_UU]PLL@S48=<2 I^TJK*IR\Y__S^FFFU>23<8612_;#DQYIN-LQQVR\VYCEKZ316<2e>S7'@U]EB!@UURVPZUOAO^7=5>11`9V4*OX^@E$CXZ_UU]PLL@S482:2 I^TJK*IR\Y__S^FFFU>2<686i2_;#DQYIN-LQQVR\VYCEKZ3196=5d=R8&CTZDA OTVSQQYT@@L_0<6:>0c8Q5)NW_CD#B[[PTV\WMOA\5;3:3?n;T2,MZPNG&E^X][[_RJJBQ:60>4:m6[?/H]UMJ)H]]Z^XR]GIGV?5=>99h1^<"GPVHM,KPRW]]UXDDH[<0:::4?<]9%BS[G@/NWWTPRX[ACMX1?7>0c8Q5)NW_CD#B[[PTV\WMOA\5;2<3?n;T2,MZPNG&E^X][[_RJJBQ:6184:m6[?/H]UMJ)H]]Z^XR]GIGV?5<499h1^<"GPVHM,KPRW]]UXDDH[<0;0:4g<]9%BS[G@/NWWTPRX[ACMX1?64?3b?P6(AV\BC"AZTQWW[VNNN]6:580>a:W3+LYQAF%DYY^ZT^QKMCR;90<5=l5Z0.K\RLI(G\^[YYQ\HHDW84?068k0Y=!F_WKL+JSSX\^T_EGIT=3:<;7f3\:$ERXFO.MVPUSSWZBBJY2>98<2=>S7'@U]EB!@UURVPZUOAO^7=40>8:W3+LYQAF%DYY^ZT^QKMCR;97;j7X> I^TJK*IR\Y__S^FFFU>14586i2_;#DQYIN-LQQVR\VYCEKZ3213=5d=R8&CTZDA OTVSQQYT@@L_0?>=>0c8Q5)NW_CD#B[[PTV\WMOA\58;?3?n;T2,MZPNG&E^X][[_RJJBQ:58=4:m6[?/H]UMJ)H]]Z^XR]GIGV?65399h1^<"GPVHM,KPRW]]UXDDH[<325:4g<]9%BS[G@/NWWTPRX[ACMX1a:W3+LYQAF%DYY^ZT^QKMCR;:935=45Z0.K\RLI(G\^[YYQ\HHDW87699h1^<"GPVHM,KPRW]]UXDDH[<333:4g<]9%BS[G@/NWWTPRX[ACMX1<>1?3b?P6(AV\BC"AZTQWW[VNNN]69=?0>a:W3+LYQAF%DYY^ZT^QKMCR;:895=l5Z0.K\RLI(G\^[YYQ\HHDW877368k0Y=!F_WKL+JSSX\^T_EGIT=021;7f3\:$ERXFO.MVPUSSWZBBJY2=17<2e>S7'@U]EB!@UURVPZUOAO^7><911`9V4*OX^@E$CXZ_UU]PLL@S4;;32 I^TJK*IR\Y__S^FFFU>15;7f3\:$ERXFO.MVPUSSWZBBJY2=21<2e>S7'@U]EB!@UURVPZUOAO^7>??11`9V4*OX^@E$CXZ_UU]PLL@S4;892 I^TJK*IR\Y__S^FFFU>16186i2_;#DQYIN-LQQVR\VYCEKZ3237=5d=R8&CTZDA OTVSQQYT@@L_0?<9>0c8Q5)NW_CD#B[[PTV\WMOA\589;3?n;T2,MZPNG&E^X][[_RJJBQ:5:14:m6[?/H]UMJ)H]]Z^XR]GIGV?67?9901^<"GPVHM,KPRW]]UXDDH[<30=5d=R8&CTZDA OTVSQQYT@@L_0?=?>0c8Q5)NW_CD#B[[PTV\WMOA\588=3?n;T2,MZPNG&E^X][[_RJJBQ:5;;4:m6[?/H]UMJ)H]]Z^XR]GIGV?66599h1^<"GPVHM,KPRW]]UXDDH[<317:4g<]9%BS[G@/NWWTPRX[ACMX1<<5?3b?P6(AV\BC"AZTQWW[VNNN]69?;0>a:W3+LYQAF%DYY^ZT^QKMCR;::=5=l5Z0.K\RLI(G\^[YYQ\HHDW875?68k0Y=!F_WKL+JSSX\^T_EGIT=00=;7>3\:$ERXFO.MVPUSSWZBBJY2=3?3b?P6(AV\BC"AZTQWW[VNNN]698=0>a:W3+LYQAF%DYY^ZT^QKMCR;:=;5=l5Z0.K\RLI(G\^[YYQ\HHDW872568k0Y=!F_WKL+JSSX\^T_EGIT=077;7f3\:$ERXFO.MVPUSSWZBBJY2=45<2e>S7'@U]EB!@UURVPZUOAO^7>9;11`9V4*OX^@E$CXZ_UU]PLL@S4;>=2 I^TJK*IR\Y__S^FFFU>10=86i2_;#DQYIN-LQQVR\VYCEKZ325;=5<=R8&CTZDA OTVSQQYT@@L_0?:11`9V4*OX^@E$CXZ_UU]PLL@S4;?;2 I^TJK*IR\Y__S^FFFU>11786i2_;#DQYIN-LQQVR\VYCEKZ3241=5d=R8&CTZDA OTVSQQYT@@L_0?;;>0c8Q5)NW_CD#B[[PTV\WMOA\58>93?n;T2,MZPNG&E^X][[_RJJBQ:5=?4:m6[?/H]UMJ)H]]Z^XR]GIGV?60199h1^<"GPVHM,KPRW]]UXDDH[<37;:4g<]9%BS[G@/NWWTPRX[ACMX1<:9?3:?P6(AV\BC"AZTQWW[VNNN]6993?n;T2,MZPNG&E^X][[_RJJBQ:5>94:m6[?/H]UMJ)H]]Z^XR]GIGV?63799h1^<"GPVHM,KPRW]]UXDDH[<341:4g<]9%BS[G@/NWWTPRX[ACMX1<93?3b?P6(AV\BC"AZTQWW[VNNN]69:90>a:W3+LYQAF%DYY^ZT^QKMCR;:??5=l5Z0.K\RLI(G\^[YYQ\HHDW870168k0Y=!F_WKL+JSSX\^T_EGIT=053;7f3\:$ERXFO.MVPUSSWZBBJY2=69<2e>S7'@U]EB!@UURVPZUOAO^7>;71189V4*OX^@E$CXZ_UU]PLL@S4;<5=l5Z0.K\RLI(G\^[YYQ\HHDW871768k0Y=!F_WKL+JSSX\^T_EGIT=045;7f3\:$ERXFO.MVPUSSWZBBJY2=73<2e>S7'@U]EB!@UURVPZUOAO^7>:=11`9V4*OX^@E$CXZ_UU]PLL@S4;=?2 I^TJK*IR\Y__S^FFFU>13386i2_;#DQYIN-LQQVR\VYCEKZ3265=5d=R8&CTZDA OTVSQQYT@@L_0?97>0c8Q5)NW_CD#B[[PTV\WMOA\58<53?6;T2,MZPNG&E^X][[_RJJBQ:5?7;j7X> I^TJK*IR\Y__S^FFFU>1<586i2_;#DQYIN-LQQVR\VYCEKZ3293=5d=R8&CTZDA OTVSQQYT@@L_0?6=>0c8Q5)NW_CD#B[[PTV\WMOA\583?3?n;T2,MZPNG&E^X][[_RJJBQ:50=4:m6[?/H]UMJ)H]]Z^XR]GIGV?6=399h1^<"GPVHM,KPRW]]UXDDH[<3:5:4g<]9%BS[G@/NWWTPRX[ACMX1<77?3b?P6(AV\BC"AZTQWW[VNNN]69450>a:W3+LYQAF%DYY^ZT^QKMCR;:135=45Z0.K\RLI(G\^[YYQ\HHDW87>99h1^<"GPVHM,KPRW]]UXDDH[<3;3:4g<]9%BS[G@/NWWTPRX[ACMX1<61?3b?P6(AV\BC"AZTQWW[VNNN]695?0>a:W3+LYQAF%DYY^ZT^QKMCR;:095=l5Z0.K\RLI(G\^[YYQ\HHDW87?368k0Y=!F_WKL+JSSX\^T_EGIT=0:1;7f3\:$ERXFO.MVPUSSWZBBJY2=97<2e>S7'@U]EB!@UURVPZUOAO^7>4911`9V4*OX^@E$CXZ_UU]PLL@S4;33217;27X> I^TJK*IR\Y__S^FFFU>1=;7?3\:$ERXFO.MVPUSSWZBBJY2=>0c8Q5)NW_CD#B[[PTV\WMOA\59;<3?n;T2,MZPNG&E^X][[_RJJBQ:4884:m6[?/H]UMJ)H]]Z^XR]GIGV?75499h1^<"GPVHM,KPRW]]UXDDH[<220:4g<]9%BS[G@/NWWTPRX[ACMX1=?4?3b?P6(AV\BC"AZTQWW[VNNN]68<80>a:W3+LYQAF%DYY^ZT^QKMCR;;9<5=l5Z0.K\RLI(G\^[YYQ\HHDW866068k0Y=!F_WKL+JSSX\^T_EGIT=13<;7f3\:$ERXFO.MVPUSSWZBBJY2<08<2=>S7'@U]EB!@UURVPZUOAO^7?=0>a:W3+LYQAF%DYY^ZT^QKMCR;;8:5=l5Z0.K\RLI(G\^[YYQ\HHDW867668k0Y=!F_WKL+JSSX\^T_EGIT=126;7f3\:$ERXFO.MVPUSSWZBBJY2<12<2e>S7'@U]EB!@UURVPZUOAO^7?<:11`9V4*OX^@E$CXZ_UU]PLL@S4:;>27;j7X> I^TJK*IR\Y__S^FFFU>05286i2_;#DQYIN-LQQVR\VYCEKZ330:=5d=R8&CTZDA OTVSQQYT@@L_0>?6>0;8Q5)NW_CD#B[[PTV\WMOA\59:2 I^TJK*IR\Y__S^FFFU>06486i2_;#DQYIN-LQQVR\VYCEKZ3330=5d=R8&CTZDA OTVSQQYT@@L_0><<>0c8Q5)NW_CD#B[[PTV\WMOA\59983?n;T2,MZPNG&E^X][[_RJJBQ:4:<4:m6[?/H]UMJ)H]]Z^XR]GIGV?77099h1^<"GPVHM,KPRW]]UXDDH[<204:4g<]9%BS[G@/NWWTPRX[ACMX1==8?3b?P6(AV\BC"AZTQWW[VNNN]68>40>9:W3+LYQAF%DYY^ZT^QKMCR;;;4:m6[?/H]UMJ)H]]Z^XR]GIGV?76699h1^<"GPVHM,KPRW]]UXDDH[<212:4g<]9%BS[G@/NWWTPRX[ACMX1=<2?3b?P6(AV\BC"AZTQWW[VNNN]68?>0>a:W3+LYQAF%DYY^ZT^QKMCR;;:>5=l5Z0.K\RLI(G\^[YYQ\HHDW865268k0Y=!F_WKL+JSSX\^T_EGIT=102;7f3\:$ERXFO.MVPUSSWZBBJY2<36<2e>S7'@U]EB!@UURVPZUOAO^7?>611`9V4*OX^@E$CXZ_UU]PLL@S4:922<74U1-J[SOH'F__\XZPSIKEP95468k0Y=!F_WKL+JSSX\^T_EGIT=174;7f3\:$ERXFO.MVPUSSWZBBJY2<40<2e>S7'@U]EB!@UURVPZUOAO^7?9<11`9V4*OX^@E$CXZ_UU]PLL@S4:>82 I^TJK*IR\Y__S^FFFU>00086i2_;#DQYIN-LQQVR\VYCEKZ3354=5d=R8&CTZDA OTVSQQYT@@L_0>:8>0c8Q5)NW_CD#B[[PTV\WMOA\59?43?n;T2,MZPNG&E^X][[_RJJBQ:4<04:56[?/H]UMJ)H]]Z^XR]GIGV?7186i2_;#DQYIN-LQQVR\VYCEKZ3342=5d=R8&CTZDA OTVSQQYT@@L_0>;>>0c8Q5)NW_CD#B[[PTV\WMOA\59>>3?n;T2,MZPNG&E^X][[_RJJBQ:4=:4:m6[?/H]UMJ)H]]Z^XR]GIGV?70299h1^<"GPVHM,KPRW]]UXDDH[<276:4g<]9%BS[G@/NWWTPRX[ACMX1=:6?3b?P6(AV\BC"AZTQWW[VNNN]689:0>a:W3+LYQAF%DYY^ZT^QKMCR;;<25=l5Z0.K\RLI(G\^[YYQ\HHDW863>6830Y=!F_WKL+JSSX\^T_EGIT=16:4g<]9%BS[G@/NWWTPRX[ACMX1=90?3b?P6(AV\BC"AZTQWW[VNNN]68:<0>a:W3+LYQAF%DYY^ZT^QKMCR;;?85=l5Z0.K\RLI(G\^[YYQ\HHDW860468k0Y=!F_WKL+JSSX\^T_EGIT=150;7f3\:$ERXFO.MVPUSSWZBBJY2<64<2e>S7'@U]EB!@UURVPZUOAO^7?;811`9V4*OX^@E$CXZ_UU]PLL@S4:<<2 I^TJK*IR\Y__S^FFFU>02<8612_;#DQYIN-LQQVR\VYCEKZ337<2e>S7'@U]EB!@UURVPZUOAO^7?:>11`9V4*OX^@E$CXZ_UU]PLL@S4:=:2 I^TJK*IR\Y__S^FFFU>03686i2_;#DQYIN-LQQVR\VYCEKZ3366=5d=R8&CTZDA OTVSQQYT@@L_0>9:>0c8Q5)NW_CD#B[[PTV\WMOA\59<:3?n;T2,MZPNG&E^X][[_RJJBQ:4?>4:m6[?/H]UMJ)H]]Z^XR]GIGV?72>99h1^<"GPVHM,KPRW]]UXDDH[<25::4?<]9%BS[G@/NWWTPRX[ACMX1=8>0c8Q5)NW_CD#B[[PTV\WMOA\593<3?n;T2,MZPNG&E^X][[_RJJBQ:4084:m6[?/H]UMJ)H]]Z^XR]GIGV?7=499h1^<"GPVHM,KPRW]]UXDDH[<2:0:4g<]9%BS[G@/NWWTPRX[ACMX1=74?3b?P6(AV\BC"AZTQWW[VNNN]68480>a:W3+LYQAF%DYY^ZT^QKMCR;;1<5=l5Z0.K\RLI(G\^[YYQ\HHDW86>068k0Y=!F_WKL+JSSX\^T_EGIT=1;<;7f3\:$ERXFO.MVPUSSWZBBJY2<88<2=>S7'@U]EB!@UURVPZUOAO^7?50>a:W3+LYQAF%DYY^ZT^QKMCR;;0:5=l5Z0.K\RLI(G\^[YYQ\HHDW86?668k0Y=!F_WKL+JSSX\^T_EGIT=1:6;7f3\:$ERXFO.MVPUSSWZBBJY2<92<2e>S7'@U]EB!@UURVPZUOAO^7?4:11`9V4*OX^@E$CXZ_UU]PLL@S4:3>2>7;j7X> I^TJK*IR\Y__S^FFFU>0=286i2_;#DQYIN-LQQVR\VYCEKZ338:=5d=R8&CTZDA OTVSQQYT@@L_0>76>0;8Q5)NW_CD#B[[PTV\WMOA\5922<64U1-J[SOH'F__\XZPSIKEP9599h1^<"GPVHM,KPRW]]UXDDH[<523:4g<]9%BS[G@/NWWTPRX[ACMX1:?1?3b?P6(AV\BC"AZTQWW[VNNN]6?a:W3+LYQAF%DYY^ZT^QKMCR;<995=l5Z0.K\RLI(G\^[YYQ\HHDW816368k0Y=!F_WKL+JSSX\^T_EGIT=631;7f3\:$ERXFO.MVPUSSWZBBJY2;07<2e>S7'@U]EB!@UURVPZUOAO^78=911`9V4*OX^@E$CXZ_UU]PLL@S4=:32 I^TJK*IR\Y__S^FFFU>74;7f3\:$ERXFO.MVPUSSWZBBJY2;11<2e>S7'@U]EB!@UURVPZUOAO^78 I^TJK*IR\Y__S^FFFU>75186i2_;#DQYIN-LQQVR\VYCEKZ3407=5d=R8&CTZDA OTVSQQYT@@L_09?9>0c8Q5)NW_CD#B[[PTV\WMOA\5>:;3?n;T2,MZPNG&E^X][[_RJJBQ:3914:m6[?/H]UMJ)H]]Z^XR]GIGV?04?9901^<"GPVHM,KPRW]]UXDDH[<53=5d=R8&CTZDA OTVSQQYT@@L_090c8Q5)NW_CD#B[[PTV\WMOA\5>9=3?n;T2,MZPNG&E^X][[_RJJBQ:3:;4:m6[?/H]UMJ)H]]Z^XR]GIGV?07599h1^<"GPVHM,KPRW]]UXDDH[<507:4g<]9%BS[G@/NWWTPRX[ACMX1:=5?3b?P6(AV\BC"AZTQWW[VNNN]6?>;0>a:W3+LYQAF%DYY^ZT^QKMCR;<;=5=l5Z0.K\RLI(G\^[YYQ\HHDW814?68k0Y=!F_WKL+JSSX\^T_EGIT=61=;7>3\:$ERXFO.MVPUSSWZBBJY2;2?3b?P6(AV\BC"AZTQWW[VNNN]6??=0>a:W3+LYQAF%DYY^ZT^QKMCR;<:;5=l5Z0.K\RLI(G\^[YYQ\HHDW815568k0Y=!F_WKL+JSSX\^T_EGIT=607;7f3\:$ERXFO.MVPUSSWZBBJY2;35<2e>S7'@U]EB!@UURVPZUOAO^78>;11`9V4*OX^@E$CXZ_UU]PLL@S4=9=2 I^TJK*IR\Y__S^FFFU>77=86i2_;#DQYIN-LQQVR\VYCEKZ342;=5<=R8&CTZDA OTVSQQYT@@L_09=11`9V4*OX^@E$CXZ_UU]PLL@S4=>;2 I^TJK*IR\Y__S^FFFU>70786i2_;#DQYIN-LQQVR\VYCEKZ3451=5d=R8&CTZDA OTVSQQYT@@L_09:;>0c8Q5)NW_CD#B[[PTV\WMOA\5>?93?n;T2,MZPNG&E^X][[_RJJBQ:3a:W3+LYQAF%DYY^ZT^QKMCR;<S7'@U]EB!@UURVPZUOAO^78871189V4*OX^@E$CXZ_UU]PLL@S4=?5=l5Z0.K\RLI(G\^[YYQ\HHDW810768k0Y=!F_WKL+JSSX\^T_EGIT=655;7f3\:$ERXFO.MVPUSSWZBBJY2;63<2e>S7'@U]EB!@UURVPZUOAO^78;=11`9V4*OX^@E$CXZ_UU]PLL@S4= I^TJK*IR\Y__S^FFFU>72386i2_;#DQYIN-LQQVR\VYCEKZ3475=5d=R8&CTZDA OTVSQQYT@@L_0987>0c8Q5)NW_CD#B[[PTV\WMOA\5>=53?6;T2,MZPNG&E^X][[_RJJBQ:3>7;j7X> I^TJK*IR\Y__S^FFFU>73586i2_;#DQYIN-LQQVR\VYCEKZ3463=5d=R8&CTZDA OTVSQQYT@@L_099=>0c8Q5)NW_CD#B[[PTV\WMOA\5>a:W3+LYQAF%DYY^ZT^QKMCR;<>35=45Z0.K\RLI(G\^[YYQ\HHDW81199h1^<"GPVHM,KPRW]]UXDDH[<5:3:4g<]9%BS[G@/NWWTPRX[ACMX1:71?3b?P6(AV\BC"AZTQWW[VNNN]6?4?0>a:W3+LYQAF%DYY^ZT^QKMCR;<195=l5Z0.K\RLI(G\^[YYQ\HHDW81>368k0Y=!F_WKL+JSSX\^T_EGIT=6;1;7f3\:$ERXFO.MVPUSSWZBBJY2;87<2e>S7'@U]EB!@UURVPZUOAO^785911`9V4*OX^@E$CXZ_UU]PLL@S4=232 I^TJK*IR\Y__S^FFFU>7<;7f3\:$ERXFO.MVPUSSWZBBJY2;91<2e>S7'@U]EB!@UURVPZUOAO^784?11`9V4*OX^@E$CXZ_UU]PLL@S4=392;7;j7X> I^TJK*IR\Y__S^FFFU>7=186i2_;#DQYIN-LQQVR\VYCEKZ3487=5d=R8&CTZDA OTVSQQYT@@L_0979>0c8Q5)NW_CD#B[[PTV\WMOA\5>2;3?n;T2,MZPNG&E^X][[_RJJBQ:3114:m6[?/H]UMJ)H]]Z^XR]GIGV?0a:W3+LYQAF%DYY^ZT^QKMCR;=9:5=l5Z0.K\RLI(G\^[YYQ\HHDW806668k0Y=!F_WKL+JSSX\^T_EGIT=736;7f3\:$ERXFO.MVPUSSWZBBJY2:02<2e>S7'@U]EB!@UURVPZUOAO^79=:11`9V4*OX^@E$CXZ_UU]PLL@S4<:>27;j7X> I^TJK*IR\Y__S^FFFU>64286i2_;#DQYIN-LQQVR\VYCEKZ351:=5d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a3\:$ERXFO.W\@JSS9h1^<"GPVHM,QZUSI]_X#NHH_BG\j7?<]9%BS[G@/T]PPDRR[<_YO[[1_-MZUSI]$^S^ZNT3;8Q5)NW_CD#XQ\T@VVW*@X[]K_W:4U1-J[SOH'\UXXLZZS.W\WHS_'\UH_RCZX.K7*PYJ]Q;=#@czx^M170=R8&CTZDA U^QWEQST'\UXAXV U^AP[HS_'@>%YRCZX04,IhsWF8:?;5Z0.K\RLI(]VY_MY[\/T]PIP^(]VIXS@[W/H6-QZKRP8<$A`{w_N02463<]9%BS[G@/T]PPDRR[&_T_@[W/T]@WZKRP&C?"XQBUY35+HkrpVE9>>;4U1-J[SOH'\UXXLZZS.W\WHS_'\UH_RCZX.K7*PYJ]Q;=#@czx^M1763<]9%BS[G@/T]PPDRR[&_T_@[W/T]@WZKRP&C?"XQBUY35+HkrpVE98>;4U1-J[SOH'\UXXLZZS.W\WHS_'\UH_RCZX.K7*PYJ]Q;=#@czx^M1163<]9%BS[G@/T]PPDRR[&_T_@[W/T]@WZKRP&C?"XQBUY35+HkrpVE9:>;4U1-J[SOH'\UXXLZZS.W\WHS_'\UH_RCZX.K7*PYJ]Q;=#@czx^M1363<]9%BS[G@/T]PPDRR[&_T_@[W/T]@WZKRP&C?"XQBUY35+HkrpVE94>;4U1-J[SOH'\UXXLZZS.W\WHS_'\UH_RCZX.K7*PYJ]Q;=#@czx^M1=74<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+FO;97897X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&IB0?0=2:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!LI=1=67=R8&CTZDA U^QWEQST'\UXXLZPCOV,GL:36;80Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'JC793<=;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"MF<7<16>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-@M919:81^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(L5:5><5Z0.K\RLI(]VY_MY[\/T]PPDRXKG^$H1?1209V4*OX^@E$YR][AUWP+PYT\H^TOCZ D=0=64=R8&CTZDA U^QWEQST'\UXXLZPCOV,@959:81^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(L5>5><5Z0.K\RLI(]VY_MY[\/T]PPDRXKG^$H1;1209V4*OX^@E$YR][AUWP+PYT\H^TOCZ D=4=64=R8&CTZDA U^QWEQST'\UXXLZPCOV,@919:m1^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(OR:V"J`G.T]NQ]E^:11^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(OR:V"XQIDSG13>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_5[)]VF^X?74U1-J[SOH'\UXXLZZS.W\WQGSWJD_#JU?]/W\\JTDQ;n0Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'NQ:Q#IaH/W\IP^DQ;20Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'NQ:Q#[PFEPF62=R8&CTZDA U^QWEQST'\UXXLZPCOV,C^7Z&\UGYY<6;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"IT1\,V[]IUKP8o7X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&MP>P HnI,V[HS_KP837X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&MP>P Z_GFQA71<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+B]5U'_T@XZ=9:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!H[3_-QZ^HZJS9h6[?/H]UMJ)RWZ^JXX] U^QWEQYDF]%LW>S!GoJ-QZKRPJS946[?/H]UMJ)RWZ^JXX] U^QWEQYDF]%LW>S!U^DGV@403\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*A\;T$^SA[[289V4*OX^@E$YR][AUWP+PYT\H^TOCZ GZ1^*PY_G[IR>i5Z0.K\RLI(]VY_MY[\/T]PPDRXKG^$KV:R.FlK*PYJ]QIR>55Z0.K\RLI(]VY_MY[\/T]PPDRXKG^$KV:R.T]E@WC5?2_;#DQYIN-V[VRF\\Y$YR][AU]@JQ)@S=W%YRBZT3;8Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/FY7Y+SXPFXHU?j4U1-J[SOH'\UXXLZZS.W\WQGSWJD_#JU:]/EmL+SXE\RHU?64U1-J[SOH'\UXXLZZS.W\WQGSWJD_#JU:]/W\BATB:>1^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(OR?V"XQCUU0:?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.EX1X(RWQEYOTS7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_3[)]VRD^NW=8:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!H[6_-QZ@CZL8<7X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&MP;P Z_MWW6<=R8&CTZDA U^QWEQST'\UXXLZPCOV,C^1Z&\USC_MV209V4*OX^@E$YR][AUWP+PYT\H^TOCZ S=2=64=R8&CTZDA U^QWEQST'\UXXLZPCOV,W979:81^<"GPVHM,QZUSI]_X#XQ\T@V\GKR([585><5Z0.K\RLI(]VY_MY[\/T]PPDRXKG^$_1=1209V4*OX^@E$YR][AUWP+PYT\H^TOCZ S=6=64=R8&CTZDA U^QWEQST'\UXXLZPCOV,W939:81^<"GPVHM,QZUSI]_X#XQ\T@V\GKR([5<5><5Z0.K\RLI(]VY_MY[\/T]PPDRXKG^$_191169V4*OX^@E$YR][AUWP+PYSLF;j7X> I^TJK*SX[]K_Y^!fSUCW8586i2_;#DQYIN-V[VRF\\Y$e^ZNT=3=5d=R8&CTZDA U^QWEQST'`Y_MY2=>0c8Q5)NW_CD#XQ\T@VVW*oT\H^7?3?n;T2,MZPNG&_T_YO[UR-jWQGS4=4:m6[?/H]UMJ)RWZ^JXX] iRVBP9399h1^<"GPVHM,QZUSI]_X#d][AU>5:4g<]9%BS[G@/T]PPDRR[&cXXLZ37?3f?P6(AV\BC"[PSUCWQV)n[]K_SN@[<1<2a>S7'@U]EB!Z_RVBPPU(aZ^JXRMAT=3=5`=R8&CTZDA U^QWEQST'`Y_MYQLNU>1:4c<]9%BS[G@/T]PPDRR[&cXXLZPCOV?7;7b3\:$ERXFO.W\WQGS]Z%b_YO[_BLW8186m2_;#DQYIN-V[VRF\\Y$e^ZNT^AMP9399l1^<"GPVHM,QZUSI]_X#d][AU]@JQ:168o0Y=!F_WKL+PYT\H^^_"g\T@V\GKR;?7;;7X> I^TJK*gcl{6;2<>4U1-J[SOH'hno~1?1119V4*OX^@E$mij}<3<24>S7'@U]EB!ndep?7;453\:$ERXFO.pfw`rXnVookh!F_I0O*PYCG\^:h6[?/H]UMJ)umzoSkQjdfg,QZBH]]::h6[?/H]UMJ)umzoSkQjdfg,QZBH]];:i6[?/H]UMJ)umzoSkQjdfg,mAIR\5:5=h5Z0.K\RLI(zlynxRhPeeef+lBH]]6:2n5ZSDP\RLUNJEO87[ML9:TJARYSQYO:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C>7UA]CX48\VRKAK=0T^ZPGOF4?]USW_IHn6VPCNPF[LHAG?1ShoQFdg9[`mYWz`g]i}foo33?]bjWDkacXjrrklj46k4_^][HKKXWV:TSR[?/H]UMJ)@MGUXUCMPOTVX556Z&ZSEORAZT^AFHI)K]]UDYY=i;^]\\IHJWVU:<=QP_T2,MZPNG&MNBR]VNB]LQQ]00T$XUCMPOTV\G@JK'E__SB[[3g9\[Z^KFDUTS<>>_^]V4*OX^@E$KH@PSXL@[JSSS18V"^WAC^MVPZEBDE%GYYQ@UU1e?ZYXPEDFSRQ>03]\[P6(AV\BC"IJN^QZJFYH]]Q38P \YOA\KPRXKLFG#A[[_NWW7c=XWVRGB@QP_020[ZYR8&CTZDA GDL\W\HDWF__W58R.R[MGZIR\VIN@A!CUU]LQQ5a3VUTTA@B_^]241YXW\:$ERXFO.EFJZU^FJUDYYU78\,P]KEXG\^TOHBC/MWW[JSS;o1TSRVCNL]\[462WVU^<"GPVHM,C@HX[PDHSB[[[80^*V_IKVE^XRMJLM-OQQYH]]9m7RQPXMLN[ZY68?UTSX> I^TJK*ABFVYRBNQ@UUY:0X(TQGITCXZPCDNO+ISSWF__?k5P_^ZOJHYXW8:0T$XUCMPOTV\G@JK'E__SB[[419\[Z^KFDUTS<>6_^]V4*OX^@E$KH@PSXL@[JSSS882Q#]VNB]LQQYDMEF$@XZPOTV0b>YXWQFEARQP11]\[P6(AV\BC"IJN^QZJFYH]]Q9<=S!SXL@[JSSWJOG@"BZT^MVP16QP_T2,MZPNG&MNBR]VNB]LQQ]11T$XUCMPOTV\G@JK'E__SB[[3g9\[Z^KFDUTS3V"^WAC^MVPZEBDE%GYYQ@UU1e?ZYXPEDFSRQ>14]\[P6(AV\BC"IJN^QZJFYH]]Q35P \YOA\KPRXKLFG#A[[_NWW7c=XWVRGB@QP_035[ZYR8&CTZDA GDL\W\HDWF__W47R.R[MGZIR\VIN@A!CUU]LQQ273VUTTA@B_^]252YXW\:$ERXFO.EFJZU^FJUDYYU>98_-W\HDWF__SNKCL.NVPZIR\=:0SRQWLOO\[Z760VUTY=!F_WKL+BCIWZSEORAZTZ061X(TQGITCXZPCDNO+ISSWF__?k5P_^ZOJHYXW8;2SRQZ0.K\RLI(OLDT_T@L_NWW_62Z&ZSEORAZT^AFHI)K]]UDYY=i;^]\\IHJWVU:=RQPU1-J[SOH'NOES^WAC^MVP^468T$XUCMPOTV\G@JK'E__SB[[3g9\[Z^KFDUTS<20]\[P6(AV\BC"IJN^QZJFYH]]Q84P \YOA\KPRXKLFG#A[[_NWW7c=XWVRGB@QP_001[ZYR8&CTZDA GDL\W\HDWF__W9?R.R[MGZIR\VIN@A!CUU]LQQ5a3VUTTA@B_^]266YXW\:$ERXFO.EFJZU^FJUDYYU;3\,P]KEXG\^TOHBC/MWW[JSS;o1TSRVCNL]\[443WVU^<"GPVHM,C@HX[PDHSB[[[57^*V_IKVE^XRMJLM-OQQYH]]9m7RQPXMLN[ZY6: I^TJK*ABFVYRBNQ@UUY73X(TQGITCXZPCDNO+ISSWF__?k5P_^ZOJHYXW88=SRQZ0.K\RLI(OLDT_T@L_NWW_1?Z&ZSEORAZT^AFHI)K]]UDYY=i;^]\\IHJWVU:>:QP_T2,MZPNG&MNBR]VNB]LQQ]22^]\Q5)NW_CD#JKA_R[MGZIR\R89

>>R.R[MGZIR\VIN@A!CUU]LQQ5a3VUTTA@B_^]20ZYX]9%BS[G@/FGM[V_IKVE^XV<;0\,P]KEXG\^TOHBC/MWW[JSS;o1TSRVCNL]\[43XWV_;#DQYIN-DAKYTQGITCXZT242^*V_IKVE^XRMJLM-OQQYH]]9m7RQPXMLN[ZY6>VUTY=!F_WKL+BCIWZSEORAZTZ336X(TQGITCXZPCDNO+ISSWF__?k5P_^ZOJHYXW8=TSR[?/H]UMJ)@MGUXUCMPOTVX552Z&ZSEORAZT^AFHI)K]]UDYY=i;^]\\IHJWVU:4RQPU1-J[SOH'NOES^WAC^MVP^77>T$XUCMPOTV\G@JK'E__SB[[3g9\[Z^KFDUTS<7P_^W3+LYQAF%LICQ\YOA\KPR\992V"^WAC^MVPZEBDE%GYYQ@UU1f?ZYXPEDFSRQ>_^]V4*OX^@E$KH@PSXL@[JSSS8;;Q#]VNB]LQQYDMEF$@XZPOTV0b>YXWQFEARQP21]\[P6(AV\BC"IJN^QZJFYH]]Q:=?S!SXL@[JSSWJOG@"BZT^MVP6`17_-W\HDWF__SNKCL.NVPZIR\:l0SRQWLOO\[Z44WVU^<"GPVHM,C@HX[PDHSB[[[03;Y+U^FJUDYYQLEMN,HPRXG\^8j6QP_YNMIZYX:=UTSX> I^TJK*ABFVYRBNQ@UUY267[)[PDHSB[[_BGOH*JR\VE^X>h4_^][HKKXWV8>SRQZ0.K\RLI(OLDT_T@L_NWW_443U'YRBNQ@UU]@AIJ(D\^TCXZ;QP_T2,MZPNG&MNBR]VNB]LQQ]6:?W%_T@L_NWW[FCKD&F^XRAZT2d8[ZY_DGGTSR<8_^]V4*OX^@E$KH@PSXL@[JSSS883Q#]VNB]LQQYDMEF$@XZPOTV0b>YXWQFEARQP29]\[P6(AV\BC"IJN^QZJFYH]]Q:??S!SXL@[JSSWJOG@"BZT^MVP6`:P_^W3+LYQAF%LICQ\YOA\KPR\9=XWV_;#DQYIN-DAKYTQGITCXZT144^*V_IKVE^XRMJLM-OQQYH]]9m7RQPXMLN[ZY41VUTY=!F_WKL+BCIWZSEORAZTZ36 I^TJK*ABFVYRBNQ@UUY275[)[PDHSB[[_BGOH*JR\VE^X>h4_^][HKKXWV>;SRQZ0.K\RLI(OLDT_T@L_NWW_405U'YRBNQ@UU]@AIJ(D\^TCXZ=W%_T@L_NWW[FCKD&F^XRAZT2d8[ZY_DGGTSR:=_^]V4*OX^@E$KH@PSXL@[JSSS8<=Q#]VNB]LQQYDMEF$@XZPOTV0b>YXWQFEARQP42]\[P6(AV\BC"IJN^QZJFYH]]Q::5S!SXL@[JSSWJOG@"BZT^MVP6`75_-W\HDWF__SNKCL.NVPZIR\:l0SRQWLOO\[Z21WVU^<"GPVHM,C@HX[PDHSB[[[055Y+U^FJUDYYQLEMN,HPRXG\^8j6QP_YNMIZYX<>UTSX> I^TJK*ABFVYRBNQ@UUY23=[)[PDHSB[[_BGOH*JR\VE^X>h4_^][HKKXWV>3SRQZ0.K\RLI(OLDT_T@L_NWW_4>5U'YRBNQ@UU]@AIJ(D\^TCXZZ&ZSEORAZT^AFHI)K]]UDYY=i;^]\\IHJWVU>:RQPU1-J[SOH'NOES^WAC^MVP^47:T$XUCMPOTV\G@JK'E__SB[[3g9\[Z^KFDUTS89P_^W3+LYQAF%LICQ\YOA\KPR\:9>V"^WAC^MVPZEBDE%GYYQ@UU1e?ZYXPEDFSRQ:8^]\Q5)NW_CD#JKA_R[MGZIR\R8;:P \YOA\KPRXKLFG#A[[_NWW7c=XWVRGB@QP_4;\[ZS7'@U]EB!HEO]P]KEXG\^P>=6R.R[MGZIR\VIN@A!CUU]LQQ5b3VUTTA@B_^]6[ZYR8&CTZDA GDL\W\HDWF__W<;?]/QZJFYH]]UHIAB LTV\KPR4n2UTSUBAM^]\25YXW\:$ERXFO.EFJZU^FJUDYYU=13_-W\HDWF__SNKCL.NVPZIR\:l0SRQWLOO\[Z06WVU^<"GPVHM,C@HX[PDHSB[[[337Y+U^FJUDYYQLEMN,HPRXG\^8j6QP_YNMIZYX>;UTSX> I^TJK*ABFVYRBNQ@UUY153[)[PDHSB[[_BGOH*JR\VE^X>h4_^][HKKXWV<8SRQZ0.K\RLI(OLDT_T@L_NWW_77?U'YRBNQ@UU]@AIJ(D\^TCXZYXWQFEARQP67]\[P6(AV\BC"IJN^QZJFYH]]Q9>;S!SXL@[JSSWJOG@"BZT^MVP6`WVU^<"GPVHM,C@HX[PDHSB[[[317Y+U^FJUDYYQLEMN,HPRXG\^8i6QP_YNMIZYX>VUTY=!F_WKL+BCIWZSEORAZTZ354X(TQGITCXZPCDNO+ISSWF__?k5P_^ZOJHYXW>:TSR[?/H]UMJ)@MGUXUCMPOTVX660Z&ZSEORAZT^AFHI)K]]UDYY=i;^]\\IHJWVU<=RQPU1-J[SOH'NOES^WAC^MVP^440T$XUCMPOTV\G@JK'E__SB[[3g9\[Z^KFDUTS:98R.R[MGZIR\VIN@A!CUU]LQQ5a3VUTTA@B_^]41ZYX]9%BS[G@/FGM[V_IKVE^XV<;8\,P]KEXG\^TOHBC/MWW[JSS;o1TSRVCNL]\[20XWV_;#DQYIN-DAKYTQGITCXZT240^*V_IKVE^XRMJLM-OQQYH]]9m7RQPXMLN[ZY0?VUTY=!F_WKL+BCIWZSEORAZTZ060X(TQGITCXZPCDNO+ISSWF__?k5P_^ZOJHYXW>2TSR[?/H]UMJ)@MGUXUCMPOTVX55?Z&ZSEORAZT^AFHI)K]]UDYY=i;^]\\IHJWVU<5RQPU1-J[SOH'NOES^WAC^MVP^471T$XUCMPOTV\G@JK'E__SB[[3d9\[Z^KFDUTS:QP_T2,MZPNG&MNBR]VNB]LQQ]6?9W%_T@L_NWW[FCKD&F^XRAZT2g8[ZY_DGGTSR6?_^]V4*OX^@E$KH@PSXL@[JSSSYXWQFEARQP83]\[P6(AV\BC"IJN^QZJFYH]]Q<

R.R[MGZIR\VIN@A!CUU]LQQ5a3VUTTA@B_^];1ZYX]9%BS[G@/FGM[V_IKVE^XV<>9\,P]KEXG\^TOHBC/MWW[JSS;o1TSRVCNL]\[=0XWV_;#DQYIN-DAKYTQGITCXZT23;^*V_IKVE^XRMJLM-OQQYH]]9m7RQPXMLN[ZY??VUTY=!F_WKL+BCIWZSEORAZTZ00=X(TQGITCXZPCDNO+ISSWF__?k5P_^ZOJHYXW12TSR[?/H]UMJ)@MGUXUCMPOTVX61?Z&ZSEORAZT^AFHI)K]]UDYY=j;^]\\IHJWVU35RQPU1-J[SOH'NOES^WAC^MVP^31U'YRBNQ@UU]@AIJ(D\^TCXZP_^W3+LYQAF%LICQ\YOA\KPR\=>W%_T@L_NWW[FCKD&F^XRAZT2g8[ZY_DGGTSR7>_^]V4*OX^@E$KH@PSXL@[JSSS<2V"^WAC^MVPZEBDE%GYYQ@UU1f?ZYXPEDFSRQ62^]\Q5)NW_CD#JKA_R[MGZIR\R?2Q#]VNB]LQQYDMEF$@XZPOTV0a>YXWQFEARQP92]\[P6(AV\BC"IJN^QZJFYH]]Q=>P \YOA\KPRXKLFG#A[[_NWW7`=XWVRGB@QP_86\[ZS7'@U]EB!HEO]P]KEXG\^P:9S!SXL@[JSSWJOG@"BZT^MVP6cXWV_;#DQYIN-DAKYTQGITCXZT75_-W\HDWF__SNKCL.NVPZIR\:o0SRQWLOO\[Z?>WVU^<"GPVHM,C@HX[PDHSB[[[64^*V_IKVE^XRMJLM-OQQYH]]9n7RQPXMLN[ZY>WVU^<"GPVHM,C@HX[PDHSB[[[0;3Y+U^FJUDYYQLEMN,HPRXG\^27l}vnb]nq}cCiikfnS^wacipljjstWF|xzPPnpw9qtrm2Oemobj_@qzjfnugge~RGaptv\Tjts5okgh5Jn``oaZGtqgic~b``ur]Lqqvr|VZd~y3iamqf?Vikaxdbb{|_HlsqqYWg{~T[dj{h<:8W|hd`{eecx}POtvsqqYWg{~T[dj{h<062>Gtqgic~b``ur]JjussWYeyxRYfduj><>Gtqgic~b``ur]Lqqvr|VZd~yQXievk9==NfoyxRMcobi\Mkvr|4lj`~k#c^zgasodmokfeaw2sqcqpdh1$jUhckPtxrf9<*dWdllSdj21-a\idlhzV|n~~g`n<30(fYjageyS{k}shmm94*dWkb`iQ}ewkpmjh:8%iTob|j_lcikwYqm{7: nQlosg\ilhhzV|n~0<#c^alv`YjageySlbh`]uaw;>?%iTb>6^vzt`;5$jU~hQfnw]`hn;7$jU~hQnsxl`[lh:8%iTy~kPar{mgZir|4:'oR{|e^qzjfYnf4:'oR{|e^qzjfYh}}7: nQnsxl`[lhX`nd06#c^cp}keXg|~T{dj{h<:/gZu~fjUbbRyfduj><)eX{pdhSb{{_vkgpm;5=?&hShvnmqnf[actand6x{j,|12?fnnxzid|hQyin]u5Z63Wh&+Tdbfny"@KWC'Oldn~lz`r!30*4?6:tjkZir|ySx~kaug\g`jki2|bcR}{auwpg>pngVyrbnQ`uu36?sohWzseoRazt^cg`wY`mgwKL}imm8;g:b>U1l3ih87>5120ggg>=mh90_?m5cb694?74:mii47kn5:f`fd<7280:w^;<:b16>2b=9:8ooo65e8c8rQ>?290:6<4976yP16i2.h>l49f:T`77<5s|=<6<5z7983?x"0n3k0nnln:18260<2==0=;:uGc3:8 4>c2jhj7W?<:3y:>=fde3-?96nll;*6b>5<#080?56`70;28?.2?290/4<4;9:l;4?7<3"><6=4+8087=>h?83807&:9:18'<4<312d3<7=4;*66>5<#080?56`70;68?.23290/4<4;9:l;4?3<3">96=4+8087=>h?83<07&:>:18'<4<312d3<794;*63>5<#080?56`70;:8?.5a290/4<4;9:l;4??<3"9n6=4+8087=>h?83k07&=k:18'<4<312d3<7l4;*1`>5<#080?56`70;a8?.5e290/4<4;9:l;4?b<3"9j6=4+8087=>h?83o07&=6:18'<4<312d3<7h4;*14>5<#080?56`70;33?>-4>3:1(5?5489m<5<6921 ?84?:%:2>1?27c6?:018?.54290/4<4;9:l;4?7332!8>7>5$9390<=i090:965$3083>!>62=30b5>51798/66=83.3=7:6;o:3>41<3"8m6=4+8087=>h?83;376%=e;29 =7=<01e4=4>9:9(14<72-2:6974n9295d=<#<:1<7*71;6:?k>728h07&:i:18'<4<312d3<7?l;:)7a?6=,1;1845a8182`>=,:5;8j=6=9l10'9m50;&;5?2>3g2;65<#080?56`70;02?>-403:1(5?5489m<5<5:21 >i4?:%:2>1?>54i0;94?=h9:21<7*71;303>h?83:07b?<6;29 =7=9:=0b5>51:9l563=83.3=7?<7:l;4?4<3f;887>5$939561>50;&;5?74?2d3<784;n31b?6=,1;1=>94n9293>=h9;o1<7*71;303>h?83207b?=d;29 =7=9:=0b5>59:9l57e=83.3=7?<7:l;4?g<3f;9n7>5$93956194n929b>=h9;?1<7*71;303>h?83;;76a>2583>!>6289<7c6?:038?j75;3:1(5?51258j=6=9;10c<<=:18'<4<6;>1e4=4>3:9l577=83.3=7?<7:l;4?7332e:>=4?:%:2>4503g2;6<;4;n32b?6=,1;1=>94n92953=i69j0;6)6>:014?k>728307b?>a;29 =7=9:=0b5>51`98k47>290/4<4>369m<5<6j21d=<650;&;5?74?2d3<7?l;:m252<72-2:6<=8;o:3>4b<3f;::7>5$9395615<#080:?:5a8182b>=h98>1<7*71;303>h?838;76a>1283>!>6289<7c6?:338?j76:3:1(5?51258j=6=:;10c:18'<4<6;>1e4=4=3:9l55`=83.3=7?<7:l;4?4332e:4503g2;6?;4;n33`?6=,1;1=>94n92963=i68h0;6)6>:014?k>72;307b??9;29 =7=9:=0b5>52`98k46?290/4<4>369m<5<5j21d==950;&;5?74?2d3<77b<3f;;87>5$939561h54o020>5<#080:?:5a8181b>=h9981<7*71;303>h?839;76a>0083>!>6289<7c6?:238?j7783:1(5?51258j=6=;;10ckh50;&;5?74?2d3<7=<;:mea?6=,1;1=>94n92971=h?839>76aic;29 =7=9:=0b5>53798kcd=83.3=7?<7:l;4?5032em47>5$939561ia>3:1(5?51258j=6=;h10ck;50;&;5?74?2d3<7=m;:me0?6=,1;1=>94n9297f=h?839o76ai2;29 =7=9:=0b5>53d98kc7=83.3=7?<7:l;4?5a32em<7>5$939561ibl3:1(5?51258j=6=<;10chm50;&;5?74?2d3<7:<;:mff?6=,1;1=>94n92901=h?83>>76aj9;29 =7=9:=0b5>54798k`>=83.3=7?<7:l;4?2032en;7>5$939561ib=3:1(5?51258j=6=94n9290f=h?83>o76aj0;29 =7=9:=0b5>54d98ka`=83.3=7?<7:l;4?2a32eoi7>5$939561ick3:1(5?51258j=6==;10cil50;&;5?74?2d3<7;<;:mge?6=,1;1=>94n92911=h?83?>76ak7;29 =7=9:=0b5>55798ka0=83.3=7?<7:l;4?3032eo97>5$939561ic;3:1(5?51258j=6==h10ci<50;&;5?74?2d3<7;m;:mg5?6=,1;1=>94n9291f=h?83?o76alf;29 =7=9:=0b5>55d98kfc=83.3=7?<7:l;4?3a32eho7>5$939561idi3:1(5?51258j=6=>;10cn750;&;5?74?2d3<78<;:m`94n92921=h?83<>76al6;29 =7=9:=0b5>56798kf3=83.3=7?<7:l;4?0032eh87>5$939561id93:1(5?51258j=6=>h10cn>50;&;5?74?2d3<78m;:mab?6=,1;1=>94n9292f=h?8356d98kge=83.3=7?<7:l;4?0a32ein7>5$939561ie13:1(5?51258j=6=?;10co650;&;5?74?2d3<79<;:ma2?6=,1;1=>94n92931=h?83=>76am4;29 =7=9:=0b5>57798kg5=83.3=7?<7:l;4?1032ei>7>5$939561ie83:1(5?51258j=6=?h10clh50;&;5?74?2d3<79m;:mba?6=,1;1=>94n9293f=h?83=o76anb;29 =7=9:=0b5>57d98kdg=83.3=7?<7:l;4?1a32ej57>5$939561if?3:1(5?51258j=6=0;10cl850;&;5?74?2d3<76<;:mb1?6=,1;1=>94n929<1=1<7*71;303>h?832>76an3;29 =7=9:=0b5>58798kd4=83.3=7?<7:l;4?>032ej<7>5$939561i>m3:1(5?51258j=6=0h10c4j50;&;5?74?2d3<76m;:m:g?6=,1;1=>94n929h?832o76a6a;29 =7=9:=0b5>58d98ka32e247>5$939561i>=3:1(5?51258j=6=1;10c4:50;&;5?74?2d3<77<;:m:7?6=,1;1=>94n929=1=h?833>76a61;29 =7=9:=0b5>59798k<6=83.3=7?<7:l;4??032e3j7>5$939561i?l3:1(5?51258j=6=1h10c5m50;&;5?74?2d3<77m;:m25$9395615<#080:?:5a818:a>=h91=1<7*71;303>h?833m76a>8783>!>6289<7c6?:`28?j7?=3:1(5?51258j=6=i810c<6;:18'<4<6;>1e4=4n2:9l5=5=83.3=7?<7:l;4?g432e:4?4?:%:2>4503g2;6l:4;n3;5?6=,1;1=>94n929e0=i6?m0;6)6>:014?k>72h207b?8c;29 =7=9:=0b5>5a898k41e290/4<4>369m<5de<3f;<47>5$9395615<#080:?:5a818ba>=h9><1<7*71;303>h?83km76a>7583>!>6289<7c6?:c28?j70;3:1(5?51258j=6=j810c<9=:18'<4<6;>1e4=4m2:9l527=83.3=7?<7:l;4?d432e:;=4?:%:2>4503g2;6o:4;n35b?6=,1;1=>94n929f0=i6>j0;6)6>:014?k>72k207b?9b;29 =7=9:=0b5>5b898k40>290/4<4>369m<5ge<3f;=:7>5$9395615<#080:?:5a818aa>=h9?>1<7*71;303>h?83hm76a>6283>!>6289<7c6?:b28?j71:3:1(5?51258j=6=k810c<8>:18'<4<6;>1e4=4l2:9l536=83.3=7?<7:l;4?e432e:9h4?:%:2>4503g2;6n:4;n36`?6=,1;1=>94n929g0=i6=h0;6)6>:014?k>72j207b?:9;29 =7=9:=0b5>5c898k43?290/4<4>369m<5fe<3f;>97>5$9395615<#080:?:5a818`a>=h9<81<7*71;303>h?83im76a>5083>!>6289<7c6?:e28?j7283:1(5?51258j=6=l810c<:i:18'<4<6;>1e4=4k2:9l51c=83.3=7?<7:l;4?b432e:8i4?:%:2>4503g2;6i:4;n37g?6=,1;1=>94n929`0=i6=4+808272=i090o:65`15c94?"?93;8;6`70;f4?>i6<10;6)6>:014?k>72m207b?;7;29 =7=9:=0b5>5d898k421290/4<4>369m<5ae<3f;??7>5$9395615<#080:?:5a818ga>=h9=;1<7*71;303>h?83nm76a>4183>!>6289<7c6?:d28?j74n3:1(5?51258j=6=m810c<=k:18'<4<6;>1e4=4j2:9l56e=83.3=7?<7:l;4?c432e:?o4?:%:2>4503g2;6h:4;n30e?6=,1;1=>94n929a0=i6:?0;6)6>:014?k>72l207b?>b;29 =7=9:=0b5>5e898k477290/4<4>369m<594n929af=h?83oo76aj3;29 =7=9:=0b5>5ed98ka>=83.3=7?<7:l;4?ca32ehh7>5$939561ie?3:1(5?51258j=6=n;10clm50;&;5?74?2d3<7h<;:mb5?6=,1;1=>94n929b1=h?83l>76a>8c83>!>6289<7c6?:g48?j7?83:1(5?51258j=6=n>10c<9::18'<4<6;>1e4=4i8:9l53g=83.3=7?<7:l;4?`>32e:9k4?:%:2>4503g2;6ko4;n360?6=,1;1=>94n929bg=26=4+808272=i090mo65`12g94?"?93;8;6`70;dg?>iai3:1(5?51258j=6=nl10c5l50;&;5?74?2d3<7hi;:)7f?6=,1;1845a81814>=ek;l1<7?50;2x 4>c2j827Em=d:J`6==h?l0;66smc3g94?7=83:p(<6k:738Lf4c3Ai946a90;29?xd6190;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a71>o1;3:17d8;:188k2e=831vn>lm:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm3ca94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k0o0e;=50;9j21<722e5;|`64d<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg37j3:1?7>50z&2i5Gc3:8 3?=l2.3>7m6f:k57?6=3`:6=46:183!7?l3227Em=d:J`6==#>0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi99<50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g10>i0k3:17pl:7983><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c74=?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i?;6g93;29?l032900c:m50;9~f0ga29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e=k:1<7=50;2x 4>c2>;0Dn"113n0(5<5c`38m35=831b:94?::m4g?6=3th>i;4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo;j7;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?ef:2c=?7>5;h47>5<i1<75rb73g>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a24c=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181ol=4i7194?=n>=0;66a8c;29?xd1==0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<97>53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;ab0>o1;3:17d8;:188k2e=831vn;6m:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm69a94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=kh?0e;=50;9j21<722e5;|`2g2<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg7d03:1?7>50z&2i5Gc3:8 3?=l2.3>7m<6:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi=kh50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g0c>i0k3:17pl=3483><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c002?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i=56g93;29?l032900c:m50;9~f70d29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e:?n1<7=50;2x 4>c2>;0Dn"113n0(5<5c668m35=831b:94?::m4g?6=3th9m>4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo5;h47>5<i1<75rb3fb>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a6ad=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o5o4i7194?=n>=0;66a8c;29?xd4980;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<7>53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a:1>o1;3:17d8;:188k2e=831vn>:7:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm35;94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=kh:0e;=50;9j21<722e5;|`03c<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg5?83:1?7>50z&2i5Gc3:8 3?=l2.3>7mnb:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi?o950;194?6|,82o6:?4Hb0g?Me502.=57j4$909gg0>i0k3:17pl<<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c1e7?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i?=6g93;29?l032900c:m50;9~f14>29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e<;k1<7=50;2x 4>c2>;0Dn"113n0(5<5c5a8m35=831b:94?::m4g?6=3th?:=4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo:91;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e2>2c=?7>5;h47>5<i1<75rb5;4>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a0<>=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o894i7194?=n>=0;66a8c;29?xd3kl0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<hj7>53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a6<>o1;3:17d8;:188k2e=831vn9h>:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm4g094?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k<30e;=50;9j21<722e5;|`7b3<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg2a?3:1?7>50z&2i5Gc3:8 3?=l2.3>7mn6:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi8km50;194?6|,82o6:?4Hb0g?Me502.=57j4$909gd1>i0k3:17pl:0183><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c735?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3ij46g93;29?l032900c:m50;9~f06229026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e=9<1<7=50;2x 4>c2>;0Dn"113n0(5<5c`;8m35=831b:94?::m4g?6=3th>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo;>0;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e302c=?7>5;h47>5<i1<75rb437>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a143=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o974i7194?=n>=0;66a8c;29?xd2900;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a7e>o1;3:17d8;:188k2e=831vn8?j:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm50d94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k=h0e;=50;9j21<722e5;|`666<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg35<3:1?7>50z&2i5Gc3:8 3?=l2.3>7m;b:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi9?750;194?6|,82o6:?4Hb0g?Me502.=57j4$909g1b>i0k3:17pl:2e83><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c71a?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i?h6g93;29?l032900c:m50;9~f05529026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e=:91<7=50;2x 4>c2>;0Dn"113n0(5<5c5g8m35=831b:94?::m4g?6=3th>?:4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo;<8;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e3m2c=?7>5;h47>5<i1<75rb41`>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a16b=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o8:4i7194?=n>=0;66a8c;29?xd22;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a7b>o1;3:17d8;:188k2e=831vn8:m:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm55a94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k=l0e;=50;9j21<722e5;|`615<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg3293:1?7>50z&2i5Gc3:8 3?=l2.3>7m:0:k57?6=3`6=46:183!7?l3227Em=d:J`6==#>0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi98850;194?6|,82o6:?4Hb0g?Me502.=57j4$909g06>i0k3:17pl:5`83><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c76f?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i>=6g93;29?l032900c:m50;9~f03a29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e=?:1<7=50;2x 4>c2>;0Dn"113n0(5<5c438m35=831b:94?::m4g?6=3th>:94?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo;95;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e2:2c=?7>5;h47>5<i1<75rb44:>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a13g=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o8<4i7194?=n>=0;66a8c;29?xd2>l0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a67>o1;3:17d8;:188k2e=831vn89<:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm56694?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k<90e;=50;9j21<722e5;|`63a<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg30m3:1?7>50z&2i5Gc3:8 3?=l2.3>7mna:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi95=50;194?6|,82o6:?4Hb0g?Me502.=57j4$909gde>i0k3:17pl:8683><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c7;8e845>Nd:m1Co?64$7;9`>"?:3ijh6g93;29?l032900c:m50;9~f0>d29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e=1n1<7=50;2x 4>c2>;0Dn"113n0(5<5c`g8m35=831b:94?::m4g?6=3th>5<4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo;62;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e2<2c=?7>5;h47>5<i1<75rb4;5>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a1<1=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181ol?4i7194?=n>=0;66a8c;29?xd21k0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;abe>o1;3:17d8;:188k2e=831vn8o?:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm5`394?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=khi0e;=50;9j21<722e5;|`6e0<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg3f>3:1?7>50z&2i5Gc3:8 3?=l2.3>7mnd:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi9ll50;194?6|,82o6:?4Hb0g?Me502.=57j4$909gdc>i0k3:17pl:b583><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c7a1?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3ij>6g93;29?l032900c:m50;9~f0d>29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e=kk1<7=50;2x 4>c2>;0Dn"113n0(5<5c`d8m35=831b:94?::m4g?6=3th>nh4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo;mf;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?efn2c=?7>5;h47>5<i1<75rb4a0>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a1f2=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181oo>4i7194?=n>=0;66a8c;29?xd2k10;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;aa4>o1;3:17d8;:188k2e=831vn8mk:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm5bg94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=kk;0e;=50;9j21<722e5;|`6`7<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg3c;3:1?7>50z&2i5Gc3:8 3?=l2.3>7mm1:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi9i650;194?6|,82o6:?4Hb0g?Me502.=57j4$909gg4>i0k3:17pl:db83><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c7g`?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3ii>6g93;29?l032900c:m50;9~f0c629026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e=l81<7=50;2x 4>c2>;0Dn"113n0(5<5c578m35=831b:94?::m4g?6=3th>io4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo;jc;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?ef;2c=?7>5;h47>5<i1<75rb4d3>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a1c7=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181oo=4i7194?=n>=0;66a8c;29?xd2n<0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;aa7>o1;3:17d8;:188k2e=831vn8hn:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm5g`94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=kk>0e;=50;9j21<722e5;|`6bc<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg0783:1?7>50z&2i5Gc3:8 3?=l2.3>7mm4:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi:=;50;194?6|,82o6:?4Hb0g?Me502.=57j4$909gg3>i0k3:17pl90883><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c43e?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3ii96g93;29?l032900c:m50;9~f36b29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e>9l1<7=50;2x 4>c2>;0Dn"113n0(5<5c258m35=831b:94?::m4g?6=3th==>4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo8>4;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e4?2c=?7>5;h47>5<i1<75rb73;>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a24?=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o984i7194?=n>=0;66a8c;29?xd1:;0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;ab0>o1;3:17d8;:188k2e=831vn;<8:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm63:94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k:20e;=50;9j21<722e5;|`56f<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg05l3:1?7>50z&2i5Gc3:8 3?=l2.3>7m<8:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi:><50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g6?>i0k3:17pl93783><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c403?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i856g93;29?l032900c:m50;9~f35e29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e>:i1<7=50;2x 4>c2>;0Dn"113n0(5<5c2c8m35=831b:94?::m4g?6=3th=8=4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo8;1;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e4i2c=?7>5;h47>5<i1<75rb766>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a210=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o>l4i7194?=n>=0;66a8c;29?xd12;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a0f>o1;3:17d8;:188k2e=831vn;:i:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm64294?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k==0e;=50;9j21<722e5;|`51<<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg02i3:1?7>50z&2i5Gc3:8 3?=l2.3>7mn5:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi:8h50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g6e>i0k3:17pl96283><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c450?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i8o6g93;29?l032900c:m50;9~f30?29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e>?31<7=50;2x 4>c2>;0Dn"113n0(5<5c2f8m35=831b:94?::m4g?6=3th=:i4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo89e;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e4l2c=?7>5;h47>5<i1<75rb751>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a225=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o>k4i7194?=n>=0;66a8c;29?xd1?>0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a0a>o1;3:17d8;:188k2e=831vn;9l:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm66f94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k:l0e;=50;9j21<722e5;|`5<4<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg0?:3:1?7>50z&2i5Gc3:8 3?=l2.3>7m0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi:5950;194?6|,82o6:?4Hb0g?Me502.=57j4$909g1>>i0k3:17pl>9483><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c3:2?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i8:6g93;29?l032900c:m50;9~f4?f29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e90h1<7=50;2x 4>c2>;0Dn"113n0(5<5c4c8m35=831b:94?::m4g?6=3th:5k4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo?n0;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e2i2c=?7>5;h47>5<i1<75rb0c7>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a5d3=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o8l4i7194?=n>=0;66a8c;29?xd6i00;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a6f>o1;3:17d8;:188k2e=831vn5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm1`d94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k5;|`2f6<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg7e<3:1?7>50z&2i5Gc3:8 3?=l2.3>7m:c:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi=o750;194?6|,82o6:?4Hb0g?Me502.=57j4$909g0b>i0k3:17pl>be83><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c3aa?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i>h6g93;29?l032900c:m50;9~f4e529026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e9j91<7=50;2x 4>c2>;0Dn"113n0(5<5c8g8m35=831b:94?::m4g?6=3th:on4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo?ld;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e2m2c=?7>5;h47>5<i1<75rb0f2>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a5a4=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o8h4i7194?=n>=0;66a8c;29?xd6l?0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a6b>o1;3:17d8;:188k2e=831vn5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm1ea94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k?:0e;=50;9j21<722e5;|`2a5<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg7b93:1?7>50z&2i5Gc3:8 3?=l2.3>7m90:k57?6=3`6=46:183!7?l3227Em=d:J`6==#>0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi=h850;194?6|,82o6:?4Hb0g?Me502.=57j4$909g37>i0k3:17pl>e`83><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c3ff?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i==6g93;29?l032900c:m50;9~f4ca29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e9o:1<7=50;2x 4>c2>;0Dn"113n0(5<5c708m35=831b:94?::m4g?6=3th:j94?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo?i5;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e1:2c=?7>5;h47>5<i1<75rb0d:>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a5cg=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o9>4i7194?=n>=0;66a8c;29?xd58:0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a5=>o1;3:17d8;:188k2e=831vn?>7:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm21;94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k?90e;=50;9j21<722e5;|`14a<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg47m3:1?7>50z&2i5Gc3:8 3?=l2.3>7m93:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi><=50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g32>i0k3:17pl=1683><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c028e845>Nd:m1Co?64$7;9`>"?:3i=86g93;29?l032900c:m50;9~f77d29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e:8n1<7=50;2x 4>c2>;0Dn"113n0(5<5c778m35=831b:94?::m4g?6=3th9><4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo<=2;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e1=2c=?7>5;h47>5<i1<75rb305>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a671=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o;84i7194?=n>=0;66a8c;29?xd5:k0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a52>o1;3:17d8;:188k2e=831vn?=?:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm22394?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k=:0e;=50;9j21<722e5;|`17d<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg44j3:1?7>50z&2i5Gc3:8 3?=l2.3>7m84:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi>9>50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g31>i0k3:17pl=4583><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c071?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i=;6g93;29?l032900c:m50;9~f72>29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e:=k1<7=50;2x 4>c2>;0Dn"113n0(5<5c7:8m35=831b:94?::m4g?6=3th98h4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo<;f;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e102c=?7>5;h47>5<i1<75rb370>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a602=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o;o4i7194?=n>=0;66a8c;29?xd5=10;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<57>53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a5e>o1;3:17d8;:188k2e=831vn?;k:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm24g94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k?h0e;=50;9j21<722e5;|`127<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg41;3:1?7>50z&2i5Gc3:8 3?=l2.3>7m9b:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi>;650;194?6|,82o6:?4Hb0g?Me502.=57j4$909g14>i0k3:17pl=7083><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c046?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e:>=1<7=50;2x 4>c2>;0Dn"113n0(5<5c7a8m35=831b:94?::m4g?6=3th9;o4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo<8c;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e1k2c=?7>5;h47>5<i1<75rb3:3>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a6=7=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o;j4i7194?=n>=0;66a8c;29?xd50<0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a5`>o1;3:17d8;:188k2e=831vn?6n:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm29`94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k?o0e;=50;9j21<722e5;|`17=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg4>83:1?7>50z&2i5Gc3:8 3?=l2.3>7m9e:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi>4;50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g3`>i0k3:17pl=9883><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c0:e?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i=j6g93;29?l032900c:m50;9~f7?b29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e:0l1<7=50;2x 4>c2>;0Dn"113n0(5<5c508m35=831b:94?::m4g?6=3th9m54?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo5;h47>5<i1<75rb3cg>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a6dc=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o:>4i7194?=n>=0;66a8c;29?xd5j;0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a44>o1;3:17d8;:188k2e=831vn?l8:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm2c:94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k>;0e;=50;9j21<722e5;|`1ff<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg4el3:1?7>50z&2i5Gc3:8 3?=l2.3>7m81:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi>n<50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g24>i0k3:17pl=c783><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c0`3?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i<>6g93;29?l032900c:m50;9~f7ee29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e:ji1<7=50;2x 4>c2>;0Dn"113n0(5<5c618m35=831b:94?::m4g?6=3th9h=4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo5;h47>5<i1<75rb3f6>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a6a0=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o9=4i7194?=n>=0;66a8c;29?xd5lo0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a:1>o1;3:17d8;:188k2e=831vn?k;:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm2d794?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k>?0e;=50;9j21<722e5;|`1a<<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg4bi3:1?7>50z&2i5Gc3:8 3?=l2.3>7m85:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi>hh50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g20>i0k3:17pl=f283><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c0e0?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i<:6g93;29?l032900c:m50;9~f7`?29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e:o31<7=50;2x 4>c2>;0Dn"113n0(5<5c658m35=831b:94?::m4g?6=3th9ji4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo5;h47>5<i1<75rb221>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a755=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o:64i7194?=n>=0;66a8c;29?xd48>0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a4<>o1;3:17d8;:188k2e=831vn>>l:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm31f94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k=90e;=50;9j21<722e5;|`053<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg56?3:1?7>50z&2i5Gc3:8 3?=l2.3>7mn0:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi?>i0k3:17pl<2183><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c115?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i<56g93;29?l032900c:m50;9~f64229026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e;;<1<7=50;2x 4>c2>;0Dn"113n0(5<5c6c8m35=831b:94?::m4g?6=3th8>l4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo==b;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e0i2c=?7>5;h47>5<i1<75rb20e>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a766=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o:l4i7194?=n>=0;66a8c;29?xd4;=0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a4f>o1;3:17d8;:188k2e=831vn>=6:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm32c94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k>i0e;=50;9j21<722e5;|`07`<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg54n3:1?7>50z&2i5Gc3:8 3?=l2.3>7m8c:k57?6=3`86=46:183!7?l3227Em=d:J`6==#>0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi?9:50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g12>i0k3:17pl<4e83><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c17a?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3ijn6g93;29?l032900c:m50;9~f63529026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e;<91<7=50;2x 4>c2>;0Dn"113n0(5<5c6f8m35=831b:94?::m4g?6=3th89:4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo=:8;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e0l2c=?7>5;h47>5<i1<75rb27`>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a70b=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o:k4i7194?=n>=0;66a8c;29?xd4>80;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<7>53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a4a>o1;3:17d8;:188k2e=831vn>89:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm37594?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k1:0e;=50;9j21<722e5;|`02g<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg51k3:1?7>50z&2i5Gc3:8 3?=l2.3>7m70:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi?:?50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g=7>i0k3:17pl<7483><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c142?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i3=6g93;29?l032900c:m50;9~f61f29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e;>h1<7=50;2x 4>c2>;0Dn"113n0(5<5c568m35=831b:94?::m4g?6=3th8494?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo=75;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?ee>2c=?7>5;h47>5<i1<75rb2::>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a7=g=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o5<4i7194?=n>=0;66a8c;29?xd40l0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a;6>o1;3:17d8;:188k2e=831vn>7<:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm38694?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k190e;=50;9j21<722e5;|`0==<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg5>13:1?7>50z&2i5Gc3:8 3?=l2.3>7m73:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi?4k50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g=2>i0k3:17pl<<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c1b7?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i386g93;29?l032900c:m50;9~f6g029026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e;h21<7=50;2x 4>c2>;0Dn"113n0(5<5c978m35=831b:94?::m4g?6=3th8mn4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo=nd;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e?=2c=?7>5;h47>5<i1<75rb2`2>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a7g4=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o974i7194?=n>=0;66a8c;29?xd4k90;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a75>o1;3:17d8;:188k2e=831vn>m::18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm3b494?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k1<0e;=50;9j21<722e5;|`0gd<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg5dj3:1?7>50z&2i5Gc3:8 3?=l2.3>7m76:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi?i>50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g=1>i0k3:17pl<<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c1g1?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i3;6g93;29?l032900c:m50;9~f6b>29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e;mk1<7=50;2x 4>c2>;0Dn"113n0(5<5c9:8m35=831b:94?::m4g?6=3th8hh4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo=kf;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e?02c=?7>5;h47>5<i1<75rb2g0>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a7`2=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o574i7194?=n>=0;66a8c;29?xd4m10;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a;=>o1;3:17d8;:188k2e=831vn>kk:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm3dg94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k0l0e;=50;9j21<722e5;|`0b2<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg5a03:1?7>50z&2i5Gc3:8 3?=l2.3>7m;c:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi?kj50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g=d>i0k3:17pl;0083><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c636?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i3n6g93;29?l032900c:m50;9~f16129026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e<9=1<7=50;2x 4>c2>;0Dn"113n0(5<5c9a8m35=831b:94?::m4g?6=3th?5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo:?c;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e?k2c=?7>5;h47>5<i1<75rb533>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a047=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o5j4i7194?=n>=0;66a8c;29?xd39<0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<::7>53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a;`>o1;3:17d8;:188k2e=831vn9?n:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm40`94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k1o0e;=50;9j21<722e5;|`75c<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg2583:1?7>50z&2i5Gc3:8 3?=l2.3>7m7e:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi8?;50;194?6|,82o6:?4Hb0g?Me502.=57j4$909gd0>i0k3:17pl;2d83><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c61b?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i>:6g93;29?l032900c:m50;9~f15429026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e<:>1<7=50;2x 4>c2>;0Dn"113n0(5<5c9d8m35=831b:94?::m4g?6=3th??54?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo:<9;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e?n2c=?7>5;h47>5<i1<75rb51g>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a06c=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o4>4i7194?=n>=0;66a8c;29?xd3<;0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<??7>53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a:4>o1;3:17d8;:188k2e=831vn9:8:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm45:94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k0;0e;=50;9j21<722e5;|`70f<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg23l3:1?7>50z&2i5Gc3:8 3?=l2.3>7m61:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi88<50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g<4>i0k3:17pl;5783><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c663?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i2>6g93;29?l032900c:m50;9~f13e29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e<c2>;0Dn"113n0(5<5c`58m35=831b:94?::m4g?6=3th?:84?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo:96;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e2?2c=?7>5;h47>5<i1<75rb54b>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a03d=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o4=4i7194?=n>=0;66a8c;29?xd3>o0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<<<7>53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a:7>o1;3:17d8;:188k2e=831vn99;:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm46794?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k0>0e;=50;9j21<722e5;|`73<<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg20i3:1?7>50z&2i5Gc3:8 3?=l2.3>7m64:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi8:h50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g<0>i0k3:17pl;8283><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c6;0?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i2:6g93;29?l032900c:m50;9~f1>?29026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{e<131<7=50;2x 4>c2>;0Dn"113n0(5<5c858m35=831b:94?::m4g?6=3th?4i4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo:7e;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e>?2c=?7>5;h47>5<i1<75rb5;1>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a0<5=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181ol64i7194?=n>=0;66a8c;29?xd31j0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<2h7>53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a6<>o1;3:17d8;:188k2e=831vn9o>:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm4`094?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=k020e;=50;9j21<722e5;|`7e3<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg2f?3:1?7>50z&2i5Gc3:8 3?=l2.3>7m68:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi8lm50;194?6|,82o6:?4Hb0g?Me502.=57j4$909g>i0k3:17pl;b183><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c6a5?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i256g93;29?l032900c:m50;9~f1d229026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{ec2>;0Dn"113n0(5<5c8c8m35=831b:94?::m4g?6=3th?nl4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo:mb;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e>i2c=?7>5;h47>5<i1<75rb5`e>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a0f6=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o4l4i7194?=n>=0;66a8c;29?xd3k=0;644?:1y'5=b=001Co?j4Hb0;?!0>2;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e387>5;n:6>5<h97>53;294~"60m0<=6Fl2e9Kg7><,?31h6*72;a:f>o1;3:17d8;:188k2e=831vn9m6:18:>5<7s-;3h766;Ia1`>Nd:11/:44=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9694?=h0<0;66sm4bc94?5=83:p(<6k:638Lf4c3Ai946*99;f8 =4=kh30e;=50;9j21<722e5;|`7`6<7200;6=u+19f9<<=Ok;n0Dn<7;%4:>7=nm3:17dh50;9j55<722c:=7>5;h35>5<>i?<3:17b6::188yg2c<3:1?7>50z&2i5Gc3:8 3?=l2.3>7m:9:k57?6=3`0097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5:50;9l<0<722wi8i750;194?6|,82o6:?4Hb0g?Me502.=57j4$909g>i0k3:17pl;de83><<729q/=5j5889Kg7b<@j837)86:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;0?6=3f2>6=44}c6ga?6=;3:18e845>Nd:m1Co?64$7;9`>"?:3i2o6g93;29?l032900c:m50;9~f1c529026=4?{%3;`?>>3Ai9h6Fl299'2<<53`o1<75ff;29?l772900e5;h77>5<1<75`8483>>{ec2>;0Dn"113n0(5<5c8f8m35=831b:94?::m4g?6=3th?i:4?:883>5}#91n1445Gc3f8Lf4?3-<26?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a74;29?j>22900qo:j8;297?6=8r.:4i481:J`6a=Ok;20(;75d:&;6?e>l2c=?7>5;h47>5<i1<75rb5g`>5<>290;w)?7d;::?Me5l2Bh>55+6881?lc=831bj7>5;h33>5<>o613:17d;;:188k=2=831d484?::a0`b=8391<7>t$0:g>27<@j8o7Em=8:&5=?b<,181o9o4i7194?=n>=0;66a8c;29?xd1im0;6>4?:1y'5=b=?81Co?j4Hb0;?!0>2?1/4?4l549j26<722c=87>5;n5`>5<55;294~"60m0<,?31n6g93;29?l032900e;;50;9j23<722e5;|`5f7<72:0;6=u+19f934=Ok;n0Dn<7;%4:>3=n>:0;66g94;29?j1d2900qoll1;290?6=8r.:4i482:J`6a=Ok;20(;75149'<74?::k50?6=3`<>6=44o6a94?=zjj8?6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3thh>84?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vnn<<:187>5<7s-;3h7;l;Ia1`>Nd:11/:44>;h37>5<1<75f8783>>i?<3:17pl9c183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb7`e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi:n?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f3e3290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd1k:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm6b494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c4`1?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th=o54?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn;m8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg0di3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e>j31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb7a`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`5gg<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi:nk50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo8ld;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd1l90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj?im6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c4g6?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a2a7=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn;j;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl9d283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e>m<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`5`=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f3b0290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo8ka;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm6e;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj?nh6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th=ho4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a2ac=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg0cl3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl9e183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb7fe>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi:h?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f3c3290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd1m:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm6d494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c4f1?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th=i54?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn;k8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg0bi3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e>l31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb7g`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`5ag<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi:hk50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo8jd;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd1n90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj?om6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c4e6?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a2c7=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn;h;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl9f283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e>o<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`5b=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f3`0290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo8ia;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm6g;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj?lh6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th=jo4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a2cc=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg0al3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl80183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb7de>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi;=?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f263290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd08:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm71494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c531?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th<<54?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn:>8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg17i3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e?931<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb62`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`44g<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi;=k50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo9?d;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd0990;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj>:m6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c526?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a347=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn:?;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl81283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e?8<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`45=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f270290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo9>a;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm70;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj>;h6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th<=o4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a34c=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg16l3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl82183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb63e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi;??50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f243290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd0::0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm73494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c511?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th<>54?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn:<8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg15i3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e?;31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb60`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`46g<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi;?k50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo9=d;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd0;90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj>8m6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c506?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a367=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn:=;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl83283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e?:<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`47=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f250290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo99h6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a36c=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg14l3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl84183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb61e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi;9?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f223290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd0<:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm75494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c571?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th<854?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn::8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg13i3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e?=31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb66`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`40g<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi;9k50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo9;d;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd0=90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj>>m6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c566?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a307=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn:;;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl85283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e?<<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<97>55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`41=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f230290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo9:a;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm74;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj>?h6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th<9o4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a30c=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg12l3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl86183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb67e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi;;?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f203290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd0>:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm77494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c551?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th<:54?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn:88:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg11i3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e??31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb64`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`42g<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi;;k50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo99d;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd0?90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c546?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a327=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn:9;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl87283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e?><1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`43=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f210290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo98a;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm76;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj>=h6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th<;o4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a32c=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg10l3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl88183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb65e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi;5?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f2>3290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd00:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm79494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c5;1?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th<454?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn:68:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg1?i3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e?131<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb6:`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`44=n9=0;66g>6;29?l332900e5850;9l<1<722wi;5k50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo97d;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd0190;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj>2m6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c5:6?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a3<7=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn:7;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl89283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e?0<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`4==<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f2?0290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo96a;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm78;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj>3h6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th<5o4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a31<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg1>l3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl8a183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb6;e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi;l?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f2g3290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd0i:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm7`494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c5b1?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn:o8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg1fi3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e?h31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb6c`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`4eg<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi;lk50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo9nd;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd0j90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj>km6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c5a6?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a3g7=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn:l;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl8b283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e?k<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`4f=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f2d0290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo9ma;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm7c;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj>hh6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a3gc=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg1el3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl8c183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb6`e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi;n?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f2e3290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd0k:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm7b494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c5`1?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn:m8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg1di3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e?j31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb6a`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`4gg<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi;nk50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo9ld;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd0l90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj>im6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c5g6?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a3a7=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn:j;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl8d283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e?m<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`4`=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f2b0290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo9ka;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm7e;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj>nh6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a3ac=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg1cl3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl8e183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb6fe>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi;h?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f2c3290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd0m:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm7d494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c5f1?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn:k8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg1bi3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e?l31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb6g`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`4ag<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi;hk50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo9jd;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd0n90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj>om6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c5e6?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a3c7=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn:h;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl8f283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e?o<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`4b=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f2`0290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo9ia;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm7g;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj>lh6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a3cc=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg1al3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl70183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb6de>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi4=?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f=63290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd?8:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm81494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c:31?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th3<54?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn5>8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg>7i3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e0931<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb92`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`;4g<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi4=k50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo6?d;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd?990;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj1:m6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c:26?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a<47=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn5?;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl71283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e08<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`;5=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f=70290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo6>a;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm80;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj1;h6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th3=o4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a<4c=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg>6l3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl72183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb93e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi4??50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f=43290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd?::0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm83494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c:11?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th3>54?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn5<8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg>5i3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e0;31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb90`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`;6g<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi4?k50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo6=d;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd?;90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj18m6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c:06?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a<67=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn5=;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl73283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e0:<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`;7=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f=50290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo600:7d?;:188m40=831b994?::m;0?6=3th3?o4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a<6c=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg>4l3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl74183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb91e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi49?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f=23290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd?<:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm85494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c:71?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th3854?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn5:8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg>3i3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e0=31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb96`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`;0g<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi49k50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo6;d;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd?=90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj1>m6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c:66?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a<07=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn5;;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl75283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e0<<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<97>55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`;1=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f=30290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo6:a;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm84;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj1?h6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th39o4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a<0c=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg>2l3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl76183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb97e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi4;?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f=03290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd?>:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm87494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c:51?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th3:54?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn588:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg>1i3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e0?31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb94`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`;2g<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi4;k50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo69d;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd??90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj100:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c:46?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a<27=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn59;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl77283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e0><1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`;3=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f=10290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo68a;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm86;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj1=h6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th3;o4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a<2c=83>1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg>0l3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl78183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb95e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi45?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f=>3290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd?0:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm89494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c:;1?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th3454?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn568:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg>?i3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e0131<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb9:`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`;4=n9=0;66g>6;29?l332900e5850;9l<1<722wi45k50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo67d;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd?190;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj12m6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c::6?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::a<<7=83?1<7>t$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn57;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl79283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e00<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`;==<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f=?0290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo66a;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm88;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj13h6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th35o4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a<1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg>>l3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl7a183>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb9;e>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<7>54;294~"60m0>n6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9l<1<722wi4l?50;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f=g3290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd?i:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm8`494?2=83:p(<6k:4`8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3f2?6=44}c:b1?6==3:18e86`>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831b4;4?::m;0?6=3th3m54?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn5o8:186>5<7s-;3h7;k;Ia1`>Nd:11/:44>;h37>5<>o?>3:17b6;:188yg>fi3:187>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75`8583>>{e0h31<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rb9c`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`;eg<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wi4lk50;694?6|,82o68l4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?j>32900qo6nd;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd?j90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj1km6=4::183!7?l3?o7Em=d:J`6==#>00:7d?;:188m40=831b994?::k;2?6=3f2?6=44}c:a6?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::at$0:g>0b<@j8o7Em=8:&5=?71<75f1783>>o2<3:17d69:188k=2=831vn5l;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl7b283>0<729q/=5j55e9Kg7b<@j837)86:09j51<722c::7>5;h77>5<>{e0k<1<7:50;2x 4>c2"113;0e<:50;9j53<722c>87>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`;f=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f=d0290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo6ma;290?6=8r.:4i4:b:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=h0=0;66sm8c;94?3=83:p(<6k:4f8Lf4c3Ai946*99;38m42=831b=;4?::k60?6=3`2=6=44o9694?=zj1hh6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th3no4?:483>5}#91n19i5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188m=0=831d494?::a1<7>t$0:g>0d<@j8o7Em=8:&5=?71<75f1783>>o2<3:17b6;:188yg>el3:197>50z&2i5Gc3:8 3?=92c:87>5;h35>5<1<75f8783>>i?<3:17pl>9283>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb2`f>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`64a<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f023290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd2?k0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj00:7d?;:188m40=831b994?::m;0?6=3th>i44?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn;5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl95683>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb7:f>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`2gd<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f766290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd5;10;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj;00:7d?;:188m40=831b994?::m;0?6=3th9m;4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn?jk:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl<1583>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb26a>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`0<7<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f6d>290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd4n<0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj=8h6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th?:>4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn97n:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl;d083>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb5d7>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`7b<<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f1`b290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd28:0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj<:36=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th>=?4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn8?8:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl:1b83>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb402>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`663<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f04e290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd2;90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj<9>6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th>?l4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn8=i:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl:4883>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb46f>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`616<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f03?290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd2=m0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj<<96=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th>::4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn88l:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl:7083>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb455>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`6<5<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f0>2290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd20h0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj<2m6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th>594?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn876:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl:9d83>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb4c0>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`6e=<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f0gc290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd2j>0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj00:7d?;:188m40=831b994?::m;0?6=3th>o<4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn8m9:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl:cc83>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb4f3>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`6`0<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f0bf290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd2lo0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj00:7d?;:188m40=831b994?::m;0?6=3th>ih4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn8h<:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl:f983>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb4dg>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`547<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f360290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd18j0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj?;:6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th==;4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn;?m:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl92483>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb70b>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`56c<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f353290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd1;00;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj?9n6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th=8>4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn;:7:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl94e83>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb771>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`51f<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f306290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd1>?0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj?00:7d?;:188m40=831b994?::m;0?6=3th=;=4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn;9::187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl97`83>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb75e>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`5<1<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f3>>290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd6110;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj83o6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th:m?4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl>ab83>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb0`2>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`2f3<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f4de290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd6k90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj8i>6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th:ok4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl>d883>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb0ff>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`2a6<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f4c?290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd6mm0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj8l96=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th:j:4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl=0783>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb32a>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`155<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f772290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd59h0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj;;m6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th9>94?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn?<6:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl=2d83>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb310>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`17a<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f725290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd5<>0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj;>h6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th99<4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn?;9:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl=5c83>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb343>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`120<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f70f290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd5?=0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj;=26=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th9;h4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn?6<:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl=8983>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb3:g>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`1=7<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f7?0290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd51j0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj;k:6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th9mo4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn?l?:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl=b483>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb3`b>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`1fc<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f7e3290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd5k00;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj;in6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th9h>4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn?j7:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl=e383>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb3g4>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`1af<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f7`6290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd5n?0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj;li6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th8<=4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn>>::187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl<0`83>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb22e>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`05<<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f67b290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd4::0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj:836=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th8>i4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn>==:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl<3683>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb21`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`004<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f621290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd4=90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj:?>6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th89l4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn>;i:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl<6583>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb24:>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`02`<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f614290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd4?10;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj:=o6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th84:4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn>6l:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl<9083>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb2;5>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`0=g<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f6g7290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd4i<0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj:kj6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th8mk4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn>l;:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb2a;>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`0ga<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f6b5290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd4l>0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj:nh6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th8i<4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn>k9:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb2d3>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`0bd<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f6`a290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd38=0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj=:26=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th?5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn9?<:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl;1983>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb53g>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`767<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f140290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd3;80;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj=9=6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th??o4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn9:?:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl;4483>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb56b>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`70c<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f133290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd3=00;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj=?n6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th?:54?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn98k:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl;7383>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb554>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`73f<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f1>6290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd30?0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj=2i6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th?5=4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn97::187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl;9g83>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb5c7>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`7e<<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f1gb290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd3j:0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj=h36=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th?ni4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn9m=:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl;c683>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb5a`>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`7`3<72=0;6=u+19f91g=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900c5:50;9~f1be290?6=4?{%3;`?3e3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66a74;29?xd3m90;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zj=o>6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3th?il4?:583>5}#91n19o5Gc3f8Lf4?3-<26<5f1583>>o6>3:17d;;:188k=2=831vn9ki:187>5<7s-;3h7;m;Ia1`>Nd:11/:44>;h37>5<>i?<3:17pl9a`83>1<729q/=5j55c9Kg7b<@j837)86:09j51<722c::7>5;h77>5<1<75rb7ca>5<3290;w)?7d;7a?Me5l2Bh>55+6882?l732900e<850;9j11<722e387>5;|`5f=<72<0;6=u+19f91d=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l4e2900e8:50;9l<1<722wi:o=50;694?6|,82o68m4Hb0g?Me502.=57?4i0694?=n==0;66g76;29?j>32900qo8m7;291?6=8r.:4i4:a:J`6a=Ok;20(;751:k20?6=3`;=6=44i3`94?=n==0;66a74;29?xd1j?0;694?:1y'5=b==k1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44o9694?=zjki;6=4;:183!7?l3?i7Em=d:J`6==#>00:7d?;:188m40=831b994?::m;0?6=3thio>4?:483>5}#91n1;>5Gc3f8Lf4?3-<2695f6283>>o1<3:17d8::188m30=831d;n4?::aff2=83?1<7>t$0:g>25<@j8o7Em=8:&5=?323`<86=44i7694?=n><0;66g96;29?j1d2900qoll5;291?6=8r.:4i483:J`6a=Ok;20(;757:k57?6=3`?0;66a8c;29?xdek?0;684?:1y'5=b=?:1Co?j4Hb0;?!0>2<1b:>4?::k50?6=3`<>6=44i7494?=h?j0;66smbb594?3=83:p(<6k:618Lf4c3Ai946*99;08m35=831b:94?::k51?6=3`<=6=44o6a94?=zjki36=4::183!7?l3=87Em=d:J`6==#>0097d8<:188m32=831b:84?::k52?6=3f=h6=44}c``=?6==3:18e847>Nd:m1Co?64$7;96>o1;3:17d8;:188m33=831b:;4?::m4g?6=3thiol4?:483>5}#91n1;>5Gc3f8Lf4?3-<26>5f6283>>o1<3:17d8::188m30=831d;n4?::affe=8381<7>t$0:g>3g<@j8o7Em=8:k56?6=3f=h6=44}ca3a?6=<3:18e86f>Nd:m1Co?64$7;95>o6<3:17d?9:188m02=831d494?::af`g=8391<7>t$0:g>f4d3Ai9h6Fl299j5=<722c=n7>5;n5`>5<54;294~"60m0<:6Fl2e9Kg7>>o??3:17b8l:188ygdbm3:1?7>50z&25;h75>5<>{ejo81<7=50;2x 4>c2j8h7Em=d:J`6==n910;66g9b;29?j1d2900qoljf;290?6=8r.:4i486:J`6a=Ok;20e<650;9j13<722c3;7>5;n4`>5<53;294~"60m0h>n5Gc3f8Lf4?3`;36=44i7`94?=h?j0;66smbg194?2=83:p(<6k:648Lf4c3Ai946g>8;29?l312900e5950;9l2f<722winko50;194?6|,82o6nNd:11b=54?::k5f?6=3f=h6=44}c`e3?6=<3:18e842>Nd:m1Co?64i0:94?=n=?0;66g77;29?j0d2900qolie;297?6=8r.:4i4l2b9Kg7b<@j837d?7:188m3d=831d;n4?::afcd=83>1<7>t$0:g>20<@j8o7Em=8:k2j0;66smc1094?5=83:p(<6k:b0`?Me5l2Bh>55f1983>>o1j3:17b9l:188ygdan3:187>50z&22Bh>i5Gc3:8m4>=831b9;4?::k;3?6=3f8e8`6f=Ok;n0Dn<7;h3;>5<>{ejl31<7<50;2x 4>c2>:0Dn"113<0e;=50;9l3f<722winhj50;094?6|,82o6:>4Hb0g?Me502.=5784i7194?=h?j0;66smbg394?4=83:p(<6k:628Lf4c3Ai946*99;48m35=831d;n4?::afc3=8381<7>t$0:g>26<@j8o7Em=8:&5=?0>{ejo31<7<50;2x 4>c2>:0Dn"113<0e;=50;9l3f<722winkj50;094?6|,82o6:>4Hb0g?Me502.=5784i7194?=h?j0;66smc1394?4=83:p(<6k:628Lf4c3Ai946*99;48m35=831d;n4?::ag52=8381<7>t$0:g>26<@j8o7Em=8:&5=?0>{ejl21<7;50;2x 4>c2"113;0e<:50;9j53<722c>87>5;h:5>5<1<75rbcg`>5<2290;w)?7d;7g?Me5l2Bh>55+6882?l732900e<850;9j11<722c3:7>5;n:7>5<55;294~"60m0>h6Fl2e9Kg7><,?31=6g>4;29?l712900e8:50;9j<3<722e387>5;|`ab1<72<0;6=u+19f91a=Ok;n0Dn<7;%4:>4=n9=0;66g>6;29?l332900e5850;9l<1<722wink650;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~fg`d290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qom?0;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xdd8:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66sm68c94?5=83:p(<6k:b0`?Me5l2Bh>55f1983>>o1j3:17b9l:188yg0>?3:187>50z&22Bh>i5Gc3:8m4>=831b9;4?::k;3?6=3f8e8`6f=Ok;n0Dn<7;h3;>5<>{e>0h1<7:50;2x 4>c2><0Dno603:17d;9:188m=1=831d:n4?::a2d4=8391<7>t$0:g>f4d3Ai9h6Fl299j5=<722c=n7>5;n5`>5<54;294~"60m0<:6Fl2e9Kg7>>o??3:17b8l:188yg0f=3:1?7>50z&24Hb0g?Me502.=5784i7194?=h?j0;66sm68f94?4=83:p(<6k:628Lf4c3Ai946*99;48m35=831d;n4?::a2d7=8381<7>t$0:g>26<@j8o7Em=8:&5=?0>{e>h>1<7<50;2x 4>c2>:0Dn"113<0e;=50;9l3f<722wi:4650;794?6|,82o68j4Hb0g?Me502.=57?4i0694?=n9?0;66g:4;29?l>12900c5:50;9~f3?d290>6=4?{%3;`?3c3Ai9h6Fl299'2<<63`;?6=44i0494?=n==0;66g76;29?j>32900qo8n0;291?6=8r.:4i4:d:J`6a=Ok;20(;751:k20?6=3`;=6=44i4694?=n0?0;66a74;29?xd1i:0;684?:1y'5=b==m1Co?j4Hb0;?!0>281b=94?::k22?6=3`??6=44i9494?=h0=0;66smbbg94?1=83:p(<6k:678Lf4c3Ai946*99;7;?l042900e;:50;9j20<722c=:7>5;h44>5<>{ejm:1<7950;2x 4>c2>?0Dn"113?37d8<:188m32=831b:84?::k52?6=3`<<6=44i7:94?=h?j0;66smbe394?1=83:p(<6k:678Lf4c3Ai946*99;a8m35=831b:94?::k51?6=3`<=6=44i7594?=n>10;66a8c;29?xdel;0;6:4?:1y'5=b=?<1Co?j4Hb0;?!0>2j1b:>4?::k50?6=3`<>6=44i7494?=n>>0;66g98;29?j1d2900qolk3;293?6=8r.:4i485:J`6a=Ok;20(;75c:k57?6=3`?0;66g97;29?l0?2900c:m50;9~fgb3290<6=4?{%3;`?123Ai9h6Fl299'2<<0;66g96;29?l002900e;650;9l3f<722wini;50;594?6|,82o6:;4Hb0g?Me502.=57m4i7194?=n>=0;66g95;29?l012900e;950;9j2=<722e5;|`a`3<72<0;6=u+19f936=Ok;n0Dn<7;%4:>g=n>:0;66g94;29?l022900e;850;9l3f<722wini950;594?6|,82o6:;4Hb0g?Me502.=57;j;h40>5<1<75f6483>>o1>3:17d88:188m3>=831d;n4?::aff`=83<1<7>t$0:g>22<@j8o7Em=8:&5=?753`<86=44i7694?=n><0;66g96;29?l002900c:m50;9~f3d3290?6=4?{%3;`?3>3Ai9h6Fl299'2<<63`;?6=44i3`94?=n==0;66a74;29?xd1j<0;694?:1y'5=b==01Co?j4Hb0;?!0>281b=94?::k1f?6=3`??6=44o9694?=z{=k1<7{tfa0=>=1v9;50;0xZ13<5kn>6;64}r67>5<5sW>?70lk5;44?xu3:3:1>vP;2:?a`0<1>2wx8<4?:3y]04=:jm?1:85rs5294?4|V=:01oj::718yv5a2909wS=i;<`g1?033ty8i7>52z\0a>;el;0=46s|3e83>7}Y;m16ni<5669~w6e=838pR>m4=cf1>307p}{t;=0;6?uQ359>fa7=><1v>=50;0xZ65<5kn:6;=4}r11>5<5sW9970lk1;47?xu493:1>vP<1:?a`1<102wx?=4?:3y]75=:jm>1::5rs3d94?4|V;l01oj;:748yv4b2909wS=7>52z\65>;el=0=?6s|5183>7}Y=916ni:5659~w1`=83>pR9h4=b07>02<5ki:6;;4=cf0>3>n6=4:{_6f?80e03;=708m8;77?80e:3:9489=d728<015oi:9489=gb28<015ok:9489=gd28<015om:9489=gf28<015o6:9489=g?28<015o8:9489=g128<015o::9489=g328<015o<:9489=g528<015o>:9489=g728<0157i:9489=?b28<0157k:9489=?d28<0157m:9489=?f28<01576:9489=??28<01578:9489=?128<0157::9489=?328<0157<:9489=?528<0157>:9489=?728<0156i:9489=>b28<0156k:9489=>d28<0156m:9489=>f28<01566:9489=>?28<01568:9489=>128<0156::9489=>328<0156<:9489=>528<0156>:9489=>728<0159i:9489=1b28<0159k:9489=1d28<0159m:9489=1f28<01596:9489=1?28<01598:9489=1128<0159::9489=1328<0159<:9489=1528<0159>:9489=1728<0158i:9489=0b28<0158k:9489=0d28<0158m:9489=0f28<01586:9489=0?28<01588:9489=0128<0158::9489=0328<0158<:9489=0528<0158>:9489=0728<015;i:9489=3b28<015;k:9489=3d28<015;m:9489=3f28<015;6:9489=3?28<015;8:9489=3128<015;::9489=3328<015;<:9489=3528<015;>:9489=3728<015:i:9489=2b28<015:k:9489=2d28<015:m:9489=2f28<015:6:9489=2?28<015:8:9489=2128<015:::9489=2328<015:<:9489=2528<015:>:9489=2728<015=i:9489=5b28<015=k:9489=5d28<015=m:9489=5f28<015=6:9489=5?28<015=8:9489=5128<015=::9489=5328<015=<:9489=5528<015=>:9489=5728<015:9489=4728<015?i:9489=7b28<015?k:9489=7d28<015?m:9489=7f28<015?6:9489=7?28<015?8:9489=7128<015?::9489=7328<015?<:9489=7528<015?>:9489=7728<015>i:9489=6b28<015>k:9489=6d28<015>m:9489=6f28<015>6:9489=6?28<015>8:9489=6128<015>::9489=6328<015><:9489=6528<015>>:9489=6728<01:hi:94892`b28<01:hk:94892`d28<01:hm:94892`f28<01:h6:94892`?28<01:h8:94892`128<01:h::94892`328<01:h<:94892`528<01:h>:94892`728<01:ki:94892cb28<01:kk:94892cd28<01:km:94892cf28<01:k6:94892c?28<01:k8:94892c128<01:k::94892c328<01:k<:94892c528<01:k>:94892c728<01:ji:94892bb28<01:jk:94892bd28<01:jm:94892bf28<01:j6:94892b?28<01:j8:94892b128<01:j::94892b328<01:j<:94892b528<01:j>:94892b728<01:mi:94892eb28<01:mk:94892ed28<01:mm:94892ef28<01:m6:94892e?28<01:m8:94892e128<01:m::94892e328<01:m<:94892e528<01:m>:94892e728<01:li:94892db28<01:lk:94892dd28<01:lm:94892df28<01:l6:94892d?28<01:l8:94892d128<01:l::94892d328<01:l<:94892d528<01:l>:94892d728<01:oi:94892gb28<01:ok:94892gd28<01:om:94892gf28<01:o6:94892g?28<01:o8:94892g128<01:o::94892g328<01:o<:94892g528<01:o>:94892g728<01:7i:94892?b28<01:7k:94892?d28<01:7m:94892?f28<01:76:94892??28<01:78:94892?128<01:7::94892?328<01:7<:94892?528<01:7>:94892?728<01:6i:94892>b28<01:6k:94892>d28<01:6m:94892>f28<01:66:94892>?28<01:68:94892>128<01:6::94892>328<01:6<:94892>528<01:6>:94892>728<01:9i:948921b28<01:9k:948921d28<01:9m:948921f28<01:96:948921?28<01:98:948921128<01:9::948921328<01:9<:948921528<01:9>:948921728<01:8i:948920b28<01:8k:948920d28<01:8m:948920f28<01:86:948920?28<01:88:948920128<01:8::948920328<01:8<:948920528<01:8>:948920728<01:;i:948923b28<01:;k:948923d28<01:;m:948923f28<01:;6:948923?28<01:;8:948923128<01:;::948923328<01:;<:948923528<01:;>:948923728<01::i:948922b28<01::k:948922d28<01::m:948922f28<01::6:948922?28<01::8:948922128<01::::948922328<01::<:948922528<01::>:948922728<01:=i:948925b28<01:=k:948925d28<01:=m:948925f28<01:=6:948925?28<01:=8:948925128<01:=::948925328<01:=<:948925528<01:=>:948925728<01::948924728<01:?i:948927b28<01:?k:948927d28<01:?m:948927f28<01:?6:948927?28<01:?8:948927128<01:?::948927328<01:?<:948927528<01:?>:948927728<01:>i:948926b28<01:>k:948926d28<01:>m:948926f28<01:>6:948926?28<01:>8:948926128<01:>::948926328<01:><:948926528<01:>>:948926728<01;hi:94893`b28<01;hk:94893`d28<01;hm:94893`f28<01;h6:94893`?28<01;h8:94893`128<01;h::94893`328<01;h<:94893`528<01;h>:94893`728<01;ki:94893cb28<01;kk:94893cd28<01;km:94893cf28<01;k6:94893c?28<01;k8:94893c128<01;k::94893c328<01;k<:94893c528<01;k>:94893c728<01;ji:94893bb28<01;jk:94893bd28<01;jm:94893bf28<01;j6:94893b?28<01;j8:94893b128<01;j::94893b328<01;j<:94893b528<01;j>:94893b728<01;mi:94893eb28<01;mk:94893ed28<01;mm:94893ef28<01;m6:94893e?28<01;m8:94893e128<01;m::94893e328<01;m<:94893e528<01;m>:94893e728<01;li:94891cc2?9019kl:04891c?2?9019k8:04891c42?9019k=:04891bb2?9019jk:04891b>2?9019j7:04891b32?9019j<:04891ef2?9019m6:04891e22?9019m;:04891e72?9019li:04891de2?9019ln:04891d12?9019l::04891d62?9019l?:04891gd2?9019om:04891g02?9019o9:04891g52?9019o>:04891?c2?90197l:04891?42?90197=:04891>b2?90196k:04891>>2?901967:04891>32?90196<:048911a2?90199j:048911f2?901996:04891122?90199;:04891172?90198i:048910e2?90198n:04891012?90198::048913d2?9019;m:04891302?9019;9:04891352?9019;>:048912c2?9019:l:048912?2?9019:8:04891242?9019:=:048915b2?9019=k:048915>2?9019=7:04891532?9019=<:048914a2?9019m:04891602?9019>9:04891652?9019>>:04896`c2?901>hl:04896`?2?901>h8:04896cb2?901>kk:04896c>2?901>k7:04896c32?901>k<:04896ba2?901>jj:04896bf2?901>j6:04896b22?901>j;:04896b72?901>mi:04896ee2?901>mn:04896e12?901>m::04896e62?901>m?:04896d52?901>l>:04896gc2?901>ol:04896g?2?901>o8:04896g42?901>o=:04896?b2?901>7k:04896?>2?901>77:04896?32?901>7<:04896>a2?901>6j:04896>f2?901>66:04896>22?901>6;:048961e2?901>9n:04896112?901>9::04896162?901>9?:048960d2?901>8m:04896002?901>89:04896052?901>8>:048963c2?901>;l:048963?2?901>;8:04896342?901>;=:048962b2?901>:k:04896232?901>:<:048965a2?901>=j:048965f2?901>=6:04896522?901>=;:04896572?901><::04896462?901>?m:04896702?901>?9:048966c2?901>>l:048966?2?901>>8:04896642?901>>=:04897`b2?901?hk:04897`>2?901?h7:04897`32?901?h<:04897ca2?901?kj:04897cf2?901?k6:04897c22?901?k;:04897c72?901?ji:04897b12?901?j::04897b62?901?j?:04897ed2?901?mm:04897e02?901?m9:04897e52?901?m>:04897dc2?901?ll:04897d?2?901?l8:04897d42?901?l=:04897gb2?901?ok:04897g>2?901?o7:04897?a2?901?7j:04897?f2?901?76:04897?22?901?7;:04897?72?901?6i:04897>e2?901?6n:04897>12?901?6::04897>62?901?6?:048971d2?901?9m:04897102?901?99:04897152?901?9>:048970?2?901?88:04897042?901?8=:048973b2?901?;k:048973>2?901?;7:04897332?901?;<:048972a2?901?:j:048972f2?901?:6:04897222?901?:;:04897272?901?=i:048975e2?901?=n:04897562?901?=?:048974d2?901?:048977c2?901??l:048977?2?901??8:04897742?901??=:048976b2?901?>k:048976>2?901?>7:04897632?901?><:04894`f2?901:04894ec2?9012?90102?901;69:04893>52?901;6>:048931c2?901;9l:048931?2?901;98:04893142?901;9=:048930b2?901;8k:048930>2?901;87:04893032?901;8<:048933a2?901;;j:048933f2?901;;6:04893372?901;:i:048932e2?901;:n:04893212?901;:::04893262?901;:?:048935d2?901;=m:04893502?901;=9:04893552?901;=>:048934c2?901;2?901;?7:04893732?901;?<:048936a2?901;>j:048936f2?901;>6:04893622?901;>;:04893672?9018hi:04890`e2?9018hn:04890`12?9018h::04890`62?9018h?:04890cd2?9018km:04890c52?9018k>:04890bc2?9018jl:04890b?2?9018j8:04890b42?9018j=:04890eb2?9018mk:04890e>2?9018m7:04890e32?9018m<:04890da2?9018lj:04890df2?9018l6:04890d22?9018l;:04890ge2?9018on:04890g12?9018o::04890g62?9018o?:04890?d2?90187m:04890?02?901879:04890?52?90187>:04890>c2?90186l:04890>?2?901868:04890>42?90186=:048901b2?90189k:04890132?90189<:048900a2?90188j:048900f2?901886:04890022?90188;:04890072?9018;i:048903e2?9018;n:04890312?9018;::04890362?9018;?:048902d2?9018:m:04890202?9018:9:048905c2?9018=l:048905?2?9018=8:04890542?9018==:048904b2?90182?9018<7:04890432?9018<<:048907a2?9018?j:048907f2?9018?6:04890722?9018?;:04890772?9018>i:04890612?9018>::04890662?9018>?:04891`d2?9019hm:04891`02?9019h9:04891`52?9019h>:04891ea2?9019mj:04891??2?901978:04891062?90198?:048914f2?9019<6:04896`42?901>h=:04896d02?901>l9:04896>72?901>9i:048962>2?901>:7:04896752?901>?>:04897be2?901?jn:04897g32?901?o<:048970c2?901?8l:04897512?901?=::04894`a2?901d2?901;6m:04893322?901;;;:048937b2?901;?k:04890c02?9018k9:04890d72?9018oi:048901>2?901897:04890252?9018:>:048906e2?9018>n:04896dd2?901>lm:04894?62?901<7?:0489gb42?<0q~:l:180[2d34hho78=;<`g7?023ty??7>52z\77>;el:0=?6s|3983>6}Y;116=4>5559>fa5=>=1v?j50;03b~X5l27io=4>4:?5f=<6<273nh4>4:?;ff<6<273nl4>4:?;f=<6<273n;4>4:?;f1<6<273n?4>4:?;f5<6<273mh4>4:?;ef<6<273ml4>4:?;e=<6<273m;4>4:?;e1<6<273m?4>4:?;e5<6<2735h4>4:?;=f<6<2735l4>4:?;==<6<2735;4>4:?;=1<6<2735?4>4:?;=5<6<2734h4>4:?;4:?;<=<6<2734;4>4:?;<1<6<2734?4>4:?;<5<6<273;h4>4:?;3f<6<273;l4>4:?;3=<6<273;;4>4:?;31<6<273;?4>4:?;35<6<273:h4>4:?;2f<6<273:l4>4:?;2=<6<273:;4>4:?;21<6<273:?4>4:?;25<6<2739h4>4:?;1f<6<2739l4>4:?;1=<6<2739;4>4:?;11<6<2739?4>4:?;15<6<2738h4>4:?;0f<6<2738l4>4:?;0=<6<2738;4>4:?;01<6<2738?4>4:?;05<6<273?h4>4:?;7f<6<273?l4>4:?;7=<6<273?;4>4:?;71<6<273??4>4:?;75<6<273>h4>4:?;6f<6<273>l4>4:?;6=<6<273>;4>4:?;61<6<273>?4>4:?;65<6<273=h4>4:?;5f<6<273=l4>4:?;5=<6<273=;4>4:?;51<6<273=?4>4:?;55<6<273

4:?;4f<6<2734:?;4=<6<273<;4>4:?;41<6<2734:?;45<6<274:?4bf<6<274:?4b=<6<274:?4b1<6<274:?4b5<6<274:?4af<6<274:?4a=<6<274:?4a1<6<274:?4a5<6<274:?4`f<6<274:?4`=<6<274:?4`1<6<274:?4`5<6<274:?4gf<6<274:?4g=<6<274:?4g1<6<274:?4g5<6<274:?4ff<6<274:?4f=<6<274:?4f1<6<274:?4f5<6<274:?4ef<6<274:?4e=<6<274:?4e1<6<274:?4e5<6<27<5h4>4:?4=f<6<27<5l4>4:?4==<6<27<5;4>4:?4=1<6<27<5?4>4:?4=5<6<27<4h4>4:?44:?4<=<6<27<4;4>4:?4<1<6<27<4?4>4:?4<5<6<27<;h4>4:?43f<6<27<;l4>4:?43=<6<27<;;4>4:?431<6<27<;?4>4:?435<6<27<:h4>4:?42f<6<27<:l4>4:?42=<6<27<:;4>4:?421<6<27<:?4>4:?425<6<27<9h4>4:?41f<6<27<9l4>4:?41=<6<27<9;4>4:?411<6<27<9?4>4:?415<6<27<8h4>4:?40f<6<27<8l4>4:?40=<6<27<8;4>4:?401<6<27<8?4>4:?405<6<274:?47f<6<274:?47=<6<274:?471<6<274:?475<6<27<>h4>4:?46f<6<27<>l4>4:?46=<6<27<>;4>4:?461<6<27<>?4>4:?465<6<27<=h4>4:?45f<6<27<=l4>4:?45=<6<27<=;4>4:?451<6<27<=?4>4:?455<6<27<

4:?44f<6<27<4:?44=<6<27<<;4>4:?441<6<27<4:?445<6<27=jh4>4:?5bf<6<27=jl4>4:?5b=<6<27=j;4>4:?5b1<6<27=j?4>4:?5b5<6<27=ih4>4:?5af<6<27=il4>4:?5a=<6<27=i;4>4:?5a1<6<27=i?4>4:?5a5<6<27=hh4>4:?5`f<6<27=hl4>4:?5`=<6<27=h;4>4:?5`1<6<27=h?4>4:?5`5<6<27=oh4>4:?5gf<6<27=ol4>4:?5g=<6<27=o;4>4:?5g1<6<27=o?4>4:?5g5<6<27h>94>4:?`60<6<27h>>4>4:?7af<6127?i:4>9:?7a7<6127?hi4>9:?7`=<6127?h>4>9:?7g<<6127?o94>9:?7fc<6127?nl4>9:?7f0<6127?n=4>9:?7eg<6127?m;4>9:?7e4<6127?5n4>9:?7=7<6127?4i4>9:?7<=<6127?4>4>9:?73`<6127?;44>9:?731<6127?:k4>9:?72d<6127?:84>9:?71g<6127?9;4>9:?714<6127?8n4>9:?702<6127?8?4>9:?77a<6127??54>9:?776<6127?>h4>9:?761<6127?=k4>9:?75d<6127?=84>9:?755<6127?9:?743<6127?<<4>9:?0bf<61278j:4>9:?0aa<61278i54>9:?0a6<61278hh4>9:?0`<<61278h94>9:?0gc<61278ol4>9:?0g0<61278o=4>9:?0f4<61278mn4>9:?0e2<61278m?4>9:?0=a<61278554>9:?0=6<612784h4>9:?0<<<61278494>9:?03d<61278;84>9:?035<61278:o4>9:?023<61278:<4>9:?01f<612789:4>9:?017<612788i4>9:?006<61278?h4>9:?07<<61278?94>9:?06c<61278>l4>9:?060<61278>=4>9:?05g<61278=;4>9:?04f<61278<:4>9:?047<61279ji4>9:?1b=<61279j>4>9:?1a`<61279i44>9:?1a1<61279hk4>9:?1`0<61279h=4>9:?1gg<61279o;4>9:?1g4<61279nn4>9:?1f2<61279n?4>9:?1ea<61279m54>9:?1=`<61279544>9:?1=1<612794k4>9:?19:?1<5<61279;o4>9:?133<61279;<4>9:?122<61279:?4>9:?11a<61279954>9:?116<612798h4>9:?10<<61279894>9:?17c<61279?l4>9:?175<61279>o4>9:?163<61279><4>9:?15f<61279=:4>9:?157<612799:?14=<61279<>4>9:?2b<<6127:j94>9:?2ac<6127:il4>9:?2a0<6127:i=4>9:?2`g<6127:h;4>9:?2`4<6127:on4>9:?2g7<6127:ni4>9:?2f=<6127:n>4>9:?2e`<6127:m44>9:?2e1<6127:5k4>9:?2=d<6127:584>9:?5<3<6127=4<4>9:?53f<6127=;:4>9:?537<6127=:i4>9:?52=<6127=:>4>9:?51`<6127=944>9:?50c<6127=8l4>9:?500<6127=8=4>9:?57g<6127=?;4>9:?574<6127=>n4>9:?562<6127=>?4>9:?55=<6127==>4>9:?54`<6127=<44>9:?541<6127>jk4>9:?6bd<6127>j84>9:?6b5<6127>io4>9:?6a4<6127>hn4>9:?6`2<6127>h?4>9:?6ga<6127>o54>9:?6g6<6127>nh4>9:?6f<<6127>n94>9:?6ed<6127>m84>9:?6e5<6127>5o4>9:?6=3<6127>5<4>9:?64:4>9:?6<7<6127>;i4>9:?636<6127>:h4>9:?62<<6127>:94>9:?61c<6127>9l4>9:?610<6127>9=4>9:?60g<6127>8;4>9:?67f<6127>?:4>9:?677<6127>>i4>9:?66=<6127>>>4>9:?65`<6127>=44>9:?651<6127>9:?640<6127><=4>9:?7bg<6127?j;4>9:?7b4<6127?oh4>9:?7=2<6127?:=4>9:?76<<61278j?4>9:?0f3<61278;k4>9:?00=<61278=<4>9:?1`d<61279m>4>9:?12f<61279?84>9:?2b`<6127:o:4>9:?59:?55a<6127>i;4>9:?6ec<6127>;54>9:?604<6127>9:?0fg<6127:5=4>9:?`4`<6<27h<>4>4:?`45<6<27ijn4>4:?ab=<6<27ij94>4:?ab5<6<27iin4>4:?aa=<6<2wx=44?:32`[7>34nm7?;;<6f1?7334>n<7?;;<6gf?7334>o:7?;;<6`g?7334>h;7?;;<6`6?7334>ih7?;;<6ai?7?;;<6ba?7334>j57?;;<6b0?7334>2j7?;;<6:1?7334>2<7?;;<6;f?7334>3:7?;;<6;5?7334><>7?;;<65`?7334>=47?;;<66a?7334>>57?;;<660?7334>?j7?;;<67e?7334>?97?;;<674?7334>8n7?;;<602?7334>8=7?;;<613?7334>9>7?;;<62`?7334>:47?;;<627?7334>;i7?;;<63=?7334>;87?;;<1eb?73349mm7?;;<1e4?73349nn7?;;<1f2?73349n=7?;;<1gg?73349o;7?;;<1g6?73349hh7?;;<1`m7?;;<161?73349><7?;;<172?73349?=7?;;<10g?733498;7?;;<106?733499h7?;;<117?;;<0g7?;;<0;`?73348347?;;<0;7?73348n7?;;<062?73348>=7?;;<07g?73348?;7?;;<076?733488h7?;;<007?733489i7?;;<01=?73348987?;;<02b?73348:m7?;;<021?73348:<7?;;<03f?73348;:7?;;<3eg?7334;m;7?;;<3e6?7334;nh7?;;<3f7?;;<3:`?7334;247?;;<4;=?7334<387?;;<44b?7334<o7?;;<466?73347?;;<7e`?7334?m47?;;<7e7?7334?ni7?;;<7f0?7334?oj7?;;<7ge?7334?o97?;;<7g4?7334?hn7?;;<7`2?7334?h=7?;;<7ag?7334?i;7?;;<7b`?7334?j47?;;<7b7?7334?2i7?;;<7:=?7334?287?;;<7;b?7334?3m7?;;<7;1?7334?3<7?;;<742?7334?<=7?;;<75g?7334?=;7?;;<756?7334?>h7?;;<76?7?;;<77a?7334??57?;;<70b?7334?8m7?;;<701?7334?8<7?;;<71f?7334?9:7?;;<715?7334?:o7?;;<723?7334?:>7?;;<73m57?;;<6e0?7334>o=7?;;<6:e?7334>=?7?;;<61g?73349m97?;;<1a=?733493>7?;;<17f?73349:87?;;<0g`?73348j:7?;;<05b?73348847?;;<035?7334;hm7?;;<4;a?7334<>;7?;;<414?7334?n57?;;<7a6?7334?j7?;;<:6`?73342>n7?;;<:6=?73342>;7?;;<:61?73342>?7?;;<:65?73342?j7?;;<:7`?73342?n7?;;<:7=?73342?;7?;;<:71?73342??7?;;<:75?733428j7?;;<:0`?733428n7?;;<:0=?733428;7?;;<:01?733428?7?;;<:05?733429j7?;;<:1`?733429n7?;;<:1=?733429;7?;;<:11?733429?7?;;<:15?73342:j7?;;<:2`?73342:n7?;;<:2=?73342:;7?;;<:21?73342:?7?;;<:25?73342;j7?;;<:3`?73342;n7?;;<:3=?73342;;7?;;<:31?73342;?7?;;<:35?7334=mj7?;;<5e`?7334=mn7?;;<5e=?7334=m;7?;;<5e1?7334=m?7?;;<5e5?7334=nj7?;;<5f`?7334=nn7?;;<5f=?7334=n;7?;;<5f1?7334=n?7?;;<5f5?7334=oj7?;;<5g`?7334=on7?;;<5g=?7334=o;7?;;<5g1?7334=o?7?;;<5g5?7334=hj7?;;<5``?7334=hn7?;;<5`=?7334=h;7?;;<5`1?7334=h?7?;;<5`5?7334=ij7?;;<5a`?7334=in7?;;<5a=?7334=i;7?;;<5a1?7334=i?7?;;<5a5?7334=jj7?;;<5b`?7334=jn7?;;<5b=?7334=j;7?;;<5b1?7334=j?7?;;<5b5?7334=2j7?;;<5:`?7334=2n7?;;<5:=?7334=2;7?;;<5:1?7334=2?7?;;<5:5?7334=3j7?;;<5;`?7334=3n7?;;<5;=?7334=3;7?;;<5;1?7334=3?7?;;<5;5?7334=j7?;;<56`?7334=>n7?;;<56=?7334=>;7?;;<561?7334=>?7?;;<565?7334=?j7?;;<57`?7334=?n7?;;<57=?7334=?;7?;;<571?7334=??7?;;<575?7334=8j7?;;<50`?7334=8n7?;;<50=?7334=8;7?;;<501?7334=8?7?;;<505?7334=9j7?;;<51`?7334=9n7?;;<51=?7334=9;7?;;<511?7334=9?7?;;<515?7334=:j7?;;<52`?7334=:n7?;;<52=?7334=:;7?;;<521?7334=:?7?;;<525?7334=;j7?;;<53`?7334=;n7?;;<53=?7334=;;7?;;<531?7334=;?7?;;<535?733464=5ge>=252z\273=:5<5sW;8963;e48;0>{t9:>1<73283>7}Y9:9019jm:968yv74:3:1>vP>339>0a0=0=1v<=?:181[74827?on474:p57`=838pR<33ty:>h4?:3y]57c<5=i965:4}r31`?6=:rT:>i524cf9<1=z{88h6=4={_31g>;3j10386s|13`94?4|V88i70:m3;:7?xu6:h0;6?uQ13c891gb21>0q~?=9;296~X6:0168l75859~w44?2909wS?=8:?7e12j76;;|q260<72;qU=?;4=5;6>=252z\261=:<0:1495rs000>5<5sW;9?63;8c8;0>{t9;81<732?7p}>2083>7}Y9;;0196>:968yv7583:1>vP>219>02e=0=1v33ty:=i4?:3y]54b<5=;3=l0386s|10;94?4|V8;270::9;:7?xu6910;6?uQ10:8913321>0q~?>7;296~X69>1689h5859~w4712909wS?>6:?70d?976;;|q251<72;qU=<:4=563>=252z\256=:<:h1495rs031>5<5sW;:>63;378;0>{t98;1<70g83>7}Y99l019<8:968yv77m3:1>vP>0d9>074=0=1v<>k:181[77l27?=i474:p55e=838pR<>l;<6233ty:;3800386s|11:94?4|V8:370:?4;:7?xu68>0;6?uQ115896`a21>0q~??6;296~X68?16?ko5859~w4632909wS??4:?0b5=252z\244=:;l;1495rs023>5<5sW;;<63{tno0;6?uQfg9>7a1=0=1vkk50;0xZcc<5:n965:4}rdg>5<5sWlo70=ld;:7?xuak3:1>vPic:?0g=l;:968yv`02909wSh8;<1bb?>33tym:7>52z\e2>;4ih0386s|f483>7}Yn<16?l;5859~wc2=838pRk:4=2c3>=2j32?7p}i2;296~Xa:2785;474:pb4<72;qUj<523839<1=z{o:1<7d21>0q~ki:181[ca3493;76;;|qf`?6=:rTnh63<7e8;0>{tmj0;6?uQeb9>72>=0=1vhl50;0xZ`d<5:=865:4}rgb>5<5sWoj70=9e;:7?xub13:1>vPj9:?02<1495rsd594?4|Vl=01>;i:968yvc12909wSk9;<16e?>33tyn97>52z\f1>;4=<0386s|e583>7}Ym=16?8>5859~w`4=838pRh<4=265>=20q~jk:181[bc3499h76;;|qgg?6=:rToo63<298;0>{tlk0;6?uQdc9>775=0=1vio50;0xZag<5:;n65:4}rf:>5<5sWn270=>9;:7?xuc?3:1>vPk7:?04c>::968yvb32909wSj;;<134?>33tyo?7>52z\g7>;5nk0386s|d383>7}Yl;16>k85859~wa7=838pRi?4=3d2>=20q~mm:181[ee348o?76;;|q`e?6=:rThm63=cd8;0>{tk00;6?uQc89>6f?=0=1vn650;0xZf><5;i?65:4}ra4>5<5sWi<703:1>vPl6:?1fd01?l?:968yve42909wSm<;<0bf?>33tyh=7>52z\`5>;5i80386s|c183>7}Yk916>4m5859~wg`=838pRoh4=3;4>=2:32?7p}md;296~Xel2794i474:pff<72;qUnn5229:9<1=z{kh1<7421>0q~ln:181[df348{tj10;6?uQb99>622=0=1vo850;0xZg0<5;5<5sWh>70<95;:7?xue<3:1>vPm4:?1254?:3y]f6=::;<065?>33tyi<7>52z\a4>;57}Yio16>995859~wdc=838pRlk4=361>=2474:ped<72;qUml5223g9<1=z{h31<721>0q~o7:181[g?3489876;;|qb3?6=:rTj;63=1g8;0>{ti?0;6?uQa79>64g=0=1vl;50;0xZd3<5;;>65:4}rc7>5<5sWk?70<>0;:7?xuf;3:1>vPn3:?14g33ty2i7>52z\:a>;6n;0386s|9e83>7}Y1m16=hj5859~w=2i27:hh474:p=<<72;qU54521e;9<1=z{021<70q~78:181[?034;hj76;;|q:1?6=:rT2963>c48;0>{t1=0;6?uQ959>5f6=0=1v4=50;0xZ<5<58hi65:4}r;1>5<5sW3970?m6;:7?xu>93:1>vP61:?2f4b2909wS6j;<3b6?>33ty3h7>52z\;`>;61m0386s|8b83>7}Y0j16=465859~w4>f2909wS?7a:?5<<>34<3876;;|q2<=<72;qU=564=75e>=252z\2<2=:>>k1495rs0:5>5<5sW;3:639748;0>{t91?1<78583>7}Y91>01;8m:968yv7?;3:1>vP>829>230=0=1v<6=:181[7?:27=:<474:p5=7=838pR<6>;<46g?>33ty:;k4?:3y]52`<5??965:4}r34a?6=:rT:;h5265f9<1=z{8=o6=4={_34`>;1<10386s|16a94?4|V8=h708;3;:7?xu6?k0;6?uQ16`8935b21>0q~?8a;296~X6?h16:>75859~w41>2909wS?89:?571=252z\233=:>;?1495rs057>5<5sW;<86391c8;0>{t9>91<732?7p}>7383>7}Y9>801;?>:968yv7093:1>vP>709>25e=0=1v<9?:181[70827=<:474:p53`=838pR<8i;<436?>33ty::h4?:3y]53c<5;2n:0386s|17`94?4|V800;6?uQ17;890c321>0q~?98;296~X6>1169ih5859~w4002909wS?97:?6`d=252z\221=:=jh1495rs040>5<5sW;=?63:c78;0>{t9?81<76083>7}Y9?;018ll:968yv7183:1>vP>619>1g1=0=1v<;j:181[72m27>mi474:p50b=838pR<;k;<7b33ty:9n4?:3y]50e<5;2100386s|14;94?4|V8?270;64;:7?xu6=10;6?uQ14:890>a21>0q~?:7;296~X6=>1695o5859~w4312909wS?:6:?6<0=2>7>52z\217=:=>;1495rs072>5<5sW;>=63:6b8;0>{t9<:1<74g83>7}Y9=l0188=:968yv73m3:1>vP>4d9>10b=0=1v<:k:181[73l27>95474:p51e=838pR<:l;<767?>33ty:8o4?:3y]51d<5<>n65:4}r37e?6=:rT:8l5255;9<1=z{8>36=4={_37<>;2;o0386s|15594?4|V8><70;0q~?;5;296~X6<<169>>5859~w4232909wS?;4:?66g=252z\204=:=8i1495rs063>5<5sW;?<63:168;0>{t9:l1<73e83>7}Y9:n018>7:968yv74k3:1>vP>3b9>155=0=1v<=m:181[74j27?jh474:p56g=838pR<=n;<6e=?>33ty:?44?:3y]56?<5=l?65:4}r305?6=:rT:?<524e39<1=z{88=6=4={_312>;31h0386s|10`94?4|V8;i70:93;:7?xu6990;6?uQ1028914d21>0q~??5;296~X68<16?k;5859~wc?=838pRk74=2`:>=20q~m=:181[e5348j:76;;|qa3?6=:rTi;63=6g8;0>{tij0;6?uQab9>66>=0=1vl?50;0xZd7<5;::65:4}r;5>5<5sW3=70?la;:7?xu60k0;6?uQ19`893>b21>0q~?70;296~X60916:895859~w4122909wS?85:?565=287>52z\211=:=>h1495rs06:>5<5sW;?563:458;0>{t9:o1<7i0q~96:1820~;d:o0;1j?0::639a`822>;1ik0::63l25822>;d:<0::63l0d822>;d8:0::63l01822>;enj0::63mf9822>;en=0::63mf1822>;emj0::63me9822>;em>0:463me`82<>;11>0:46399`82<>{t?h0;6nu2c3g925=:jj:19952bgd913=:joh19;52bg5913=:jo919;52bdd913=:jlh19;52bd5913=:>0l19;5268`913=:>0=19;5rsb05>5<4s4i9876;;g75===1v5li:1818>em32?706md;77?xu61=0;6?u2181911=:90:1495rs0;1>5<5s4;2=78;;<3:4?>23ty8nk4?:3y>7gc===16?ol5859~w6dc2909w0=mc;47?85ej32>7p}:0d83>7}:=9n1995251c9<1=z{<:h6=4={<73f?0334?;m76:;|q600<72;q699:5559>117=0=1v8:<:181833:321495rs45b>5<5s4?<578;;<7423ty>n>4?:3y>1g4===169lh5859~w0d62909w0;m0;47?83fn32>7p}:e`83>7}:=l3199525d49<1=z{5559>24b=0=1v;?i:181806m3d;:6?xu1=10;6?u2645911=:><>1495rs775>5<5s4<>978;;<460?>23ty=4k4?:3y>2=c===16:5l5859~w3>c2909w087c;47?80?j32>7p}>cc83>7}:9jk199521b59<1=z{8i26=4={<3`=?5559>5cc=0=1v?>?:18187an35<5s488:78;;<001?>23ty9;=4?:3y>63`===16>;m5859~w70b2909w0<9d;47?841k32>7p}=a683>7}::h<199522`19<1=z{;k>6=4={<0b0?03348j?76:;|q1``<72;q6>ij5559>6ag=0=1v?jl:18184cj35<5s49:>78;;<125?>23ty88n4?:3y>71d===16?965859~w62f2909w0=;9;47?853032>7p}<8283>7}:;181995236d9<1=z{:2:6=4={<1;4?033497g0=0=1v>l7:18185e?35<5s49m?78;;<1e6?>23ty?>i4?:3y>07e===168?75859~w14e2909w0:=a;47?825132>7p};6583>7}:=<76:;|q7=g<72;q684o5559>0<1=0=1v976:18182>035<5s4>hj78;;<6`a?>23ty?j84?:3y>0c2===168k?5859~w1`42909w0:i2;47?82a932>7p};f`83>7}:m:76:;|q7bc<72;q68kk5559>0cd=0=1v9hk:18182ak35<5s4?;=78;;<734?>23ty><44?:3y>15>===169=;5859~w0602909w0;?6;47?837=32>7p}:1283>7}:=881995251d9<1=z{<;:6=4={<724?0334?;j76:;|q65=<72;q69<95559>142=0=1v8?9:181836=34;:6?xu29m0;6?u250a911=:=831495rs43a>5<5s4?:m78;;<72=?>23ty>>?4?:3y>177===169f;47?836m32>7p}:2683>7}:=;<199525319<1=z{<8>6=4={<710?0334?9?76:;|q66f<72;q69?l5559>17>=0=1v85<5s4?9i78;;<71`?>23ty>?;4?:3y>163===169><5859~w0532909w0;<3;47?834:32>7p}:3c83>7}:=:k199525259<1=z{<926=4={<70h5559>16e=0=1v8=j:181834l35<5s4??;78;;<772?>23ty>8k4?:3y>11c===1699l5859~w02c2909w0;;c;47?833j32>7p}:5583>7}:=<9199525429<1=z{<76:;|q61<<72;q69865559>103=0=1v8;8:181832>35<5s4?>n78;;<76e?>23ty>:>4?:3y>134===1698h5859~w0062909w0;90;47?832n32>7p}:6983>7}:=?=199525769<1=z{<<=6=4={<751?0334?=876:;|q62a<72;q69;m5559>13?=0=1v88m:181831i35<5s4?=j78;;<75a?>23ty>;:4?:3y>120===169:=5859~w0122909w0;84;47?830;32>7p}:8083>7}:=1:1995256f9<1=z{<=m6=4={<74a?0334?1=4=0=1v86;:18183?;35<5s4?3478;;<7;3?>23ty>5=4?:3y>1=`===1695m5859~w0>b2909w0;7d;47?83?k32>7p}:9483>7}:=0>199525839<1=z{<386=4={<7:6?0334?2=76:;|q6=d<72;q69475559>1<0=0=1v877:18183>?35<5s4?2o78;;<7:f?>23ty>m94?:3y>1d5===169l>5859~w0g52909w0;n1;47?83f832>7p}:a883>7}:=h2199525`79<1=z{1dg=0=1v8ol:18183fj31495rs4`5>5<5s4?i978;;<7a0?>23ty>ni4?:3y>1ge===169o75859~w0de2909w0;ma;47?83e132>7p}:c383>7}:=j;199525cg9<1=z{1f5=0=1v8m::18183d<35<5s4?h578;;<7`23ty>h<4?:3y>1a6===169nj5859~w0ea2909w0;le;47?83dl32>7p}:d783>7}:=m?199525e09<1=z{76:;|q6`g<72;q69io5559>1a1=0=1v8j6:18183c035<5s4?oh78;;<7gg?>23ty>i84?:3y>1`2===169h?5859~w0c42909w0;j2;47?83b932>7p}:eg83>7}:=lo199525d`9<1=z{1c6=0=1v8h=:18183a935<5s4?m:78;;<7e1?>23ty>jh4?:3y>1cb===169ko5859~w0`d2909w0;ib;47?83ai32>7p}90283>7}:>98199525gd9<1=z{?::6=4={<434?0334?mj76:;|q54=<72;q6:=95559>252=0=1v;>9:181807=3931495rs72a>5<5s4<;m78;;<43=?>23ty==?4?:3y>247===16:=k5859~w3772909w08?f;47?807m32>7p}91683>7}:>8<199526019<1=z{?;>6=4={<420?0334<:?76:;|q55f<72;q6:24>=0=1v;?n:181806138;:6?xu1:?0;6?u2637911=:>;81495rs707>5<5s4<9?78;;<416?>23ty=>o4?:3y>27g===16:?95859~w34>2909w08=8;47?805?32>7p}93183>7}:>;l1995263a9<1=z{?8n6=4={<41`?0334<9o76:;|q570<72;q6:>:5559>267=0=1v;=<:181804:3:<1495rs71;>5<5s4<8;78;;<402?>23ty=?k4?:3y>26c===16:>l5859~w35c2909w087p}94583>7}:>=9199526529<1=z{?>96=4={<475?0334213=0=1v;:8:181803>3=k1495rs76`>5<5s423ty=9>4?:3y>204===16:9h5859~w3362909w08:0;47?803n32>7p}95e83>7}:>576:;|q527<72;q6:;?5559>20c=0=1v;8?:181802n3>0;6?u2674911=:>?91495rs746>5<5s4<=878;;<457?>23ty=:n4?:3y>23d===16:;65859~w30f2909w0899;47?801032>7p}97083>7}:>>:1995267f9<1=z{?224=0=1v;9;:181800;3>=1495rs75:>5<5s4<<478;;<443?>23ty=4=4?:3y>22`===16::m5859~w31b2909w088d;47?800k32>7p}98483>7}:>1>199526939<1=z{?286=4={<4;6?0334<3=76:;|q52=0=0=1v;67:18180??35<5s4;2:78;;<3:1?>23ty:5h4?:3y>5i32>7p}>a283>7}:9h81995218d9<1=z{8k:6=4={<3b4?0334;2j76:;|q2e=<72;q6=l95559>5d2=0=1v5<5s4;jm78;;<3b=?>23ty:n?4?:3y>5g7===16=lk5859~w4d72909w0?nf;47?87fm32>7p}>b683>7}:9k<199521c19<1=z{8h>6=4={<3a0?0334;i?76:;|q2ff<72;q6=ol5559>5g>=0=1v5<5s4;ii78;;<3a`?>23ty:o;4?:3y>5f3===16=n<5859~w4e32909w0?l3;47?87d:32>7p}>d183>7}:9jl199521ba9<1=z{8in6=4={<3``?0334;ho76:;|q2`0<72;q6=i:5559>5a7=0=1v5<5s4;o;78;;<3g2?>23ty:hk4?:3y>5ac===16=il5859~w4bc2909w0?kc;47?87cj32>7p}>e583>7}:9l9199521d29<1=z{8o96=4={<3f5?0334;n<76:;|q2a<<72;q6=h65559>5`3=0=1v35<5s4;nn78;;<3fe?>23ty:j>4?:3y>5c4===16=hh5859~w4`62909w0?i0;47?87bn32>7p}>f983>7}:9o=199521g69<1=z{8l=6=4={<3e1?0334;m876:;|q2ba<72;q6=km5559>5c?=0=1v0;6?u2214911=::991495rs326>5<5s48;878;;<037?>23ty965d===16>=65859~w76f2909w07p}=1083>7}::8:1995221f9<1=z{;:m6=4={<03a?03348;h76:;|q153<72;q6><;5559>644=0=1v??;:181846;32;:6?xu59k0;6?u220c911=::8=1495rs33:>5<5s48:478;;<023?>23ty9>=4?:3y>64`===16>d;47?846k32>7p}=2483>7}::;>199522339<1=z{;886=4={<016?033489=76:;|q16d<72;q6>?75559>670=0=1v?<7:181845?35<5s489o78;;<01f?>23ty9?94?:3y>665===16>>>5859~w7552909w0<<1;47?844832>7p}=3d83>7}:::n1995222c9<1=z{;9h6=4={<00f?033488m76:;|q106<72;q6>9<5559>66`=0=1v?:>:181843831495rs365>5<5s48?978;;<070?>23ty98i4?:3y>61e===16>975859~w72e2909w0<;a;47?843132>7p}=5383>7}::<;1995225g9<1=z{;?;6=4={<07b?03348?i76:;|q112<72;q6>885559>605=0=1v?;::181842<35<5s48>578;;<0623ty9:<4?:3y>636===16>8j5859~w73a2909w0<:e;47?842l32>7p}=6783>7}::??199522709<1=z{;76:;|q12g<72;q6>;o5559>631=0=1v?86:18184103;1495rs350>5<5s48<>78;;<045?>23ty9;l4?:3y>62?===16>:85859~w71?2909w0<87;47?840>32>7p}=7g83>7}::>o1995226`9<1=z{;=o6=4={<04g?033485=5559>6=6=0=1v?6=:18184?935<5s483:78;;<0;1?>23ty94h4?:3y>6=b===16>5o5859~w7>d2909w0<7b;47?84?i32>7p}=9283>7}::081995229d9<1=z{;3:6=4={<0:4?033483j76:;|q1==<72;q6>495559>6<2=0=1v?79:18184>=35<5s482m78;;<0:=?>23ty9m?4?:3y>6d7===16>4k5859~w7g72909w0<6f;47?84>m32>7p}=ab83>7}::hh199522`:9<1=z{;kj6=4={<0b=?03348j476:;|q1f4<72;q6>o>5559>6db=0=1v?oi:18184fm35<5s48i?78;;<0a6?>23ty9no4?:3y>6gg===16>o95859~w7d>2909w07p}=c183>7}::kl199522ca9<1=z{;hn6=4={<0a`?03348io76:;|q1g0<72;q6>n:5559>6f7=0=1v?m<:18184d:35<5s48h;78;;<0`2?>23ty9ok4?:3y>6fc===16>nl5859~w7ec2909w07p}=d583>7}::m9199522e29<1=z{;n96=4={<0g5?03348o<76:;|q1`<<72;q6>i65559>6a3=0=1v?j8:18184c>35<5s48n<78;;<0gb?>23ty9i54?:3y>6`1===16>h:5859~w7c12909w07p}=ee83>7}::li199522d;9<1=z{;oi6=4={<0fe?03348n576:;|q1b7<72;q6>k?5559>6`c=0=1v?h?:18184bn30;6?u22g4911=::o91495rs3d6>5<5s48m878;;<0e7?>23ty9jn4?:3y>6cd===16>k65859~w7`f2909w07p}<0083>7}:;9:199522gf9<1=z{;lm6=4={<0ea?03348mh76:;|q043<72;q6?=;5559>754=0=1v>>;:181857;35<5s49;478;;<133?>23ty8==4?:3y>75`===16?=m5859~w66b2909w0=?d;47?857k32>7p}<1`83>7}:;83199523049<1=z{:;36=4={<123?03349::76:;|q05c<72;q6?74d=0=1v>?k:181856k3b;:6?xu4:=0;6?u2331911=:;;:1495rs201>5<5s499=78;;<114?>23ty8>44?:3y>77>===16??;5859~w6402909w0==6;47?855=32>7p}<2d83>7}:;;n1995233c9<1=z{:8h6=4={<11f?033499m76:;|q076<72;q6?><5559>77`=0=1v>=>:181854831495rs215>5<5s498978;;<100?>23ty8?i4?:3y>76e===16?>75859~w65e2909w0=7p}<4383>7}:;=;1995232g9<1=z{:>;6=4={<10b?033498i76:;|q002<72;q6?985559>715=0=1v>:::181853<35<5s49?i78;;<17`?>23ty89;4?:3y>703===16?8<5859~w6332909w0=:3;47?852:32>7p}<5c83>7}:;;76:;|q025<72;q6?8h5559>70e=0=1v>;j:181852l3<0;6?u2376911=:;?;1495rs240>5<5s49=>78;;<155?>23ty8:l4?:3y>73?===16?;85859~w60?2909w0=97;47?851>32>7p}<6g83>7}:;?o1995237`9<1=z{:726=0=1v>9=:18185093?1495rs254>5<5s49<:78;;<141?>23ty8;h4?:3y>72b===16?:o5859~w61d2909w0=8b;47?850i32>7p}<8983>7}:;1=199523969<1=z{:2=6=4={<1;1?033493876:;|q07=?=0=1v>6m:18185?i35<5s493j78;;<1;a?>23ty85:4?:3y>7<0===16?4=5859~w6?22909w0=64;47?85>;32>7p}<9b83>7}:;0h1995238:9<1=z{:3j6=4={<1:=?033492476:;|q0e4<72;q6?l>5559>77i:18185>m35<5s49j?78;;<1b6?>23ty8mo4?:3y>7dg===16?l95859~w6g>2909w0=n8;47?85f?32>7p}7}:;hl199523`a9<1=z{:kn6=4={<1b`?03349jo76:;|q0f0<72;q6?o:5559>7g7=0=1v>l<:18185e:35<5s49h=78;;<1`4?>23ty8o44?:3y>7f>===16?n;5859~w6e02909w0=l6;47?85d=32>7p}7}:;jn199523bc9<1=z{:ih6=4={<1`f?03349hm76:;|q0`6<72;q6?i<5559>7f`=0=1v>j>:18185c831495rs2f5>5<5s49o978;;<1g0?>23ty8hi4?:3y>7ae===16?i75859~w6be2909w0=ka;47?85c132>7p}7}:;l;199523eg9<1=z{:o;6=4={<1gb?03349oi76:;|q0a2<72;q6?h85559>7`5=0=1v>k::18185b<35<5s49n578;;<1f23ty8j<4?:3y>7c6===16?hj5859~w6ca2909w0=je;47?85bl32>7p}7}:;ok199523g59<1=z{:l26=4={<1e7ce=0=1v>hj:18185al35<5s4>;>78;;<635?>23ty?05?===168=85859~w16?2909w0:?7;47?827>32>7p};0g83>7}:<9o1995241`9<1=z{=:o6=4={<63g?0334>;n76:;|q751<72;q68<=5559>046=0=1v9?=:181826930;:6?xu3900;6?u240:911=:<8?1495rs534>5<5s4>::78;;<621?>23ty?=h4?:3y>04b===168b;47?826i32>7p};2283>7}:<;81995240d9<1=z{=8:6=4={<614?0334>:j76:;|q76=<72;q68?95559>072=0=1v9<9:181825=35<5s4>9j78;;<61a?>23ty??:4?:3y>060===168>=5859~w1522909w0:<4;47?824;32>7p};3b83>7}:<:h1995242:9<1=z{=9j6=4={<60=?0334>8476:;|q704<72;q689>5559>06b=0=1v9=i:181824m35<5s4>??78;;<676?>23ty?8o4?:3y>01g===168995859~w12>2909w0:;8;47?823?32>7p};5183>7}:<=l1995245a9<1=z{=>n6=4={<67`?0334>?o76:;|q710<72;q688:5559>007=0=1v9;<:181822:35<5s4>>;78;;<662?>23ty?9k4?:3y>00c===1688l5859~w13c2909w0::c;47?822j32>7p};6883>7}:=976:;|q72`<72;q68;j5559>03g=0=1v98l:181821j35<5s4><<78;;<65b?>23ty?;54?:3y>021===168::5859~w1112909w0:85;47?820<32>7p};7e83>7}:<>i1995246;9<1=z{==i6=4={<64e?0334><576:;|q7<7<72;q685?5559>02c=0=1v96?:181820n30;6?u2494911=:<191495rs5:6>5<5s4>3878;;<6;7?>23ty?4n4?:3y>0=d===168565859~w1>f2909w0:79;47?82?032>7p};9083>7}:<0:1995249f9<1=z{=2m6=4={<6;a?0334>3h76:;|q7=3<72;q684;5559>0<4=0=1v97;:18182>;35<5s4>2h78;;<6:g?>23ty?m84?:3y>0d2===168l?5859~w1g42909w0:n2;47?82f932>7p};a`83>7}:j:76:;|q7ec<72;q68lk5559>0dd=0=1v9ok:18182fk35<5s4>i=78;;<6a4?>23ty?n44?:3y>0g>===168o;5859~w1d02909w0:m6;47?82e=32>7p};bd83>7}:im76:;|q7g6<72;q68n<5559>0g`=0=1v9m>:18182d831495rs5a5>5<5s4>h978;;<6`0?>23ty?oi4?:3y>0fe===168n75859~w1ee2909w0:la;47?82d132>7p};d683>7}:6=4={<6g0?0334>o?76:;|q7`f<72;q68il5559>0a>=0=1v9jn:18182c135<5s4>oi78;;<6g`?>23ty?i;4?:3y>0`3===168h<5859~w1c32909w0:j3;47?82b:32>7p};ec83>7}:n;76:;|q7b5<72;q68hh5559>0`e=0=1v9kj:18182bl3u26`c9<1=:>hh199526`f921=z{?km6=4={<4bf?>3342d5=0?16:l>5879>2w08nc;5`?80f;3;=708n0;35?80>k3;=70868;35?xu1j00;6?u26c:9<1=:>k>1995rs7`a>5<4s43342g3=0=1v;lk:18180e?32?708m6;77?xu1jl0;6?u26c49<1=:>k81:>5rs7`b>5<2s479l;<4a0?4e345859>ff7=>=1vo8l:18182bn3;=706md;:7?xuf010;6>u28cg911=:0ki149528c`911=z{ke132?7p}n8483>6}:0kk199528c:9<1=:0k=1995rsc4:>5<5s4>n<7?9;<:a3?>33tyj494?:2y>===164o85859>u28c4911=:0k>149528c1911=z{k<<6=4={<6g2?71342i?76;;|qb<7<72:q64o:5559>e932?7p}n8083>6}:0k8199528c29<1=:0hl1995rsc47>5<5s4>h;7?9;<:bb?>33tyj4=4?:2y>u28`g911=:0hi149528``911=z{k<96=4={<6a`?71342jn76;;|qb3`<72:q64lm5559>f132?7p}n7e83>6}:0hk199528`:9<1=:0h=1995rsc43>5<5s4>i?7?9;<:b3?>33tyj;n4?:2y>===164l85859>u28`4911=:0h>149528`1911=z{k?n6=4={<6b=?71342j?76;;|qb3=<72:q64l:5559>f932?7p}n7683>6}:0h8199528`29<1=:00l1995rsc7`>5<5s4>2j7?9;<::b?>33tyj;;4?:2y><=3;=7066d;:7?xuf?<0;6>u288g911=:00i1495288`911=z{k?26=4={<6:4?713422n76;;|qb31<72:q644m5559><>132?7p}n7283>6}:00k1995288:9<1=:00=1995rsc74>5<5s4>3:7?9;<::3?>33tyj;?4?:2y><<>===164485859><<3===1vo;9:18182?93;=70665;:7?xuf?80;6>u2884911=:00>14952881911=z{k?>6=4={<64g?713422?76;;|qb35<72:q644:5559><<4=0=1644?5559~wg332909w0:87;35?8>>932?7p}n6d83>6}:008199528829<1=:01l1995rsc70>5<5s4><>7?9;<:;b?>33tyj:i4?:2y><<6===1645k5859><=b===1vo;=:181821l3;=7067d;:7?xuf>j0;6>u289g911=:01i1495289`911=z{k?:6=4={<65<=g=0=164575559~wg2a2909w0::e;35?8>?132?7p}n6`83>6}:01k1995289:9<1=:01=1995rsc6f>5<5s4>>57?9;<:;3?>33tyj:44?:2y><=>===164585859><=3===1vo:k:181822<3;=70675;:7?xuf>10;6>u2894911=:01>14952891911=z{k>h6=4={<67b?713423?76;;|qb22<72:q645:5559><=4=0=1645?5559~wg2e2909w0:;a;35?8>?932?7p}n6783>6}:018199528929<1=:0>l1995rsc6b>5<5s4>?97?9;<:4b?>33tyj:84?:2y><=6===164:k5859><2b===1vo:6:18182383;=7068d;:7?xuf>:0;6>u286g911=:0>i1495286`911=z{k>36=4={<60f?71342<2g=0=164:75559~wg202909w0:<6;35?8>0132?7p}n6083>6}:0>k1995286:9<1=:0>=1995rsc65>5<5s4>8=7?9;<:43?>33tyj:=4?:2y><2>===164:85859><23===1vo:;:181825?3;=70685;:7?xuf=o0;6>u2864911=:0>>14952861911=z{k>86=4={<616?71342<24=0=164:?5559~wg252909w0:>d;35?8>0932?7p}n5e83>6}:0>8199528629<1=:0?l1995rsc62>5<5s4>:47?9;<:5b?>33tyj9n4?:2y><26===164;k5859><3b===1vo:?:181826;3;=7069d;:7?xuf=k0;6>u287g911=:0?i1495287`911=z{k9m6=4={<63a?71342=n76;;|qb1d<72:q64;m5559><3g=0=164;75559~wg5b2909w0:?9;35?8>1132?7p}n5983>6}:0?k1995287:9<1=:0?=1995rsc1g>5<5s4>;87?9;<:53?>33tyj9:4?:2y><3>===164;85859><33===1vo=l:18185an3;=70695;:7?xuf=?0;6>u2874911=:0?>14952871911=z{k9i6=4={<1ee?71342=?76;;|qb10<72:q64;:5559><34=0=164;?5559~wg5>2909w0=i0;35?8>1932?7p}n5583>6}:0?8199528729<1=:05<5s49nn7?9;<:6b?>33tyj9>4?:2y><36===1648k5859><0b===1vo=8:18185b>3;=706:d;:7?xuf=;0;6>u284g911=:0n76;;|qb14<72:q648m5559><0g=0=164875559~wg522909w0=kc;35?8>2132?7p}n5183>6}:05<5s49o;7?9;<:63?>33tyj8k4?:2y><0>===164885859><03===1vo=<:18185c:3;=706:5;:7?xufu2844911=:0<>14952841911=z{k996=4={<1``?71342>?76;;|qb0f<72:q648:5559><04=0=1648?5559~wg562909w0=l8;35?8>2932?7p}n4c83>6}:0<8199528429<1=:0=l1995rsc13>5<5s49h?7?9;<:7b?>33tyj8l4?:2y><06===1649k5859><1b===1vou285g911=:0=i1495285`911=z{k8h6=4={<1bb?71342?n76;;|qb0=<72:q649m5559><1g=0=164975559~wg4e2909w0=na;35?8>3132?7p}n4683>6}:0=k1995285:9<1=:0==1995rsc0b>5<5s49j97?9;<:73?>33tyj8;4?:2y><1>===164985859><13===1vo<6:18185f83;=706;5;:7?xuf<<0;6>u2854911=:0=>14952851911=z{k836=4={<1:f?71342??76;;|qb01<72:q649:5559><14=0=1649?5559~wg402909w0=66;35?8>3932?7p}n4383>6}:0=8199528529<1=:0:l1995rsc05>5<5s492=7?9;<:0b?>33tyj8<4?:2y><16===164>k5859><6b===1vo<::18185?k3;=706u282g911=:0:i1495282`911=z{k8?6=4={<1;3?713428n76;;|qb7c<72:q64>m5559><6g=0=164>75559~wg452909w0=8d;35?8>4132?7p}n3d83>6}:0:k1995282:9<1=:0:=1995rsc02>5<5s49<47?9;<:03?>33tyj?i4?:2y><6>===164>85859><63===1vou2824911=:0:>14952821911=z{k;m6=4={<15a?713428?76;;|qb7g<72:q64>:5559><64=0=164>?5559~wg7b2909w0=99;35?8>4932?7p}n3`83>6}:0:8199528229<1=:0;l1995rsc3g>5<5s49=87?9;<:1b?>33tyj?44?:2y><66===164?k5859><7b===1vo?l:181852n3;=706=d;:7?xuf;>0;6>u283g911=:0;i1495283`911=z{k;i6=4={<16e?713429n76;;|qb73<72:q64?m5559><7g=0=164?75559~wg7f2909w0=:5;35?8>5132?7p}n3483>6}:0;k1995283:9<1=:0;=1995rsc3:>5<5s49><7?9;<:13?>33tyj?94?:2y><7>===164?85859><73===1vo?8:181853>3;=706=5;:7?xuf;:0;6>u2834911=:0;>14952831911=z{k;=6=4={<175?713429?76;;|qb77<72:q64?:5559><74=0=164??5559~wg722909w0=5932?7p}n3083>6}:0;8199528329<1=:08l1995rsc37>5<5s498;7?9;<:2b?>33tyj?=4?:2y><76===164<4b===1vo?<:181854:3;=706>d;:7?xuf:o0;6>u280g911=:08i1495280`911=z{k;96=4={<11`?71342:n76;;|qb6`<72:q64<4g=0=164<75559~wg762909w0==8;35?8>6132?7p}n2b83>6}:08k1995280:9<1=:08=1995rsc33>5<5s499?7?9;<:23?>33tyj>o4?:2y><4>===164<85859><43===1vo>i:181856m3;=706>5;:7?xuf:h0;6>u2804911=:08>14952801911=z{k:n6=4={<12=?71342:?76;;|qb6<<72:q64<:5559><44=0=1646932?7p}n2983>6}:088199528029<1=:09l1995rsc2a>5<5s49;m7?9;<:3b?>33tyj>:4?:2y><46===164=k5859><5b===1vo>n:181857=3;=706?d;:7?xuf:?0;6>u281g911=:09i1495281`911=z{k:26=4={<134?71342;n76;;|qb60<72:q64=m5559><5g=0=164=75559~wg6?2909w07132?7p}n2583>6}:09k1995281:9<1=:09=1995rsc24>5<5s48m:7?9;<:33?>33tyj>>4?:2y><5>===164=85859><53===1vo>9:18184a93;=706?5;:7?xuf:80;6>u2814911=:09>14952811911=z{k:>6=4={<0fg?71342;?76;;|qb65<72:q64=:5559><54=0=164=?5559~wg632909w07932?7p}n1g83>6}:098199528129<1=:?ol1995rsc20>5<5s48n>7?9;<5eb?>33tyj=h4?:2y><56===16;kk5859>3cb===1vo>>:18184c03;=709id;:7?xuf9m0;6>u27gg911=:?oi149527g`911=z{k:;6=4={<0g7?7134=mn76;;|qb5f<72:q6;km5559>3cg=0=16;k75559~wd`a2909w06}:?ok199527g:9<1=:?o=1995rs`df>5<5s48h57?9;<5e3?>33tyj=l4?:2y>3c>===16;k85859>3c3===1vlhk:18184d<3;=709i5;:7?xuf900;6>u27g4911=:?o>149527g1911=z{hlh6=4={<0ab?7134=m?76;;|qb5=<72:q6;k:5559>3c4=0=16;k?5559~wd`e2909w06}:?o8199527g29<1=:?ll1995rs`db>5<5s48i97?9;<5fb?>33tyj=84?:2y>3c6===16;hk5859>3`b===1vlh6:18184e83;=709jd;:7?xuf9=0;6>u27dg911=:?li149527d`911=z{hl36=4={<0bf?7134=nn76;;|qb56<72:q6;hm5559>3`g=0=16;h75559~wd`12909w06}:?lk199527d:9<1=:?l=1995rs`d6>5<5s482o7?9;<5f3?>33tyj=<4?:2y>3`>===16;h85859>3`3===1vlh;:18184>?3;=709j5;:7?xuf990;6>u27d4911=:?l>149527d1911=z{hl86=4={<0:6?7134=n?76;;|qb4c<72:q6;h:5559>3`4=0=16;h?5559~wd`52909w0<7d;35?81b932?7p}n0d83>6}:?l8199527d29<1=:?ml1995rs`d2>5<5s48347?9;<5gb?>33tyj3`6===16;ik5859>3ab===1vlh?:18184?;3;=709kd;:7?xuf8h0;6>u27eg911=:?mi149527e`911=z{hom6=4={<04a?7134=on76;;|qb4<<72:q6;im5559>3ag=0=16;i75559~wdcb2909w0<89;35?81c132?7p}n0983>6}:?mk199527e:9<1=:?m=1995rs`gg>5<5s48<87?9;<5g3?>33tyj<:4?:2y>3a>===16;i85859>3a3===1vlkm:181841i3;=709k5;:7?xuf8?0;6>u27e4911=:?m>149527e1911=z{hoj6=4={<051?7134=o?76;;|qb40<72:q6;i:5559>3a4=0=16;i?5559~wdc>2909w0<90;35?81c932?7p}n0583>6}:?m8199527e29<1=:?jl1995rs`g;>5<5s48>n7?9;<5`b?>33tyj<>4?:2y>3a6===16;nk5859>3fb===1vlk8:181842>3;=709ld;:7?xuf8;0;6>u27bg911=:?ji149527b`911=z{ho=6=4={<065?7134=hn76;;|qb44<72:q6;nm5559>3fg=0=16;n75559~wdc22909w0<;c;35?81d132?7p}6fg83>6}:?jk199527b:9<1=:?j=1995rs`g7>5<5s48?;7?9;<5`3?>33ty2jh4?:2y>3f>===16;n85859>3f3===1vlk<:181843:3;=709l5;:7?xu>nm0;6>u27b4911=:?j>149527b1911=z{ho96=4={<00`?7134=h?76;;|q:bf<72:q6;n:5559>3f4=0=16;n?5559~wdc72909w0<<3;35?81d932?7p}6fc83>6}:?j8199527b29<1=:?kl1995rs`fe>5<5s489i7?9;<5ab?>33ty2jl4?:2y>3f6===16;ok5859>3gb===1vljj:18184513;=709md;:7?xu>n00;6>u27cg911=:?ki149527c`911=z{hno6=4={<010?7134=in76;;|q:b=<72:q6;om5559>3gg=0=16;o75559~wdbd2909w0<>f;35?81e132?7p}6f683>6}:?kk199527c:9<1=:?k=1995rs`fa>5<5s48:m7?9;<5a3?>33ty2j;4?:2y>3g>===16;o85859>3g3===1vljn:181846=3;=709m5;:7?xu>n=0;6>u27c4911=:?k>149527c1911=z{hn26=4={<024?7134=i?76;;|q:b6<72:q6;o:5559>3g4=0=16;o?5559~wdb?2909w06}:?k8199527c29<1=:?hl1995rs`f4>5<5s48;:7?9;<5bb?>33ty2j<4?:2y>3g6===16;lk5859>3db===1vlj::18187ak3;=709nd;:7?xu>n90;6>u27`g911=:?hi149527``911=z{hn?6=4={<3e3?7134=jn76;;|q:ac<72:q6;lm5559>3dg=0=16;l75559~wdb42909w0?i2;35?81f132?7p}6ed83>6}:?hk199527`:9<1=:?h=1995rs`f1>5<5s4;nh7?9;<5b3?>33ty2ii4?:2y>3d>===16;l85859>3d3===1vlj>:18187b03;=709n5;:7?xu>mj0;6>u27`4911=:?h>149527`1911=z{hn;6=4={<3f7?7134=j?76;;|q:ag<72:q6;l:5559>3d4=0=16;l?5559~wdea2909w0?ke;35?81f932?7p}6e883>6}:?h8199527`29<1=:?0l1995rs`af>5<5s4;o57?9;<5:b?>33ty2i54?:2y>3d6===16;4k5859>3m>0;6>u278g911=:?0i1495278`911=z{hih6=4={<3`b?7134=2n76;;|q:a3<72:q6;4m5559>3132?7p}6e483>6}:?0k1995278:9<1=:?0=1995rs`a:>5<5s4;h<7?9;<5:3?>33ty2i94?:2y>3<>===16;485859>3<3===1vlm7:18187ej3;=70965;:7?xu>m:0;6>u2784911=:?0>14952781911=z{hi<6=4={<3a2?7134=2?76;;|q:a7<72:q6;4:5559>3<4=0=16;4?5559~wde12909w0?m1;35?81>932?7p}6e083>6}:?08199527829<1=:?1l1995rs`a6>5<5s4;jo7?9;<5;b?>33ty2i=4?:2y>3<6===16;5k5859>3=b===1vlm;:18187f?3;=7097d;:7?xu>ll0;6>u279g911=:?1i1495279`911=z{hi86=4={<3b6?7134=3n76;;|q:`a<72:q6;5m5559>3=g=0=16;575559~wde52909w0?6d;35?81?132?7p}6db83>6}:?1k1995279:9<1=:?1=1995rs`a2>5<5s4;247?9;<5;3?>33ty2ho4?:2y>3=>===16;585859>3=3===1volj:18180?13;=70975;:7?xu>lh0;6>u2794911=:?1>14952791911=z{kho6=4={<4;0?7134=3?76;;|q:`<<72:q6;5:5559>3=4=0=16;5?5559~wgdd2909w088f;35?81?932?7p}6d983>6}:?18199527929<1=:?>l1995rsc`a>5<5s4<33ty2h:4?:2y>3=6===16;:k5859>32b===1voln:181800=3;=7098d;:7?xu>l?0;6>u276g911=:?>i1495276`911=z{kh26=4={<444?7134=32g=0=16;:75559~wgd?2909w089b;35?810132?7p}6d283>6}:?>k1995276:9<1=:?>=1995rsc`4>5<5s4<=:7?9;<543?>33ty2h?4?:2y>32>===16;:85859>323===1vol9:18180193;=70985;:7?xu>l80;6>u2764911=:?>>14952761911=z{kh>6=4={<46g?7134=324=0=16;:?5559~wgd42909w08:2;35?810932?7p}6cg83>6}:?>8199527629<1=:??l1995rsc`1>5<5s433ty2oh4?:2y>326===16;;k5859>33b===1vol>:18180303;=7099d;:7?xu>km0;6>u277g911=:??i1495277`911=z{kh;6=4={<477?7134==n76;;|q:gf<72:q6;;m5559>33g=0=16;;75559~wgga2909w086}:??k1995277:9<1=:??=1995rsccf>5<5s4<857?9;<553?>33ty2ol4?:2y>33>===16;;85859>333===1vook:181804<3;=70995;:7?xu>k10;6>u2774911=:??>14952771911=z{kkh6=4={<41b?7134==?76;;|q:g2<72:q6;;:5559>334=0=16;;?5559~wgge2909w08=a;35?811932?7p}6c783>6}:??8199527729<1=:?5<5s4<997?9;<56b?>33ty2o84?:2y>336===16;8k5859>30b===1voo7:181806j3;=709:d;:7?xu>k=0;6>u274g911=:?n76;;|q:g6<72:q6;8m5559>30g=0=16;875559~wgg12909w08>1;35?812132?7p}6c383>6}:?5<5s4<;o7?9;<563?>33ty2o<4?:2y>30>===16;885859>303===1voo;:181807?3;=709:5;:7?xu>k90;6>u2744911=:?<>14952741911=z{kk86=4={<436?7134=>?76;;|q:fc<72:q6;8:5559>304=0=16;8?5559~wgg52909w0;id;35?812932?7p}6be83>6}:?<8199527429<1=:?=l1995rscc2>5<5s4?m47?9;<57b?>33ty2nn4?:2y>306===16;9k5859>31b===1voo?:18183a;3;=709;d;:7?xu>jk0;6>u275g911=:?=i1495275`911=z{k3m6=4={<7fa?7134=?n76;;|q:fd<72:q6;9m5559>31g=0=16;975559~wg?c2909w0;j4;35?813132?7p}6b883>6}:?=k1995275:9<1=:?==1995rsc;`>5<5s4?oj7?9;<573?>33ty2n54?:2y>31>===16;985859>313===1vo7m:18183ci3;=709;5;:7?xu>j>0;6>u2754911=:?=>14952751911=z{k3j6=4={<7g1?7134=??76;;|q:f3<72:q6;9:5559>314=0=16;9?5559~wg?>2909w0;k0;35?813932?7p}6b483>6}:?=8199527529<1=:?:l1995rsc;;>5<5s4?hn7?9;<50b?>33ty2n94?:2y>316===16;>k5859>36b===1vo78:18183d>3;=709j;0;6>u272g911=:?:i1495272`911=z{k3=6=4={<7`5?7134=8n76;;|q:f4<72:q6;>m5559>36g=0=16;>75559~wg?22909w0;mc;35?814132?7p}6b183>6}:?:k1995272:9<1=:?:=1995rsc;7>5<5s4?i;7?9;<503?>33ty2mk4?:2y>36>===16;>85859>363===1vo7=:18183fl3;=709<5;:7?xu>il0;6>u2724911=:?:>14952721911=z{k3:6=4={<7b:5559>364=0=16;>?5559~wg?72909w0;n3;35?814932?7p}6ab83>6}:?:8199527229<1=:?;l1995rsc:e>5<5s4?2i7?9;<51b?>33ty2mo4?:2y>366===16;?k5859>37b===1vo6j:18183>13;=709=d;:7?xu>ih0;6>u273g911=:?;i1495273`911=z{k2o6=4={<7:0?7134=9n76;;|q:e<<72:q6;?m5559>37g=0=16;?75559~wg>d2909w0;7f;35?815132?7p}6a683>6}:?;k1995273:9<1=:?;=1995rsc:a>5<5s4?3m7?9;<513?>33ty2m;4?:2y>37>===16;?85859>373===1vo6n:18183?=3;=709=5;:7?xu>i<0;6>u2734911=:?;>14952731911=z{k226=4={<7;4?7134=9?76;;|q:e1<72:q6;?:5559>374=0=16;??5559~wg>02909w0;86;35?815932?7p}6a283>6}:?;8199527329<1=:?8l1995rsc:5>5<5s4?<=7?9;<52b?>33ty2m?4?:2y>376===16;34b===1vo6::181831k3;=709>d;:7?xu>i80;6>u270g911=:?8i1495270`911=z{k2?6=4={<753?7134=:n76;;|q:e5<72:q6;34g=0=16;<75559~wg>42909w0;92;35?816132?7p}69g83>6}:?8k1995270:9<1=:?8=1995rsc:1>5<5s4?>h7?9;<523?>33ty25h4?:2y>34>===16;<85859>343===1vo6>:18183203;=709>5;:7?xu>1k0;6>u2704911=:?8>14952701911=z{k2;6=4={<767?7134=:?76;;|q:=d<72:q6;<:5559>344=0=16;6}:?88199527029<1=:?9l1995rsc5f>5<5s4??57?9;<53b?>33ty2554?:2y>346===16;=k5859>35b===1vo9l:181834n3;=709?d;:7?xu>1>0;6>u271g911=:?9i1495271`911=z{k=i6=4={<70e?7134=;n76;;|q:=3<72:q6;=m5559>35g=0=16;=75559~wg1f2909w0;<5;35?817132?7p}69483>6}:?9k1995271:9<1=:?9=1995rsc5:>5<5s4?8<7?9;<533?>33ty2594?:2y>35>===16;=85859>353===1vo97:181835j3;=709?5;:7?xu>1:0;6>u2714911=:?9>14952711911=z{k=<6=4={<712?7134=;?76;;|q:=7<72:q6;=:5559>354=0=16;=?5559~wg112909w0;=1;35?817932?7p}69183>6}:?98199527129<1=:>ol1995rsc56>5<5s4?:o7?9;<4eb?>33ty24k4?:2y>356===16:kk5859>2cb===1vo9;:181836?3;=708id;:7?xu>0l0;6>u26gg911=:>oi149526g`911=z{k=86=4={<726?71342cg=0=16:k75559~wg162909w0;?8;35?80a132?7p}68b83>6}:>ok199526g:9<1=:>o=1995rsc53>5<5s4?;?7?9;<4e3?>33ty24o4?:2y>2c>===16:k85859>2c3===1vo8i:18182am3;=708i5;:7?xu>0h0;6>u26g4911=:>o>149526g1911=z{k2c4=0=16:k?5559~wg0c2909w0:i4;35?80a932?7p}68983>6}:>o8199526g29<1=:>ll1995rsc45>5<5s4>o=7?9;<4fb?>33ty24:4?:2y>2c6===16:hk5859>2`b===1vo;m:18182>i3;=708jd;:7?xu>0<0;6>u26dg911=:>li149526d`911=z{k?;6=4={<657?71342`g=0=16:h75559~wg222909w0:=c;35?80b132?7p}68283>6}:>lk199526d:9<1=:>l=1995rsc1b>5<5s49m97?9;<4f3?>33ty24?4?:2y>2`>===16:h85859>2`3===1vo080;6>u26d4911=:>l>149526d1911=z{k886=4={<1;6?71342`4=0=16:h?5559~wg7?2909w0=;b;35?80b932?7p}67g83>6}:>l8199526d29<1=:>ml1995rsc2g>5<5s49:87?9;<4gb?>33ty2;h4?:2y>2`6===16:ik5859>2ab===1vo>=:18184cl3;=708kd;:7?xu>?m0;6>u26eg911=:>mi149526e`911=z{hl<6=4={<0b2?71342ag=0=16:i75559~wdcd2909w0<9f;35?80c132?7p}67`83>6}:>mk199526e:9<1=:>m=1995rs`g2>5<5s48847?9;<4g3?>33ty2;44?:2y>2a>===16:i85859>2a3===1vlj9:18184793;=708k5;:7?xu>?10;6>u26e4911=:>m>149526e1911=z{hii6=4={<3`e?71342a4=0=16:i?5559~wgda2909w087e;35?80c932?7p}67783>6}:>m8199526e29<1=:>jl1995rsc`7>5<5s4<>;7?9;<4`b?>33ty2;84?:2y>2a6===16:nk5859>2fb===1voo6:18180583;=708ld;:7?xu>?=0;6>u26bg911=:>ji149526b`911=z{k3n6=4={<7f=?71342fg=0=16:n75559~wg?42909w0;m2;35?80d132?7p}67383>6}:>jk199526b:9<1=:>j=1995rsc:;>5<5s4?33ty2;<4?:2y>2f>===16:n85859>2f3===1vo9k:181833<3;=708l5;:7?xu>>o0;6>u26b4911=:>j>149526b1911=z{k=96=4={<73`?71342f4=0=16:n?5559~wg4a2909w0=me;35?80d932?7p}66e83>6}:>j8199526b29<1=:>kl1995rs`a3>5<5s4;2?7?9;<4ab?>33ty2:n4?:3y>2f6===168hj57b9~w<0e2909w0:jc;77?82b03=h7p}66`83>7}:oi79l;|q:2=<72;q68ij5559>0a?=?j1v488:18182c03??70:k4;5`?xu>>?0;6?u24e1911=:5<5s4>h57;;;<6`1?1d3ty2:>4?:3y>0f2===168n>57b9~w<052909w0:mf;77?82ej3=h7p}66083>7}:i=79l;|q:1c<72;q68o>5559>0de=?j1v4;j:18182fj3??70:n7;5`?xu>=m0;6?u24`4911=:5<5s4>j=7;;;<6:`?1d3ty29o4?:3y>02909w0:62;77?82?m3=h7p}65983>7}:<1n1995249;93f=z{0?<6=4={<6;3879l;|q:13<72;q685=5559>02`=?j1v4;::181820m3??70:8a;5`?xu>==0;6?u246;911=:<>?1;n5rs870>5<5s4><87;;;<644?1d3ty29?4?:3y>03`===168;l57b9~w<362909w0:9a;77?821>3=h7p}65183>7}:n6=4={<66f?3334>>;79l;|q:0a<72;q68885559>004=?j1v4:l:18182293??70:;d;5`?xu>5<5s4>?;7;;;<677?1d3ty2844?:3y>014===168>k57b9~w<2?2909w0:7}:<:21995242693f=z{0>=6=4={<607?3334>9j79l;|q:00<72;q68?k5559>073=?j1v4:<:181825<3??70:=0;5`?xu><;0;6?u240d911=:<8h1;n5rs862>5<5s4>:m7;;;<622?1d3ty28=4?:3y>043===1680;77?827k3=h7p}63d83>7}:<9h1995241593f=z{09o6=4={<632?3334>;>79l;|q:7f<72;q68=?5559>7cb=?j1v4=m:18185ak3??70=i8;5`?xu>;h0;6?u23g5911=:;lo1;n5rs81;>5<5s49nh7;;;<1f=?1d3ty2?:4?:3y>7`>===16?h:57b9~w<512909w0=j3;77?85cn3=h7p}63483>7}:;mo199523ec93f=z{09?6=4={<1g=?33349o979l;|q:76<72;q6?i:5559>7a6=?j1v4==:18185dn3??70=lb;5`?xu>;80;6?u23bc911=:;j<1;n5rs813>5<5s49h97;;;<1`5?1d3ty2>k4?:3y>7f6===16?o<57b9~w<4d2909w0=m1;77?85fl3=h7p}62c83>7}:;hi199523`:93f=z{08j6=4={<1b3?33349j?79l;|q:6<<72;q6?l<5559>7l3??70=69;5`?xu>:>0;6?u238:911=:;0>1;n5rs805>5<5s492?7;;;<1;b?1d3ty2>84?:3y>7=c===16?5o57b9~w<432909w0=79;77?85?=3=h7p}62283>7}:;1>1995236`93f=z{08:6=4={<14e?33349<:79l;|q:65<72;q6?:;5559>727=?j1v4?i:18185083??70=9c;5`?xu>9l0;6?u237`911=:;?=1;n5rs83g>5<5s49=:7;;;<156?1d3ty2=n4?:3y>737===16?8j57b9~w<7e2909w0=:c;77?85203=h7p}61`83>7}:;<=1995234193f=z{0;26=4={<166?33349?i79l;|q:5=<72;q6?9j5559>712=?j1v4?9:181853;3??70=9<0;6?u232g911=:;:k1;n5rs837>5<5s49857;;;<101?1d3ty2=>4?:3y>762===16?>>57b9~w<752909w0==f;77?855j3=h7p}61083>7}:;;k1995233493f=z{0;;6=4={<111?333499=79l;|q:4c<72;q6??>5559>74e=?j1v4>j:181856j3??70=>7;5`?xu>8m0;6?u2304911=:;9n1;n5rs82a>5<5s49;o7;;;<13751===16?==57b9~w<6>2909w0=?2;77?84am3=h7p}60983>7}::on199522g;93f=z{0:<6=4={<0ek=5559>6``=?j1v4>::18184bm3??708=0;6?u22d;911=::l?1;n5rs820>5<5s48n87;;;<0f4?1d3ty26a`===16>i857b9~w<672909w07}::m:199522ba93f=z{1ln6=4={<0`f?33348h;79l;|q;ba<72;q6>n85559>6f4=?j1v5hl:18184d93??705<5s48i;7;;;<0a7?1d3ty3j44?:3y>6g4===16>lk57b9~w=`?2909w07}::h21995228d93f=z{1l>6=4={<0:a?333482m79l;|q;b1<72;q6>475559>6<3=?j1v5h<:18184><3??70<60;5`?xu?n;0;6?u229d911=::1h1;n5rs9d2>5<5s483m7;;;<0;2?1d3ty3j=4?:3y>6=3===16>5?57b9~w=ca2909w0<70;77?840k3=h7p}7ed83>7}::>h1995226593f=z{1oo6=4={<042?33348<>79l;|q;af<72;q6>:?5559>63>=?j1v5kn:181841?3??70<93;5`?xu?m00;6?u2270911=::5<5s48>h7;;;<06=?1d3ty3i:4?:3y>60>===16>8:57b9~w=c12909w0<:3;77?843n3=h7p}7e483>7}::=o1995225c93f=z{1o?6=4={<07=?33348?979l;|q;a6<72;q6>9:5559>616=?j1v5k=:181844n3??70<5<5s488<7;;;<01g?1d3ty3hh4?:3y>67d===16>?957b9~w=bc2909w0<=6;77?845:3=h7p}7db83>7}::;;1995220f93f=z{1ni6=4={<02g?33348:479l;|q;`d<72;q6><95559>645=?j1v5j6:181846:3??705<5s48;47;;;<030?1d3ty3h;4?:3y>655===16=ko57b9~w=b32909w0?i9;77?87a=3=h7p}7d283>7}:9o>199521g293f=z{1n96=4={<3fb?3334;nn79l;|q;`4<72;q6=ho5559>5`0=?j1v5j?:18187b=3??70?j1;5`?xu?ko0;6?u21d2911=:9mi1;n5rs9af>5<5s4;on7;;;<3g3?1d3ty3oi4?:3y>5a0===16=i<57b9~w=ed2909w0?k1;77?87dl3=h7p}7cc83>7}:9ji199521b193f=z{1i26=4={<3`6?3334;ii79l;|q;g=<72;q6=oj5559>5g?=?j1v5m8:18187e03??70?m4;5`?xu?k?0;6?u21c1911=:9hl1;n5rs9a6>5<5s4;ji7;;;<3be?1d3ty3o94?:3y>5d?===16=l;57b9~w=e42909w0?n4;77?87f83=h7p}7c383>7}:90l1995218`93f=z{1i:6=4={<3:e?3334;2:79l;|q;g5<72;q6=4;5559>2=1=?j1vllk:18180?>3??70872;5`?xufjj0;6?u2693911=:>>n1;n5rs``a>5<5s4<221===16::=57b9~wdd>2909w0882;77?801m3=h7p}nb983>7}:>?n1995267;93f=z{hh<6=4={<4520`=?j1vll::181802m3??708:a;5`?xufj=0;6?u264;911=:><:1;n5rs``1>5<5s421g===16:9857b9~wdd72909w08;5;77?80393=h7p}nag83>7}:>=:1995262a93f=z{hkn6=4={<40f?3334<8;79l;|qbea<72;q6:>85559>264=?j1vlol:18180493??708=d;5`?xufik0;6?u263a911=:>;21;n5rs`cb>5<5s4<9;7;;;<417?1d3tyjm44?:3y>274===16:<757b9~wdg02909w08>8;77?806<3=h7p}na783>7}:>891995261d93f=z{hk>6=4={<43a?3334<;m79l;|qbe1<72;q6:=75559>253=?j1vlo<:181807<3??708?0;5`?xufi;0;6?u25gd911=:=oh1;n5rs`c2>5<5s4?mm7;;;<7e2?1d3tyjm=4?:3y>1c3===169k?57b9~wd?a2909w0;i0;77?83bk3=h7p}n9d83>7}:=lh199525d093f=z{h3h6=4={<7f5?3334?oh79l;|qb=g<72;q69im5559>1a>=?j1vl7n:18183c?3??70;k3;5`?xuf100;6?u25e0911=:=jo1;n5rs`;;>5<5s4?hh7;;;<7`=?1d3tyj5:4?:3y>1f>===169n:57b9~wd?12909w0;l3;77?83en3=h7p}n9483>7}:=ko199525cc93f=z{h3?6=4={<7a=?3334?i979l;|qb=6<72;q69o:5559>1dd=?j1vl7>:18183fi3??70;n6;5`?xuf190;6?u25`7911=:=h;1;n5rs`:e>5<5s4?j<7;;;<7:g?1d3tyj4h4?:3y>1c2909w0;66;77?83>:3=h7p}n8b83>7}:=0;1995259f93f=z{h2i6=4={<7;g?3334?3479l;|qb1=5=?j1vl66:18183?:3??70;8e;5`?xuf0?0;6?u256f911=:=>>1;n5rs`5b>5<5s4?13c===169;o57b9~wd032909w0;99;77?831=3=h7p}n5883>7}:=?>1995257293f=z{h>n6=4={<76b?3334?>n79l;|qb06<72;q698o5559>100=?j1vl=7:181832=3??70;:1;5`?xuf:m0;6?u2542911=:==i1;n5rs`01>5<5s4??n7;;;<773?1d3tyj=:4?:3y>110===169>j57b9~wd6e2909w0;7}:=:=1995252193f=z{0l>6=4={<706?3334?9i79l;|q:ad<72;q69?j5559>17?=?j1v4ji:18183503??70;=4;5`?xu>l=0;6?u2531911=:=8l1;n5rs8a:>5<5s4?:i7;;;<72e?1d3ty2nh4?:3y>14?===169<;57b9~w4;77?83683=h7p}6a983>7}:=9l1995251493f=z{03h6=4={<731?3334?;=79l;|q:=4<72;q69=>5559>0ce=?j1v469:18182aj3??70:i7;5`?xu>?k0;6?u24g4911=:5<5s4>m=7;;;<6`b?1d3ty2:84?:3y>0fc===1684657b9~w<3f2909w0:67;77?82193=h7p}64g83>7}:?6=4={<61=?33349m?79l;|q:7<<72;q6?k<5559>7g1=?j1v43??70=70;5`?xu>:;0;6?u236d911=:;=31;n5rs834>5<5s49?47;;;<126?1d3ty2747===16>il57b9~w<662909w07}::h91995227f93f=z{1oi6=4={<05g?333488:79l;|q;a5<72;q6>>;5559>5c`=?j1v5j::18187am3??70?l8;5`?xu?kh0;6?u21b5911=:>1i1;n5rs``f>5<5s4<3n7;;;<461?1d3tyjn>4?:3y>202===16:d;77?83b?3=h7p}n9e83>7}:=l<199525c293f=z{h396=4={<7bb?3334?<579l;|qb3g<72;q69:65559>114=?j1vl>l:18183393??70;?b;5`?xu>1m0;6?u251c911=:;ki1;n5rs80f>5<5s49in7;;;<3:5?1d3tyh=k4?:02080fk3<870:jc;g891c02l168h<5e:?7`ao47k4=5f0>`=:0g3=m27?n=4j;<6bf?c<5=k=6h524`39a>;31j0n70:62;g891>c2l168565e:?7<6`=:<>>1i63;6g8f?821i3o0198::d9>00d=m27?9;4j;<665?c<5=>h6h524559a>;3<;0n70:=5e:?76`987k4=53e>`=:<8k1i63;148f?82683o019>m:d9>050=m27?<<4j;<1eg?c<5:l<6h523df9a>;4m10n70=j3;g896bb2l16?i75e:?0`1`=:;j?1i63ol:d9>7d1=m278m?4j;<1:`?c<5:336h523819a>;40l0n70=79;g896>32l16?:o5e:?030`=:;?<1i63<608f?852k3o01>;8:d9>704=m2788i4j;<177?c<5:9n6h5232;9a>;4;=0n70==f;g8964f2l16??;5e:?065`=:;9i1i63<068f?857:3o01?hk:d9>6c>=m279j>4j;<0fa?c<5;o26h522d69a>;5lo0n70nl5e:?1g3`=::k=1i63=b38f?84fl3o01?o7:d9>6;50<0n70<70;g8971e2l16>:85e:?134`=::61?=m279894j;<00b?c<5;9j6h522229a>;5:k0n70<=6;g897462l16>7k4=32g>`=::921i63=028f?87a13o015``=m27:il4j;<3f1?c<58o;6h521e`9a>;6l?0n70?k1;g894ed2l16=n<5e:?2fa`=:9ho1i63>a88f?87f<3o01<7i:d9>5;1?>0n70882;g8930c2l16:;65e:?526i7k4=77:>`=:>=l1i6394`8f?803=3o01;:?:d9>26d=m27=?;4j;<405?c<5?8h6h526359a>;1:;0n708>8;g893742l16:=k5e:?54<`=:=ok1i63:f48f?83a83o018km:d9>1`7=m27>hn4j;<7g3?c<5;2k10n70;l3;g890db2l169o75e:?6f1`=:=h:1i63:9c8f?83>>3o0187>:d9>1=e=m27>4:4j;<7;6?c<5<=o6h525619a>;2>l0n70;99;g890032l1698h5e:?61d97k4=473>`=:==h1i63:478f?834k3o018=8:d9>164=m27>>i4j;<71;2900n70;>4;g8906a2l169=;5e:?645mn7k4=5d5>`=:?3o0198?:d9>07?=m278j?4j;<1a2?c<5:=m6h5235:9a>;4980n70;m5e:?170`=:>1h1i639558f?806l3o018k9:d9>1d`=m27>;54j;<775?c<5<:j6h523c`9a>;6190n70868;:7?80>13<87p}l2183>464s4;3lm0m70:k8;d891b42o168n75f:?7g1ij7h4=5`b>c=:0d7=n27?5n4i;<6:6?`<5=2o6k5249:9b>;30:0m70:8e;d8911>2o168::5f:?72c=m7h4=546>c=:<011=n27?8?4i;<60`?`<5=936k524219b>;3:l0m70:=4;d8917a2o168:<7h4=52a>c=:<9<1j63;008e?85ak3l01>h8:g9>7`b=n278i54i;<1f7?`<5:nn6k523e;9b>;4l=0m70=lf;d896ef2o16?n;5f:?0g5c=:;h=1j63l3l01>77:g9>7<5=n2784h4i;<1;=?`<5:2?6k5236c9b>;4?<0m70=80;d8960e2o16?;85f:?024o7h4=274>c=:;<81j63<4e8e?853;3l01>=j:g9>76?=n278?94i;<11b?`<5:8j6k523379b>;4:90m70=>b;d896712o16?=m5f:?0427h4=3dg>c=::o21j63=f28e?84bm3l01?k6:g9>6`2=n279hk4i;<0g1?`<5;n;6k522b`9b>;5k?0m70o95f:?1f7c=::0o1j63=988e?84><3l01?6i:g9>6=g=n279484i;<0;4?`<5;=i6k522649b>;5?80m70<97;d897052o16>8j5f:?11=?7h4=36f>c=::=31j63=458e?844n3l01?=n:g9>666=n279>o4i;<012?`<5;8:6k5220a9b>;59>0m70<>2;d8976c2o16>=65f:?146c=:9ll1j63>e`8e?87b=3l015ad=n27:h;4i;<3g5?`<58ih6k521b09b>;6jm0m70?m8;d894d42o16=lk5f:?2e<c=:90k1j63>948e?80?>3l01;6>:g9>22e=n27=;:4i;<446?`<5?;1>:0m708:e;d8933>2o16:9h5f:?50dc=:>:h1j639378e?80493l01;271=n27=>?4i;<42;1800m708?4;d890`a2o169ko5f:?6b0c=:=l;1j63:db8e?83c?3l018j=:g9>1fb=n27>o54i;<7`7?`<5;2j=0m70;na;d890g22o169l>5f:?6=gc=:=1i1j63:868e?83?:3l0189k:g9>125=n27>:h4i;<75=?`<5<;2=h0m70;:5;d890372o1699l5f:?603c=:=:81j63:2e8e?83503l018<<:g9>14c=n27>=44i;<720?`<5<:m6k525179b>;2890m70:ib;d891`12o168k?5f:?7g`2;7h4=543>c=:<;31j633l01>9i:g9>71>=n278=<4i;<0ge?`<5;k86k5227a9b>;5;<0m70?ie;d894e02o16:5l5f:?511c=:=hl1j63:798e?83393l018>n:g9>7gd=n27:5=4i;<4:g?>334<2h78<;|q`64<728:8w08nc;46?82bk3;;70:j7;33?82b:3;;70:kd;33?82c03;;70:k3;33?82d13;;70:l4;33?82en3;;70:ma;33?82e=3;;70:m0;33?82fj3;;70:n6;33?82f93;;70:6c;33?82>:3;;70:7d;33?82?03;;70:73;33?820m3;;70:89;33?820<3;;70:9f;33?821i3;;70:95;33?822j3;;70::6;33?82293;;70:;c;33?823?3;;70:;2;33?824l3;;70:<8;33?824;3;;70:=e;33?825<3;;70:>f;33?826i3;;70:>5;33?82683;;70:?b;33?827>3;;70:?1;33?85ak3;;70=i7;33?85bl3;;70=j8;33?85b;3;;70=ke;33?85c13;;70=k4;33?85dn3;;70=la;33?85d=3;;70=l0;33?85e93;;70=nc;33?85f?3;;70=n2;33?85>l3;;70=68;33?85>;3;;70=7e;33?85?13;;70=74;33?850i3;;70=85;33?85083;;70=9b;33?851>3;;70=91;33?852k3;;70=:7;33?852:3;;70=;d;33?853;3;;70=6;33?857k3;;70=?7;33?857:3;;70m3;;70<69;33?84><3;;70<7f;33?84?i3;;70<75;33?84?83;;70<8b;33?840>3;;70<81;33?841?3;;70<92;33?842l3;;70<:8;33?842;3;;70<;e;33?84313;;70<;4;33?844n3;;70<3;;70<=1;33?846k3;;70<>7;33?846:3;;70i3;;70?65;33?80?>3;;70871;33?800k3;;70887;33?800:3;;7089d;33?80103;;70893;33?802m3;;708:9;33?803n3;;708;a;33?803=3;;708;0;33?804j3;;708<6;33?80493;;708=c;33?805?3;;708=2;33?80603;;708>3;33?807m3;;708?9;33?807<3;;70;if;33?83ai3;;70;i5;33?83a83;;70;jb;33?83b93;;70;kc;33?83c?3;;70;k2;33?83dl3;;70;l8;33?83d;3;;70;me;33?83e13;;70;m4;33?83fi3;;70;n5;33?83f83;;70;6b;33?83>>3;;70;61;33?83?k3;;70;77;33?83?:3;;70;8d;33?830;3;;70;9e;33?83113;;70;94;33?832n3;;70;:a;33?832=3;;70;:0;33?833j3;;70;;6;33?834k3;;70;<7;33?834:3;;70;=d;33?83503;;70;=3;33?836m3;;70;>9;33?836<3;;70;?f;33?837=3;;70;?0;33?82aj3;;70:i6;33?82a93;;70:le;33?82>?3;;70:90;33?82513;;70=i2;33?85e>3;;70=8f;33?85303;;70=>1;33?84ci3;;70<{<4bg?0134>no7?>;<6f3?7634>n>7?>;<6g`?7634>o47?>;<6g7?7634>h57?>;<6`0?7634>ij7?>;<6ae?7634>i97?>;<6a4?7634>jn7?>;<6b2?7634>j=7?>;<6:g?7634>2>7?>;<6;`?7634>347?>;<6;7?7634>;<64=?7634><87?>;<65b?7634>=m7?>;<651?7634>>n7?>;<662?7634>>=7?>;<67g?7634>?;7?>;<676?7634>8h7?>;<608?7?>;<61a?7634>987?>;<62b?7634>:m7?>;<621?7634>:<7?>;<63f?7634>;:7?>;<635?76349mo7?>;<1e3?76349nh7?>;<1f;<1ga?76349o57?>;<1g0?76349hj7?>;<1`e?76349h97?>;<1`4?76349i=7?>;<1bg?76349j;7?>;<1b6?763492h7?>;<1:;<1;a?76349357?>;<1;0?76349;<141?76349<<7?>;<15f?76349=:7?>;<155?76349>o7?>;<163?76349>>7?>;<17`?76349??7?>;<10a?76349857?>;<100?763499j7?>;<11e?76349997?>;<114?76349:n7?>;<122?76349;o7?>;<133?76349;>7?>;<0e`?76348m47?>;<0e7?76348ni7?>;<0f=?76348n87?>;<0gb?76348o97?>;<0g4?76348hn7?>;<0`2?76348h=7?>;<0ag?76348i;7?>;<0a6?76348jh7?>;<0b;<0:=?76348287?>;<0;b?763483m7?>;<0;1?763483<7?>;<04f?76348<:7?>;<045?76348=;7?>;<056?76348>h7?>;<06?7?>;<07a?76348?57?>;<070?763488j7?>;<00e?763488<7?>;<01f?763489:7?>;<015?76348:o7?>;<023?76348:>7?>;<03`?76348;47?>;<037?7634;m57?>;<3e0?7634;nj7?>;<3fe?7634;n97?>;<3f4?7634;on7?>;<3g2?7634;o=7?>;<3`g?7634;h>7?>;<3a`?7634;i47?>;<3a7?7634;ji7?>;<3b=?7634;j87?>;<3:b?7634;2m7?>;<3:1?7634<3:7?>;<4;5?7634<;<443?7634<<>7?>;<45`?7634<=47?>;<457?7634<>i7?>;<46=?7634;<47e?7634;<474?7634<8n7?>;<402?7634<8=7?>;<41g?7634<9;7?>;<416?7634<:47?>;<427?7634<;i7?>;<43=?7634<;87?>;<7eb?7634?mm7?>;<7e1?7634?m<7?>;<7ff?7634?n=7?>;<7gg?7634?o;7?>;<7g6?7634?hh7?>;<7`;<7aa?7634?i57?>;<7a0?7634?jm7?>;<7b1?7634?j<7?>;<7:f?7634?2:7?>;<7:5?7634?3o7?>;<7;3?7634?3>7?>;<74`?7634?;<75a?7634?=57?>;<750?7634?>j7?>;<76e?7634?>97?>;<764?7634??n7?>;<772?7634?8o7?>;<703?7634?8>7?>;<71`?7634?947?>;<717?7634?:i7?>;<72=?7634?:87?>;<73b?7634?;97?>;<734?7634>mn7?>;<6e2?7634>m=7?>;<6`a?7634>2;7?>;<654?7634>957?>;<1e6?76349i:7?>;<14b?76349?47?>;<125?76348om7?>;<0b7?76348=o7?>;<001?7634;mi7?>;<3`3?7634<3n7?>;<460?7634<:h7?>;<7f2?7634?jj7?>;<74;<73e?76349in7?>;<3:4?7634el3;=706mb;35?8>e13;=706m7;35?8>e=3;=706m3;35?8>e93;=706nf;35?8>fl3;=706nb;35?8>f13;=706n7;35?8>f=3;=706n3;35?8>f93;=7066f;35?8>>l3;=7066b;35?8>>13;=70667;35?8>>=3;=70663;35?8>>93;=7067f;35?8>?l3;=7067b;35?8>?13;=70677;35?8>?=3;=70673;35?8>?93;=7068f;35?8>0l3;=7068b;35?8>013;=70687;35?8>0=3;=70683;35?8>093;=7069f;35?8>1l3;=7069b;35?8>113;=70697;35?8>1=3;=70693;35?8>193;=706:f;35?8>2l3;=706:b;35?8>213;=706:7;35?8>2=3;=706:3;35?8>293;=706;f;35?8>3l3;=706;b;35?8>313;=706;7;35?8>3=3;=706;3;35?8>393;=7064l3;=706413;=706<7;35?8>4=3;=706<3;35?8>493;=706=f;35?8>5l3;=706=b;35?8>513;=706=7;35?8>5=3;=706=3;35?8>593;=706>f;35?8>6l3;=706>b;35?8>613;=706>7;35?8>6=3;=706>3;35?8>693;=706?f;35?8>7l3;=706?b;35?8>713;=706?7;35?8>7=3;=706?3;35?8>793;=709if;35?81al3;=709ib;35?81a13;=709i7;35?81a=3;=709i3;35?81a93;=709jf;35?81bl3;=709jb;35?81b13;=709j7;35?81b=3;=709j3;35?81b93;=709kf;35?81cl3;=709kb;35?81c13;=709k7;35?81c=3;=709k3;35?81c93;=709lf;35?81dl3;=709lb;35?81d13;=709l7;35?81d=3;=709l3;35?81d93;=709mf;35?81el3;=709mb;35?81e13;=709m7;35?81e=3;=709m3;35?81e93;=709nf;35?81fl3;=709nb;35?81f13;=709n7;35?81f=3;=709n3;35?81f93;=7096f;35?81>l3;=7096b;35?81>13;=70967;35?81>=3;=70963;35?81>93;=7097f;35?81?l3;=7097b;35?81?13;=70977;35?81?=3;=70973;35?81?93;=7098f;35?810l3;=7098b;35?81013;=70987;35?810=3;=70983;35?81093;=7099f;35?811l3;=7099b;35?81113;=70997;35?811=3;=70993;35?81193;=709:f;35?812l3;=709:b;35?81213;=709:7;35?812=3;=709:3;35?81293;=709;f;35?813l3;=709;b;35?81313;=709;7;35?813=3;=709;3;35?81393;=709f;35?816l3;=709>b;35?81613;=709>7;35?816=3;=709>3;35?81693;=709?f;35?817l3;=709?b;35?81713;=709?7;35?817=3;=709?3;35?81793;=708if;35?80al3;=708ib;35?80a13;=708i7;35?80a=3;=708i3;35?80a93;=708jf;35?80bl3;=708jb;35?80b13;=708j7;35?80b=3;=708j3;35?80b93;=708kf;35?80cl3;=708kb;35?80c13;=708k7;35?80c=3;=708k3;35?80c93;=708lf;35?80dl3;=708lb;35?80d13;=708l7;35?80d=3;=708l3;35?80d93;=708mf;35?xufjo0;6?u2bb3926=:k9o1495rscaa>5<5s4i;i7;;;<``b?1d3tyhff5=?j16ni>5699~wf772909w0ll4;5`?8ddm3<37p}l1083>7}:jj?1;n52be2920=z{j;96=4={<``2?1d34hhi78:;|q`56<72;q6nn957b9>fa6=>>1vn?;:1818dd03=h70lle;44?xud9<0;6?u2bb;93f=:jm:1:;5rsb35>5<5s4hhm79l;<``a?013tyio?4?:8y>ffe=?j16o==5879>g56=0?16nkm5879>fc>=0?16nk:5879>fc6=0?16nhm5879>f`>=0?1vn?m:1808da032?70li9;40?8ddn31}:joi14952bgf926=:jjo1:>52be2926=z{j;o6=4;{334i;=78<;<``a?0334ho<78;;|q`5`<72:q6o==5859>g52=>:16nnh5649~wf7f290jw0lla;45?8dd13<=70ll8;45?8dd?3<=70ll6;45?8dd=3<=70ll4;45?8dd;3<=70li4;:7?8da=3<87p}l1883>d}:jjk1:852bb;920=:jj21:852bb5920=:jj<1:852bb7920=:jj>1:852bb1920=:jo:14952bg3926=z{j;36=4n{<``e?0334hh578;;<``334hnh78<;|q`52<72hq6nno5629>ff?=>:16nn65629>ff1=>:16nn85629>ff3=>:16nn:5629>ff5=>:16nh65859>f`?=>:1voji:1818db03??70lja;5`?xuel10;6>u2bd`95==:jlo1=552bd592f=z{ko;6=4={<`fg?3334hni79l;|qa`<<72:q6nhh5199>fc4=9116nhl56b9~wgc62909w0li0;77?8da:3=h7p}md`83>6}:jo91=552bg495==:jll1:n5rscg1>5<5s4hm87;;;<`e2?1d3tyiho4?:2y>fc1=9116nko5199>fc5=>j1vok<:1818da03??70lia;5`?xuelj0;6>u2bg`95==:joo1=552bg592f=z{ko?6=4={<`eg?3334hmi79l;|qa`a<72:q6nkh5199>g54=9116nkl56b9~wgc22909w0m?0;77?8e7:3=h7p}mdd83>7}:k9?1=552bgd92f=z{ko=6=4={f`1=0>16nho56c9~wf602908w0ljd;5`?8dbj32<70lje;4a?xud810;6>u2bg393f=:jll14:52bg092g=z{j:26=4<{<`e1?1d34hm?768;<`e2?0e3tyhfc?=?j16nk95869>fcg=>k1vn>m:1808dal3=h70lib;:4?8dam36}:k9;1;n52bgd9<2=:k981:o5rsb2g>5<5s4i;879l;4?:3y>2<>===16:4o57b9~w3?72908w086b;3;?80>m3;370867;4`?xu11=0;6?u268a911=:>0o1;n5rs7;2>5<4s4<2j7?7;<4b6?7?34<2n78l;|q5=0<72;q6:l>5559>2d4=?j1v;7=:18180f=3;37086f;4`?xu11?0;6?u26`1911=:>h?1;n5rs7c5>5<4s4<2579l;<4:3?>034<2m78m;|q5e2<72:q6:4j57b9>216:4k56c9~w3g?2908w08n1;5`?80>n32<708n2;4a?xu1i00;6?u26`693f=:>h?1:o5rscag>5<5s4hhi79l;<``b?003tyioh4?:3y>fa6=?j16nnh5679~wgb72909w0lk1;5`?8dc?3<87p}md083>7}:jm81;n52be5921=z{kn96=4={<`g7?1d34ho;78:;|qa`6<72;q6ni:57b9>fa1=>?1voj;:1818dc=3=h70lk7;44?xuel<0;6?u2be493f=:jm=1:55rscf5>5<5s4ho;79l;<``b?043twe>=j7:182Me502we>=j6:182Me502we>=jn:182Me502we>=jm:182Me502we>=jl:182Me502we>=jk:182Me502we>=jj:182Me502we>=ji:182Me502we>=k?:182Me502we>=k>:182Me502we>=k=:182Me502we>=k<:182Me502we>=k;:182Me502we>=k::182Me502we>=k9:182Me502we>=k8:182Me502we>=k7:182Me502we>=k6:182Me502we>=kn:182Me502we>=km:182Me502we>=kl:182Me502we>=kk:182Me502we>=kj:182Me502we>=ki:182Me502we>=h?:182Me502we>=h>:182Me502we>=h=:182Me502we>=h<:182Me502we>=h;:182Me502we>=h::182Me502we>=h9:182Me502we>=h8:182Me502we>=h7:182Me502we>=h6:182Me502we>=hn:182Me502we>=hm:182Me502P:4n4>{459y_3a28q:4n4r}o03bf<728qCo?64}o03ba<728qCo?64}o03b`<728qCo?64}o03bc<728qCo?64}o0245<728qCo?64}o0244<728qCo?64}o0247<728qCo?64}o0246<728qCo?64}o0241<728qCo?64}o0240<728qCo?64}o0243<728qCo?64}o0242<728qCo?64}o024=<728qCo?64}o024<<728qCo?64}o024d<728qCo?64}o024g<728qCo?64}o024f<728qCo?64}o024a<728qCo?64}o024`<728qCo?64}o024c<728qCo?64}o0255<728qCo?64}o0254<728qCo?64}o0257<728qCo?64}o0256<728qCo?64}o0251<728qCo?64}o0250<728qCo?64}o0253<728qCo?64}o0252<728qCo?64}o025=<728qCo?64}o025<<728qCo?64}o025d<728qCo?64}o025g<728qCo?64}o025f<728qCo?64}o025a<728qCo?64}o025`<728qCo?64}o025c<728qCo?64}o0265<728qCo?64}o0264<728qCo?64}o0267<728qCo?64}o0266<728qCo?64}o0261<728qCo?64}o0260<728qCo?64}o0263<728qCo?64}o0262<728qCo?64}o026=<728qCo?64}o026<<728qCo?64}o026d<728qCo?64}o026g<728qCo?64}o026f<728qCo?64}o026a<728qCo?64}o026`<728qCo?64}o026c<728qCo?64}o0275<728qCo?64}o0274<728qCo?64}o0277<728qCo?64}o0276<728qCo?64}o0271<728qCo?64}o0270<728qCo?64}o0273<728qCo?64}o0272<728qCo?64}o027=<728qCo?64}o027<<728qCo?64}o027d<728qCo?64}o027g<728qCo?64}o027f<728qCo?64}o027a<728qCo?64}o027`<728qCo?64}o027c<728qCo?64}o0205<728qCo?64}o0204<728qCo?64}o0207<728qCo?64}o0206<728qCo?64}o0201<728qCo?64}o0200<728qCo?64}o0203<728qCo?64}o0202<728qCo?64}o020=<728qCo?64}o020<<728qCo?64}o020d<728qCo?64}o020g<728qCo?64}o020f<728qCo?64}o020a<728qCo?64}o020`<728qCo?64}o020c<728qCo?64}o0215<728qCo?64}o0214<728qCo?64}o0217<728qCo?64}o0216<728qCo?64}o0211<728qCo?64}o0210<728qCo?64}o0213<728qCo?64}o0212<728qCo?64}o021=<728qCo?64}o021<<728qCo?64}o021d<728qCo?64}o021g<728qCo?64}o021f<728qCo?64}o021a<728qCo?64}o021`<728qCo?64}o021c<728qCo?64}o0225<728qCo?64}o0224<728qCo?64}o0227<728qCo?64}o0226<728qCo?64}o0221<728qCo?64}o0220<728qCo?64}o0223<728qCo?64}o0222<728qCo?64}o022=<728qCo?64}o022<<728qCo?64}o022d<728qCo?64}o022g<728qCo?64}o022f<728qCo?64}o022a<728qCo?64}o022`<728qCo?64}o022c<728qCo?64}o0235<728qCo?64}o0234<728qCo?64}o0237<728qCo?64}o0236<728qCo?64}o0231<728qCo?64}o0230<728qCo?64}o0233<728qCo?64}o0232<728qCo?64}o023=<728qCo?64}o023<<728qCo?64}o023d<728qCo?64}o023g<728qCo?64}o023f<728qCo?64}o023a<728qCo?64}o023`<728qCo?64}o023c<728qCo?64}o02<5<728qCo?64}o02<4<728qCo?64}o02<7<728qCo?64}o02<6<728qCo?64}o02<1<728qCo?64}o02<0<728qCo?64}o02<3<728qCo?64}o02<2<728qCo?64}o02<=<728qCo?64}o02<<<728qCo?64}o02. +* +*/ + + +`timescale 1ns/1ps + +module fpgaminer_top (CLK_100MHZ); + + parameter LOOP_LOG2 = 1; + + localparam [5:0] LOOP = (6'd1 << LOOP_LOG2); + localparam [31:0] GOLDEN_NONCE_OFFSET = (32'd1 << (7 - LOOP_LOG2)) + 32'd1; + + input CLK_100MHZ; + + + //// + reg [255:0] state = 0; + reg [127:0] data = 0; + reg [31:0] nonce = 32'h0; + + + //// PLL + wire hash_clk; + `ifndef SIM + main_pll pll_blk (.CLK_IN1(CLK_100MHZ), .CLK_OUT1(hash_clk)); + `endif + + + //// Hashers + wire [255:0] hash, hash2; + reg [5:0] cnt = 6'd0; + reg feedback = 1'b0; + + sha256_transform #(.LOOP(LOOP), .NUM_ROUNDS(64)) uut ( + .clk(hash_clk), + .feedback(feedback), + .cnt(cnt), + .rx_state(state), + .rx_input({384'h000002800000000000000000000000000000000000000000000000000000000000000000000000000000000080000000, data}), + .tx_hash(hash) + ); + sha256_transform #(.LOOP(LOOP), .NUM_ROUNDS(LOOP == 1 ? 61 : 64)) uut2 ( + .clk(hash_clk), + .feedback(feedback), + .cnt(cnt), + .rx_state(256'h5be0cd191f83d9ab9b05688c510e527fa54ff53a3c6ef372bb67ae856a09e667), + .rx_input({256'h0000010000000000000000000000000000000000000000000000000080000000, hash}), + .tx_hash(hash2) + ); + + + //// Virtual Wire Control + wire [35:0] control0, control1, control2; + chipscope_icon ICON_inst ( .CONTROL0(control0), .CONTROL1(control1), .CONTROL2(control2)); + + reg [255:0] midstate_buf = 0, data_buf = 0; + wire [255:0] midstate_vw, data2_vw; + chipscope_vio_tochip midstate_vw_blk ( .CONTROL(control0), .CLK(hash_clk), .SYNC_OUT(midstate_vw) ); + chipscope_vio_tochip data_vw_blk ( .CONTROL(control1), .CLK(hash_clk), .SYNC_OUT(data2_vw) ); + //virtual_wire # (.PROBE_WIDTH(0), .WIDTH(256), .INSTANCE_ID("STAT")) midstate_vw_blk(.probe(), .source(midstate_vw)); + //virtual_wire # (.PROBE_WIDTH(0), .WIDTH(256), .INSTANCE_ID("DAT2")) data2_vw_blk(.probe(), .source(data2_vw)); + + + //// Virtual Wire Output + reg [31:0] golden_nonce = 0; + + chipscope_vio_fromchip golden_nonce_vw_blk ( .CONTROL(control2), .CLK(hash_clk), .SYNC_IN(golden_nonce) ); + //virtual_wire # (.PROBE_WIDTH(32), .WIDTH(0), .INSTANCE_ID("GNON")) golden_nonce_vw_blk (.probe(golden_nonce), .source()); + + + //// Control Unit + reg is_golden_ticket = 1'b0; + reg feedback_d1 = 1'b1; + wire [5:0] cnt_next; + wire [31:0] nonce_next; + wire feedback_next; + + assign cnt_next = (LOOP == 1) ? 6'd0 : (cnt + 6'd1) & (LOOP-1); + // On the first count (cnt==0), load data from previous stage (no feedback) + // on 1..LOOP-1, take feedback from current stage + // This reduces the throughput by a factor of (LOOP), but also reduces the design size by the same amount + assign feedback_next = (LOOP == 1) ? 1'b0 : (cnt_next != {(LOOP_LOG2){1'b0}}); + assign nonce_next = feedback_next ? nonce : (nonce + 32'd1); + + always @ (posedge hash_clk) + begin + `ifdef SIM + //midstate_buf <= 256'h2b3f81261b3cfd001db436cfd4c8f3f9c7450c9a0d049bee71cba0ea2619c0b5; + //data_buf <= 256'h00000000000000000000000080000000_00000000_39f3001b6b7b8d4dc14bfc31; + //nonce <= 30411740; + `else + midstate_buf <= midstate_vw; + data_buf <= data2_vw; + `endif + + cnt <= cnt_next; + feedback <= feedback_next; + feedback_d1 <= feedback; + + // Give new data to the hasher + state <= midstate_buf; + data <= {nonce_next, data_buf[95:0]}; + nonce <= nonce_next; + + + // Check to see if the last hash generated is valid. + is_golden_ticket <= (hash2[255:224] == 32'h00000000) && !feedback_d1; + if(is_golden_ticket) + begin + // TODO: Find a more compact calculation for this + if (LOOP == 1) + golden_nonce <= nonce - 32'd131; + else if (LOOP == 2) + golden_nonce <= nonce - 32'd66; + else + golden_nonce <= nonce - GOLDEN_NONCE_OFFSET; + end + end + +endmodule + diff --git a/projects/LX150_makomk_Test/hdl/main_pll.v b/projects/LX150_makomk_Test/hdl/main_pll.v new file mode 100644 index 0000000..be05aa7 --- /dev/null +++ b/projects/LX150_makomk_Test/hdl/main_pll.v @@ -0,0 +1,146 @@ +// file: main_pll.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// Output Output Phase Duty Cycle Pk-to-Pk Phase +// Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +//---------------------------------------------------------------------------- +// CLK_OUT1 50.000 0.000 50.0 300.000 50.000 +// +//---------------------------------------------------------------------------- +// Input Clock Input Freq (MHz) Input Jitter (UI) +//---------------------------------------------------------------------------- +// primary 100 0.010 + +`timescale 1ps/1ps + +(* CORE_GENERATION_INFO = "main_pll,main_pll,{component_name=main_pll,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}" *) +module main_pll + (// Clock in ports + input CLK_IN1, + // Clock out ports + output CLK_OUT1 + ); + + // Input buffering + //------------------------------------ + IBUFG clkin1_buf + (.O (clkin1), + .I (CLK_IN1)); + + + // Clocking primitive + //------------------------------------ + + // Instantiation of the DCM primitive + // * Unused inputs are tied off + // * Unused outputs are labeled unused + wire psdone_unused; + wire locked_int; + wire [7:0] status_int; + wire clkfb; + wire clk0; + wire clkdv; + + DCM_SP + #(.CLKDV_DIVIDE (2.000), + .CLKFX_DIVIDE (1), + .CLKFX_MULTIPLY (4), + .CLKIN_DIVIDE_BY_2 ("FALSE"), + .CLKIN_PERIOD (10.0), + .CLKOUT_PHASE_SHIFT ("NONE"), + .CLK_FEEDBACK ("1X"), + .DESKEW_ADJUST ("SYSTEM_SYNCHRONOUS"), + .PHASE_SHIFT (0), + .STARTUP_WAIT ("FALSE")) + dcm_sp_inst + // Input clock + (.CLKIN (clkin1), + .CLKFB (clkfb), + // Output clocks + .CLK0 (clk0), + .CLK90 (), + .CLK180 (), + .CLK270 (), + .CLK2X (), + .CLK2X180 (), + .CLKFX (), + .CLKFX180 (), + .CLKDV (clkdv), + // Ports for dynamic phase shift + .PSCLK (1'b0), + .PSEN (1'b0), + .PSINCDEC (1'b0), + .PSDONE (), + // Other control and status signals + .LOCKED (locked_int), + .STATUS (status_int), + .RST (1'b0), + // Unused pin- tie low + .DSSEN (1'b0)); + + + // Output buffering + //----------------------------------- + BUFG clkf_buf + (.O (clkfb), + .I (clk0)); + + BUFG clkout1_buf + (.O (CLK_OUT1), + .I (clkdv)); + + + + +endmodule diff --git a/projects/LX150_makomk_Test/hdl/sha256_transform.v b/projects/LX150_makomk_Test/hdl/sha256_transform.v new file mode 100644 index 0000000..d0c3985 --- /dev/null +++ b/projects/LX150_makomk_Test/hdl/sha256_transform.v @@ -0,0 +1,366 @@ +/* +* +* Copyright (c) 2011 fpgaminer@bitcoin-mining.com +* Copyright (c) 2011 Aidan Thornton +* +* +* +* This program is free software: you can redistribute it and/or modify +* it under the terms of the GNU General Public License as published by +* the Free Software Foundation, either version 3 of the License, or +* (at your option) any later version. +* +* This program is distributed in the hope that it will be useful, +* but WITHOUT ANY WARRANTY; without even the implied warranty of +* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +* GNU General Public License for more details. +* +* You should have received a copy of the GNU General Public License +* along with this program. If not, see . +* +*/ + +// Tweakable options. You can enable these in the project settings. + +// We can implement K[s] as memory (read-only RAM). +// This is mostly useful on Xilinx ISE's xst synthesis tool, which interprets +// the other way of implementing K[s] very strangely and inefficiently. +// Note that this still doesn't allow block RAM to be used! + +`define USE_RAM_FOR_KS + +// On Altera FPGAs, we can use the altshift_taps macro to store W in +// RAM-based shift registers. Alternatively, the optimiser can do this +// for you instead if it's behaving itself properly, but that won't +// save quite as many FPGA registers as doing it explicitly would. + +//`define USE_EXPLICIT_ALTSHIFT_FOR_W + +// Experimental untested option for Xilinx FPGAs to store W in BRAM-based +// shift registers. Not for use on other FPGA platforms. + +`define USE_XILINX_BRAM_FOR_W + +// End of options. + + +`timescale 1ns/1ps + +// A quick define to help index 32-bit words inside a larger register. +`define IDX(x) (((x)+1)*(32)-1):((x)*(32)) + + +// Perform a SHA-256 transformation on the given 512-bit data, and 256-bit +// initial state, +// Outputs one 256-bit hash every LOOP cycle(s). +// +// The LOOP parameter determines both the size and speed of this module. +// A value of 1 implies a fully unrolled SHA-256 calculation spanning 64 round +// modules and calculating a full SHA-256 hash every clock cycle. A value of +// 2 implies a half-unrolled loop, with 32 round modules and calculating +// a full hash in 2 clock cycles. And so forth. +module sha256_transform #( + parameter LOOP = 6'd4, + parameter NUM_ROUNDS = 64 +) ( + input clk, + input feedback, + input [5:0] cnt, + input [255:0] rx_state, + input [511:0] rx_input, + output reg [255:0] tx_hash +); + + // Constants defined by the SHA-2 standard. + localparam Ks = { + 32'h428a2f98, 32'h71374491, 32'hb5c0fbcf, 32'he9b5dba5, + 32'h3956c25b, 32'h59f111f1, 32'h923f82a4, 32'hab1c5ed5, + 32'hd807aa98, 32'h12835b01, 32'h243185be, 32'h550c7dc3, + 32'h72be5d74, 32'h80deb1fe, 32'h9bdc06a7, 32'hc19bf174, + 32'he49b69c1, 32'hefbe4786, 32'h0fc19dc6, 32'h240ca1cc, + 32'h2de92c6f, 32'h4a7484aa, 32'h5cb0a9dc, 32'h76f988da, + 32'h983e5152, 32'ha831c66d, 32'hb00327c8, 32'hbf597fc7, + 32'hc6e00bf3, 32'hd5a79147, 32'h06ca6351, 32'h14292967, + 32'h27b70a85, 32'h2e1b2138, 32'h4d2c6dfc, 32'h53380d13, + 32'h650a7354, 32'h766a0abb, 32'h81c2c92e, 32'h92722c85, + 32'ha2bfe8a1, 32'ha81a664b, 32'hc24b8b70, 32'hc76c51a3, + 32'hd192e819, 32'hd6990624, 32'hf40e3585, 32'h106aa070, + 32'h19a4c116, 32'h1e376c08, 32'h2748774c, 32'h34b0bcb5, + 32'h391c0cb3, 32'h4ed8aa4a, 32'h5b9cca4f, 32'h682e6ff3, + 32'h748f82ee, 32'h78a5636f, 32'h84c87814, 32'h8cc70208, + 32'h90befffa, 32'ha4506ceb, 32'hbef9a3f7, 32'hc67178f2}; + + + genvar i; + + reg [255:0] state_fb; + reg [511:0] w_fb; + reg [31:0] t1_part_fb; + +`ifdef USE_RAM_FOR_KS + wire [31:0] Ks_mem[0:63]; + generate + for (i = 0; i < 64; i = i + 1) begin : KS_RAM_INIT + assign Ks_mem[i] = Ks[32*(63-i) +: 32]; + end + endgenerate +`endif + + generate + + for (i = 0; i < NUM_ROUNDS/LOOP; i = i + 1) begin : HASHERS + wire [31:0] new_w15; + wire [255:0] state; + wire [31:0] K, K_next; + wire [31:0] t1_part_next; + wire feedback_r; + reg feedback_next; +`ifdef USE_RAM_FOR_KS + assign K = Ks_mem[LOOP*cnt+i]; + assign K_next = Ks_mem[LOOP*cnt+i+1]; +`else + assign K = Ks[32*(63-(NUM_ROUNDS/LOOP)*((cnt+64-i)&(LOOP-1))-i) +: 32]; + assign K_next = Ks[32*(63-(NUM_ROUNDS/LOOP)*((cnt+64-i)&(LOOP-1))-i-1) +: 32]; +`endif + wire [31:0] cur_w0, cur_w1, cur_w9, cur_w14; + reg [479:0] new_w14to0; + if(LOOP <= 4) + begin + if(i == 0) + assign feedback_r = feedback; + else + assign feedback_r = HASHERS[i-1].feedback_next; + always @ (posedge clk) + feedback_next <= feedback_r; + + if(i == 0) + begin + wire [31:0] fb_w0; + shifter_32b #(.LENGTH(2)) shift_w0_fb (clk, HASHERS[NUM_ROUNDS/LOOP-1].cur_w1, fb_w0); + assign cur_w0 = feedback_r ? fb_w0 : rx_input[31:0]; + end + else + shifter_32b #(.LENGTH(1)) shift_w0 (clk, HASHERS[i-1].cur_w1, cur_w0); + + if(i == 0) + begin + wire [31:0] fb_w1; + shifter_32b #(.LENGTH(9)) shift_w1_fb (clk, HASHERS[NUM_ROUNDS/LOOP-8].cur_w9, fb_w1); + assign cur_w1 = feedback_r ? fb_w1 : rx_input[63:32]; + end + else if(i < 8) + begin + wire [31:0] fb_w1; wire[31:0] nonfb_w1; + shifter_32b #(.LENGTH(9)) shift_w1_fb (clk, HASHERS[NUM_ROUNDS/LOOP+i-8].cur_w9, fb_w1); + shifter_32b #(.LENGTH(i)) shift_w1 (clk, rx_input[`IDX(1+i)], nonfb_w1); + assign cur_w1 = feedback_r ? fb_w1 : nonfb_w1; + end + else + shifter_32b #(.LENGTH(8)) shift_w1 (clk, HASHERS[i-8].cur_w9, cur_w1); + + + if(i == 0) + begin + wire [31:0] fb_w14; + shifter_32b #(.LENGTH(2)) shift_w14_fb (clk, HASHERS[NUM_ROUNDS/LOOP-2].new_w15, fb_w14); + assign cur_w14 = feedback_r ? fb_w14 : rx_input[479:448]; + end + else if(i == 1) + begin + wire [31:0] fb_w14; wire [31:0] nonfb_w14; + shifter_32b #(.LENGTH(1)) shift_w14 (clk, rx_input[511:480], nonfb_w14); + shifter_32b #(.LENGTH(2)) shift_w14_fb (clk, HASHERS[NUM_ROUNDS/LOOP-1].new_w15, fb_w14); + assign cur_w14 = feedback_r ? fb_w14 : nonfb_w14; + end + else + shifter_32b #(.LENGTH(1)) shift_w14 (clk, HASHERS[i-2].new_w15, cur_w14); + + if(i == 0) + begin + wire [31:0] fb_w9; + shifter_32b #(.LENGTH(6)) shift_w9_fb (clk, HASHERS[NUM_ROUNDS/LOOP-5].cur_w14, fb_w9); + assign cur_w9 = feedback_r ? fb_w9 : rx_input[319:288]; + end + else if(i < 5) + begin + wire [31:0] fb_w9; wire [31:0] nonfb_w9; + shifter_32b #(.LENGTH(6)) shift_w9_fb (clk, HASHERS[NUM_ROUNDS/LOOP+i-5].cur_w14, fb_w9); + shifter_32b #(.LENGTH(i)) shift_w9 (clk, rx_input[`IDX(9+i)], nonfb_w9); + assign cur_w9 = feedback_r ? fb_w9 : nonfb_w9; + end + else + shifter_32b #(.LENGTH(5)) shift_w9 (clk, HASHERS[i-5].cur_w14, cur_w9); + end + else // LOOP != 1, so we can't use the shift register-based code yet. + begin + wire[511:0] cur_w; + if(i == 0) + assign cur_w = feedback ? w_fb : rx_input; + else + assign cur_w = {HASHERS[i-1].new_w15, HASHERS[i-1].new_w14to0 }; + + assign cur_w0 = cur_w[31:0]; + assign cur_w1 = cur_w[63:32]; + assign cur_w9 = cur_w[319:288]; + assign cur_w14 = cur_w[479:448]; + + always @ (posedge clk) + new_w14to0 <= cur_w[511:32]; + end + + if(i == 0) + sha256_digester U ( + .clk(clk), + .k_next(K_next), + .rx_state(feedback ? state_fb : rx_state), + .rx_t1_part(feedback ? t1_part_fb : (rx_state[`IDX(7)] + cur_w0 + K)), + .rx_w1(cur_w1), + .tx_state(state), + .tx_t1_part(t1_part_next) + ); + else + sha256_digester U ( + .clk(clk), + .k_next(K_next), + .rx_state(HASHERS[i-1].state), + .rx_t1_part(HASHERS[i-1].t1_part_next), + .rx_w1(cur_w1), + .tx_state(state), + .tx_t1_part(t1_part_next) + ); + sha256_update_w upd_w ( + .clk(clk), + .rx_w0(cur_w0), + .rx_w1(cur_w1), + .rx_w9(cur_w9), + .rx_w14(cur_w14), + .tx_w15(new_w15) + ); + end + + endgenerate + + always @ (posedge clk) + begin + state_fb <= HASHERS[NUM_ROUNDS/LOOP-6'd1].state; + w_fb <= {HASHERS[NUM_ROUNDS/LOOP-6'd1].new_w15, HASHERS[NUM_ROUNDS/LOOP-6'd1].new_w14to0}; + t1_part_fb <= HASHERS[NUM_ROUNDS/LOOP-6'd1].t1_part_next; + if (NUM_ROUNDS == 64) begin + tx_hash[`IDX(0)] <= rx_state[`IDX(0)] + HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(0)]; + tx_hash[`IDX(1)] <= rx_state[`IDX(1)] + HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(1)]; + tx_hash[`IDX(2)] <= rx_state[`IDX(2)] + HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(2)]; + tx_hash[`IDX(3)] <= rx_state[`IDX(3)] + HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(3)]; + tx_hash[`IDX(4)] <= rx_state[`IDX(4)] + HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(4)]; + tx_hash[`IDX(5)] <= rx_state[`IDX(5)] + HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(5)]; + tx_hash[`IDX(6)] <= rx_state[`IDX(6)] + HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(6)]; + tx_hash[`IDX(7)] <= rx_state[`IDX(7)] + HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(7)]; + end else begin + tx_hash[`IDX(0)] <= HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(0)]; + tx_hash[`IDX(1)] <= HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(1)]; + tx_hash[`IDX(2)] <= HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(2)]; + tx_hash[`IDX(3)] <= HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(3)]; + tx_hash[`IDX(4)] <= HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(4)]; + tx_hash[`IDX(5)] <= HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(5)]; + tx_hash[`IDX(6)] <= HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(6)]; + tx_hash[`IDX(7)] <= HASHERS[NUM_ROUNDS/LOOP-6'd1].state[`IDX(7)]; + end + end + + +endmodule + +module sha256_update_w (clk, rx_w0, rx_w1, rx_w9, rx_w14, tx_w15); + input clk; + input [31:0] rx_w0, rx_w1, rx_w9, rx_w14; + output reg[31:0] tx_w15; + + wire [31:0] s0_w, s1_w; + s0 s0_blk (rx_w1, s0_w); + s1 s1_blk (rx_w14, s1_w); + + wire [31:0] new_w = s1_w + rx_w9 + s0_w + rx_w0; + always @ (posedge clk) + tx_w15 <= new_w; + +endmodule + +module sha256_digester (clk, k_next, rx_state, rx_t1_part, rx_w1, + tx_state, tx_t1_part); + + input clk; + input [31:0] k_next; + input [255:0] rx_state; + input [31:0] rx_t1_part; + input [31:0] rx_w1; + + output reg [255:0] tx_state; + output reg [31:0] tx_t1_part; + + wire [31:0] e0_w, e1_w, ch_w, maj_w; + + + e0 e0_blk (rx_state[`IDX(0)], e0_w); + e1 e1_blk (rx_state[`IDX(4)], e1_w); + ch ch_blk (rx_state[`IDX(4)], rx_state[`IDX(5)], rx_state[`IDX(6)], ch_w); + maj maj_blk (rx_state[`IDX(0)], rx_state[`IDX(1)], rx_state[`IDX(2)], maj_w); + + wire [31:0] t1 = rx_t1_part + e1_w + ch_w ; + wire [31:0] t2 = e0_w + maj_w; + + + always @ (posedge clk) + begin + tx_t1_part <= (rx_state[`IDX(6)] + rx_w1 + k_next); + + tx_state[`IDX(7)] <= rx_state[`IDX(6)]; + tx_state[`IDX(6)] <= rx_state[`IDX(5)]; + tx_state[`IDX(5)] <= rx_state[`IDX(4)]; + tx_state[`IDX(4)] <= rx_state[`IDX(3)] + t1; + tx_state[`IDX(3)] <= rx_state[`IDX(2)]; + tx_state[`IDX(2)] <= rx_state[`IDX(1)]; + tx_state[`IDX(1)] <= rx_state[`IDX(0)]; + tx_state[`IDX(0)] <= t1 + t2; + end + +endmodule + +module shifter_32b #( + parameter LENGTH = 1 +) ( + input clk, + input [31:0] val_in, + output [31:0] val_out +); +generate +`ifdef USE_EXPLICIT_ALTSHIFT_FOR_W + if(LENGTH >= 4) begin + altshift_taps #(.number_of_taps(1), .tap_distance(LENGTH), .width(32)) shifttaps + ( .clken(1), .aclr(0), .clock(clk), .shiftin(val_in), .taps(), .shiftout(val_out) ); + end else begin +`endif +`ifdef USE_XILINX_BRAM_FOR_W + if(LENGTH >= 8) begin + reg [7:0] addr = 0; + reg [31:0] r; + reg [31:0] m[0:(LENGTH-2)]; + always @ (posedge clk) + begin + addr <= (addr + 1) % (LENGTH - 1); + r <= m[addr]; + m[addr] <= val_in; + end + assign val_out = r; + end else begin +`endif + reg [32 * LENGTH - 1:0] r; + always @ (posedge clk) + r <= (r << 32) | val_in; + assign val_out = r[32 * LENGTH - 1:32 * (LENGTH - 1)]; +`ifdef USE_XILINX_BRAM_FOR_W + end +`endif +`ifdef USE_EXPLICIT_ALTSHIFT_FOR_W + end +`endif +endgenerate +endmodule diff --git a/projects/LX150_makomk_Test/xilinx_fpgaminer.xise b/projects/LX150_makomk_Test/xilinx_fpgaminer.xise new file mode 100644 index 0000000..597ccbd --- /dev/null +++ b/projects/LX150_makomk_Test/xilinx_fpgaminer.xise @@ -0,0 +1,393 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +