From 1d4e4010c5d83dda566e6b912228335da2015da2 Mon Sep 17 00:00:00 2001 From: Chaoqun Liang Date: Sat, 18 May 2024 20:16:00 +0200 Subject: [PATCH] fix --- Bender.lock | 257 ---------------------------------------------------- Bender.yml | 10 +- 2 files changed, 5 insertions(+), 262 deletions(-) delete mode 100644 Bender.lock diff --git a/Bender.lock b/Bender.lock deleted file mode 100644 index ed109b2a..00000000 --- a/Bender.lock +++ /dev/null @@ -1,257 +0,0 @@ -packages: - apb: - revision: 77ddf073f194d44b9119949d2421be59789e69ae - version: 0.2.4 - source: - Git: https://github.com/pulp-platform/apb - dependencies: - - common_cells - apb_uart: - revision: 6c7dde3d749ac8274377745c105da8c8b8cd27c6 - version: 0.2.1 - source: - Git: https://github.com/pulp-platform/apb_uart.git - dependencies: - - apb - - register_interface - axi: - revision: ac5deb3ff086aa34b168f392c051e92603d6c0e2 - version: 0.39.2 - source: - Git: https://github.com/pulp-platform/axi - dependencies: - - common_cells - - common_verification - - tech_cells_generic - axi_llc: - revision: d79a00b8673b814efacbbe9bf106103407fc401b - version: null - source: - Git: https://github.com/pulp-platform/axi_llc - dependencies: - - axi - - common_cells - - common_verification - - register_interface - - tech_cells_generic - axi_riscv_atomics: - revision: 6a85f44fdb1fe93162de7241476bba81e2247cb5 - version: null - source: - Git: https://github.com/pulp-platform/axi_riscv_atomics.git - dependencies: - - axi - - common_cells - - common_verification - axi_rt: - revision: 56074a195b1c8b05f4bdd73674e437bbcb35f2cd - version: 0.0.0-alpha.7 - source: - Git: https://github.com/pulp-platform/axi_rt.git - dependencies: - - axi - - common_cells - - register_interface - axi_stream: - revision: 54891ff40455ca94a37641b9da4604647878cc07 - version: 0.1.1 - source: - Git: https://github.com/pulp-platform/axi_stream.git - dependencies: - - common_cells - axi_vga: - revision: 3718b9930f94a9eaad8ee50b4bccc71df0403084 - version: 0.1.3 - source: - Git: https://github.com/pulp-platform/axi_stream.git - dependencies: - - common_cells - axi_vga: - revision: 3718b9930f94a9eaad8ee50b4bccc71df0403084 - version: 0.1.3 - source: - Git: https://github.com/pulp-platform/axi_vga.git - dependencies: - - axi - - common_cells - - register_interface - clic: - revision: bf6032e1ea30f3a502aa85906520dece53626eb9 - version: 3.0.0-rc4 - source: - Git: https://github.com/pulp-platform/clic.git - dependencies: - - common_cells - - register_interface - clint: - revision: d5390a805c20f9226758a152ba1645f61da73349 - version: 0.2.0 - source: - Git: https://github.com/pulp-platform/clint.git - dependencies: - - common_cells - - register_interface - common_cells: - revision: 0d67563b6b592549542544f1abc0f43e5d4ee8b4 - version: 1.35.0 - source: - Git: https://github.com/pulp-platform/common_cells.git - dependencies: - - common_verification - - tech_cells_generic - common_verification: - revision: 9c07fa860593b2caabd9b5681740c25fac04b878 - version: 0.2.3 - source: - Git: https://github.com/pulp-platform/common_verification.git - dependencies: [] - cva6: - revision: dbaecc59b99e3bb4d89fed546d75080f39bfe4f1 - version: null - source: - Git: https://github.com/pulp-platform/cva6.git - dependencies: - - axi - - common_cells - - fpnew - - redundancy_cells - - tech_cells_generic - ethernet: - revision: ca7feb6e5722aaec7bdb6d71d4cfddfe58f18afd - version: null - source: - Git: https://github.com/pulp-platform/pulp-ethernet.git - dependencies: - - axi - - axi_stream - - common_cells - - common_verification - - idma - - register_interface - fpnew: - revision: f231041c610f270ffc03cbdac38739ddb6426572 - version: null - source: - Git: https://github.com/pulp-platform/cvfpu.git - dependencies: - - common_cells - - fpu_div_sqrt_mvp - fpu_div_sqrt_mvp: - revision: 86e1f558b3c95e91577c41b2fc452c86b04e85ac - version: 1.0.4 - source: - Git: https://github.com/pulp-platform/fpu_div_sqrt_mvp.git - dependencies: - - common_cells - idma: - revision: 88fbe67d8350b4de5ceb3673073bc216b8d585b7 - version: null - source: - Git: https://github.com/pulp-platform/iDMA.git - dependencies: - - axi - - axi_stream - - common_cells - - common_verification - - obi - - idma_gen - - register_interface - idma_gen: - revision: null - version: null - source: - Path: .bender/git/checkouts/idma-77bf7fa56d324e6a/target/rtl - dependencies: - - axi - - common_cells - - register_interface - irq_router: - revision: d1d31350b24f3965b3a51e1bc96c71eb34e94db3 - version: 0.0.1-beta.1 - source: - Git: https://github.com/pulp-platform/irq_router.git - dependencies: - - axi - - common_cells - - register_interface - obi: - revision: 1aa411df145c4ebdd61f8fed4d003c33f7b20636 - version: 0.1.2 - source: - Git: https://github.com/pulp-platform/obi.git - dependencies: - - common_cells - - common_verification - opentitan_peripherals: - revision: cd3153de2783abd3d03d0595e6c4b32413c62f14 - version: 0.4.0 - source: - Git: https://github.com/pulp-platform/opentitan_peripherals.git - dependencies: - - common_cells - - register_interface - - tech_cells_generic - redundancy_cells: - revision: c37bdb47339bf70e8323de8df14ea8bbeafb6583 - version: null - source: - Git: https://github.com/pulp-platform/redundancy_cells.git - dependencies: - - common_cells - - common_verification - - register_interface - - tech_cells_generic - register_interface: - revision: ae616e5a1ec2b41e72d200e5ab09c65e94aebd3d - version: 0.4.4 - source: - Git: https://github.com/pulp-platform/register_interface.git - dependencies: - - apb - - axi - - common_cells - - common_verification - riscv-dbg: - revision: 358f90110220adf7a083f8b65d157e836d706236 - version: 0.8.1 - source: - Git: https://github.com/pulp-platform/riscv-dbg.git - dependencies: - - common_cells - - tech_cells_generic - serial_link: - revision: 5a25f5a71074f1ebb6de7b5280f2b16924bcc666 - version: 1.1.1 - source: - Git: https://github.com/pulp-platform/serial_link.git - dependencies: - - axi - - common_cells - - register_interface - tagger: - revision: b288376b65b6bbd5feea196bb3c220f783d96e29 - version: null - source: - Git: https://github.com/pulp-platform/transaction-tagger.git - dependencies: - - axi - - common_cells - - common_verification - - register_interface - - tech_cells_generic - tech_cells_generic: - revision: 7968dd6e6180df2c644636bc6d2908a49f2190cf - version: 0.2.13 - source: - Git: https://github.com/pulp-platform/tech_cells_generic.git - dependencies: - - common_verification - unbent: - revision: e9c9d5cfb635f2d4668c816ce9235798cfecb297 - version: 0.1.6 - source: - Git: https://github.com/pulp-platform/unbent.git - dependencies: - - axi - - common_cells - - register_interface diff --git a/Bender.yml b/Bender.yml index bd38a254..0fd1eda3 100644 --- a/Bender.yml +++ b/Bender.yml @@ -13,9 +13,9 @@ package: dependencies: apb_uart: { git: "https://github.com/pulp-platform/apb_uart.git", version: 0.2.1 } - axi: { git: "https://github.com/pulp-platform/axi", version: 0.39.1 } - axi_llc: { git: "https://github.com/pulp-platform/axi_llc", rev: d79a00b } # branch: astral - axi_riscv_atomics: { git: "https://github.com/pulp-platform/axi_riscv_atomics.git", rev: 6a85f44fd } # branch: astral + axi: { git: "https://github.com/pulp-platform/axi.git", version: 0.39.1 } + axi_llc: { git: "https://github.com/pulp-platform/axi_llc.git", rev: d79a00b } # branch: astral + axi_riscv_atomics: { git: "https://github.com/pulp-platform/axi_riscv_atomics.git", rev: 034bf89 } axi_rt: { git: "https://github.com/pulp-platform/axi_rt.git", version: 0.0.0-alpha.4 } axi_vga: { git: "https://github.com/pulp-platform/axi_vga.git", version: 0.1.1 } clic: { git: "https://github.com/pulp-platform/clic.git", version: 3.0.0-for-carfield } @@ -23,7 +23,7 @@ dependencies: common_cells: { git: "https://github.com/pulp-platform/common_cells.git", rev: bf98ae5e18 } # branch: master common_verification: { git: "https://github.com/pulp-platform/common_verification.git", version: 0.2.0 } cva6: { git: "https://github.com/pulp-platform/cva6.git", rev: "dbaecc5" } # branch: astral - iDMA: { git: "https://github.com/pulp-platform/iDMA.git", rev: "88fbe67" } # branch: __deploy__a600031__cl/backend-tpl + iDMA: { git: "https://github.com/pulp-platform/iDMA.git", version: 0.6.2 } irq_router: { git: "https://github.com/pulp-platform/irq_router.git", version: 0.0.1-beta.1 } opentitan_peripherals: { git: "https://github.com/pulp-platform/opentitan_peripherals.git", version: 0.4.0 } redundancy_cells: { git: "https://github.com/pulp-platform/redundancy_cells.git", rev: "c37bdb47" } # branch: astral_rebase @@ -32,7 +32,7 @@ dependencies: serial_link: { git: "https://github.com/pulp-platform/serial_link.git", version: 1.1.1 } tagger: { git: "https://github.com/pulp-platform/transaction-tagger.git", rev: b288376 } unbent: { git: "https://github.com/pulp-platform/unbent.git", version: 0.1.6 } - ethernet: { git: "https://github.com/pulp-platform/pulp-ethernet.git", rev: "ca7feb6" } # branch: cl/eth_idma + pulp-ethernet: { git: "https://github.com/pulp-platform/pulp-ethernet.git", rev: "bf5fc00" } # branch: cl/eth_idma export_include_dirs: - hw/include