diff --git a/rtl/hwpe_ctrl_interfaces.sv b/rtl/hwpe_ctrl_interfaces.sv index 2111de7..2241e72 100644 --- a/rtl/hwpe_ctrl_interfaces.sv +++ b/rtl/hwpe_ctrl_interfaces.sv @@ -13,11 +13,6 @@ * specific language governing permissions and limitations under the License. */ -`ifndef SYNTHESIS -timeunit 1ps; -timeprecision 1ps; -`endif - interface hwpe_ctrl_intf_periph ( input logic clk ); @@ -44,47 +39,4 @@ interface hwpe_ctrl_intf_periph ( output gnt, r_data, r_valid, r_id ); -`ifndef SYNTHESIS - task write( - input logic [31:0] w_add, - input logic [3:0] w_be, - input logic [31:0] w_data, - input time TCP, - input time TA - ); - #(TA); - add = w_add; - data = w_data; - wen = 1'b0; - req = 1'b1; - be = w_be; - id = '0; - while (gnt != 1'b1) - #(TCP); - #(TCP); - req = 1'b0; - #(TCP-TA); - endtask - - task read( - input logic [31:0] r_add, - output logic [31:0] rdata, - input time TCP, - input time TA - ); - #(TA); - add = r_add; - req = 1'b1; - wen = 1'b1; - id = '0; - while (gnt != 1'b1) - #(TCP); - #(TCP-TA); - rdata = r_data; - #(TA); - req = 1'b0; - #(TCP-TA); - endtask -`endif - endinterface // hwpe_ctrl_intf_periph