Skip to content

Commit

Permalink
Update docs structure
Browse files Browse the repository at this point in the history
  • Loading branch information
pwmarcz committed Nov 26, 2018
1 parent 8aff181 commit fee6ebd
Show file tree
Hide file tree
Showing 4 changed files with 43 additions and 26 deletions.
28 changes: 2 additions & 26 deletions README.md
@@ -1,31 +1,7 @@
# FPGA tutorial

Here are some materials for my FPGA workshop. The workshop uses the open source
Icestorm toolchain. The hardware is Icestick and TinyFPGA boards because that's
what I have, as well as some peripherals like OLED displays.
See the tutorial at [pwmarcz.github.io/fpga-tutorial/](https://pwmarcz.github.io/fpga-tutorial/),
or just look into the [docs](docs/) directory.

The code is MIT licensed (see LICENSE) unless otherwise stated in the
file. Patches welcome!

See also [fpga-experiments](https://github.com/pwmarcz/fpga-experiments) which
is a very messy sandbox where I try out all this stuff.

This tutorial has the following parts:

## [NandGame](http://nandgame.com/)

Play the excellent [NandGame](http://nandgame.com/). Try to get at least to
"Data Flip-Flop".

## [Bit operations](bits/)

If you are not familiar with bit operations, learn a bit about them. There
are some links and exercises in the [bits/](bits/) directory.

## [Verilog basics](verilog/)

Move on to Verilog basics in the [verilog/](verilog/) directory.

## [FPGA](fpga/)

Finally, we get to play with real hardware. See the [fpga/](fpga/) directory.
4 changes: 4 additions & 0 deletions docs/fpga.md
@@ -1,5 +1,9 @@
# FPGA

Link to repo: [fpga-tutorial](https://github.com/pwmarcz/fpga-tutorial/)

We'll be working in the `verilog` directory.

## Setup

You will need Verilog setup from the [previous step](../verilog/README.md).
Expand Down
33 changes: 33 additions & 0 deletions docs/index.md
@@ -0,0 +1,33 @@
# FPGA tutorial

Link to repo: [fpga-tutorial](https://github.com/pwmarcz/fpga-tutorial/)

Here are some materials for my FPGA workshop. The workshop uses the open source
Icestorm toolchain. The hardware is Icestick and TinyFPGA boards because that's
what I have, as well as some peripherals like OLED displays.

The code is MIT licensed (see LICENSE) unless otherwise stated in the
file. Patches welcome!

See also [fpga-experiments](https://github.com/pwmarcz/fpga-experiments) which
is a very messy sandbox where I try out all this stuff.

This tutorial has the following parts:

## [NandGame](http://nandgame.com/)

Play the excellent [NandGame](http://nandgame.com/). Try to get at least to
"Data Flip-Flop".

## [Bit operations](https://github.com/pwmarcz/fpga-tutorial/bits/)

If you are not familiar with bit operations, learn a bit about them. There
are some links and exercises in the [bits/](https://github.com/pwmarcz/fpga-tutorial/bits/) directory.

## [Verilog basics](verilog.html)

Move on to Verilog basics.

## [FPGA](fpga.html)

Finally, we get to play with real hardware.
4 changes: 4 additions & 0 deletions docs/verilog.md
@@ -1,5 +1,9 @@
# Verilog basics

Link to repo: [fpga-tutorial](https://github.com/pwmarcz/fpga-tutorial/)

We'll be working in the `verilog` directory.

## Prerequisites

You will need the following:
Expand Down

0 comments on commit fee6ebd

Please sign in to comment.