From 4d7fe2b8581c1c78f48b9d161e7f1c21724af656 Mon Sep 17 00:00:00 2001 From: Troy Hinckley Date: Mon, 26 Sep 2022 10:48:03 -0700 Subject: [PATCH] Fix an issue where verilog identifiers could be matched as begin/end tags --- evil-matchit-verilog.el | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/evil-matchit-verilog.el b/evil-matchit-verilog.el index ab20e3e..57c58b9 100644 --- a/evil-matchit-verilog.el +++ b/evil-matchit-verilog.el @@ -64,7 +64,7 @@ '(("^[ \t]*\\(while\\|module\\|primitive\\|case\\|function\\|specify\\|table\\|class\\|program\\|clocking\\|property\\|sequence\\|package\\|covergroup\\|generate\\|interface\\|task\\|fork\\|join[a-z]*\\)" 1) ("^[ \t]*\\(end[a-z]+\\)" 1) ("^[ \t]*\\(`[a-z]+\\)" 1) ; macro - ("\\([^a-z]\\|^\\)\\(begin\\|end\\)\\([^a-z]\\|$\\)" 2))) + ("\\([^a-zA-Z_]\\|^\\)\\(begin\\|end\\)\\([^a-zA-Z_]\\|$\\)" 2))) (defvar evilmi-verilog-match-tags '(("module" () "endmodule" "MONOGAMY")