Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
Added project files for EMS11-bb37 and updated MIST files.
- Loading branch information
Alastair M. Robinson
committed
Oct 10, 2014
1 parent
fc4c29c
commit 4455b4b
Showing
42 changed files
with
5,771 additions
and
0 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,29 @@ | ||
Version 4 | ||
SymbolType BLOCK | ||
TEXT 32 32 LEFT 4 Clock_50to100Split | ||
RECTANGLE Normal 32 32 576 1088 | ||
LINE Normal 0 80 32 80 | ||
PIN 0 80 LEFT 36 | ||
PINATTR PinName clk_in1 | ||
PINATTR Polarity IN | ||
LINE Normal 0 432 32 432 | ||
PIN 0 432 LEFT 36 | ||
PINATTR PinName reset | ||
PINATTR Polarity IN | ||
LINE Normal 608 80 576 80 | ||
PIN 608 80 RIGHT 36 | ||
PINATTR PinName clk_out1 | ||
PINATTR Polarity OUT | ||
LINE Normal 608 176 576 176 | ||
PIN 608 176 RIGHT 36 | ||
PINATTR PinName clk_out2 | ||
PINATTR Polarity OUT | ||
LINE Normal 608 272 576 272 | ||
PIN 608 272 RIGHT 36 | ||
PINATTR PinName clk_out3 | ||
PINATTR Polarity OUT | ||
LINE Normal 608 976 576 976 | ||
PIN 608 976 RIGHT 36 | ||
PINATTR PinName locked | ||
PINATTR Polarity OUT | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,31 @@ | ||
<?xml version="1.0" encoding="UTF-8" standalone="no" ?> | ||
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema"> | ||
|
||
<!-- --> | ||
|
||
<!-- For tool use only. Do not edit. --> | ||
|
||
<!-- --> | ||
|
||
<!-- ProjectNavigator created generated project file. --> | ||
|
||
<!-- For use in tracking generated file and other information --> | ||
|
||
<!-- allowing preservation of process status. --> | ||
|
||
<!-- --> | ||
|
||
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> | ||
|
||
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version> | ||
|
||
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="Clock_50to100Split.xise"/> | ||
|
||
<files xmlns="http://www.xilinx.com/XMLSchema"> | ||
<file xil_pn:fileType="FILE_ASY" xil_pn:name="Clock_50to100Split.asy" xil_pn:origination="imported"/> | ||
<file xil_pn:fileType="FILE_VHO" xil_pn:name="Clock_50to100Split.vho" xil_pn:origination="imported"/> | ||
</files> | ||
|
||
<transforms xmlns="http://www.xilinx.com/XMLSchema"/> | ||
|
||
</generated_project> |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,60 @@ | ||
# file: Clock_50to100Split.ucf | ||
# | ||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. | ||
# | ||
# This file contains confidential and proprietary information | ||
# of Xilinx, Inc. and is protected under U.S. and | ||
# international copyright and other intellectual property | ||
# laws. | ||
# | ||
# DISCLAIMER | ||
# This disclaimer is not a license and does not grant any | ||
# rights to the materials distributed herewith. Except as | ||
# otherwise provided in a valid license issued to you by | ||
# Xilinx, and to the maximum extent permitted by applicable | ||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND | ||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES | ||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING | ||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- | ||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and | ||
# (2) Xilinx shall not be liable (whether in contract or tort, | ||
# including negligence, or under any other theory of | ||
# liability) for any loss or damage of any kind or nature | ||
# related to, arising under or in connection with these | ||
# materials, including for any direct, or any indirect, | ||
# special, incidental, or consequential loss or damage | ||
# (including loss of data, profits, goodwill, or any type of | ||
# loss or damage suffered as a result of any action brought | ||
# by a third party) even if such damage or loss was | ||
# reasonably foreseeable or Xilinx had been advised of the | ||
# possibility of the same. | ||
# | ||
# CRITICAL APPLICATIONS | ||
# Xilinx products are not designed or intended to be fail- | ||
# safe, or for use in any application requiring fail-safe | ||
# performance, such as life-support or safety devices or | ||
# systems, Class III medical devices, nuclear facilities, | ||
# applications related to the deployment of airbags, or any | ||
# other applications that could lead to death, personal | ||
# injury, or severe property or environmental damage | ||
# (individually and collectively, "Critical | ||
# Applications"). Customer assumes the sole risk and | ||
# liability of any use of Xilinx products in Critical | ||
# Applications, subject only to applicable laws and | ||
# regulations governing limitations on product liability. | ||
# | ||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS | ||
# PART OF THIS FILE AT ALL TIMES. | ||
# | ||
|
||
# Input clock periods. These duplicate the values entered for the | ||
# input clocks. You can use these to time your system | ||
#---------------------------------------------------------------- | ||
NET "CLK_IN1" TNM_NET = "CLK_IN1"; | ||
TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 20.000 ns HIGH 50% INPUT_JITTER 200.0ps; | ||
|
||
|
||
# FALSE PATH constraints | ||
PIN "RESET" TIG; | ||
|
||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,27 @@ | ||
<?xml version="1.0" encoding="UTF-8"?> | ||
<symbol version="7" name="Clock_50to100Split"> | ||
<symboltype>BLOCK</symboltype> | ||
<timestamp>2014-8-14T16:49:25</timestamp> | ||
<pin polarity="Input" x="0" y="80" name="clk_in1" /> | ||
<pin polarity="Input" x="0" y="432" name="reset" /> | ||
<pin polarity="Output" x="608" y="80" name="clk_out1" /> | ||
<pin polarity="Output" x="608" y="176" name="clk_out2" /> | ||
<pin polarity="Output" x="608" y="272" name="clk_out3" /> | ||
<pin polarity="Output" x="608" y="976" name="locked" /> | ||
<graph> | ||
<text style="fontsize:40;fontname:Arial" x="32" y="32">Clock_50to100Split</text> | ||
<rect width="544" x="32" y="32" height="1056" /> | ||
<line x2="32" y1="80" y2="80" x1="0" /> | ||
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="80" type="pin clk_in1" /> | ||
<line x2="32" y1="432" y2="432" x1="0" /> | ||
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="432" type="pin reset" /> | ||
<line x2="576" y1="80" y2="80" x1="608" /> | ||
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="572" y="80" type="pin clk_out1" /> | ||
<line x2="576" y1="176" y2="176" x1="608" /> | ||
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="572" y="176" type="pin clk_out2" /> | ||
<line x2="576" y1="272" y2="272" x1="608" /> | ||
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="572" y="272" type="pin clk_out3" /> | ||
<line x2="576" y1="976" y2="976" x1="608" /> | ||
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="572" y="976" type="pin locked" /> | ||
</graph> | ||
</symbol> |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,59 @@ | ||
# file: Clock_50to100Split.ucf | ||
# | ||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. | ||
# | ||
# This file contains confidential and proprietary information | ||
# of Xilinx, Inc. and is protected under U.S. and | ||
# international copyright and other intellectual property | ||
# laws. | ||
# | ||
# DISCLAIMER | ||
# This disclaimer is not a license and does not grant any | ||
# rights to the materials distributed herewith. Except as | ||
# otherwise provided in a valid license issued to you by | ||
# Xilinx, and to the maximum extent permitted by applicable | ||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND | ||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES | ||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING | ||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- | ||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and | ||
# (2) Xilinx shall not be liable (whether in contract or tort, | ||
# including negligence, or under any other theory of | ||
# liability) for any loss or damage of any kind or nature | ||
# related to, arising under or in connection with these | ||
# materials, including for any direct, or any indirect, | ||
# special, incidental, or consequential loss or damage | ||
# (including loss of data, profits, goodwill, or any type of | ||
# loss or damage suffered as a result of any action brought | ||
# by a third party) even if such damage or loss was | ||
# reasonably foreseeable or Xilinx had been advised of the | ||
# possibility of the same. | ||
# | ||
# CRITICAL APPLICATIONS | ||
# Xilinx products are not designed or intended to be fail- | ||
# safe, or for use in any application requiring fail-safe | ||
# performance, such as life-support or safety devices or | ||
# systems, Class III medical devices, nuclear facilities, | ||
# applications related to the deployment of airbags, or any | ||
# other applications that could lead to death, personal | ||
# injury, or severe property or environmental damage | ||
# (individually and collectively, "Critical | ||
# Applications"). Customer assumes the sole risk and | ||
# liability of any use of Xilinx products in Critical | ||
# Applications, subject only to applicable laws and | ||
# regulations governing limitations on product liability. | ||
# | ||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS | ||
# PART OF THIS FILE AT ALL TIMES. | ||
# | ||
|
||
# Input clock periods. These duplicate the values entered for the | ||
# input clocks. You can use these to time your system | ||
#---------------------------------------------------------------- | ||
NET "CLK_IN1" TNM_NET = "CLK_IN1"; | ||
TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 20.000 ns HIGH 50% INPUT_JITTER 200.0ps; | ||
|
||
|
||
# FALSE PATH constraints | ||
PIN "RESET" TIG; | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,178 @@ | ||
-- file: Clock_50to100Split.vhd | ||
-- | ||
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. | ||
-- | ||
-- This file contains confidential and proprietary information | ||
-- of Xilinx, Inc. and is protected under U.S. and | ||
-- international copyright and other intellectual property | ||
-- laws. | ||
-- | ||
-- DISCLAIMER | ||
-- This disclaimer is not a license and does not grant any | ||
-- rights to the materials distributed herewith. Except as | ||
-- otherwise provided in a valid license issued to you by | ||
-- Xilinx, and to the maximum extent permitted by applicable | ||
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND | ||
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES | ||
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING | ||
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- | ||
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and | ||
-- (2) Xilinx shall not be liable (whether in contract or tort, | ||
-- including negligence, or under any other theory of | ||
-- liability) for any loss or damage of any kind or nature | ||
-- related to, arising under or in connection with these | ||
-- materials, including for any direct, or any indirect, | ||
-- special, incidental, or consequential loss or damage | ||
-- (including loss of data, profits, goodwill, or any type of | ||
-- loss or damage suffered as a result of any action brought | ||
-- by a third party) even if such damage or loss was | ||
-- reasonably foreseeable or Xilinx had been advised of the | ||
-- possibility of the same. | ||
-- | ||
-- CRITICAL APPLICATIONS | ||
-- Xilinx products are not designed or intended to be fail- | ||
-- safe, or for use in any application requiring fail-safe | ||
-- performance, such as life-support or safety devices or | ||
-- systems, Class III medical devices, nuclear facilities, | ||
-- applications related to the deployment of airbags, or any | ||
-- other applications that could lead to death, personal | ||
-- injury, or severe property or environmental damage | ||
-- (individually and collectively, "Critical | ||
-- Applications"). Customer assumes the sole risk and | ||
-- liability of any use of Xilinx products in Critical | ||
-- Applications, subject only to applicable laws and | ||
-- regulations governing limitations on product liability. | ||
-- | ||
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS | ||
-- PART OF THIS FILE AT ALL TIMES. | ||
-- | ||
------------------------------------------------------------------------------ | ||
-- User entered comments | ||
------------------------------------------------------------------------------ | ||
-- None | ||
-- | ||
------------------------------------------------------------------------------ | ||
-- "Output Output Phase Duty Pk-to-Pk Phase" | ||
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" | ||
------------------------------------------------------------------------------ | ||
-- CLK_OUT1___100.000______0.000______50.0______233.202____213.839 | ||
-- CLK_OUT2___100.000_____30.000______50.0______233.202____213.839 | ||
-- CLK_OUT3____25.000______0.000______50.0______311.133____213.839 | ||
-- | ||
------------------------------------------------------------------------------ | ||
-- "Input Clock Freq (MHz) Input Jitter (UI)" | ||
------------------------------------------------------------------------------ | ||
-- __primary__________50.000____________0.010 | ||
|
||
library ieee; | ||
use ieee.std_logic_1164.all; | ||
use ieee.std_logic_unsigned.all; | ||
use ieee.std_logic_arith.all; | ||
use ieee.numeric_std.all; | ||
|
||
library unisim; | ||
use unisim.vcomponents.all; | ||
|
||
entity Clock_50to100Split is | ||
port | ||
(-- Clock in ports | ||
CLK_IN1 : in std_logic; | ||
-- Clock out ports | ||
CLK_OUT1 : out std_logic; | ||
CLK_OUT2 : out std_logic; | ||
CLK_OUT3 : out std_logic; | ||
-- Status and control signals | ||
RESET : in std_logic; | ||
LOCKED : out std_logic | ||
); | ||
end Clock_50to100Split; | ||
|
||
architecture xilinx of Clock_50to100Split is | ||
attribute CORE_GENERATION_INFO : string; | ||
attribute CORE_GENERATION_INFO of xilinx : architecture is "Clock_50to100Split,clk_wiz_v3_6,{component_name=Clock_50to100Split,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=3,clkin1_period=20.000,clkin2_period=20.000,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; | ||
-- Input clock buffering / unused connectors | ||
signal clkin1 : std_logic; | ||
-- Output clock buffering / unused connectors | ||
signal clkfbout : std_logic; | ||
signal clkout0 : std_logic; | ||
signal clkout1 : std_logic; | ||
signal clkout2 : std_logic; | ||
signal clkout3_unused : std_logic; | ||
signal clkout4_unused : std_logic; | ||
signal clkout5_unused : std_logic; | ||
-- Unused status signals | ||
|
||
begin | ||
|
||
|
||
-- Input buffering | ||
-------------------------------------- | ||
clkin1_buf : IBUFG | ||
port map | ||
(O => clkin1, | ||
I => CLK_IN1); | ||
|
||
|
||
-- Clocking primitive | ||
-------------------------------------- | ||
-- Instantiation of the PLL primitive | ||
-- * Unused inputs are tied off | ||
-- * Unused outputs are labeled unused | ||
|
||
pll_base_inst : PLL_BASE | ||
generic map | ||
(BANDWIDTH => "OPTIMIZED", | ||
CLK_FEEDBACK => "CLKFBOUT", | ||
COMPENSATION => "INTERNAL", | ||
DIVCLK_DIVIDE => 1, | ||
CLKFBOUT_MULT => 12, | ||
CLKFBOUT_PHASE => 0.000, | ||
CLKOUT0_DIVIDE => 6, | ||
CLKOUT0_PHASE => 0.000, | ||
CLKOUT0_DUTY_CYCLE => 0.500, | ||
CLKOUT1_DIVIDE => 6, | ||
CLKOUT1_PHASE => 30.000, | ||
CLKOUT1_DUTY_CYCLE => 0.500, | ||
CLKOUT2_DIVIDE => 24, | ||
CLKOUT2_PHASE => 0.000, | ||
CLKOUT2_DUTY_CYCLE => 0.500, | ||
CLKIN_PERIOD => 20.000, | ||
REF_JITTER => 0.010) | ||
port map | ||
-- Output clocks | ||
(CLKFBOUT => clkfbout, | ||
CLKOUT0 => clkout0, | ||
CLKOUT1 => clkout1, | ||
CLKOUT2 => clkout2, | ||
CLKOUT3 => clkout3_unused, | ||
CLKOUT4 => clkout4_unused, | ||
CLKOUT5 => clkout5_unused, | ||
-- Status and control signals | ||
LOCKED => LOCKED, | ||
RST => RESET, | ||
-- Input clock control | ||
CLKFBIN => clkfbout, | ||
CLKIN => clkin1); | ||
|
||
-- Output buffering | ||
------------------------------------- | ||
|
||
|
||
clkout1_buf : BUFG | ||
port map | ||
(O => CLK_OUT1, | ||
I => clkout0); | ||
|
||
|
||
|
||
clkout2_buf : BUFG | ||
port map | ||
(O => CLK_OUT2, | ||
I => clkout1); | ||
|
||
clkout3_buf : BUFG | ||
port map | ||
(O => CLK_OUT3, | ||
I => clkout2); | ||
|
||
end xilinx; |
Oops, something went wrong.