*** Running vivado with args -log riscv_soc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source riscv_soc.tcl -notrace ****** Vivado v2016.4 (64-bit) **** SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 **** IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 ** Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. source riscv_soc.tcl -notrace Design is defaulting to srcset: sources_1 Design is defaulting to constrset: constrs_1 INFO: [Netlist 29-17] Analyzing 20 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2016.4 INFO: [Device 21-403] Loading part xcvu9p-flga2104-2L-e-es1 INFO: [Project 1-570] Preparing netlist for logic optimization Parsing XDC File [C:/Users/Gobs/Desktop/riscv_vhdl/rocket_soc/prj/vcu118/riscv_soc_vcu118.xdc] WARNING: [Vivado 12-508] No pins matched 'igbebuf0/xk7.x1/x1/O'. [C:/Users/Gobs/Desktop/riscv_vhdl/rocket_soc/prj/vcu118/riscv_soc_vcu118.xdc:62] WARNING: [Vivado 12-1023] No nets matched for command 'get_nets -of [get_pins igbebuf0/xk7.x1/x1/O]'. [C:/Users/Gobs/Desktop/riscv_vhdl/rocket_soc/prj/vcu118/riscv_soc_vcu118.xdc:62] CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Gobs/Desktop/riscv_vhdl/rocket_soc/prj/vcu118/riscv_soc_vcu118.xdc:62] Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. Finished Parsing XDC File [C:/Users/Gobs/Desktop/riscv_vhdl/rocket_soc/prj/vcu118/riscv_soc_vcu118.xdc] INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). CRITICAL WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'BootRom_vcu118' instantiated as 'boot0/tech0/genrom1.x0' [C:/Users/Gobs/Desktop/riscv_vhdl/rocket_soc/techmap/mem/bootrom_tech.vhd:64] CRITICAL WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'RomImage_vcu118' instantiated as 'img0/tech0/genrom1.x0' [C:/Users/Gobs/Desktop/riscv_vhdl/rocket_soc/techmap/mem/romimage_tech.vhd:66] INFO: [Project 1-111] Unisim Transformation Summary: A total of 20 instances were transformed. IBUF => IBUF (IBUFCTRL, INBUF): 20 instances link_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 1240.668 ; gain = 994.629 INFO: [Vivado_Tcl 4-424] Cannot write hardware definition file as there are no IPI block design hardware handoff files present Command: opt_design Attempting to get a license for feature 'Implementation' and/or device 'xcvu9p-es1' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xcvu9p-es1' Running DRC as a precondition to command opt_design Starting DRC Task INFO: [DRC 23-27] Running DRC with 2 threads ERROR: [DRC 23-20] Rule violation (INBB-3) Black Box Instances - Cell 'boot0/tech0/genrom1.x0' of type 'boot0/tech0/genrom1.x0/BootRom_vcu118' has undefined contents and is considered a black box. The contents of this cell must be defined for opt_design to complete successfully. ERROR: [DRC 23-20] Rule violation (INBB-3) Black Box Instances - Cell 'img0/tech0/genrom1.x0' of type 'img0/tech0/genrom1.x0/RomImage_vcu118' has undefined contents and is considered a black box. The contents of this cell must be defined for opt_design to complete successfully. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[0] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[0]/Q, boot0/tech0/genrom1.x0/data[0]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[10] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[10]/Q, boot0/tech0/genrom1.x0/data[10]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[11] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[11]/Q, boot0/tech0/genrom1.x0/data[11]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[12] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[12]/Q, boot0/tech0/genrom1.x0/data[12]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[13] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[13]/Q, boot0/tech0/genrom1.x0/data[13]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[14] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[14]/Q, boot0/tech0/genrom1.x0/data[14]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[15] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[15]/Q, boot0/tech0/genrom1.x0/data[15]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[16] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[16]/Q, boot0/tech0/genrom1.x0/data[16]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[17] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[17]/Q, boot0/tech0/genrom1.x0/data[17]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[18] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[18]/Q, boot0/tech0/genrom1.x0/data[18]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[19] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[19]/Q, boot0/tech0/genrom1.x0/data[19]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[1] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[1]/Q, boot0/tech0/genrom1.x0/data[1]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[20] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[20]/Q, boot0/tech0/genrom1.x0/data[20]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[21] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[21]/Q, boot0/tech0/genrom1.x0/data[21]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[22] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[22]/Q, boot0/tech0/genrom1.x0/data[22]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[23] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[23]/Q, boot0/tech0/genrom1.x0/data[23]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[24] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[24]/Q, boot0/tech0/genrom1.x0/data[24]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[25] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[25]/Q, boot0/tech0/genrom1.x0/data[25]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[26] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[26]/Q, boot0/tech0/genrom1.x0/data[26]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[27] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[27]/Q, boot0/tech0/genrom1.x0/data[27]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[28] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[28]/Q, boot0/tech0/genrom1.x0/data[28]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[29] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[29]/Q, boot0/tech0/genrom1.x0/data[29]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[2] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[2]/Q, boot0/tech0/genrom1.x0/data[2]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[30] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[30]/Q, boot0/tech0/genrom1.x0/data[30]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[31] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[31]/Q, boot0/tech0/genrom1.x0/data[31]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[32] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[32]/Q, boot0/tech0/genrom1.x0/data[32]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[33] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[33]/Q, boot0/tech0/genrom1.x0/data[33]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[34] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[34]/Q, boot0/tech0/genrom1.x0/data[34]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[35] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[35]/Q, boot0/tech0/genrom1.x0/data[35]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[36] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[36]/Q, boot0/tech0/genrom1.x0/data[36]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[37] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[37]/Q, boot0/tech0/genrom1.x0/data[37]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[38] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[38]/Q, boot0/tech0/genrom1.x0/data[38]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[39] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[39]/Q, boot0/tech0/genrom1.x0/data[39]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[3] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[3]/Q, boot0/tech0/genrom1.x0/data[3]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[40] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[40]/Q, boot0/tech0/genrom1.x0/data[40]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[41] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[41]/Q, boot0/tech0/genrom1.x0/data[41]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[42] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[42]/Q, boot0/tech0/genrom1.x0/data[42]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[43] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[43]/Q, boot0/tech0/genrom1.x0/data[43]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[44] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[44]/Q, boot0/tech0/genrom1.x0/data[44]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[45] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[45]/Q, boot0/tech0/genrom1.x0/data[45]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[46] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[46]/Q, boot0/tech0/genrom1.x0/data[46]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[47] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[47]/Q, boot0/tech0/genrom1.x0/data[47]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[48] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[48]/Q, boot0/tech0/genrom1.x0/data[48]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[49] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[49]/Q, boot0/tech0/genrom1.x0/data[49]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[4] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[4]/Q, boot0/tech0/genrom1.x0/data[4]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[50] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[50]/Q, boot0/tech0/genrom1.x0/data[50]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[51] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[51]/Q, boot0/tech0/genrom1.x0/data[51]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[52] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[52]/Q, boot0/tech0/genrom1.x0/data[52]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[53] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[53]/Q, boot0/tech0/genrom1.x0/data[53]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[54] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[54]/Q, boot0/tech0/genrom1.x0/data[54]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[55] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[55]/Q, boot0/tech0/genrom1.x0/data[55]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[56] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[56]/Q, boot0/tech0/genrom1.x0/data[56]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[57] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[57]/Q, boot0/tech0/genrom1.x0/data[57]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[58] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[58]/Q, boot0/tech0/genrom1.x0/data[58]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[59] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[59]/Q, boot0/tech0/genrom1.x0/data[59]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[5] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[5]/Q, boot0/tech0/genrom1.x0/data[5]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[60] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[60]/Q, boot0/tech0/genrom1.x0/data[60]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[61] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[61]/Q, boot0/tech0/genrom1.x0/data[61]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[62] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[62]/Q, boot0/tech0/genrom1.x0/data[62]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[63] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[63]/Q, boot0/tech0/genrom1.x0/data[63]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[6] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[6]/Q, boot0/tech0/genrom1.x0/data[6]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[7] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[7]/Q, boot0/tech0/genrom1.x0/data[7]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[8] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[8]/Q, boot0/tech0/genrom1.x0/data[8]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net boot0/tech0/genrom0.infer0/data[9] has multiple drivers: boot0/tech0/genrom0.infer0/data_reg[9]/Q, boot0/tech0/genrom1.x0/data[9]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[0] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[0]/Q, img0/tech0/genrom1.x0/data[0]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[10] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[10]/Q, img0/tech0/genrom1.x0/data[10]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[11] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[11]/Q, img0/tech0/genrom1.x0/data[11]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[12] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[12]/Q, img0/tech0/genrom1.x0/data[12]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[13] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[13]/Q, img0/tech0/genrom1.x0/data[13]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[14] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[14]/Q, img0/tech0/genrom1.x0/data[14]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[15] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[15]/Q, img0/tech0/genrom1.x0/data[15]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[16] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[16]/Q, img0/tech0/genrom1.x0/data[16]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[17] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[17]/Q, img0/tech0/genrom1.x0/data[17]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[18] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[18]/Q, img0/tech0/genrom1.x0/data[18]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[19] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[19]/Q, img0/tech0/genrom1.x0/data[19]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[1] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[1]/Q, img0/tech0/genrom1.x0/data[1]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[20] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[20]/Q, img0/tech0/genrom1.x0/data[20]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[21] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[21]/Q, img0/tech0/genrom1.x0/data[21]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[22] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[22]/Q, img0/tech0/genrom1.x0/data[22]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[23] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[23]/Q, img0/tech0/genrom1.x0/data[23]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[24] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[24]/Q, img0/tech0/genrom1.x0/data[24]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[25] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[25]/Q, img0/tech0/genrom1.x0/data[25]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[26] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[26]/Q, img0/tech0/genrom1.x0/data[26]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[27] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[27]/Q, img0/tech0/genrom1.x0/data[27]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[28] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[28]/Q, img0/tech0/genrom1.x0/data[28]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[29] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[29]/Q, img0/tech0/genrom1.x0/data[29]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[2] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[2]/Q, img0/tech0/genrom1.x0/data[2]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[30] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[30]/Q, img0/tech0/genrom1.x0/data[30]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[31] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[31]/Q, img0/tech0/genrom1.x0/data[31]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[32] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[32]/Q, img0/tech0/genrom1.x0/data[32]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[33] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[33]/Q, img0/tech0/genrom1.x0/data[33]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[34] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[34]/Q, img0/tech0/genrom1.x0/data[34]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[35] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[35]/Q, img0/tech0/genrom1.x0/data[35]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[36] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[36]/Q, img0/tech0/genrom1.x0/data[36]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[37] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[37]/Q, img0/tech0/genrom1.x0/data[37]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[38] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[38]/Q, img0/tech0/genrom1.x0/data[38]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[39] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[39]/Q, img0/tech0/genrom1.x0/data[39]. ERROR: [DRC 23-20] Rule violation (MDRV-1) Multiple Driver Nets - Net img0/tech0/genrom0.infer0/data[3] has multiple drivers: img0/tech0/genrom0.infer0/data_reg[3]/Q, img0/tech0/genrom1.x0/data[3]. INFO: [Common 17-14] Message 'DRC 23-20' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. INFO: [Project 1-461] DRC finished with 130 Errors INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. ERROR: [Vivado_Tcl 4-78] Error(s) found during DRC. Opt_design not run. Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1243.707 ; gain = 3.039 INFO: [Common 17-83] Releasing license: Implementation 14 Infos, 2 Warnings, 3 Critical Warnings and 101 Errors encountered. opt_design failed ERROR: [Common 17-39] 'opt_design' failed due to earlier errors. INFO: [Common 17-206] Exiting Vivado at Tue Nov 07 11:11:17 2017...