Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

on using vcs through wake an error is thrown #69

Closed
ghost opened this issue Mar 21, 2019 · 4 comments
Closed

on using vcs through wake an error is thrown #69

ghost opened this issue Mar 21, 2019 · 4 comments
Assignees

Comments

@ghost
Copy link

ghost commented Mar 21, 2019

I get an error on invoking vcs through wake

<hash> build.sc 
<hash> build/demoDUT/sim/vcs/compile 
/export/tools/synopsys/vcs/vcs7.0R19/bin/vcs +incdir+../../../../../freedom-wake/vsrc +define+MODEL=SkeletonTestHarness +define+CLOCK_PERIOD=5.0 +define+RESET_DELAY=777.7 +define+RANDOMIZE_DELAY=2 +define+PRINTF_COND=TestDriver.printf_cond +define+RANDOMIZE_MEM_INIT= +define+RANDOMIZE_REG_INIT= +define+RANDOMIZE_GARBAGE_ASSIGN= +define+RANDOMIZE_INVALID_ASSIGN= +define+USE_OBHAV_MODELS= ../../../../../rocket-chip/src/main/resources/vsrc/EICG_wrapper.v ../../../../../demo-block/rtl/pio.v ../../../../../demo-block/rtl/loopback.v ../../../verilog/plusarg_reader.v ../../../verilog/TLXbar_8.v ../../../verilog/TLXbar_7.v ../../../verilog/TLXbar_6.v ../../../verilog/TLXbar_5.v ../../../verilog/TLXbar_4.v ../../../verilog/TLXbar_2.v ../../../verilog/TLXbar_1.v ../../../verilog/TLXbar.v ../../../verilog/TLWidthWidget_6.v ../../../verilog/TLWidthWidget_4.v ../../../verilog/TLWidthWidget_2.v ../../../verilog/TLWidthWidget.v ../../../verilog/TLToAXI4.v ../../../verilog/TLSimUART.v ../../../verilog/TLROM.v ../../../verilog/TLRAM.v ../../../verilog/TLPLIC.v ../../../verilog/TLMonitor_9.v ../../../verilog/TLMonitor_8.v ../../../verilog/TLMonitor_7.v ../../../verilog/TLMonitor_6.v ../../../verilog/TLMonitor_5.v ../../../verilog/TLMonitor_48.v ../../../verilog/TLMonitor_47.v ../../../verilog/TLMonitor_46.v ../../../verilog/TLMonitor_45.v ../../../verilog/TLMonitor_44.v ../../../verilog/TLMonitor_43.v ../../../verilog/TLMonitor_42.v ../../../verilog/TLMonitor_41.v ../../../verilog/TLMonitor_40.v ../../../verilog/TLMonitor_4.v ../../../verilog/TLMonitor_39.v ../../../verilog/TLMonitor_38.v ../../../verilog/TLMonitor_37.v ../../../verilog/TLMonitor_36.v ../../../verilog/TLMonitor_35.v ../../../verilog/TLMonitor_34.v ../../../verilog/TLMonitor_33.v ../../../verilog/TLMonitor_32.v ../../../verilog/TLMonitor_31.v ../../../verilog/TLMonitor_30.v ../../../verilog/TLMonitor_3.v ../../../verilog/TLMonitor_29.v ../../../verilog/TLMonitor_28.v ../../../verilog/TLMonitor_27.v ../../../verilog/TLMonitor_26.v ../../../verilog/TLMonitor_25.v ../../../verilog/TLMonitor_24.v ../../../verilog/TLMonitor_23.v ../../../verilog/TLMonitor_22.v ../../../verilog/TLMonitor_21.v ../../../verilog/TLMonitor_20.v ../../../verilog/TLMonitor_2.v ../../../verilog/TLMonitor_19.v ../../../verilog/TLMonitor_18.v ../../../verilog/TLMonitor_17.v ../../../verilog/TLMonitor_16.v ../../../verilog/TLMonitor_15.v ../../../verilog/TLMonitor_14.v ../../../verilog/TLMonitor_13.v ../../../verilog/TLMonitor_12.v ../../../verilog/TLMonitor_11.v ../../../verilog/TLMonitor_10.v ../../../verilog/TLMonitor_1.v ../../../verilog/TLMonitor.v ../../../verilog/TLFragmenter_7.v ../../../verilog/TLFragmenter_4.v ../../../verilog/TLFragmenter_3.v ../../../verilog/TLFragmenter_2.v ../../../verilog/TLFragmenter_1.v ../../../verilog/TLFragmenter.v ../../../verilog/TLFIFOFixer_2.v ../../../verilog/TLFIFOFixer_1.v ../../../verilog/TLFIFOFixer.v ../../../verilog/TLError.v ../../../verilog/TLDebugModuleOuterAsync.v ../../../verilog/TLDebugModuleOuter.v ../../../verilog/TLDebugModuleInnerAsync.v ../../../verilog/TLDebugModuleInner.v ../../../verilog/TLDebugModule.v ../../../verilog/TLBuffer_9.v ../../../verilog/TLBuffer_8.v ../../../verilog/TLBuffer_6.v ../../../verilog/TLBuffer_5.v ../../../verilog/TLBuffer_4.v ../../../verilog/TLBuffer_2.v ../../../verilog/TLBuffer_12.v ../../../verilog/TLBuffer_11.v ../../../verilog/TLBuffer_1.v ../../../verilog/TLBuffer.v ../../../verilog/TLBroadcastTracker_3.v ../../../verilog/TLBroadcastTracker_2.v ../../../verilog/TLBroadcastTracker_1.v ../../../verilog/TLBroadcastTracker.v ../../../verilog/TLBroadcast.v ../../../verilog/TLB_1.v ../../../verilog/TLB.v ../../../verilog/TLAtomicAutomata_1.v ../../../verilog/TLAtomicAutomata.v ../../../verilog/TLAsyncCrossingSource.v ../../../verilog/TLAsyncCrossingSink.v ../../../verilog/SystemBus.v ../../../verilog/SynchronizerShiftReg_w55_d1.v ../../../verilog/SynchronizerShiftReg_w1_d3.v ../../../verilog/SynchronizerShiftReg_w14_d1.v ../../../verilog/SkeletonTestHarness.v ../../../verilog/SkeletonDUT.v ../../../verilog/SimpleLazyModule_9.v ../../../verilog/SimpleLazyModule_8.v ../../../verilog/SimpleLazyModule_7.v ../../../verilog/SimpleLazyModule_6.v ../../../verilog/SimpleLazyModule_5.v ../../../verilog/SimpleLazyModule_4.v ../../../verilog/SimpleLazyModule_14.v ../../../verilog/SimpleLazyModule_13.v ../../../verilog/SimpleLazyModule_11.v ../../../verilog/SimpleLazyModule_10.v ../../../verilog/SimpleLazyModule_1.v ../../../verilog/SimpleLazyModule.v ../../../verilog/ShiftQueue.v ../../../verilog/RoundRawFNToRecFN_1.v ../../../verilog/RoundRawFNToRecFN.v ../../../verilog/RoundAnyRawFNToRecFN_4.v ../../../verilog/RoundAnyRawFNToRecFN_3.v ../../../verilog/RoundAnyRawFNToRecFN_2.v ../../../verilog/RoundAnyRawFNToRecFN_1.v ../../../verilog/RoundAnyRawFNToRecFN.v ../../../verilog/RocketTile.v ../../../verilog/Rocket.v ../../../verilog/ResetCatchAndSync_d3.v ../../../verilog/Repeater_7.v ../../../verilog/Repeater_6.v ../../../verilog/Repeater_5.v ../../../verilog/Repeater_4.v ../../../verilog/Repeater_3.v ../../../verilog/Repeater_2.v ../../../verilog/Repeater_1.v ../../../verilog/Repeater.v ../../../verilog/RecFNToRecFN.v ../../../verilog/RecFNToIN_1.v ../../../verilog/RecFNToIN.v ../../../verilog/RVCExpander.v ../../../verilog/RRArbiter.v ../../../verilog/Queue_9.v ../../../verilog/Queue_7.v ../../../verilog/Queue_6.v ../../../verilog/Queue_5.v ../../../verilog/Queue_4.v ../../../verilog/Queue_22.v ../../../verilog/Queue_21.v ../../../verilog/Queue_19.v ../../../verilog/Queue_15.v ../../../verilog/Queue_14.v ../../../verilog/Queue_13.v ../../../verilog/Queue_12.v ../../../verilog/Queue_11.v ../../../verilog/Queue_10.v ../../../verilog/Queue_1.v ../../../verilog/Queue.v ../../../verilog/ProbePicker.v ../../../verilog/PlusArgTimeout.v ../../../verilog/PeripheryBus_1.v ../../../verilog/PeripheryBus.v ../../../verilog/PTW.v ../../../verilog/PMPChecker_1.v ../../../verilog/PMPChecker.v ../../../verilog/PLICFanIn.v ../../../verilog/NpioTop.v ../../../verilog/NloopbackTop.v ../../../verilog/MulDiv.v ../../../verilog/MulAddRecFNToRaw_preMul_1.v ../../../verilog/MulAddRecFNToRaw_preMul.v ../../../verilog/MulAddRecFNToRaw_postMul_1.v ../../../verilog/MulAddRecFNToRaw_postMul.v ../../../verilog/MulAddRecFNPipe_1.v ../../../verilog/MulAddRecFNPipe.v ../../../verilog/MemoryBus.v ../../../verilog/Lpio.v ../../../verilog/LogFile.v ../../../verilog/Lloopback.v ../../../verilog/LevelGateway.v ../../../verilog/IntXbar_4.v ../../../verilog/IntXbar.v ../../../verilog/IntToFP.v ../../../verilog/IntSyncCrossingSource_3.v ../../../verilog/IntSyncCrossingSource_2.v ../../../verilog/IntSyncCrossingSource.v ../../../verilog/IntSyncCrossingSink_2.v ../../../verilog/IntSyncCrossingSink_1.v ../../../verilog/IntSyncCrossingSink.v ../../../verilog/INToRecFN_1.v ../../../verilog/INToRecFN.v ../../../verilog/ICache.v ../../../verilog/IBuf.v ../../../verilog/HellaCacheArbiter.v ../../../verilog/Frontend.v ../../../verilog/FPUFMAPipe_1.v ../../../verilog/FPUFMAPipe.v ../../../verilog/FPUDecoder.v ../../../verilog/FPU.v ../../../verilog/FPToInt.v ../../../verilog/FPToFP.v ../../../verilog/DivSqrtRecFN_small_1.v ../../../verilog/DivSqrtRecFN_small.v ../../../verilog/DivSqrtRecFNToRaw_small_1.v ../../../verilog/DivSqrtRecFNToRaw_small.v ../../../verilog/DCacheModuleanon3.v ../../../verilog/DCacheModuleanon2.v ../../../verilog/DCacheDataArray.v ../../../verilog/DCache.v ../../../verilog/CompareRecFN.v ../../../verilog/CSRFile.v ../../../verilog/CLINT.v ../../../verilog/BreakpointUnit.v ../../../verilog/BankBinder.v ../../../verilog/BTB.v ../../../verilog/AsyncValidSync_2.v ../../../verilog/AsyncValidSync_1.v ../../../verilog/AsyncValidSync.v ../../../verilog/AsyncResetSynchronizerShiftReg_w1_d4_i0.v ../../../verilog/AsyncResetSynchronizerShiftReg_w1_d3_i0.v ../../../verilog/AsyncResetSynchronizerShiftReg_w1_d1_i0.v ../../../verilog/AsyncResetRegVec_w32_i0.v ../../../verilog/AsyncResetRegVec_w2_i0.v ../../../verilog/AsyncResetRegVec_w1_i0.v ../../../verilog/AsyncResetReg.v ../../../verilog/AsyncQueueSource_2.v ../../../verilog/AsyncQueueSource_1.v ../../../verilog/AsyncQueueSource.v ../../../verilog/AsyncQueueSink_2.v ../../../verilog/AsyncQueueSink_1.v ../../../verilog/AsyncQueueSink.v ../../../verilog/AXI4UserYanker.v ../../../verilog/AXI4Buffer.v ../../../verilog/AMOALU.v ../../../verilog/ALU.v ../../../verilog/UARTTx.v ../../../verilog/UARTRx.v ../../../verilog/TLUART.v ../../../verilog/TLMonitor_51.v ../../../verilog/TLMonitor_50.v ../../../verilog/TLMonitor_49.v ../../../verilog/TLFragmenter_8.v ../../../verilog/TLFragmenter_5.v ../../../verilog/TLBuffer_13.v ../../../verilog/SimpleLazyModule_15.v ../../../verilog/Repeater_8.v ../../../verilog/Queue_23.v ../../../../../freedom-wake/vsrc/TestDriver.sv +libext+.v+.sv +notimingchecks -full64 -notice -error=VCM-HFUFR -error=LFCOR -error=CFCOR -error=BMII -timescale=1ns/10ps -quiet +vcs+lic+wait -Mupdate +vc+list -sverilog -ntb_opts uvm-1.2 -j4 +rad -O3 -reportstats -assert svaext -LDFLAGS -lrt +define+VCS 
/bin/sh: 0: Illegal option -h
runSim allTests VCS: Integer = Exception
  "Non-zero exit status (2)"
    from ../../../../india/proj_sifive_ip/tools/wake/share/wake/lib/core/exception.wake:6:[18-33]

My environment is following

LANG=en_US
USER=kritikb
LOGNAME=kritikb
HOME=/home/kritikb
PATH=/india/proj_sifive_ip/tools/riscv-toolchain/riscv64-unknown-elf-gcc-8.1.0-2019.01.0-x86_64-linux-ubuntu14/bin:/india/proj_sifive_ip/tools/verilator/bin:/india/proj_sifive_ip/tools/duh/1.6.1/node_modules/.bin:/india/proj_sifive_ip/tools/wake/bin:/india/proj_sifive_ip/tools/wit:/usr/local/bin:/india/tools/fujitsu/RDF/tools/bin:/india/tools/opensilicon/tool_wrappers/stable:/india/tools/rtda/current/linux64/bin:/india/tools/rtda/current/linux64/scripts:/bin:/usr/bin:/usr/local/bin:/usr/bin/X11:/usr/X11R6/bin:/sbin:/usr/local/java/bin:/usr/sbin:/export/tools/genapps/Adobe/9.4.2-1/Adobe/Reader9/bin/acroread:/india/tools/DOCS/sold/2006.12:GLADE_HOME/bin:/india/tools/opensilicon/Explorer/stable/BIN/:/india/tools/opensilicon/ccsnGen/stable/BIN:/india/tools/genapps/pgp/6.5.8:/india/proj/dpme/natarajans/scripter/stable:/export/tools/synopsys/scl/11.0/linux/bin/:/export/tools/genapps/tkdiff/4.1.4/tkdiff:/india/tools/cadence/PVS/14.14.000/tools.lnx86/bin/:/export/tools/genapps/pdftk/pdftk:/india/tools/DOCS/sold/2006.12:/export/tools/goldtime/goldtime-2010.02//bin:/export/tools/synopsys/starrcxt/2009.12-SP3/amd64_starrc/bin:/export/tools/cadence/ICAD/12.30.715/tools.lnx86/dfII/bin:/export/tools/cadence/INCISIV/15.20.245/tools.lnx86/bin/:/export/tools/cadence/EDI/10.12.000/tools.lnx86/spectre/bin/:/export/tools/cadence/EXT/17.10.000/tools.lnx86/bin/:/export/tools/genapps/tcl/tclpro/1.4/linux-ix86/bin/:/export/tools/cadence/MMSIM/13.11.252/tools.lnx86/bin/:/export/tools/cadence/RC/14.20.000/tools.lnx86/bin:/india/tools/synopsys/icv/2012.06-SP1//bin/AMD.64:/export/tools/synopsys/scl/11.0/linux/bin/:/export/tools/synopsys/dc/2004.06/linux/syn/bin:/export/tools/synopsys/pt/2003.12-SP1-3/linux/syn/bin:/export/tools/synopsys/tetramax/2003.06/bin:/export/tools/synopsys/astro/2003.06/bin/IA.32:/export/tools/synopsys/vera/2007.12-9/vera_vA-2007.12-9_amd64:/export/tools/synopsys/vcs7.0R19/intel_i686_linux_2.4/bin:/export/tools/synopsys/starrcxt/2003.03/I386_L24_star-rcxt/bin/:/export/tools/synopsys/formality/2003.06/linux/fm/bin:/export/tools/synopsys/formality/2003.06/bin:/export/tools/synopsys/hspice/2005.03/hspice/linux:/export/tools/magma/BLAST5.3.52/linux24_x86/bin:/export/tools/mentor/2007.03/bin:/export/tools/mentor/dft331/bin:/export/tools/mentor/dft321/bin/wish8.3:/export/tools/cadence/conformal5.1/bin:/export/tools/cadence/virtuoso/IC6131/tools/dfII/bin:/export/tools/cadence/virtuoso/IC6131/tools/bin:/india/proj_poplar/poplar/baseFlow/pnr/Scripts/:/india/tools/rtda/8.1.6/common/local/customScripts:/india/tools/opensilicon/PD/padPlacer/stable:/home/kritikb/.local/bin
MAIL=/var/mail/kritikb
SHELL=/bin/tcsh
SSH_CLIENT=192.168.2.76 46940 22
SSH_CONNECTION=192.168.2.76 46940 192.168.2.54 22
SSH_TTY=/dev/pts/18
TERM=xterm-256color
XDG_SESSION_ID=17106
XDG_RUNTIME_DIR=/run/user/3060
LANGUAGE=en_IN:en
HOSTTYPE=x86_64-linux
VENDOR=unknown
OSTYPE=linux
MACHTYPE=x86_64
SHLVL=1
PWD=/home/kritikb/trial/workspace2
GROUP=engr
HOST=osdev
REMOTEHOST=192.168.2.76
GLADE_HOME=/export/tools/genapps/gladeviewer
JAVA_HOME=/bin:/usr/bin:/usr/local/bin:/usr/bin/X11:/usr/X11R6/bin:/sbin:/usr/local/java/bin:/usr/sbin:/export/tools/genapps/Adobe/9.4.2-1/Adobe/Reader9/bin/acroread:/india/tools/DOCS/sold/2006.12:GLADE_HOME/bin:/india/tools/opensilicon/Explorer/stable/BIN/:/india/tools/opensilicon/ccsnGen/stable/BIN:/india/tools/genapps/pgp/6.5.8:/india/proj/dpme/natarajans/scripter/stable:/export/tools/synopsys/scl/11.0/linux/bin/:/export/tools/genapps/tkdiff/4.1.4/tkdiff:/india/tools/cadence/PVS/14.14.000/tools.lnx86/bin/:/export/tools/genapps/pdftk/pdftk:/export/tools/genapps/jre/
ARTWORK_LICENSE_FILE=27003@oslic003
MGCLD_LICENSE_FILE=1717@osxon001:1717@osvnc004:1717@osvnc001:1717@oslic003:1717@osvnc001:1717@oslic001:1717@oslic002:1717@ospc002:7367@oslic001,7367@osfs010,7367@oswk001:1717@osvnc005:1717@oslic002:1717@osveloce001:1717@oswk001:1717@osvnc006:1717@osvnc002
MGLS_LICENSE_FILE=1717@osvnc003:1717@osxon001:1717@osvnc004:1717@osvnc001:1717@oslic003:1717@osvnc001:1717@oslic001:1717@oslic002:1717@osfs010:1717@oswk001:1717@osvnc005:1717@osxon025:1717@oslic002:1717@osveloce001:1717@osvnc006:1717@osvnc002
LM_LICENSE_FILE=/export/tools/fujitsu/license/charam.license:1717@osxon001:1717@osvnc003:1717@osvnc004:27004@osvnc005:21097@osvnc005:27001@oslic003:1717@osvnc001:27003@oslic003:1717@osxon025:8224@oslic003:27001@oslic003:27002@oslic003:27000@osvnc004:27000@oslic003:1717@oslic002:1717@osvnc005:27000@panalic1:27000@panalic2:27000@panalic3:27000@oslic002:28000@ospc002:27005@ossun010:27000@eaulic01:1717@ospc002:27000@oslic001:27000@osfs010:27000@oswk001:27000@ospc002:1717@ossun002:27001@uslnxs00:27002@ossun010:27000@ossun010:29002@ossun001:27003@ossun010:27005@ossun010:28000@ossun001:1717@ossun001:27004@ossun010:5280@oslic001:5280@ossun002:1717@oslic001:1717@oswk001:1717@osfs010:5280@osfs010:5280@oswk001:27002@oslic001:27002@ossun010:27002@oslic001:8224@oslic001:1717@ospc002:27001@ossun002:5280@ospc002:1881@oslic001:1881@osfs010:1881@osfs010:1881@oswk001:1717@ossun002:1881@ospc002:28000@ospc002:27000@osvnc004:27000@osvnc005:27000@oslic002:1717@oslic002:7367@oslic001,7367@osfs010,7367@oswk001:5280@uslnxs45:27000@osxon021:5280@uslnxs45:1833@oslic002:27002@oslic002:27001@oslic002:27002@oslic002:5280@ospxon01:1717@oslic002:1717@osveloce001:27000@ospxon01:1717@oswk001:27020@oswk001:1717@osvnc002:1717@osvnc006:5778@oslic002:/export/tools/vtran/linux64_10.0/license/open-silicon.vtran.lic:/export/tools/vtran/license/vtran_oslic003.lic:
SNPSLMD_LICENSE_FILE=27000@panalic1:27000@panalic2:27000@panalic3:27000@oslic002:28000@ospc002:27000@eaulic01:27000@oslic001:27000@oswk001:27000@osfs010:27000@osvnc004:28000@ospc002:27000@osvnc005:27000@oslic002:27000@oslic003:28000@oslic002:27000@ospxon01:27020@oswk001:27002@oslic003
CDS_LIC_FILE=5280@oslic001:5280@osfs010:5280@oswk001:5280@ospxon01
VL_LICENSE_FILE=27001@ossun010:27001@ossun002
MSC_LICENSE_FILE=5577@oslic002
ARMLMD_LICENSE_FILE=8224@oslic001:27005@ossun010
SCRIPT_REPOSITORY_TOOL=/india/proj/dpme/natarajans/scripter/stable
EXTREME_DA=/export/tools/goldtime/goldtime-2010.02/
CDS_LIC_ONLY=TRUE
ICV_HOME_DIR=/india/tools/synopsys/icv/2012.06-SP1/
CDSHOME=/india/tools/cadence/virtuoso/IC-616
SYNOPSYS=/export/tools/synopsys
SYNOPSYS_DC=/export/tools/synopsys/dc/2004.06
SYNOPSYS_PT=/export/tools/synopsys/pt/2003.12-SP1-3
SYNOPSYS_FM=/export/tools/synopsys/formality/2003.06
SYNOPSYS_TMAX=/export/tools/synopsys/tetramax/2003.06
SYNOPSYS_ASTRO=/export/tools/synopsys/astro/2003.06/bin/IA.32
VCS_HOME=/export/tools/synopsys/vcs/vcs7.0R19
VERA_HOME=/export/tools/synopsys/vera/2007.12-9/vera_vA-2007.12-9_amd64
DESIGNWARE_HOME=/export/proj_ip/IP_BANK/SNPS_DW
VRO_CACHE_DIR=/india/proj_ip/IP_WA/proj_dxfr_QA/indus/DW_RELEASE/vip/vro
ATHDLROOT=/india/proj_esk/work/ESK/MPSIM
LD_LIBRARY_PATH=/usr/local/lib
MGC_HOME=/export/tools/mentor/2008.4_19.14
CTMC_HOME=/export/lib/virage
VERPLEX_HOME=/export/tools/cadence/conformal5.1
CDS_INST_DIR=/export/tools/cadence/virtuoso/IC6131/tools
RLM_LICENSE=7070@ncmaster
VOVARCH=linux64
VOVDIR=/india/tools/rtda/current/linux64
VTIX_LIBRARY=/india/tools/rtda/current/linux64/tcl/tix
VTK_LIBRARY=/india/tools/rtda/current/linux64/tcl/tk
VTCL_LIBRARY=/india/tools/rtda/current/linux64/tcl
TK_TABLE_LIBRARY=/india/tools/rtda/current/linux64/tcl/Tktable
VOV_USE_VEP=1
MANPATH=/india/tools/rtda/current/linux64/man
NC_SNAPSHOTDIR=/home/kritikb/.vnc_logs
NC_LOGDIR=/home/kritikb/.vnc_logs
padPlacer=/india/tools/opensilicon/PD/padPlacer/stable
CVSROOT=:ext:kritikb@osfs003:/data/cvs_OS/dpme
CVS_RSH=ssh
RF_DIR=/india/tools/fujitsu/RDF
PERL5PRG=/bin/perl
ActivateEncounterLicenseTimeOut=
VTRAN_USE_FLEX_LICENSING=1
CALIBRE_HOME=/export/tools/mentor/2016.4
DORADO_HOME=/india/tools/dorado/tweaker/bin
@terpstra
Copy link
Collaborator

Looks like the problem is using "-h"

@albertchen-sifive
Copy link
Contributor

can you give more detailed steps on how to reproduce the problem and which version freedom-wake you are using?

@ghost
Copy link
Author

ghost commented Mar 22, 2019

steps

cd workspace/demo-block
wake -v runSim allTests VCS

freedom-wake version is wake v0.9-dirty

@RajeshVaradharajan
Copy link

There is a issue in the way CSoC servers are configured. Krithik is trying to run on a ubuntu machine and this machine is not add to their linux cluster to access the VCS tools. I'm working on cleaning up this flow for IP onboarding team.

@ghost ghost closed this as completed Mar 29, 2019
This issue was closed.
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants