From 66b979f579c75e84736f2090b9f3f3fb4a8e3a80 Mon Sep 17 00:00:00 2001 From: Peter Gadfort Date: Thu, 28 Mar 2024 08:27:52 -0400 Subject: [PATCH] version 0.21.6 --- Changes | 15 +++++++++++++++ siliconcompiler/_metadata.py | 2 +- 2 files changed, 16 insertions(+), 1 deletion(-) diff --git a/Changes b/Changes index ca4b1a066..aad211934 100644 --- a/Changes +++ b/Changes @@ -8,6 +8,21 @@ The changes in each SiliconCompiler release version are described below. Commit version shown in (). Where applicable, the contributors that suggested a given feature are shown in []. +SiliconCompiler 0.21.6 (2024-03-28) +========================================= + +**Minor:** + +* Fixed handling of files with codec errors. +* Update package lock file handling to avoid race conditions in parallel flows. + +* Tools: + + * yosys: minor code cleanup in FPGA flow. + * vpr: add support for clock routing. + * surelog: added wrapper comments in output file for parsing to indicate where segments of code came from. + + SiliconCompiler 0.21.5 (2024-03-21) ========================================= diff --git a/siliconcompiler/_metadata.py b/siliconcompiler/_metadata.py index 41f77fb3c..add4a2d4f 100644 --- a/siliconcompiler/_metadata.py +++ b/siliconcompiler/_metadata.py @@ -1,5 +1,5 @@ # Version number following semver standard. -version = '0.21.5' +version = '0.21.6' # Default server address for remote runs, if unspecified. default_server = 'https://server.siliconcompiler.com'