From 6a48ef8289672364836598f385378a3338817f3b Mon Sep 17 00:00:00 2001 From: Tian Huang Date: Tue, 27 Jun 2017 21:46:54 +0100 Subject: [PATCH 1/4] Extend the adc16_interface with 12-bit and 16-bit resolution ADC support Fix clock constraint and wb_bram user_clk connection for SNAP ADC --- .../adc16_interface/ADC_ISERDES_7series.vhd | 117 +++++-- .../hdl_sources/adc16_interface/ADC_MMCM.vhd | 24 +- .../adc16_interface/adc16_interface.vhd | 288 ++++++++++-------- .../hdl_sources/adc16_interface/adc_unit.vhd | 114 ++++--- jasper_library/yellow_blocks/snap_adc.py | 55 ++-- 5 files changed, 365 insertions(+), 233 deletions(-) diff --git a/jasper_library/hdl_sources/adc16_interface/ADC_ISERDES_7series.vhd b/jasper_library/hdl_sources/adc16_interface/ADC_ISERDES_7series.vhd index b5af8b08e7..705a040192 100644 --- a/jasper_library/hdl_sources/adc16_interface/ADC_ISERDES_7series.vhd +++ b/jasper_library/hdl_sources/adc16_interface/ADC_ISERDES_7series.vhd @@ -7,19 +7,22 @@ use IEEE.numeric_std.all; -- entity declaraction entity ADC_ISERDES_7series is - + generic ( + ADC_RESOLUTION : integer := 8 + ); port ( -- System reset : in std_logic; bitslip : in std_logic; -- Clock inputs - clkin : in std_logic; -- line - clkdiv : in std_logic; -- frame + clkin : in std_logic; -- line clock or bit clock + clkdiv : in std_logic; -- fabric_clk or frame_clk_2x + frame_clk : in std_logic; -- frame_clk -- Data (serial in, parallel out) s_data : in std_logic; - p_data : out std_logic_vector(7 downto 0) + p_data : out std_logic_vector(ADC_RESOLUTION-1 downto 0) ); end ADC_ISERDES_7series; @@ -83,6 +86,13 @@ architecture ADC_ISERDES_arc of ADC_ISERDES_7series is signal iserdes_bitslip_d2 : std_logic; signal iserdes_bitslip_d3 : std_logic; + signal bitslip_swap : std_logic; + signal bitslip_count : std_logic_vector( 3 downto 0); + signal bitslip_delay : std_logic_vector( 3 downto 0); + signal q_d0 : std_logic_vector( 7 downto 0); + signal q_d1 : std_logic_vector(15 downto 0); + + begin -- Signal routing @@ -91,35 +101,86 @@ architecture ADC_ISERDES_arc of ADC_ISERDES_7series is iserdes_clkdiv <= clkdiv; iserdes_rst <= reset; iserdes_d <= s_data; - -- iserdes_q is inverted, has MSb in bit 0 (due to differential-pair - -- routing on ADC16 board, and is in straight offset binary. Leave MSb - -- inverted to convert to 2's complement, but invert/restore polarity of - -- remaining bits and bit-reverse since ADC sends LSb first. - -- SNAP: reverse the bit inversion described above, since the snap doesn't flip differential pairs - p_data <= not iserdes_q(0) & - iserdes_q(1) & - iserdes_q(2) & - iserdes_q(3) & - iserdes_q(4) & - iserdes_q(5) & - iserdes_q(6) & - iserdes_q(7); - - process (clkdiv) - begin - if rising_edge(clkdiv) then - iserdes_bitslip_d1 <= bitslip; - iserdes_bitslip_d2 <= iserdes_bitslip_d1; - iserdes_bitslip_d3 <= iserdes_bitslip_d2; - iserdes_bitslip <= (not iserdes_bitslip_d3 and iserdes_bitslip_d2); - end if; - end process; + + ISERDES_BITSLIP_PROCESS: process ( clkdiv, reset, bitslip_delay ) + begin + if reset = '1' then + bitslip_delay <= "0000"; + elsif rising_edge(clkdiv) then + bitslip_delay(3 downto 1) <= bitslip_delay(2 downto 0); + bitslip_delay(0) <= bitslip; + end if; + + -- Reference Xilinx UG471, the last paragraph of page 159: + -- Bitslip cannot be asserted for two consecutive CLKDIV cycles. + -- Bitslip must be deasserted for at least one CLKDIV cycle + -- between two bitslip assertions. + if bitslip_delay = "0001" then + iserdes_bitslip <= '1'; + else + iserdes_bitslip <= '0'; + end if; + + -- Swap the two halves of the output of this module when ADC_RESOLUTON/2 bitslips + -- happen + if reset = '1' then + bitslip_count <= (others => '0'); + bitslip_swap <= '0'; + elsif rising_edge(clkdiv) then + if bitslip_count < ADC_RESOLUTION/2 then + bitslip_count <= bitslip_count + iserdes_bitslip; + else + bitslip_count <= (others => '0'); + bitslip_swap <= not bitslip_swap; + end if; + end if; + end process; + + ISERDES_OUTPUT_PROCESS: process ( clkdiv, reset, bitslip_swap, q_d1 ) + begin + if reset = '1' then + q_d0 <= (others => '0'); + q_d1 <= (others => '0'); + elsif rising_edge(clkdiv) then + if frame_clk = '0' then + q_d0 <= iserdes_q(0) & + iserdes_q(1) & + iserdes_q(2) & + iserdes_q(3) & + iserdes_q(4) & + iserdes_q(5) & + iserdes_q(6) & + iserdes_q(7); + q_d1 <= q_d1; + else + q_d0 <= q_d0; + q_d1( 7 downto 0) <= q_d0; + q_d1(15 downto 8) <= iserdes_q(0) & + iserdes_q(1) & + iserdes_q(2) & + iserdes_q(3) & + iserdes_q(4) & + iserdes_q(5) & + iserdes_q(6) & + iserdes_q(7); + end if; + end if; + + if bitslip_swap = '0' then + p_data <= q_d1(15 downto 16-ADC_RESOLUTION/2) & + q_d1( 7 downto 8-ADC_RESOLUTION/2); + else + p_data <= q_d1( 7 downto 8-ADC_RESOLUTION/2) & + q_d1(15 downto 16-ADC_RESOLUTION/2); + end if; + end process; + -- ISERDESE1 Master iserdes_m_inst : ISERDESE2 GENERIC MAP ( DATA_RATE => "DDR", - DATA_WIDTH => 8, + DATA_WIDTH => ADC_RESOLUTION/2, DYN_CLKDIV_INV_EN => false, DYN_CLK_INV_EN => false, INTERFACE_TYPE => "NETWORKING", diff --git a/jasper_library/hdl_sources/adc16_interface/ADC_MMCM.vhd b/jasper_library/hdl_sources/adc16_interface/ADC_MMCM.vhd index ae3c548dd7..39cac5591e 100644 --- a/jasper_library/hdl_sources/adc16_interface/ADC_MMCM.vhd +++ b/jasper_library/hdl_sources/adc16_interface/ADC_MMCM.vhd @@ -7,7 +7,9 @@ use IEEE.numeric_std.all; -- entity declaraction entity ADC_MMCM is - + generic ( + ADC_RESOLUTION : integer := 8 + ); port ( -- System reset : in std_logic; @@ -66,7 +68,7 @@ architecture ADC_MMCM_arc of ADC_MMCM is CLKOUT3_PHASE : real; CLKOUT3_DUTY_CYCLE : real; CLKOUT3_USE_FINE_PS : boolean; - CLKIN1_PERIOD : real; + --CLKIN1_PERIOD : real; REF_JITTER1 : real ); port ( @@ -126,6 +128,12 @@ architecture ADC_MMCM_arc of ADC_MMCM is signal mmcm_locked : std_logic; signal mmcm_reset : std_logic; + constant CLK_MUL : REAL := 5.0; + constant CLK_DIV : Integer := 2; + constant CLK0_DIV : Real := CLK_MUL/Real(CLK_DIV); + constant CLK1_DIV : Integer := Integer(CLK0_DIV*(Real(ADC_RESOLUTION)/4.0)*2.0); + constant CLK2_DIV : Integer := Integer(CLK0_DIV*(Real(ADC_RESOLUTION)/4.0)); + begin -- Signal routing @@ -153,19 +161,19 @@ architecture ADC_MMCM_arc of ADC_MMCM is CLOCK_HOLD => false, COMPENSATION => "ZHOLD", STARTUP_WAIT => false, - DIVCLK_DIVIDE => 2, -- D = 2 - CLKFBOUT_MULT_F => 5.000, -- M = 5.000 + DIVCLK_DIVIDE => CLK_DIV, -- D = 2 + CLKFBOUT_MULT_F => CLK_MUL, -- M = 5.000 CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => false, - CLKOUT0_DIVIDE_F => 2.500, -- Fout = (M * Fin) / (D * 2.500) = Fin (when D=2, M=5) + CLKOUT0_DIVIDE_F => CLK0_DIV, -- Fout = (M * Fin) / (D * 2.500) = Fin (when D=2, M=5) CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => false, - CLKOUT1_DIVIDE => 10, -- Fout = (M * Fin) / (D * 10) = Fin / 4 (when D=2, M=5) + CLKOUT1_DIVIDE => CLK1_DIV, -- Fout = (M * Fin) / (D * 10) = Fin / 4 (when D=2, M=5) CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => false, - CLKOUT2_DIVIDE => 5, -- Fout = (M * Fin) / (D * 4) = Fin / 2 (when D=2, M=5) + CLKOUT2_DIVIDE => CLK2_DIV, -- Fout = (M * Fin) / (D * 4) = Fin / 2 (when D=2, M=5) CLKOUT2_PHASE => 0.000, CLKOUT2_DUTY_CYCLE => 0.500, CLKOUT2_USE_FINE_PS => false, @@ -173,7 +181,7 @@ architecture ADC_MMCM_arc of ADC_MMCM is CLKOUT3_PHASE => 90.000, CLKOUT3_DUTY_CYCLE => 0.500, CLKOUT3_USE_FINE_PS => false, - CLKIN1_PERIOD => 2.500, -- 400 MHz (should be calculated from user input) + -- CLKIN1_PERIOD => 2.500, -- 400 MHz (should be calculated from user input) REF_JITTER1 => 0.010 --BANDWIDTH => "OPTIMIZED", diff --git a/jasper_library/hdl_sources/adc16_interface/adc16_interface.vhd b/jasper_library/hdl_sources/adc16_interface/adc16_interface.vhd index 3ffb5c93e8..18d92d4e8a 100644 --- a/jasper_library/hdl_sources/adc16_interface/adc16_interface.vhd +++ b/jasper_library/hdl_sources/adc16_interface/adc16_interface.vhd @@ -11,7 +11,9 @@ entity adc16_interface is G_ZDOK_REV : integer := 1; G_NUM_CLOCKS : integer := 4; G_NUM_UNITS : integer := 4; -- Typically 4 or 8 - G_SERIES : string := "7SERIES" + G_SERIES : string := "7SERIES"; + ADC_RESOLUTION : integer := 8; + ADC_DATA_WIDTH : integer := 8 ); port ( -- System @@ -36,38 +38,38 @@ entity adc16_interface is iserdes_bitslip : in std_logic_vector(63 downto 0); -- Parallel outputs - a1 : out std_logic_vector(7 downto 0); - a2 : out std_logic_vector(7 downto 0); - a3 : out std_logic_vector(7 downto 0); - a4 : out std_logic_vector(7 downto 0); - b1 : out std_logic_vector(7 downto 0); - b2 : out std_logic_vector(7 downto 0); - b3 : out std_logic_vector(7 downto 0); - b4 : out std_logic_vector(7 downto 0); - c1 : out std_logic_vector(7 downto 0); - c2 : out std_logic_vector(7 downto 0); - c3 : out std_logic_vector(7 downto 0); - c4 : out std_logic_vector(7 downto 0); - d1 : out std_logic_vector(7 downto 0); - d2 : out std_logic_vector(7 downto 0); - d3 : out std_logic_vector(7 downto 0); - d4 : out std_logic_vector(7 downto 0); - e1 : out std_logic_vector(7 downto 0); - e2 : out std_logic_vector(7 downto 0); - e3 : out std_logic_vector(7 downto 0); - e4 : out std_logic_vector(7 downto 0); - f1 : out std_logic_vector(7 downto 0); - f2 : out std_logic_vector(7 downto 0); - f3 : out std_logic_vector(7 downto 0); - f4 : out std_logic_vector(7 downto 0); - g1 : out std_logic_vector(7 downto 0); - g2 : out std_logic_vector(7 downto 0); - g3 : out std_logic_vector(7 downto 0); - g4 : out std_logic_vector(7 downto 0); - h1 : out std_logic_vector(7 downto 0); - h2 : out std_logic_vector(7 downto 0); - h3 : out std_logic_vector(7 downto 0); - h4 : out std_logic_vector(7 downto 0); + a1 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + a2 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + a3 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + a4 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + b1 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + b2 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + b3 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + b4 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + c1 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + c2 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + c3 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + c4 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + d1 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + d2 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + d3 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + d4 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + e1 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + e2 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + e3 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + e4 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + f1 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + f2 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + f3 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + f4 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + g1 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + g2 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + g3 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + g4 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + h1 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + h2 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + h3 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); + h4 : out std_logic_vector(ADC_DATA_WIDTH-1 downto 0); -- Delay Controller (always 64 bits, even if G_NUM_UNITS=4) delay_rst : in std_logic_vector(63 downto 0); @@ -90,6 +92,8 @@ architecture adc16_interface_arc of adc16_interface is -- Components component adc_unit generic ( + ADC_RESOLUTION : integer; + ADC_DATA_WIDTH : integer; G_SERIES : string ); port ( @@ -107,8 +111,7 @@ architecture adc16_interface_arc of adc16_interface is -- ISERDES Controller iserdes_bitslip : in std_logic_vector(7 downto 0); - p_data : out std_logic_vector(31 downto 0); - absel : in std_logic; + p_data : out std_logic_vector(4*ADC_DATA_WIDTH-1 downto 0); demux_mode : in std_logic_vector(1 downto 0); -- Delay Controller @@ -118,7 +121,10 @@ architecture adc16_interface_arc of adc16_interface is ); end component; - component ADC_MMCM port ( + component ADC_MMCM generic ( + ADC_RESOLUTION : integer + ); + port ( -- System reset : in std_logic; locked : out std_logic; @@ -167,6 +173,7 @@ architecture adc16_interface_arc of adc16_interface is type i4_v8 is array (0 to G_NUM_UNITS-1) of std_logic_vector(7 downto 0); type i4_v20 is array (0 to G_NUM_UNITS-1) of std_logic_vector(19 downto 0); type i4_v32 is array (0 to G_NUM_UNITS-1) of std_logic_vector(31 downto 0); + type i4_data_path is array (0 to G_NUM_UNITS-1) of std_logic_vector(4*ADC_DATA_WIDTH-1 downto 0); -- Clocking (keep and s attributes retain unused clocks) signal line_clk_in_zdok0 : std_logic; @@ -181,10 +188,10 @@ architecture adc16_interface_arc of adc16_interface is attribute s of frame_clk_in : signal is "yes"; signal line_clk : std_logic; + -- frame clock from MMCM is not used at the moment + signal frame_clk_MMCM : std_logic; signal frame_clk : std_logic; signal fabric_clk_0 : std_logic; - signal absel : std_logic; - signal absel_enable : std_logic; signal locked_0 : std_logic; -- MMCM BUFGs @@ -199,8 +206,8 @@ architecture adc16_interface_arc of adc16_interface is -- ISERDES Controller signal s_iserdes_bitslip : i4_v8; - signal s_p_data : i4_v32; - signal s_p_data0 : i4_v32; + signal s_p_data : i4_data_path; + signal s_p_data0 : i4_data_path; -- Delay Controller signal s_delay_rst_a : i4_v4; @@ -237,6 +244,8 @@ architecture adc16_interface_arc of adc16_interface is locked(0) <= locked_0; adc_mmcm_0 : ADC_MMCM + GENERIC MAP ( ADC_RESOLUTION => ADC_RESOLUTION + ) PORT MAP ( reset => reset, locked => locked_0, @@ -288,7 +297,7 @@ architecture adc16_interface_arc of adc16_interface is -- Internal routing line_clk <= bufg_o(0); - frame_clk <= bufg_o(1); + frame_clk_MMCM <= bufg_o(1); fabric_clk_0 <= bufg_o(2); fabric_clk <= fabric_clk_0; fabric_clk_90 <= bufg_o(3); @@ -297,64 +306,67 @@ architecture adc16_interface_arc of adc16_interface is -- Parallel data outputs DOUT0: if G_NUM_UNITS >= 1 generate - a1 <= s_p_data(0)(31 downto 24); - a2 <= s_p_data(0)(23 downto 16); - a3 <= s_p_data(0)(15 downto 8); - a4 <= s_p_data(0)( 7 downto 0); + a1 <= s_p_data(0)(ADC_DATA_WIDTH*4-1 downto ADC_DATA_WIDTH*3); + a2 <= s_p_data(0)(ADC_DATA_WIDTH*3-1 downto ADC_DATA_WIDTH*2); + a3 <= s_p_data(0)(ADC_DATA_WIDTH*2-1 downto ADC_DATA_WIDTH*1); + a4 <= s_p_data(0)(ADC_DATA_WIDTH*1-1 downto ADC_DATA_WIDTH*0); end generate; dummy_DOUT0: if G_NUM_UNITS < 1 generate - a1 <= "00000000"; - a2 <= "00000000"; - a3 <= "00000000"; - a4 <= "00000000"; + a1 <= (others => '0'); + a2 <= (others => '0'); + a3 <= (others => '0'); + a4 <= (others => '0'); end generate; DOUT1: if G_NUM_UNITS >= 2 generate - b1 <= s_p_data(1)(31 downto 24); - b2 <= s_p_data(1)(23 downto 16); - b3 <= s_p_data(1)(15 downto 8); - b4 <= s_p_data(1)( 7 downto 0); + b1 <= s_p_data(1)(ADC_DATA_WIDTH*4-1 downto ADC_DATA_WIDTH*3); + b2 <= s_p_data(1)(ADC_DATA_WIDTH*3-1 downto ADC_DATA_WIDTH*2); + b3 <= s_p_data(1)(ADC_DATA_WIDTH*2-1 downto ADC_DATA_WIDTH*1); + b4 <= s_p_data(1)(ADC_DATA_WIDTH*1-1 downto ADC_DATA_WIDTH*0); end generate; dummy_DOUT1: if G_NUM_UNITS < 2 generate - b1 <= "00000000"; - b2 <= "00000000"; - b3 <= "00000000"; - b4 <= "00000000"; + b1 <= (others => '0'); + b2 <= (others => '0'); + b3 <= (others => '0'); + b4 <= (others => '0'); end generate; DOUT2: if G_NUM_UNITS >= 3 generate - c1 <= s_p_data(2)(31 downto 24); - c2 <= s_p_data(2)(23 downto 16); - c3 <= s_p_data(2)(15 downto 8); - c4 <= s_p_data(2)( 7 downto 0); + c1 <= s_p_data(2)(ADC_DATA_WIDTH*4-1 downto ADC_DATA_WIDTH*3); + c2 <= s_p_data(2)(ADC_DATA_WIDTH*3-1 downto ADC_DATA_WIDTH*2); + c3 <= s_p_data(2)(ADC_DATA_WIDTH*2-1 downto ADC_DATA_WIDTH*1); + c4 <= s_p_data(2)(ADC_DATA_WIDTH*1-1 downto ADC_DATA_WIDTH*0); end generate; dummy_DOUT2: if G_NUM_UNITS < 3 generate - c1 <= "00000000"; - c2 <= "00000000"; - c3 <= "00000000"; - c4 <= "00000000"; + c1 <= (others => '0'); + c2 <= (others => '0'); + c3 <= (others => '0'); + c4 <= (others => '0'); end generate; DOUT3: if G_NUM_UNITS >= 4 generate - d1 <= s_p_data(3)(31 downto 24); - d2 <= s_p_data(3)(23 downto 16); - d3 <= s_p_data(3)(15 downto 8); - d4 <= s_p_data(3)( 7 downto 0); + d1 <= s_p_data(3)(ADC_DATA_WIDTH*4-1 downto ADC_DATA_WIDTH*3); + d2 <= s_p_data(3)(ADC_DATA_WIDTH*3-1 downto ADC_DATA_WIDTH*2); + d3 <= s_p_data(3)(ADC_DATA_WIDTH*2-1 downto ADC_DATA_WIDTH*1); + d4 <= s_p_data(3)(ADC_DATA_WIDTH*1-1 downto ADC_DATA_WIDTH*0); end generate; dummy_DOUT3: if G_NUM_UNITS < 4 generate - d1 <= "00000000"; - d2 <= "00000000"; - d3 <= "00000000"; - d4 <= "00000000"; + d1 <= (others => '0'); + d2 <= (others => '0'); + d3 <= (others => '0'); + d4 <= (others => '0'); end generate; DOUT4: if G_NUM_UNITS >= 5 generate - e1 <= s_p_data(4)(31 downto 24); - e2 <= s_p_data(4)(23 downto 16); - e3 <= s_p_data(4)(15 downto 8); - e4 <= s_p_data(4)( 7 downto 0); + e1 <= s_p_data(4)(ADC_DATA_WIDTH*4-1 downto ADC_DATA_WIDTH*3); + e2 <= s_p_data(4)(ADC_DATA_WIDTH*3-1 downto ADC_DATA_WIDTH*2); + e3 <= s_p_data(4)(ADC_DATA_WIDTH*2-1 downto ADC_DATA_WIDTH*1); + e4 <= s_p_data(4)(ADC_DATA_WIDTH*1-1 downto ADC_DATA_WIDTH*0); adc_mmcm_1 : ADC_MMCM + GENERIC MAP ( + ADC_RESOLUTION => ADC_RESOLUTION + ) PORT MAP ( reset => reset, locked => locked(1), @@ -370,49 +382,49 @@ architecture adc16_interface_arc of adc16_interface is ); end generate; dummy_DOUT4: if G_NUM_UNITS < 5 generate - e1 <= "00000000"; - e2 <= "00000000"; - e3 <= "00000000"; - e4 <= "00000000"; + e1 <= (others => '0'); + e2 <= (others => '0'); + e3 <= (others => '0'); + e4 <= (others => '0'); end generate; DOUT5: if G_NUM_UNITS >= 6 generate - f1 <= s_p_data(5)(31 downto 24); - f2 <= s_p_data(5)(23 downto 16); - f3 <= s_p_data(5)(15 downto 8); - f4 <= s_p_data(5)( 7 downto 0); + f1 <= s_p_data(5)(ADC_DATA_WIDTH*4-1 downto ADC_DATA_WIDTH*3); + f2 <= s_p_data(5)(ADC_DATA_WIDTH*3-1 downto ADC_DATA_WIDTH*2); + f3 <= s_p_data(5)(ADC_DATA_WIDTH*2-1 downto ADC_DATA_WIDTH*1); + f4 <= s_p_data(5)(ADC_DATA_WIDTH*1-1 downto ADC_DATA_WIDTH*0); end generate; dummy_DOUT5: if G_NUM_UNITS < 6 generate - f1 <= "00000000"; - f2 <= "00000000"; - f3 <= "00000000"; - f4 <= "00000000"; + f1 <= (others => '0'); + f2 <= (others => '0'); + f3 <= (others => '0'); + f4 <= (others => '0'); end generate; DOUT6: if G_NUM_UNITS >= 7 generate - g1 <= s_p_data(6)(31 downto 24); - g2 <= s_p_data(6)(23 downto 16); - g3 <= s_p_data(6)(15 downto 8); - g4 <= s_p_data(6)( 7 downto 0); + g1 <= s_p_data(6)(ADC_DATA_WIDTH*4-1 downto ADC_DATA_WIDTH*3); + g2 <= s_p_data(6)(ADC_DATA_WIDTH*3-1 downto ADC_DATA_WIDTH*2); + g3 <= s_p_data(6)(ADC_DATA_WIDTH*2-1 downto ADC_DATA_WIDTH*1); + g4 <= s_p_data(6)(ADC_DATA_WIDTH*1-1 downto ADC_DATA_WIDTH*0); end generate; dummy_DOUT6: if G_NUM_UNITS < 7 generate - g1 <= "00000000"; - g2 <= "00000000"; - g3 <= "00000000"; - g4 <= "00000000"; + g1 <= (others => '0'); + g2 <= (others => '0'); + g3 <= (others => '0'); + g4 <= (others => '0'); end generate; DOUT7: if G_NUM_UNITS >= 8 generate - h1 <= s_p_data(7)(31 downto 24); - h2 <= s_p_data(7)(23 downto 16); - h3 <= s_p_data(7)(15 downto 8); - h4 <= s_p_data(7)( 7 downto 0); + h1 <= s_p_data(7)(ADC_DATA_WIDTH*4-1 downto ADC_DATA_WIDTH*3); + h2 <= s_p_data(7)(ADC_DATA_WIDTH*3-1 downto ADC_DATA_WIDTH*2); + h3 <= s_p_data(7)(ADC_DATA_WIDTH*2-1 downto ADC_DATA_WIDTH*1); + h4 <= s_p_data(7)(ADC_DATA_WIDTH*1-1 downto ADC_DATA_WIDTH*0); end generate; dummy_DOUT7: if G_NUM_UNITS < 8 generate - h1 <= "00000000"; - h2 <= "00000000"; - h3 <= "00000000"; - h4 <= "00000000"; + h1 <= (others => '0'); + h2 <= (others => '0'); + h3 <= (others => '0'); + h4 <= (others => '0'); end generate; -- Generate adc_unit modules and associated wiring @@ -433,7 +445,9 @@ architecture adc16_interface_arc of adc16_interface is adc_unit_inst: adc_unit generic map ( - G_SERIES => G_SERIES + G_SERIES => G_SERIES, + ADC_RESOLUTION => ADC_RESOLUTION, + ADC_DATA_WIDTH => ADC_DATA_WIDTH ) port map ( fabric_clk => fabric_clk_0, line_clk => line_clk, @@ -447,7 +461,6 @@ architecture adc16_interface_arc of adc16_interface is iserdes_bitslip => s_iserdes_bitslip(i), p_data => s_p_data0(i), - absel => absel, demux_mode => demux_mode, delay_rst_a => s_delay_rst_a(i), @@ -456,38 +469,32 @@ architecture adc16_interface_arc of adc16_interface is ); end generate; -- for i in... - process(frame_clk) - begin - if rising_edge(frame_clk) then - -- snap_req shift register - Capture snap_req on rising edge - -- of frame clock so that A/B will be even/odd consistent. - s_snap_req <= s_snap_req(0) & snap_req; - end if; - end process; - - process(frame_clk, locked_0) - begin - -- If MMCM is unlocked, reset absel_enable - if rising_edge(frame_clk) then - -- Rising edge of frame_clk enables absel toggling if MMCM locked - -- to ensure that absel has known phase relation to frame_clk. - absel_enable <= locked_0; - end if; - end process; - - process(fabric_clk_0, absel_enable, locked_0) + process(fabric_clk_0, reset) begin - -- rising edge of fabric_clk_0 - if rising_edge(fabric_clk_0) then - -- Toggle a/b lane selector - absel <= (not absel) and absel_enable; + if reset = '1' then + s_snap_req <= (others=>'0'); + elsif rising_edge(fabric_clk_0) then + if frame_clk = '0' then + -- snap_req shift register - Capture snap_req on rising edge + -- of frame clock so that A/B will be even/odd consistent. + s_snap_req <= s_snap_req(0) & snap_req; + else + s_snap_req <= s_snap_req; + end if; end if; end process; - process(fabric_clk_0) + process(fabric_clk_0, reset) begin + if reset = '1' then + s_p_data <= (others => (others => '0')); + delay_rst0 <= (others => '0'); + delay_rst1 <= (others => '0'); + delay_rst2 <= (others => '0'); + delay_rst_edge <= (others => '0'); + s_snap_counter <= (others => '0'); -- rising edge of fabric_clk_0 - if rising_edge(fabric_clk_0) then + elsif rising_edge(fabric_clk_0) then -- s_p_data pipeline s_p_data <= s_p_data0; @@ -501,12 +508,14 @@ architecture adc16_interface_arc of adc16_interface is delay_rst_edge <= (not delay_rst2) and (delay_rst1 or delay_rst0); -- '0' to '1' transition on snap_req - if s_snap_req(1) = '0' and s_snap_req(0) = '1' then + if s_snap_req = "10" then -- Reset snap counter s_snap_counter <= (others => '0'); elsif s_snap_counter(10) = '0' then -- Count until MSb is '1' s_snap_counter <= s_snap_counter + 1; + else + s_snap_counter <= s_snap_counter; end if; end if; end process; @@ -514,4 +523,15 @@ architecture adc16_interface_arc of adc16_interface is snap_we <= not s_snap_counter(10); snap_addr <= s_snap_counter(9 downto 0); + process (fabric_clk_0, reset) + begin + -- Clock divide. The signal generated is called frame_clk, but It is used as + -- a combinational signal + if reset = '1' then + frame_clk <= '0'; + elsif rising_edge(fabric_clk_0) then + frame_clk <= not frame_clk; + end if; + end process; + end adc16_interface_arc; diff --git a/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd b/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd index b70a754eb7..d5d0032a86 100644 --- a/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd +++ b/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd @@ -8,7 +8,9 @@ use IEEE.numeric_std.all; -- entity declaraction entity adc_unit is generic ( - G_SERIES : string := "7SERIES" + G_SERIES : string := "7SERIES"; + ADC_RESOLUTION : integer := 8; + ADC_DATA_WIDTH : integer := 8 ); port ( -- System @@ -25,8 +27,7 @@ entity adc_unit is -- ISERDES Controller iserdes_bitslip : in std_logic_vector(7 downto 0); - p_data : out std_logic_vector(31 downto 0); - absel : in std_logic; + p_data : out std_logic_vector(4*ADC_DATA_WIDTH-1 downto 0); demux_mode : in std_logic_vector(1 downto 0); -- IODELAY Controller @@ -79,11 +80,14 @@ architecture adc_unit_arc of adc_unit is -- Data (serial in, parallel out) s_data : in std_logic; - p_data : out std_logic_vector(7 downto 0) + p_data : out std_logic_vector(ADC_RESOLUTION-1 downto 0) ); end component; - component ADC_ISERDES_7series port ( + component ADC_ISERDES_7series generic ( + ADC_RESOLUTION : Integer + ); + port ( -- System reset : in std_logic; bitslip : in std_logic; @@ -91,10 +95,11 @@ architecture adc_unit_arc of adc_unit is -- Clock inputs clkin : in std_logic; -- line clkdiv : in std_logic; -- frame/system + frame_clk : in std_logic; -- Data (serial in, parallel out) s_data : in std_logic; - p_data : out std_logic_vector(7 downto 0) + p_data : out std_logic_vector(ADC_RESOLUTION-1 downto 0) ); end component; @@ -110,11 +115,11 @@ architecture adc_unit_arc of adc_unit is end component; -- Signals - signal adc_iserdes_data_a : std_logic_vector(31 downto 0); - signal adc_iserdes_data_b : std_logic_vector(31 downto 0); - signal adc_iserdes_data_a_pipelined : std_logic_vector(31 downto 0); - signal adc_iserdes_data_b_pipelined : std_logic_vector(31 downto 0); - signal adc_iserdes_data : std_logic_vector(31 downto 0); + signal adc_iserdes_data_a : std_logic_vector(4*ADC_RESOLUTION-1 downto 0); + signal adc_iserdes_data_b : std_logic_vector(4*ADC_RESOLUTION-1 downto 0); + signal adc_iserdes_data_a_pipelined : std_logic_vector(4*ADC_RESOLUTION-1 downto 0); + signal adc_iserdes_data_b_pipelined : std_logic_vector(4*ADC_RESOLUTION-1 downto 0); + signal adc_iserdes_data : std_logic_vector(4*ADC_RESOLUTION-1 downto 0); signal ibuf_ser_a : std_logic_vector(3 downto 0); signal ibuf_ser_b : std_logic_vector(3 downto 0); @@ -124,54 +129,67 @@ architecture adc_unit_arc of adc_unit is begin - process (frame_clk, adc_iserdes_data_a, adc_iserdes_data_b) + process (fabric_clk, reset) begin - -- Pipeline serdes outputs (using slower frame clock) - if frame_clk'event and frame_clk = '1' then - adc_iserdes_data_a_pipelined <= adc_iserdes_data_a; - adc_iserdes_data_b_pipelined <= adc_iserdes_data_b; - end if; + -- Pipeline serdes outputs + if reset = '1' then + adc_iserdes_data_a_pipelined <= (others => '0'); + adc_iserdes_data_b_pipelined <= (others => '0'); + elsif rising_edge(fabric_clk) then + if frame_clk='1' then + adc_iserdes_data_a_pipelined <= adc_iserdes_data_a; + adc_iserdes_data_b_pipelined <= adc_iserdes_data_b; + else + adc_iserdes_data_a_pipelined <= adc_iserdes_data_a_pipelined; + adc_iserdes_data_b_pipelined <= adc_iserdes_data_b_pipelined; + end if; + end if; end process; - process (absel, adc_iserdes_data_a_pipelined, adc_iserdes_data_b_pipelined) + process (frame_clk, adc_iserdes_data_a_pipelined, adc_iserdes_data_b_pipelined) begin - -- Mux pipelined data based on absel signal - if absel = '0' then + -- Mux pipelined data based on frame_clk signal + if frame_clk = '1' then case demux_mode is - when "01" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(31 downto 24) - & adc_iserdes_data_b_pipelined(31 downto 24) - & adc_iserdes_data_a_pipelined(15 downto 8) - & adc_iserdes_data_b_pipelined(15 downto 8); + when "01" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) + & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1); - when "10" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(31 downto 24) - & adc_iserdes_data_b_pipelined(31 downto 24) - & adc_iserdes_data_a_pipelined(23 downto 16) - & adc_iserdes_data_b_pipelined(23 downto 16); + when "10" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) + & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2); when others => adc_iserdes_data <= adc_iserdes_data_a_pipelined; end case; else case demux_mode is - when "01" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(23 downto 16) - & adc_iserdes_data_b_pipelined(23 downto 16) - & adc_iserdes_data_a_pipelined( 7 downto 0) - & adc_iserdes_data_b_pipelined( 7 downto 0); + when "01" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2) + & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0); - when "10" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(15 downto 8) - & adc_iserdes_data_b_pipelined(15 downto 8) - & adc_iserdes_data_a_pipelined( 7 downto 0) - & adc_iserdes_data_b_pipelined( 7 downto 0); + when "10" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1) + & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0); when others => adc_iserdes_data <= adc_iserdes_data_b_pipelined; end case; end if; end process; - process (fabric_clk, adc_iserdes_data) + process (fabric_clk, reset) begin - -- Capture mux output on rising edge of fabric clock - if fabric_clk'event and fabric_clk = '1' then - p_data <= adc_iserdes_data; + if reset='1' then + p_data <= (others => '0'); + -- Capture mux output on rising edge of fabric clock (or fssrame_clk_2x) + elsif rising_edge(fabric_clk) then + p_data(ADC_DATA_WIDTH*3+ADC_RESOLUTION-1 downto ADC_DATA_WIDTH*3) <= adc_iserdes_data(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3); + p_data(ADC_DATA_WIDTH*2+ADC_RESOLUTION-1 downto ADC_DATA_WIDTH*2) <= adc_iserdes_data(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2); + p_data(ADC_DATA_WIDTH*1+ADC_RESOLUTION-1 downto ADC_DATA_WIDTH*1) <= adc_iserdes_data(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1); + p_data(ADC_DATA_WIDTH*0+ADC_RESOLUTION-1 downto ADC_DATA_WIDTH*0) <= adc_iserdes_data(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0); end if; end process; @@ -180,23 +198,27 @@ architecture adc_unit_arc of adc_unit is begin ADC_ISERDES_7_GEN : if G_SERIES = "7SERIES" generate adc_iserdes_a_inst : ADC_ISERDES_7series + GENERIC MAP (ADC_RESOLUTION => ADC_RESOLUTION) PORT MAP ( reset => reset, bitslip => iserdes_bitslip(2*i), clkin => line_clk, - clkdiv => frame_clk, + clkdiv => fabric_clk, + frame_clk => frame_clk, s_data => delay_a_out(i), - p_data => adc_iserdes_data_a(8*(3-i)+7 downto 8*(3-i)) + p_data => adc_iserdes_data_a(ADC_RESOLUTION*(4-i)-1 downto ADC_RESOLUTION*(3-i)) ); adc_iserdes_b_inst : ADC_ISERDES_7series + GENERIC MAP (ADC_RESOLUTION => ADC_RESOLUTION) PORT MAP ( reset => reset, bitslip => iserdes_bitslip(2*i+1), clkin => line_clk, - clkdiv => frame_clk, + clkdiv => fabric_clk, + frame_clk => frame_clk, s_data => delay_b_out(i), - p_data => adc_iserdes_data_b(8*(3-i)+7 downto 8*(3-i)) + p_data => adc_iserdes_data_b(ADC_RESOLUTION*(4-i)-1 downto ADC_RESOLUTION*(3-i)) ); end generate ADC_ISERDES_7_GEN; @@ -208,7 +230,7 @@ architecture adc_unit_arc of adc_unit is clkin => line_clk, clkdiv => frame_clk, s_data => delay_a_out(i), - p_data => adc_iserdes_data_a(8*(3-i)+7 downto 8*(3-i)) + p_data => adc_iserdes_data_a(ADC_RESOLUTION*(4-i)-1 downto ADC_RESOLUTION*(3-i)) ); adc_iserdes_b_inst : ADC_ISERDES_6series @@ -218,7 +240,7 @@ architecture adc_unit_arc of adc_unit is clkin => line_clk, clkdiv => frame_clk, s_data => delay_b_out(i), - p_data => adc_iserdes_data_b(8*(3-i)+7 downto 8*(3-i)) + p_data => adc_iserdes_data_b(ADC_RESOLUTION*(4-i)-1 downto ADC_RESOLUTION*(3-i)) ); end generate ADC_ISERDES_6_GEN; diff --git a/jasper_library/yellow_blocks/snap_adc.py b/jasper_library/yellow_blocks/snap_adc.py index 75c6747afe..d0a65803ab 100644 --- a/jasper_library/yellow_blocks/snap_adc.py +++ b/jasper_library/yellow_blocks/snap_adc.py @@ -2,6 +2,7 @@ from verilog import VerilogModule from constraints import PortConstraint, ClockConstraint, RawConstraint from yellow_block_typecodes import * +import math class snap_adc(YellowBlock): def initialize(self): @@ -13,7 +14,25 @@ def initialize(self): self.zdok_rev = 2 # no frame clocks (see adc16) self.n_inputs = self.snap_inputs / 3 #number of inputs per chip - self.clock_freq = self.sample_rate + if self.adc_interleaving_mode == "1 channel mode": + self.adc_interleaving_mode = 1 + elif self.adc_interleaving_mode == "2 channel mode": + self.adc_interleaving_mode = 2 + elif self.adc_interleaving_mode == "4 channel mode": + self.adc_interleaving_mode = 4 + + # self.adc_resolution, possible values are 8, 10, 12, 14, 16 + # Currently only 8, 12, 16 are supported + if self.adc_resolution <=8: + self.adc_data_width = 8 + elif self.adc_resolution >8 and self.adc_resolution<=16: + self.adc_data_width = 16 + else: + self.adc_data_width = 8 + self.LOG_USER_WIDTH = int(math.log(self.adc_data_width*4,2)) + + # An HMCAD1511 has 8 ADC cores and DDR transmission + self.line_clock_freq = self.sample_rate/(8.0/self.adc_interleaving_mode)*self.adc_resolution/2.0 self.add_source('adc16_interface') self.add_source('wb_adc16_controller') @@ -41,20 +60,22 @@ def modify_top(self,top): inst.add_parameter('G_NUM_CLOCKS', int(self.num_clocks)) inst.add_parameter('G_ZDOK_REV', int(self.zdok_rev)) inst.add_parameter('G_NUM_UNITS', int(self.num_units)) + inst.add_parameter('ADC_RESOLUTION', int(self.adc_resolution)) + inst.add_parameter('ADC_DATA_WIDTH', int(self.adc_data_width)) # ports which go to simulink - inst.add_port('a1', self.fullname+'_a1', width=8) - inst.add_port('a2', self.fullname+'_a2', width=8) - inst.add_port('a3', self.fullname+'_a3', width=8) - inst.add_port('a4', self.fullname+'_a4', width=8) - inst.add_port('b1', self.fullname+'_b1', width=8) - inst.add_port('b2', self.fullname+'_b2', width=8) - inst.add_port('b3', self.fullname+'_b3', width=8) - inst.add_port('b4', self.fullname+'_b4', width=8) - inst.add_port('c1', self.fullname+'_c1', width=8) - inst.add_port('c2', self.fullname+'_c2', width=8) - inst.add_port('c3', self.fullname+'_c3', width=8) - inst.add_port('c4', self.fullname+'_c4', width=8) + inst.add_port('a1', self.fullname+'_a1', width=self.adc_data_width) + inst.add_port('a2', self.fullname+'_a2', width=self.adc_data_width) + inst.add_port('a3', self.fullname+'_a3', width=self.adc_data_width) + inst.add_port('a4', self.fullname+'_a4', width=self.adc_data_width) + inst.add_port('b1', self.fullname+'_b1', width=self.adc_data_width) + inst.add_port('b2', self.fullname+'_b2', width=self.adc_data_width) + inst.add_port('b3', self.fullname+'_b3', width=self.adc_data_width) + inst.add_port('b4', self.fullname+'_b4', width=self.adc_data_width) + inst.add_port('c1', self.fullname+'_c1', width=self.adc_data_width) + inst.add_port('c2', self.fullname+'_c2', width=self.adc_data_width) + inst.add_port('c3', self.fullname+'_c3', width=self.adc_data_width) + inst.add_port('c4', self.fullname+'_c4', width=self.adc_data_width) # ports which go to the wb controller. Any ports which don't go to top level need # corresponding signals to be added to top.v. **Not anymore, this is now default behaviour!** @@ -143,11 +164,11 @@ def modify_top(self,top): # Embedded wb-RAM din = self.fullname+'_%s'%snap_chan[k] wbram = top.get_instance(entity='wb_bram', name='adc16_wb_ram%d'%k, comment='Embedded ADC16 bram') - wbram.add_parameter('LOG_USER_WIDTH','5') + wbram.add_parameter('LOG_USER_WIDTH',self.LOG_USER_WIDTH) wbram.add_parameter('USER_ADDR_BITS','10') wbram.add_parameter('N_REGISTERS','2') - wbram.add_wb_interface(regname='adc16_wb_ram%d'%k, mode='rw', nbytes=4*2**10, typecode=TYPECODE_SWREG) - wbram.add_port('user_clk','user_clk', parent_sig=False) + wbram.add_wb_interface(regname='adc16_wb_ram%d'%k, mode='rw', nbytes=(self.adc_data_width/8)*4*2**10, typecode=TYPECODE_SWREG) + wbram.add_port('user_clk','adc0_clk', parent_sig=False) wbram.add_port('user_addr','adc16_snap_addr', width=10) wbram.add_port('user_din','{%s1, %s2, %s3, %s4}'%(din,din,din,din), parent_sig=False) wbram.add_port('user_we','adc16_snap_we') @@ -214,7 +235,7 @@ def gen_constraints(self): cons.append(PortConstraint('adc_pd', 'adc_pd', port_index=range(3), iogroup_index=range(3))) # clock constraint with variable period - clkconst = ClockConstraint('adc16_clk_line_p', name='adc_clk', freq=self.clock_freq*self.n_inputs/2.) + clkconst = ClockConstraint('adc16_clk_line_p', name='adc_clk', freq=self.line_clock_freq) cons.append(clkconst) cons.append(RawConstraint('set_clock_groups -name async_sysclk_adcclk -asynchronous -group [get_clocks -include_generated_clocks %s_CLK] -group [get_clocks -include_generated_clocks sys_clk0_dcm]' % clkconst.signal)) From 689e1abb926ba4edeea688e2cfe1e0d39aadbda0 Mon Sep 17 00:00:00 2001 From: Tian Huang Date: Thu, 6 Jul 2017 15:27:28 +0100 Subject: [PATCH 2/4] Fix major bugs --- .../adc16_interface/ADC_ISERDES_7series.vhd | 83 ++++++++++++------- .../adc16_interface/adc16_interface.vhd | 25 ++---- .../hdl_sources/adc16_interface/adc_unit.vhd | 78 +++++++++-------- 3 files changed, 106 insertions(+), 80 deletions(-) diff --git a/jasper_library/hdl_sources/adc16_interface/ADC_ISERDES_7series.vhd b/jasper_library/hdl_sources/adc16_interface/ADC_ISERDES_7series.vhd index 705a040192..27d08ed4de 100644 --- a/jasper_library/hdl_sources/adc16_interface/ADC_ISERDES_7series.vhd +++ b/jasper_library/hdl_sources/adc16_interface/ADC_ISERDES_7series.vhd @@ -86,12 +86,15 @@ architecture ADC_ISERDES_arc of ADC_ISERDES_7series is signal iserdes_bitslip_d2 : std_logic; signal iserdes_bitslip_d3 : std_logic; - signal bitslip_swap : std_logic; + signal bitslip_swap : std_logic_vector( 1 downto 0); +-- signal bitslip_swap : std_logic; signal bitslip_count : std_logic_vector( 3 downto 0); signal bitslip_delay : std_logic_vector( 3 downto 0); - signal q_d0 : std_logic_vector( 7 downto 0); +-- signal q_d0 : std_logic_vector( 7 downto 0); + signal q_d0 : std_logic_vector(15 downto 0); signal q_d1 : std_logic_vector(15 downto 0); + attribute mark_debug : string; begin @@ -125,61 +128,85 @@ architecture ADC_ISERDES_arc of ADC_ISERDES_7series is -- happen if reset = '1' then bitslip_count <= (others => '0'); - bitslip_swap <= '0'; + bitslip_swap <= (others => '0'); elsif rising_edge(clkdiv) then if bitslip_count < ADC_RESOLUTION/2 then bitslip_count <= bitslip_count + iserdes_bitslip; else bitslip_count <= (others => '0'); - bitslip_swap <= not bitslip_swap; + bitslip_swap <= bitslip_swap + 1; end if; end if; end process; ISERDES_OUTPUT_PROCESS: process ( clkdiv, reset, bitslip_swap, q_d1 ) +-- ISERDES_OUTPUT_PROCESS: process ( clkdiv, reset ) begin if reset = '1' then q_d0 <= (others => '0'); q_d1 <= (others => '0'); elsif rising_edge(clkdiv) then + -- Example 1 of receiving 0x5e, 0x5f and 0x60 + -- frame_clk 0>1 1>0 0>1 1>0 0>1 1>0 + -- q_d0 e f 0 + -- q_d1 5 5 6 + -- p_data 5e 5f 60 + + -- Example 2 of receiving 0x5e, 0x5f and 0x60 + -- frame_clk 0>1 1>0 0>1 1>0 0>1 1>0 + -- q_d0 5 5 6 + -- q_d1 e f 0 + -- p_data 5e 5f 60 + if frame_clk = '0' then - q_d0 <= iserdes_q(0) & - iserdes_q(1) & - iserdes_q(2) & - iserdes_q(3) & - iserdes_q(4) & - iserdes_q(5) & - iserdes_q(6) & - iserdes_q(7); - q_d1 <= q_d1; + q_d0( 7 downto 0) <= iserdes_q(0) & + iserdes_q(1) & + iserdes_q(2) & + iserdes_q(3) & + iserdes_q(4) & + iserdes_q(5) & + iserdes_q(6) & + iserdes_q(7); else - q_d0 <= q_d0; - q_d1( 7 downto 0) <= q_d0; - q_d1(15 downto 8) <= iserdes_q(0) & - iserdes_q(1) & - iserdes_q(2) & - iserdes_q(3) & - iserdes_q(4) & - iserdes_q(5) & - iserdes_q(6) & - iserdes_q(7); + q_d0(15 downto 8) <= iserdes_q(0) & + iserdes_q(1) & + iserdes_q(2) & + iserdes_q(3) & + iserdes_q(4) & + iserdes_q(5) & + iserdes_q(6) & + iserdes_q(7); end if; + + if frame_clk='0' and bitslip_swap(1)='0' then + q_d1( 7 downto 0) <= q_d0( 7 downto 0); + q_d1(15 downto 8) <= q_d0(15 downto 8); + elsif frame_clk='1' and bitslip_swap(1)='1' then + q_d1( 7 downto 0) <= q_d0(15 downto 8); + q_d1(15 downto 8) <= q_d0( 7 downto 0); + else + q_d1 <= q_d1; + end if; end if; - if bitslip_swap = '0' then - p_data <= q_d1(15 downto 16-ADC_RESOLUTION/2) & - q_d1( 7 downto 8-ADC_RESOLUTION/2); + if bitslip_swap(0) = '0' then + p_data <= q_d1(15 downto 16-ADC_RESOLUTION/2) & + q_d1( 7 downto 8-ADC_RESOLUTION/2); else - p_data <= q_d1( 7 downto 8-ADC_RESOLUTION/2) & - q_d1(15 downto 16-ADC_RESOLUTION/2); + p_data <= q_d1( 7 downto 8-ADC_RESOLUTION/2) & + q_d1(15 downto 16-ADC_RESOLUTION/2); + end if; + end process; + -- ISERDESE1 Master iserdes_m_inst : ISERDESE2 GENERIC MAP ( DATA_RATE => "DDR", +-- DATA_WIDTH => 8, DATA_WIDTH => ADC_RESOLUTION/2, DYN_CLKDIV_INV_EN => false, DYN_CLK_INV_EN => false, diff --git a/jasper_library/hdl_sources/adc16_interface/adc16_interface.vhd b/jasper_library/hdl_sources/adc16_interface/adc16_interface.vhd index 18d92d4e8a..27f9b470e3 100644 --- a/jasper_library/hdl_sources/adc16_interface/adc16_interface.vhd +++ b/jasper_library/hdl_sources/adc16_interface/adc16_interface.vhd @@ -188,9 +188,8 @@ architecture adc16_interface_arc of adc16_interface is attribute s of frame_clk_in : signal is "yes"; signal line_clk : std_logic; - -- frame clock from MMCM is not used at the moment - signal frame_clk_MMCM : std_logic; signal frame_clk : std_logic; + signal frame_clk_mmcm : std_logic; signal fabric_clk_0 : std_logic; signal locked_0 : std_logic; @@ -297,7 +296,8 @@ architecture adc16_interface_arc of adc16_interface is -- Internal routing line_clk <= bufg_o(0); - frame_clk_MMCM <= bufg_o(1); + frame_clk_mmcm <= bufg_o(1); +-- frame_clk <= bufg_o(1); fabric_clk_0 <= bufg_o(2); fabric_clk <= fabric_clk_0; fabric_clk_90 <= bufg_o(3); @@ -473,11 +473,14 @@ architecture adc16_interface_arc of adc16_interface is begin if reset = '1' then s_snap_req <= (others=>'0'); + frame_clk <= '0'; elsif rising_edge(fabric_clk_0) then - if frame_clk = '0' then + frame_clk <= not frame_clk; + -- snap_req shift register - Capture snap_req on rising edge -- of frame clock so that A/B will be even/odd consistent. - s_snap_req <= s_snap_req(0) & snap_req; + if frame_clk = '1' then + s_snap_req <= s_snap_req(0 downto 0) & snap_req; else s_snap_req <= s_snap_req; end if; @@ -518,20 +521,10 @@ architecture adc16_interface_arc of adc16_interface is s_snap_counter <= s_snap_counter; end if; end if; + end process; snap_we <= not s_snap_counter(10); snap_addr <= s_snap_counter(9 downto 0); - process (fabric_clk_0, reset) - begin - -- Clock divide. The signal generated is called frame_clk, but It is used as - -- a combinational signal - if reset = '1' then - frame_clk <= '0'; - elsif rising_edge(fabric_clk_0) then - frame_clk <= not frame_clk; - end if; - end process; - end adc16_interface_arc; diff --git a/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd b/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd index d5d0032a86..e4e7f98ab9 100644 --- a/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd +++ b/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd @@ -114,6 +114,8 @@ architecture adc_unit_arc of adc_unit is ); end component; + attribute mark_debug : string; + -- Signals signal adc_iserdes_data_a : std_logic_vector(4*ADC_RESOLUTION-1 downto 0); signal adc_iserdes_data_b : std_logic_vector(4*ADC_RESOLUTION-1 downto 0); @@ -136,47 +138,51 @@ architecture adc_unit_arc of adc_unit is adc_iserdes_data_a_pipelined <= (others => '0'); adc_iserdes_data_b_pipelined <= (others => '0'); elsif rising_edge(fabric_clk) then - if frame_clk='1' then - adc_iserdes_data_a_pipelined <= adc_iserdes_data_a; - adc_iserdes_data_b_pipelined <= adc_iserdes_data_b; - else - adc_iserdes_data_a_pipelined <= adc_iserdes_data_a_pipelined; - adc_iserdes_data_b_pipelined <= adc_iserdes_data_b_pipelined; - end if; + if frame_clk = '0' then + adc_iserdes_data_a_pipelined <= adc_iserdes_data_a; + adc_iserdes_data_b_pipelined <= adc_iserdes_data_b; + else + adc_iserdes_data_a_pipelined <= adc_iserdes_data_a_pipelined; + adc_iserdes_data_b_pipelined <= adc_iserdes_data_b_pipelined; + end if; end if; end process; - process (frame_clk, adc_iserdes_data_a_pipelined, adc_iserdes_data_b_pipelined) + process (fabric_clk, reset) begin -- Mux pipelined data based on frame_clk signal - if frame_clk = '1' then - case demux_mode is - when "01" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) - & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) - & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1) - & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1); - - when "10" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) - & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) - & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2) - & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2); - - when others => adc_iserdes_data <= adc_iserdes_data_a_pipelined; - end case; - else - case demux_mode is - when "01" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2) - & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2) - & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0) - & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0); - - when "10" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1) - & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1) - & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0) - & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0); - - when others => adc_iserdes_data <= adc_iserdes_data_b_pipelined; - end case; + if reset = '1' then + adc_iserdes_data <= (others => '0'); + elsif rising_edge(fabric_clk) then + if frame_clk = '1' then + case demux_mode is + when "01" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) + & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1); + + when "10" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3) + & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2); + + when others => adc_iserdes_data <= adc_iserdes_data_a_pipelined; + end case; + else + case demux_mode is + when "01" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2) + & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0); + + when "10" => adc_iserdes_data <= adc_iserdes_data_a_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1) + & adc_iserdes_data_a_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0) + & adc_iserdes_data_b_pipelined(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0); + + when others => adc_iserdes_data <= adc_iserdes_data_b_pipelined; + end case; + end if; end if; end process; From df5ba1d06fd439a21508bfd133b57e56019f1b9e Mon Sep 17 00:00:00 2001 From: Tian Huang Date: Fri, 14 Jul 2017 21:22:28 +0100 Subject: [PATCH 3/4] Convert adc16_interface output from unsigned number to signed number --- .../hdl_sources/adc16_interface/adc_unit.vhd | 13 +++++++++---- 1 file changed, 9 insertions(+), 4 deletions(-) diff --git a/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd b/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd index e4e7f98ab9..b22975f99c 100644 --- a/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd +++ b/jasper_library/hdl_sources/adc16_interface/adc_unit.vhd @@ -192,10 +192,15 @@ architecture adc_unit_arc of adc_unit is p_data <= (others => '0'); -- Capture mux output on rising edge of fabric clock (or fssrame_clk_2x) elsif rising_edge(fabric_clk) then - p_data(ADC_DATA_WIDTH*3+ADC_RESOLUTION-1 downto ADC_DATA_WIDTH*3) <= adc_iserdes_data(ADC_RESOLUTION*4-1 downto ADC_RESOLUTION*3); - p_data(ADC_DATA_WIDTH*2+ADC_RESOLUTION-1 downto ADC_DATA_WIDTH*2) <= adc_iserdes_data(ADC_RESOLUTION*3-1 downto ADC_RESOLUTION*2); - p_data(ADC_DATA_WIDTH*1+ADC_RESOLUTION-1 downto ADC_DATA_WIDTH*1) <= adc_iserdes_data(ADC_RESOLUTION*2-1 downto ADC_RESOLUTION*1); - p_data(ADC_DATA_WIDTH*0+ADC_RESOLUTION-1 downto ADC_DATA_WIDTH*0) <= adc_iserdes_data(ADC_RESOLUTION*1-1 downto ADC_RESOLUTION*0); + p_data(ADC_DATA_WIDTH*3+ADC_RESOLUTION-2 downto ADC_DATA_WIDTH*3) <= adc_iserdes_data(ADC_RESOLUTION*4-2 downto ADC_RESOLUTION*3); + p_data(ADC_DATA_WIDTH*2+ADC_RESOLUTION-2 downto ADC_DATA_WIDTH*2) <= adc_iserdes_data(ADC_RESOLUTION*3-2 downto ADC_RESOLUTION*2); + p_data(ADC_DATA_WIDTH*1+ADC_RESOLUTION-2 downto ADC_DATA_WIDTH*1) <= adc_iserdes_data(ADC_RESOLUTION*2-2 downto ADC_RESOLUTION*1); + p_data(ADC_DATA_WIDTH*0+ADC_RESOLUTION-2 downto ADC_DATA_WIDTH*0) <= adc_iserdes_data(ADC_RESOLUTION*1-2 downto ADC_RESOLUTION*0); + -- Output signed number + p_data(ADC_DATA_WIDTH*4-1) <= not adc_iserdes_data(ADC_RESOLUTION*4-1); + p_data(ADC_DATA_WIDTH*3-1) <= not adc_iserdes_data(ADC_RESOLUTION*3-1); + p_data(ADC_DATA_WIDTH*2-1) <= not adc_iserdes_data(ADC_RESOLUTION*2-1); + p_data(ADC_DATA_WIDTH*1-1) <= not adc_iserdes_data(ADC_RESOLUTION*1-1); end if; end process; From 0663cbd2536d041d7b116dc80a83e9c56340bdc9 Mon Sep 17 00:00:00 2001 From: Tian Huang Date: Mon, 31 Jul 2017 13:11:34 +0100 Subject: [PATCH 4/4] Add a test model, which includes modified block mask of snap_adc --- jasper_library/test_models/test_snap_adc.slx | Bin 0 -> 199227 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 jasper_library/test_models/test_snap_adc.slx diff --git a/jasper_library/test_models/test_snap_adc.slx b/jasper_library/test_models/test_snap_adc.slx new file mode 100644 index 0000000000000000000000000000000000000000..3911d4a1060cd8020f6e030a82d057eefb1c2f29 GIT binary patch literal 199227 zcmaI6V{j%+^!Ax#l8J5Gwv$OFwr$(CCbn(sj&0kv@7U%(|95Nm-L0+K5B;ILPIcAk zt8uEYpMvx+a5NAQ5GW9iw7;UCMi|r9pdcXYU?3p4|Bc$3x)_=mx)?GV+dG*mIN3Xx zI=NVyIx~3K+W0Ez$`2BuebK_-(3d!GFEpi>-$|ktvEu9^)h1~OfVJ8E=uNcn#N5Hp zaKCH~9=8Csm(6h<^l-L`m6EA`O~=H0Z5NC#yY`4=Aj-h5;KJi?1d6Y7+qT=bIXVEN zAu!2a>2NG}9X1PIkZgkMfj9Z-jD^DD_tRX%!d)HgEz$mU?0c1T*40Ez{a}MdhIW+V zE_G-=4NZBq^1p+OPlW;z2$F*K>}wJY&G%;`Nj(b@_L6^;v(qKppPZE72NmENi=*D4 z{d&4RCFG||2*ig$uYiMK)iIm%+NAmRchpM+TAW)#Ln0WsY#)2#CzfuW%^hM*G~=3j z3{Fb5Gz(Ke_zHck&niE*t-P2bsXmT|B8very#S!x22yV(#XTg_;nP$YmRgS_5+*NA zhFpbzzp|Ta-#23K*dz}CgO(*~JZt@)>~o%o-Mud15JO36s%ptySnR#7=utpx1rQ-9 z{4xK%CiV`Y@PBJ$;ZZ1s5J}%M@}D;1|LKJP|J2CV{r{_yY22h87&DxxKlwxtszG2S z4XWE^IgONH9~lO!?16}*$JA~TL=<*M)3LJ;V29rbiIeUU^~q_wrua|8xM#=X{Y}%) zYjO~6d~iIJH#f0#JuASkr~1B9S&cNt_(u3nzL2y8fM(lz#}~J^bIUZ znE%{?T&p1Hj5r)r^vPO~-K-XUtF0fceeVBz0W>l9SnuR{g46mLR(ceCf-a%D^%sZJY--xcK3F=*un%o!#xa-`#@ABG*KEa9CJ6-tZ+@c&nx-{ z`QN$y&jEzWlvuW=0s+aQ0Rh4If9B?5;c9DSXJ~1|;9zIIoN3Obqu=%{ZeR2wG7CP> zJMWD&Pa^Chgft&~S|~0Xz9TBKH1B=G9#mcwgOhU_?EcmZe0cNIVfEHoy<9f~Jn_AJ zb*`cml-z|N{SXL%gAuE!DlUNneBI@BY#iw38K=P+J>+P9*CIhFu5P1V(NfO)LWMvV zR#KH+Wb|a+3GF(N8uz-YB}Zb@CoIVvxn^ObSR=&xa5dct=W*Z-nmnBT`jn?S_%^ztKc^ zbwl6z+G6Vad|Lp8NhtdtOj%6f*hn_R9QKyUuy{yBu;_4=d(#XeK?>7uhOiSIwGRnb z{jT_`>CndzzLQO#dd-XGOSeEvFSmBxRCOJhw`asicuZMlcjb_*&UZyo8XlS0isNT2 z-JX}e1ZpzLdHcl0$KR&q0Mg?q3w&kgU0_KbADce+hO8^hX1uyR z{r+s{omw0jszlfF%^IvKesiGCBo@fh$EghIK7oSZBQSG5w zU#-49M?UG&FZwtAXBPpypTY5eHO?@3Yud9!&BuDbWi2#Y+AP%D{N=TYkS7Mhh!HI4 ze}qr(v}yp1yN`uf`D;^mU%)6+d*j|ZA0UP1MShmMzL$ri$@fi{<{YcgiF zq@c32bPz08S6lkanRf@WmJog96qqrV;|AL};T%N&kDIHAx*ggfPq%CPv~!MtK%) z?}K%(fu|WdmL|9QQFb%8s#K~a5zIftgr^>(qqO3ruiHSEF)gNqRkov-o86}77Wmvm zI6FJL1|5~04|7Bb2UF*!DL3;f+TCdmeo*>deazLUWbdc*6p%P3Kuk@|R;RmLgjvr* zGz)6`E{ZL?r10Q?M8TS;?iG}`i4zWkxXi?bCPeL+-J_TY9M!LSxR_~F#xIm^!X&r- zZH(b}hsP8|;f*NuvXjdsb#7*pNc4qTl`Kde^jJ;)adUBNCl11!h=Ql)qKP(Gl`K^N`H$p?f^HiCR z9VstE+_%WTJtYIZm$@ZNEMaZE(63#I`HVlcrp- zQY$!9vT#EPrh_m91cg!b%gf0Vp2c|jcS4T5>?8**sErq}k^p86NtL}}1aQ5bow08F z=mi0`m%{q~2)E@Ijl(+*F_s`C1o_9uayfUi1KQ7qU{!O&9(pqeUnp?iaL=!SM=$w@ zRsG7AZEq*NiQKQG&X-`~nWIi$X%vcDHD84RuVhz!m(oEux~iaN_v ztH*n3$7VO$p%>1c%^_=cuN*;X$OT7+gVjD?_@;?hEe^~ z+%h4C?bCNR14{4k^k^9fwt9J3r`i)#b zfa(Ss@K2M&EjG10XPXZmziFQ9IojNsP@EL?!3EN`KkYqDwvY0&Bo+w_eFT0L0-R*y z@!9FTi}VHq#xRkzhufkn25&f5lheOCfrkL)ajRcE@!O0$Kg> zo_pYA8mpVzGr2#B%)k55)!rS4boHOc*WtK4+agv|JEDeb9bQa+j?$Qo?Ru?}Bj{wz z0r3gr+jJ=RYxC)cJ4;SBHjA@UpU>}{6bh}3$$SzIWXRgtVewzC#v!hQWU8`RLv|f< zX&b>4`YETJ9nOew2#HbE=BY~!1xoyH8#_74XCJ`ocrsAy}dKvNl+^_{S*)o|McCK9fPesroDe!MilR=CIl9u@$m54FSf$= z720r8n(5%OCUcn4K+%=FLxf3LjKteXq4#N>+5&0nVw-$JXJU2IsF>e-B6{(a84e(+ zx4xn;BE4CamAMkO@nb43^_K%U)>V4n-My?FTQD8XM!r7-YlbkIlv63s0?REr5H>aN zC7-HJk-)6p8mQsMdT_MrmM$hHq?`-9$1r-o{+*m*=tl(nN^G^A+$L-fq4m?Yd~&Vo zx}?+`z+X5NZ8g^yFt#2$nti(-jAo!DRsc0jG`Uco|JGii6b*>m{blMF(rs`mv!_gc z^D*(}G%gtpE(ng4icKinKCNNrP~rJR+xAmK7}1p)Aqltdiwp%$^~?w!!I?H^D6i3npAUw69r)!ZA;*cc6{lz8p>9fhKo~h zYLnvTBPx?W4S8lGVIP|RjIK7rruB1tUhGhFFrK7OFE7mm&F7@~B*z()nzf7@%%~Y{ zQ=NA5y5pDUh@=#}sThdsFL%<5hV|^&B_N1~x>kiES>_J+Thx(n?_HXkV26rw`N z4nW9?6ZCpfK1OGkJa^Rr8unegYP}5=xyOP>Ut3P6)MuXFzNIxK5YX&`fAHf-_PbAA z?OKpPH^?!YG$aGxQ_-G(jY6!Xud=Nzl&W%>($p;h5t(UeXc<3`7uyz91rmMmu;-~b zDk;4`U+evHP+0{)g?RH$CW2lbT8q*sHwj@z21@<}?}v`br)3#~oEzM7#|INVR&iHa zb8HU*1#L_$&}BIsC*?Zdr&KiVwxdRd5$^eNJO{H~q?J7aB7H?rf|pp>V{9z~aQVKd zC?_*#AXv)`znG4d?3Y$oS6A>Qw`U+BiqcJgW)@ITd7)x5S543>YzG z*0qz3qy~y@CRCJ}RJU%kW{R*nMo;G_j&3~3$gb_>-+sC+8nTYcE6Kk(gi+}%Ocs3X zSDgb8VU}|C#e{;{cYL^1U+3MlSl-Ri)6;KC6qc(>H1|usw0|=T^5F1Hi4ata=uIaW zD*ICxQT9I1cI1E0qO7s-&tuxlS?}*nfRORfK{#n?V~Z|8wg09^g1-^G7ewQoXap>?@V_d!i-wbTTJ6AQk(8~#&Gb~%UBXmllTSK%xx39 zu+(G(*HoCh_)cUb*B^Z`;>!QZqmdRpc7p7jT$r<-BUxVl2*dby6;*PRr6WlkeEgbW zInWd3-T@TEzKyjbGBuxG1)*!uzMO=|Q7i+R=H~fK+3*Kfk`p`}=$EY6h=958%uE<* zmb(FM5npo?Uq6B&Vo^ce8JndW=JENKK<31Vl27oO==V=5Y6TZi;b90#1ycU)oG9>H zS08JCECL4g@~o^J`1*zhBj6GEawzg3)nAoD%naA4jX8T1(pC(zb_*K1PzIcaz3G^^ zf_Z+5q?Nwqg)(eMVS+FNx{O!--n6c*DN;dvdLKQIQ1ms0nI(<~^Ax8CIh&>7a{t-1 zF!{8ZT7;@eA87HCM5qH>_u5a+)9DkDbOHm9Yc)bPWZ|use2870A+R1Nw;7LRE{52# zK5tX?sY-p(sXxc6-z_sUE%9+;{O3o(Je(v=ve-uJEi+2oSYL{tO)f5V`T6;^x-YNY z{9))XVyXsP|4dD7xV84l?rD73>I@QhA7t}@W%vL9BH;U}%`W<$isiXSTygb+3&~W*=<06|pwLZOUt8HCV z7GK9?d9`(m_ok-h-I+Z(Uuh){f$8j!jnP@?cQCkbX!P`ZbWTC@u`gKBx?g~aiMH`G z)<&D*DREM_IA|U!Y$y0v8ML|QRxViN{`) z0xS=$x2GYIb8WOOW4NHv0YLRU6k)A@Osv>jjnc6$!(b`5c)$$7<=OZUm) zZr*UkaS@AYCzckb@!>j~bFcrX+9c&5aUrY%M)dh&pDkblSU#B+DWP3Gybv&)M}f;V@X;u39g zz}nZQ0nh994Hzu6wXeJ>Wxwm>rg?kF5*&Med3lM851-X^A?876mcPIzP<7-dC0{lO zm-tZiS}7Fc%_nmB7y8Z> zkvCL<-RLY3gA9vgTnkT;z?bD-!>ete13Hj`x`Lc%rD^8aEz?zpkXj`6^FsUC-clnLd6b?fJ_b%gK&p zlzG_+b0U2$I_RGA^LR0YE87|;SGj?W%+JJ}!`s}V<@}3{2T1paRc{K`9z=k3pGrG9 zc?gkJNko^lD+gS_M^9}IZdOJF){`dY5HGe};Uz6J1oy(^r1e;rKE6djL&H6{y4G>s zrm026FjM>RUlANm2tRioY6N{}X(@WI?n#_)K7XS;4H=-k?oEcdzLk|#B4F-Q3tOmH zWM>c)#WL^&)`MQF0qZVZSHGt}^VdF3I8*OaB%H!(WF*YDo{dfJjH$ew^WShf@rXpF zR<)-(i>I4ArHvut2CIQgUz5Z>mM*<;j8s z%$^>W^C{M%*$5K^GG3-7DI?4x$)L4DKk-j*k6lg$PZ)=lM6FT8q#r z2F1f<07jgegz8LHbrjXBxB9&dAX?hd?-krAa`-#ml{xz9UkuG^d(-W3+H zTe%mFzO)1Ol3&Z3q_ke3J!&d#aLnai`fq1FOLY5+zC2vtMMpSxsTj1r*fVWmb7iTe zfjlEA=Y#Yo|FF?_yR{YLV}~M@X(JR06@n82=Sp869vo(d4>*}@=20rovMu{bWRPm-@+$b-*Lk#?r%sQusr?Ajj!)OMtC{LImIukWvy<>P&tf8&45@$L2-uj=rsB zB_Q+x02g?U9!%bVn}OPz3=ogmsj2Yx+P{IeAa(n?6H_MnNe*E+ zSXcobuSM0>FE>Zd>T$*Wqq0ES1R$(A{gQH}quTEdMm@Tp8Go(X*^zPpVJF@j#jL>-OalOr%7nj!yU;2}3W z<5l5`$EE_TyesLZ!hz6KHo6EinGiJ#yVm&Qd0wk4sgu`nPFZu?I@a%p=0C57Mb5IZ zVJl$nYzGCgN`4??&`<(#maq;Sp+-fcWvkzuqnezY)?MFeDM_!-;og4j?>dt;fjXpA zW??PRw_(kXB64rN2fb$;qz~Ikv&5h;@Km7bkXjB{><$wb7uErLS>E2vFC`))Nnxu= zlEz@B#G;Io!tCYJzmk#?2asY2Jm0LNx>e;nkyaB*%eQRo8la|D}fu>(}3`r$0MQW(dA{ zZ+FlIXKSO0cqHW$8zF*nxbene(-$KPb$Q4^1&&xh4oSX-9|-1yd11VNzQ4AEgf7a) z>zUcwdQAFxNQ<{D+etm`XS)*wB37Hq_P;pN{ZXhm_S$T^(`+}BauAB{{WwL*5&%h& ztaqSHAhnRYUUqKJtFIpB3qu!594uvG*xBi?AXQ<$q_CF4p`bu-ZwgaM)8BCiAF~&C zM7fEY#D~<(yu8ia9n}yGJP$TBgaUk}2dZky4i;Z&{tjl?EQsa3s^crqidI%MMA~=( zo!B^r%l2hP0#vaAP387m0(UgOv45>~1tp?j)P)4U(xIJ{%V0Qm(YOUFa9i2`gkFa4uMqV_aIk7lG6274y-;)joM6n)pl#)Df+R%eN&k) zp&$f$Ug3IT`DJBH2y@%SZ8dO)>Y?=)m}_1*-n%C<+G=bDbBh0)I@Ra&qCIO-^Oy&4@*Nk-rCagnAIIZ zEZxn*`T6(aP)jsQ$ZIpOqoQIFZBGFA1!o7Lps*Tlfw0pkm_pIx>73xU4$7%TKiqmk zmNV8KVrNR9J#$F}&QGP*;p;bYfosBDH!`Lwfw=sc4wO)O^#n|Xt2YNj*$&^0OF4H8 zVNnSgpCcDtw70WhYOYL>i#07Fn{K$~#z2r{j^Y`jNi0TfU0q#^wS^2EH-j1T4jy(B zm_|lbW3)y=b+EL&F)0F1=9kYlu)0JM3nfrOT6!|0DVUek+rqkjV4MHBwPoWk^i9&2 zY!xXyC~^$L_y@N@G?*YH0#Kjvm8&gP%a@>l5&EMn3%mj$?2Ne6t* z*Mb)a+`JW)iKJ>^QGbGXdabLu-$Hr7EeV82s4Ji z?tb5#QvZDw!@72lw8y1@ss!t8Hp?HH*8%{e(#hN!Z#j7n<+X~=WH+O;d3aaiTrjik zpKsZVkx@~E7fbjTR^`<_!F1QOruy`96qUurTdm=+Tx9bWJb*A9t*uG54 z((^0l+cuV*H`|Myb%|u_W>>F*ax8gvZ8svG>zBg*%cj3s+t+6HS@CQd(`DJAS(pIC zM28LJ7cZ}O-z2PuM;idZ*jG$fjfqW_hZ^AEad0^$m`G0g@Ox4CbY~bjRt%Io9paLR z%>sxLKKH)tmZTWLvZ&f}^d2GA$52;af4Hy!RhYsH%TN?bQthnhbbU?2{>*x6Iatsj zV{1OUMtvkyd9-)rqZ|sOVv_LioLXLfDS{y7c`<~`b(3WREF|<8CXJd^KV(;jfn_-c zrLE-mg$ds}JGzPb>6I<4DqAhur$epa>Kl^_M05gyN5lPOVdl2<^LB<0vfkK7Mrg}u z>t{zIxo)6Cl%JJ3#s#J@@JU-=AkV@^BY8G?krw8=LFov>oQ_L{js|7dT&>6jMP~?+ z#s=Q0b8EjkN~ zAG~j`Lp@8DUog-zm%Z-eI-&D@JxOW5-C{IyT>0Lh!m>UHitmqQvmp2)7X^9gkD(x; zz#Kr1)d5rx;CZWl=O@~^aN|=t+aDA{;x4VA5Tw?-0trCL^DQUigapH;+E1oJ?Yv&G zE|OQLfclH>+pxt9&irer33HxzVjlfrsSArKGc`&FhHEaAu=y|(Tia>i1s-rxi2~-+ zt@AwPuv%N?UH;&|f`EDKV!ksdGL8~+*jgR(c~P$)!Ukg~?kGygRY*iSq<}k2k;)Yn zKoHh77>t;%Po-O1sy~{kub;cNw$Va}hv&eE870ZO1+DP+uN9qfYNe?A9O8D~?;~Ge zt|JlKj;)MrI6+kU*VN=72vor~?ow_y8V_qUVGOF!)RlZtLej1VBVr}n1*;Nv`3Fi^M>+sj|OV4 zByD~_-f)kvDO-Ag$8-X0$7}@Cz|^6&gI(DW0;&eH=|XZQzbJJdomx5)L_5>pt`W`g zPdi_7Z};*aa7}!-+>=xDB$_S~)oRIC?eFbC#}^zzA>_4MQ>GnjX&0 zUY>+NTEd=30w(@8(o6+zfy}+Tn$G3h{z!7>umXdd&_#ncyk)CZG4S}%Hb3b+Q6#LO zjYE`T<-+3ZL(yxDZIxU-<{Ne!0B*IueCnH_kcEZiv5#*_KqQDO5)zt@8!F+)*QO{< z|01Zy{u@Fc^Lbf>&egBYrg21>O6YRWpicS?W$@kPPCgmXa=%tc{rIzzk=0XM)2H~+ zHsaTl5yUMv2y#<7N9JT}hvVH9uCWIpfRxupu!DfVo?1%-4?!o`+ z8hI0U318Cpl2?EoePyu-F60sv`g)=6)JR?a(FY9dZntgK-bBo3mNXWLwNudXmbhrk8zLA|+i)EvE03$M(%ETx+tbR%57I6*$r{aHfv=^{q#M5CM} z@JDuv^me27O3X*pBtBo9su1)1V3a6Bpo)$=hc}Nf!2K^vO58TKdQBR~=)Dgeu;?vc zNWUlk4W2pkBLwaD@7yf3|7>MfysOWg*^TQsO}00E^#=vWiQg7}MZLU0hnTf~6bP!D z(8Pyh`08ZsmBo-`){mr={__njIk%Sexqaf}m%Yg+0<7rBfQZO8NW}~#?PA8r0%a!x%_ClbKK};NAouPV?ybfKaupe5B_g`<^`5k6Jw&H z2Iv3TDbo^heG;GV^XL13ntxO`+k@TRuZVgEJRI^}5=V`$F#Pbo#n`ft8agcRJ8bmt ztk>DX>grj-nPjrS-4T|=gXws01&rm@MHpC2fug~{h+2xwMUYJwXZIV2a{k&8ZwF~e zG2e%qT?9Cz@wQU7nEY}oDv3Lyzg(gbsm)p2wuu2xJ#3bO){>EWOvs@~ z=md1P^M5XMR&GleISJ{CWi#$Tn>&i^yu99f3JMtXexk~tp?9a^YAomH!_CZe3{VdL zO?U=&;LU3fhH7bn5=8YZA^um8w{<*!YHK(!ky$7RDEEtCfIap?&zcM5&q0$6QbITD zlNBcQg`x;N=5Oub@%F{)Pf7VJ>YOx=oO!mZI<$%_*l0+Db8Fo0AU3hIX$QW3Z+kt< zh=S|Qhoh2FlF@Z_t+pY;T@i$59wR_GQq{wOr0)6Kb?MT6k*6ar{}Gjxl(ei@&bJ%k z(~3Rg&vI{ukw>54L(?E(+hEE6bG8=L2n%}$XMLHr+pwz>{T}8<;AG#izYFTA1n9+- zvCS=V>phMXAKGy~>%_rtrkTzA_b;L1Tw+R-nWs>e^R9AZV?LR|oXA94lpU7l5)Hpk z!|87dhgfF)zERjBxaN>+C;NBB`uq?%Ir-;UBr4->go%IFwD;N?Z04d$ygrBEBtK%s zhG=w5%p2`)``o`8cnW@MXbif~UF?%3T3=$H0z_vhE{df2}vS|U8xrLv z^&6!A<0k69jDmu+E<1+A_^NZ-4|blt#Yezad#o)!z6mEMi}UKE(?BE`AM&R(jaN1F zzrmFUQ|Y***a_5Hf5!$(7P-Zz*Ug>}n-JqvD@f6vdcSkT$+7${0jtY~08>GMfxn15 zEjM41(E=(#R#N5u97Ca-7j!2>$q4#qp3S4XL-+Vm?Ykad+ncR00h)o)17o+BJ3U|7 zDd@a{_Tb@3zbaSepY-e@A1BpkjzLr+3=OKIM`HTEoy_}a2b{dqTqfvxrM1VioXPe~ zCaR6R3HA9t!-{DSvilI6CUV^JYS0(cvoeJKgDd{Hh5I61J7eaFv5*~XdqOHydmrug z_-cR2X$bQD_v@H=t#bErqrZ`4u$9DdjIZ^SSb(KI7XExjqxCrZ*jzE; z;Vc$(4;{eEYX@iu^v$H>)LgYL!ZbF*@;_lyD$#_{?k| z?Bra7V}GGva{Pc6jrM=0(z_8lt8ek`{(Zt~Qg%I|O%jQX{P}yaFgRQ%OVASPY(Odm z1OzB4WrPCX&67C4B@fOXxPyPI9{6YNl9L#{xr9x2B_j_FO{MK>_o7&M^9JHCAA;wg z2%|pAa$?med|lexpL@__LibN+sEt)01W>_BDV)fXdo#ix!vBpYn*Yb1nl*%z{rwFB za?1UGqLdwEGij^heQxO;j_RTruB*atE+>=r`lnNASFs z$C{XE6H!R&Pp8Wn-aX+KzOWPF< z<4uXh%AB(2fVXFHG!$+`vfPJUEEl2?fSQWT68YDhrH^i06Z@LTVJxI$#HWp_6bdX< z2Qo2S5d|wapf4eq`?QTK;ullO2M^21$HwyI#n(WOz_`KhQzT~Th1OQJM(G*(x!5_C zOCzu#W~qkuw)|N&c)|MbVzHk%v@Zys_tT8GE(6v6QGLbh5x1&>U7C4&Y&DA3Si3Tj zR%4{uB$qOFmps~cnAbb-`Llm&=KK`7{K6R0idaDx#*w5nwR90w-a5MO#l zv8jz{ZItJ!QHT`>txM?Eop}+%j4y}C<`c}uofC{p zGzjRD&g~$8Y!?)87IHMBp?tq*oSYzN33C-NMd>OGN-PTAOv?!dmPlU-uVPPtoFMZK z)ghn~$eb+h^r7XDL{2(jqr|I!jC?uwtl+8Ua_5gGoI?P7)LSqHeY5FHIg~J_;$VvK zSllfNN~$&E0f$L_t`zCT)>19t$WWy$>1PD>L*Em>GB9|F0tl02jKGD9`_)RN*F>55 zhLza*c}At`QPJ8(jFZr4;c6Zx9S07voZH>um|ggU@h7pTP>|v#`(2203*(`7!||c= z^*tp*>s5SjD->rY!8jJ*2YeDkW7k_&rXO};f4VOjMg9L4VBV5n##QTO(JSZKq@A|L zeB>NI?yyccL0ozc>h-GY-y9c7)SPZZTHw}b+06ty1m!bn!0@)yabcp@#fU?&`Z}!VLA(J>s6U=nz?z; z*=wSg`%F3r7p*v|!!>T7H+7EYAp98e*H!xgiq$Dtl>bzwU1Sz;0p#RaX6;N%8YHRf z-F=2c9fhtKD6iIpTU@uS3769gI~*rdry8|2{W#k136zz~%zC|gTat}2OHu+BworIi z-!TCS=|lKM#*F~a| z@zXG(m69}WR&9A-SMUxLe}WSE$cAaeNvI8s$!<4)J^Q`)0@QQwGeQz@Uj+#)!p;MQ zKRxRlzM=>bIqvQgd~bX6_&wfvG>~qV^ndS9L=acpJ2Ou^R)kd=R#a-qe_+ty zcfcymqP{*YQQ93%@v+?TWeNnTOp;S(pz_}IR!d6ZS>Yt8_ix?JNaFonE_&umdfIT7 zd=%jF_&wacUM+Dt!wgD!W4-EsrDHr(f~nID!@!{N(uAQk!vzgYyEYFZb-0Bi)ca>A zbKb6(-R<9cclW-BtwV(qR_FZnezXj`N(#`)>mvCU_3^xwS>z_Yoro~v>1%pTpE{I< z3Ss2?*}%^9d~a>k-{(B0gIl3HoIfbKU<|5)qFf3b@Dt<@Yn>Qi&n@8d4&vzRHix~?3PGYUu&S}thJ3Yoi7X&}m z7Hr-NSM%33|CGYdszvmMZ5fB4@`oX*l0CdfNu0PTB4k+&`%`VX;ph=Ip362i^HMf$6wihl{4_UbJ=jySjlVmArO#q)@G{SB{nauk;XPzmvLxSi_WZ}$av1O(l`c2rE=^fb z3-yv&(S7MR<8(!n*;UUeUf58?c>SR#tNC|@QXLhlO)l~Ec;dLeM__Q)rQgbcdz+uD z7F?Lw=<;0Catn6~X1Mm2CtQw31rYq(+vi^%rSWIBj!fcD?A`ZiSYws3*9X_YY+MrX zCw;0m)-UH1P1di6M@!!Cee@_#U3};0Sdan$$TiNi&a_YbdHJ;<_HSdQ#qxe;HQtNZz%nK}v%wd^_T4e{BB%95{!AwTYSCQpI9 zt~|UiKF@E%`*$Oj?5=c~6U;v^$KYpvLLEnh;y;j+8T$CThMfgIuJ=jsXB2sFXNGLK z{+Uw@v3}CIKasNqKfNj+7e7U-8oS&(c|LFAYWiyOUOy8v0Qp9>hL(OL%-V+!Abc-8o{7Vi@f^?D<#X#h>J zkg?PKlYa7-070#K8ApvrmtB0MylDr0l2~uxU+J+$n5JaeHy;`88eE=+SH)6U9PaxC z^)I;rIleG2Pw*-aJdn?-x`eF|)LlfQ3G8s?UMKScR6o$z3sj~`>)Ak1Ev8u4erZHp zXwzAoiC%Cw6s7Dx@So-N4l-UCA&wKH9A!fY17`e)e^>l^>5s)JAM0677vwPVwyB`v z7{@=Ay<-Gl?Y6SdLqx9s{mk4kZoJb#t;}oZwMePVFTxTc{Umfc{PlRtm&XVABFz}` z^z#*E*&7eMkVVddtsTk(ZJI)IToGiQy4=ZNy5QA{*nbJT@BPM25^_%*-gFQWHl+7= z6JEVD_VC4kdk;qu=%sMhVwJ#2vfe0M$$%O1F9Jxu{j;=CX>#Oy;RI_-GC1Dt7PON3 z*?<o#86A4;Byvk1D#<_ltO59An35RS@6Ug=$uQv@I~?vk98m+ z$=?)#her9%bG2=03J2~+#R$reOqL<(WwQ~(-%OSv3QVQ0%BhflJ~G>*`$~DD^VA+3 zvr7+%XbRL`5CCw@XDA9MX_Ia5P`cKu&B%N@`QpZTXd0V69eWyM8G>Ie8g+#2`*ngs zwx%g$Jz*ZWKV{xb>^hoMXmF(OMNH)i>rOE#zAjF|vMx~Vj0X+QNEWHFPT(c)6*PT@=$L+rO}d>y(znh?qIc|gX0j5?HQ&Xa{Hct<9=EL zQ8iCIOJN&aIP~~p)VCrRy3!#vmQxNH5prwx%y353w_1rrmYBmboW6~&^Sa8LduMnC zy;GnRS)uI$-3jhIfj%pSeiTB|<>AG_v@)zUw<5s}l)3s1DUxju^C7do zA$A!iLUmG<5xZg65Gj*=lY92Ble)x~n-dxmkyw&-kLXJLFH~}&>T5p>iH|0zEZCfM z{xt52hh#II{h&HKiD#yJ^g9e`LFA1RISR>JnRLTekh8*^L0V14Wnfcg4dkZM4}20> z39SNwHC%Sm@YDqzgp3h_(CRhCm{HDaEn62a{j;%HjpP-Ag#s1_o@gH6gu|QODQC~lkIt8F>eXxL{-0H^Ibwk22S{BBLENUr%xyD1vrs%te?^Tm72{%xe=R;xm zis76{n5l_MU8xQ!G4Ux$SC6*nknF0z)}P-fLi7*d7*}Z~w)c0!VhQOLuM+HSIsC0Z z#$nB3)hCA5t>o5fWs?+4{6!9Eg+Ct{zd!kh-H&7T+6iri3+a$R0Xn-eM((vklAsfV zDbDc6fIW8)(cZ%wyFwC5Md^$=G>CLECs5Y+D3sToG&=|)=?2-Xy#$ji1D?2>>wHUL!mDOk|wG_MCl9kcjOnVF=kDxQu<(Mm3_yYZhW<}2hD_7n=6i)^2X zIirQ8cU;$#YS5oh1_cQ>7(@p>wy;s3BbaezMILQM(JXM;iRW?IBcYO46xvKWWVp8P z&xU+gMq%l`YS>J)HDM61_*cv)BzSlg*L*hJug<_N2=1_bA`-h_B^dE1RBNxWAm8vo z!G$PkRAYwjgX! zBmzA(8)v1uPi z+YR1A6Incx@9%^&Yb}_pS4=(9puva%t0kf;56ogltX3YjX=_eo^OPOO83+DpQ-%RY zA8Zf+%(8n|1Y{T7#R~Tw#0~Lf!CK1j5UqRtZQG%zxbx9B{8v~w8Q9*-5l=42mLo8a z<;&cZNHq948OCq;#&}dL&c1M3o^X}+4b4x71krtSO?iDj5!=S5mkvA zND+7_u($}7FDZX$9-yUWqV@&%d!w*mM#zCER<+0JTNx3;4lhVaBuwW-yqOlh#F#vU zAey@u;`EPHdF+aa4sZ4FSIu@sZ)rm~&v8d|;qBq3Y}d|EhRepC)nZllYx6}Egw<=O z^Y*KEB^Ym9Py@@9TybMuZotAi3IJ+?l=(=nUBLlAk#f!w8t01pRzVEf33H?6h6nl!1k<8&xUuzpD6}bU=8&}VoHI*3eyD&KyRmJuY z^2Xf)3l2}1S#U&Czv>$VG3*wqW-U%)ErU!lepL;=Z6n60?WE=A>=3|^f~8at`(*Ld zoHLp;#yS2>ZHECFw=H(G%kA zow*5n6xjoVYOS_CzxmOV=|`7yzx+!8E< z`|bKmJoPnQt~J7;Ludfg*~;(7Ed~m}U2=h(QG9kSvD!|4{h%B&#UHx4 zkg-sobCddcIm(8c*W>x*Dhv2&B8Z%~*TfGy&I4zF{b#UXiK7G?b+2+y=g&^1q_lFW zNya-h9EY_qIzBb_DFy*?e`Mw(h{^}+RCx9UGFbX4X$C&IR~*a#LDoCPcM>d(-^oTB zZ*1GPZES38Y}>YN`xo1`ZQHiqoO7Q4)q68N-CbSX^O=jOs{U5b`9c#~M@gM!2-Kac zTRav*$bd>CW<^q#V{ZYCYmHH^sX-R5dF|`nY_kR7QgH`S%R6J7a3VP^E(!IWe#=qa z=y3@d-Dbpi44mY7)*p9Ktf+8E3+*^FeK>2V*&!qR*9ZhOxL?;B})$wq+ z4P?GkavHUPDAO+Gi{a+sti1ac4!&R%MH!Nw!is&Yv*CsA_IoXB+eC||5*}U?;rNk0 zB)5Xj)g901Mjn}&@s`2sDfl$izMx&zzuS`ICe!Sn6x%i@PQlaouD&GE>|4GV@u34b zCza_8TZ>V2IH}Nr$aCZ&op}&Rf^l$6b*II08uBQ)WB*h_F5Sn`k}`cCXz|<7q@eZB z%8MUI;OQcwznNi!)>M8izk2+g<8Z!ypmbca$lOxOsi?t?5Oz!LC1KI@_QU{;7^s=! z&G(bmobR9-6IEI4BaXqE`-6yq(~ElCG#VhfN6pS1I8Q(0$f6s zJ>Sj>C>8cJXZq!<+$%|mR?`9W zjZurd{rTC8X(R^k^A{!JYxi3o_(Kw}r?PnG`+F+EB1Fi*kYep-W(nEL(bP1Yq0eG| z$l^(O9-bvVoCKV6=*HGmUGmN-8m@6o`<3by*20mU^@dM`XF=HjaBiEI=8G6yFq|xo8~&mipj?6jqKRn>&3XfuJUZ3a9Iz7yG}{=UXNF8AoFV})ZXnt5H(uJ1 zOE_)6KSj2s#a=Jx0i*|14!Qhe=yKc-;|~WzV_o2S?1u>myF{z>dQ5FKuy@B zI^%@MEZPchSCM5KV@s4d2k}o;1wjW`iU%mCu zfS4c>h%mjwomH_DZ~f170dRAMkJpsoDz$ctUkS1Ig0{O#LirXpZDAwG#fV~*YO=#~ zAANrW?z(Lqws99u&Vasx3ug5Uqm1w;Gw=7H7@`EqEN=LOn3!w=VuPuVqN`{!xk6~c zKdJc9vc789`)7YU(_uZ&+4<{t;9F0rtXM7zZQw@<%@0^1@`*h-Xu0OkGc%K1J-!iK zY>bwux3gAEx4wkO86K$b0tnqTLM0(f!S39@h(*lv`n06>6bHN>>Ad z&!V9}iK^cIl)*5$zbboE0lqg0VjvtE$|dU*hyV{{iL)|1N?0V_;7VLcvCHwlj$|Eu z^e4avzchjk7D%xRq;}E0V9-T{2uk(yh#QWs0zeEOxWE`Q!aH@CVkPFTF?u_GL*^z# z#ek2DWuhQZq~doGhl}aKQPjv4{Cj0WfiH|blK)Fe9kAC*rB;Au<~$gM%!X3av%iY= zVg%OWCzwsWh?0xw-ky(HjgKst)0(pfsj=c-W_WLG;DKpgT>huvb{wM?b*AWPj@E z958cROed$q0&O9c&y|WeMeTUJjo!i-*-IqD1YXREyV4|IqZO?Bcqsh4I@osuNMCt3 zNeD)-1u%V_p}4-`r2OfgBlWT^xs%T7>?4TPw zn71%jj9A-LN#<{>WX5wV`2|xVwqb+;Yqq&+tPOMY6YtxsELNGU$!1wb#g+BJR+aTI zlPjHG(XEQ_b-nXoo)Se~?n}Ii`Cfz;V{n%lDTQ8}SfwVg3!0ehj0=YD(W1!{4}sw6 zF1!L!0Iy)__XoQrUYUHa^@#5Jr^$w_H521Y3r$Y-cTuzVhg#uxKzmNE%2H3BG>FUw zmMO^OQYSDb`M*UQ8-KX^r>H_5elDvV25Xy~t`HRC;_HR3zjIx7>o>DtiCsRH?-oAa zjvny+#}`jutE)Gw3jJ&o!8XJUJkK?~*Kc1@^Wd0PT=*W}7mj|l3r}F8+d3CbCC_y0 z-v`CV)}Fd+v+J7o+{b7Kx=>IQ&+&^4q5?tA^r$?;L>O0Xso|5fW>EB z`7&a4w1wO{IIr#0pO!Vk;#q9bTVxM_mu`LD7|qu!H;)2EW|_qjKk+?IalAzO#46g% zUB>J(g)J&>_CM`hG<+Iu1Y~;7Ogv;Tw&%D%e|QY>m|C@S&D$9FlhwbPHBWUZx@dwS znw@L7L2y6*!rtS@$VV5gzhK?H+H9r)S*l$BWtGVziCI!HWW`*yKLBW?HHchjVw>jA z_+tSYV3MkIx6108%)14$JtK3YV4=(EX^yqczs{y4Ch;EZzWyTc{^VD|Wp&>8WaJsQ z)>K#Xly>?8tEtPY#_`M`z-0yVzYbD#!%HlqeKuxZYJ0JdaIE_d^c#;HWt=O=pSO?; z-Uua^_<$KCLz|$O?(dNUtPr`2M`pdf%Ib$SV@5|@dRo3y@h}g_8e+BaltR5gotm(# zjm8lye^Zlc@9HN(SZWfSAVmCYl*G~6mK zL&-6xhmsgoslo_J@LsMpYI{xbY)_byg3atDl$-vrMI?D>P&IdCR>#5YuocV(k%mJ- zj1LzALQx6Pwa|{Ojd+Av`l1ZoV>Z{jwFIp~oZhy&@H#o&X_-dt*eRn0@28KP?)Es4 zA7tb0s)eR{hI?U_GIQPez7l{S%vTXEr36#{*Q9hU$nY|ocwafgrco#6N42eCbTbEx zz={(FXQOvx$j;K-VaAsp{$-rz(W@>Py6WOtG;|!mW&&$Uz)2u2)bYaR3bJ+jT+o6^ zdli%m;RdYg6*tUIkI?16Wd8()w9SdG1+YznLh^a zSH8TQr1%LNA~=W;B%Y%z1(M)5XH1GHVvw0_Fckp-=uuU|eEWA|s476u_{3_|?)lJt*9Hs40kj!|;ZTm82T z1Llacs6)Zf)zq>T-Ei2~#BAdD{Ib^9eYF)GxC&|=0_7bIBzhz_QAd>T<;wMsSd7I* z_{h5hXHiqxT1eGKjM-(5%qd6gu>6~)6X$b}JMHtmE8kiRig9s89KYu(nogRf7Y*oc z?RM~q@d{V>1MD`4x#LgUm;T8f2q)V0EEt(v46VE4Y(WIB_63NxVK9Y|pPdkzV_rol z#fckTc{`?5Zp7s!-hV|ZXGQ4RPi95_Z^UPA1ouC)J7Z37ZYO&iXDyIiX_MbruabeZ z=s*mGKqK-pohq=0nS1KN6!b)E5l6QEaWcSUw#fd+HE4abo)6Y{8gN^l+qur3Vqf)s z{!ju638~5K(Xf9g!(2xsZk?Z~(uSc##SA4Fx)42Fb8BqO5k3C5XO~M6X6O_e2Hyhh zjEKq>)zV}C?MffA<$D?MG>U8jqP_G@tMN1)*6fKxy-_3uvXxR6+Uy+}8&PXkAQ|20 zA=9C8Q!0VZsSCv;{~M#6IH7B0>*5%MB%&0C>HFQTjOeavlB?Enr|>O&r%R*Es%A^6 zQ_g`(8YHYrop`)(Xn5dW@^QX<**pk@LRyuU<(V(4Tf&ILgkRCE6z#IMYhTgzEmcK# z1tAfGe13Y<;NG1`6BkINdS6lnHCervB>G7j2^bYqV*zW=bDB>`birG-*aWsre}C1| zG>hV~*F2qK#E5w@cDW=%guQcbXXlAGi(ds%MAE`qCuC_M9*0w;yM1{$-plI(?kCOo zA{L$KN}Nno6ZqBq#9z|pwtQ|xXkCWtE&7hVUO{CmvmA`*jJ_mN_9x^bag<}+>olA~ zLyR`h0fzX9$24!Xe+E(@P5^s-n1ro|2E#}qNchKXhI`dTcS3<{ z*d3Q`p7_%6oH$STXm&{*eNf_HvPi+>ZJ6lN8ZUjlbuxj1Q%Fp26yH)_t!DZZ<{Eu5n zlB|`XZnxAb`eSJc#%%{BQ#3<8Z_x=nv0iMczkt;#*4n7H!SZa)je1?ge^7oYvLso+ zbc#ux8i;$ukaqQvpB0feICB9##DkuPh=}p3EU6zLt&s02Axr^+8<4@J{}P1fqnOFN zle1W6^rzdS$gt1gsxk3Ac0!xg7?1Fz38~KUl`>9&H7vKdk=x96KFQ(pk8v)V)(Jf9 zr(M^m&+z`V6tVEEoCRbNVc)Vni)e6l(a{jCRTiPEXo9QZ)}NjRUU3O>x2iCC8m9!F zzch9cWFR{37%||F#!{KI&Y2CxemSFR6=N|%< z&L{?@aoRBz<|o3MYfE*j=;31TO_BMctY1#7;bV1&1C>G^!XTX%C!qZOJ$X0!CC%xQ zJ|<nvOf+Y9)6G6Dnm#uF;!@Oeg|?*Az-iT2eJoqm zk|D#b8vwg6iF|Mg$pv60XfC{BH(n!NU@$UPDztqHOdG7hX5}5vk}9aF>~VQ|+(kw* zKTKSXJIwvKnHBtgh$^Muh4Va|N{Axl^w-%TOIr?P;YsZB*nG`MB~S>)G|^An+!43s zi_VpjJrC0AY21+JBz9zf>bTfl3t{KFX-D*Eac{i{K^o$gI0%*-^B9_jo7`=31fbV(*A@IDS!q(| zaErj?_YV*1)lw5H9UEDS+B6r8)4Wh4Y6FYs3IVXOu}_jQs+h*|gziih=iq20=l zDl2q5EO*~%400W~PnrwRaAeHW3Ylb+R+ZhlCGxmj4W+48ICIFS*99>CL#UMTNdGyt zkfAeF$kLIm?lZ~$hQ7+B?`s$n(HR{s^?!G40cU!?a^ZL>X z>KnS;bgf*0vz1bg%TSZS1Pkq-r8)>M;B^EAbfbo$)l2KtWdEf|74M{N??t_2Dg_0b z-$co}B-2s<+U|D#-v2N$WVtBQTD9YNc8xN)^U5_hsoDxcMU$;Kfaz5_& zH!z2PT6BC;xKllS0%J(!qT|WP2F13MFoP`WY7*=D@cZ#%pJVprjI^^2x7|2*f zx56vCYNfFOe+X^{dpOgX0Cj7=F;4!w_Urs{YjUk4W07-uTN`}qY@jo_s6eQ}Jx*)b z^wF>qZq7B4BYLhSF$1S~v2LRdUq!L(6n$QRT#8IvtQ$F1cyIS}cQ6mqC$*0a^gcR!SLJ&jaNe`YN zgZ!nQG5ja=_YT`vmSH=U+-b@dSfUbrU3I(Saoa;Nd^$4)D^ABx4acm_ptU(`P%LOw8dQMXBL(EI9!JGotiAm->u%@PwzTPMHFt?$Tu6fSgU49p0 zv_~u4J{Q+;Ci0Z8ZQb-s5>K<=j}`MVep9DzUCP;G^r|!I!n!JACH%aqGQ9GPfsI|_ zekPwYiW2I(6q;l{F-YaZ0g?@bLBlmap&2KB2guRmfT@`S9JpI4i^!c7$jnhBS+4t6)3! zUR!7nCpT5@o@1$ZaX(bL?7ay8CZR&4AMaw%@_+f+@<{Gct> zI(11_c1CH+&MRegL;&iT2kW1@ccQY&eEYKVxAyv8DM7_$siF}Jh{gDM*rxfqeK^&% zrd7n*RkwqtW!(K4=4QFYmb8;5!1L~`Jgc0mBkdUwg(G8O;Zl9^)!r1eIz`EqTt*Fx zheUD+6D|$fuACu|QfD<@^}E(WENG7?I}0WEk`z3ROIm zt%m^Gv!EiMV->Ow9l~?4ewe#Z#|7jd!QL+N2cfG7X&2sr^mm*=r6|Pii`&hGV;RtW z^so}1ZV)Yxt2;_y#EC$IJ$Fo?r040&Pj;Ei%1-Y`y!nvA@XOyDAn%xYkBLiBc;hRu zd|5Qc{6^GRRsTbf=_rF3n8crO^==Xt!j6rvuqOt126R_baK+KU3|?DURhyWrVC$sr zUKw7(j7!I|>~AeGB~H(k_#_SZF5;202oi$B1-vvnZHqloymL9c;{pn{nJC`bReqn) z(D)Xkt-$D#4sN$cd?;j2Pc-6aWYiRDLg+&QY;r18YM3OqUt$v!%ZrGG&Pq@7%AXkZzP(_~rJ~TtAg`+?=rH(K;1*@#lXi>04C_<&tuw0{cMV1Mz zVpbUkD+(oVSRyOf8NTftlie8t4ywD(GBq@41kI!A9L+4r9Id)_|4?(*=rHJ;vsSKY z)l*W+rA+A#n9*97@(&%m8eqC3KC-FWQ#j+ggC4S}TG=3OO!QLSWSTL>{$62siQCh0 z2hcH+uRMNyTA?F7m|VZtKkLRx^ulI!qDfV_^lR0OT8K<2JH5719nHZK4QeGT-SoBj zRt#%x|2f(NUpTK-iD`TaY<$?|uMb(coRvS8$nhv$6sp8Ef0RFe%2T0us#ke5Y-O6k zEb5~XNtC6^%CN*u(LU{Q;Df}9#CIsKNvyp@5VKLq^}53=b31uqpu*JnG^E> z)c_wFXZ^b@6*Hn%9w|KDaGuP`GuI|ln3PGHETWD$>Jhsb0MJy#HV-a`6Ybop5mVOA0T{t zZ^6hXx-GGMTjnF7S1`P_Q~j#Gl>_{zr-@u#naz{RNY=}NzhFqn6p6E}R?N02jr1Aa zIr;ul)fvyglTl*yS%k;VdE?6!(?@mj z<`5*w%FD%wODj7uCF^T;v+603_m8KhOqxE@CS3luC|QQ^thqh0+yx4yGC8in#H++) zCTW182I8i0$%0Bt>;B{{a^ng|RPo_DN6nnm8*83EsFM+kc|A~a6j$S5o$AiIDWhrGzv>rXbKd{r6?C@F+30Af0J_0U;{ zgvwi`?#Mdd@Hf9Iz&8kxAYUl)aRJ1BtrJ!fqfRIBOni;fI-6}7)xEftaM~N4#i^K& zo5hvj(zh$hRlgZz=V3z{y=Q24^QKUYDUPOUX`e-s6cqR$C9)}~dRae{YZFi0+L$Z}E41!PCQ;^Z|dnc)41LjWBjLUMH8P5m$ z*hN4F8>ae@d2K>6f9I)6kE@k-V^oBvWIjr3@rL}5@(}dL&i%XWUT!Ynx=?{MugA4c z4d^P4L_Qu%Nae>d+gJ!QEWjg?ET2qKDC0glq$jz8(ymEi%tMcH*KxwyX7tX^UH$$? zp?I&UCAoGsQkK}ZGjS>es_ibAT(u&gNMQjnEL-GRX4%q?HjbFas`_0tkd?`oWG~6# zOL^D>EDHw|9L?tCc30k8;6rhIxX3K&^?}Rnu{$By8`mS*r6jz0;!>U{H1t=QBA~Fo z+&r5Wu3}7kcGOIn!eUmb2ir86mp>_uA#qGA(YT)zmxWsSU!HRSR)wStRsLlh&rC(W zl@Qt5TvM`rHlX~$Mrwek?zXx3DZqb=jz{~6;+A~?tXf= zZ7&D7l1(lSN{1KXWKN1K>;7=6Ge7B3*oSe9?Lym%j((;Oe1i%XzkKruYdTSHZ5}P< ztjgAKzcGu`#p@#pV5?SZdPl~v@OD<;f@;iQxo3GZI7D_oSxBJ*weKRqvO&fP|%%5^-r?vOeDMzg_ zn`c!mDT2w0oAizqZI75xnEGKW%|tJ-jP)9DUR3)r)@IPx4K(Krj2nG5p<4^;eKrHG z4ltVG+L;y@5~c6VmZ~Pt?x*x!f+;5fsN(0Z30Z{KYOGN~253<7_hjus+ZYOh{h3;) zZ8rxQ0q7}L+4R9^;-&WyxYQ{%3L;lF2QJ#5_qyA>*`boQ`Q~csnsI9&k}C0N|C|c` z$jrx|(6((!WRmK{*ucp$gPB=?BhTrRQsl|n62h{bYViphsvuu;BZ{U`|6SpnGYlJB zCN7MRmQWufK}e}f)S+ZGY6Km9Njy9fA1uF`7vC<#EhXEU6fR`E*NTL)=A@Wy&^dUG8UeE`A3#xmtY5<9nN=-FGz^&Y0aH{px%M;(wx672LDp|%`5kbSKb4!^pk4Hl4{sx z8SCIUVkXMvPv^s#jg5eQF3r*JCrJ>7%*|16K>+Y)#F|_SZ+>(US`RX9Kipy59SerS z2qdq&L)eyKPeU+Bbd zO4WHtJgHKQZfT+2W{mj#gYCY>0d|N#&K6}D@(;UOD&=CFzxcI10HJ98yQ_0c%LZbL zHSW%Re~=cx=)5zd#hJa{2WzWR$CCS6vF1VTFyL@vc!d-6&(IhCXnjk-=NItp#0FGDY^@B*N z(#CrwOiSrRI>Tq3tBcAf8}1o-AG!ua1@vb$KZj( zio!l7|K8G8ZbPIX#^6uv!y`1w+2Q;Tf{mDDnQll>8xa8!Nuk6}Pkun?>fN)=I1Q*f z4&_U_$a5MPGr9e*$pDw+a6yiK**zXpl@dkibl2)p#OvAZ3#w(Zc;y7!Ko8t00-VRG zceayWGDLORnLmD6RPPz*&<@;Q)a7O=q~b3HlNt198=#v*q@hr&a!ABl;YKp_ku({$ z^#%C5L&SJs?eD^jwUGJVYd@V+m`4S+n|shRUMET&o26o z_to_kDQ+c5)8g&ufW1>FGW1=gDH8LX$ zyib2M?Df{bb3m$DwLRz><`obhe0COXQY^dc$osAG$(+Husz6I9t}xZ`y?E(BN^~_k z=b{B1?gU*~Hd7QDA{hM6GkfRohV_Hqkq$nq3jxvEGq4+p8t=^p`_l+NYD@TAVl2nbo&O63o#NWTw4}optv-YIQ>Z@vX_dwh>14L z<4I#2yo`!6WiXWp#M!)4VjA9r4d5PnNV`J9i34&Uq>kK&hocn`e{*McJMrxbLC;sT zduwPCA?$JiTHD%IDy2 za)Gi8{CU0iZW{t@y)2a_UC}Zy34(GW8gmRYFKTu5f`Wa?fg1m)5|6#u+-QV~3KPi> zT|Pu=6iDwmXuYaODSvk}n^I`7Ah*V50LpltzZ=>z$;p{FUQGIa9qc?l)bEQ}rTrX? z6LMvVlrV_gugYtXAih8sl-a@(OHrTZ8gl+>7^Wl6ySLxep}+7lm6Xm5I~t_sPFt2& zW?>QtZC;$3Yq4%K(*}7FT=_|!mv~YhyP|?oTSVwz5;*hNyMmh<%tCL8Fh1Elq}H!N zlp-JsOd!t-v*=fxrhFK{Ngq;PtJXtFT;$mTHrOBgg)&+GS2efs-OqGPjh=P}-pSFD z9+NUylyCUOEc%YD4NAh4)6q%~=Rfd^nCSoD7tqH@Da(D->P$+I&Wh4{IY*H>|F7k-{lU{;xIdJBE?gI~Hm*6Q#hTZ@g1l#ue`9GP4zakj96;nr@^4F!d|ZuQ;$i1 zNAcat@gTOMaPG7LecMG_S7s@2=qcmF@j}ol55z3#=pxAw$KjOdYa}3NO9qLCnoDgE zQ?FCKg?%=^?eLW>8Ek-_`(%l1AgLIYU;%!_RMgRHDzYh*OxW*(7UJbM|A_{q<1KuO~8jvXS3w1~6M3PvJF#Q~jI_G=ou>p`< zz+d#b!*(Fk!UZ{oNr>ue%3wa2%n3K?EmM4Ba-pTDI;_yCzEeBfI>4G=K4`mYnxTby z9N6NefxOJiKnK7@aox6{S;oKxmQV^uS{G~!0asY4AA&%j5xg?;=R|R)trr)jZPM7b zxq2@l;<5sDQGAo%weDGx&_<`vD7tuYI>Bvv~){@y#O9`JC~_U=<3hLmKb#)8CS= z>~{3dD23-tT2(X_?uP{s^POWa{f&Z+<+blI8(bc{r+oiMdv7Y1-W2^^h~29?&5>AurdmItBcSlE_ZE2YOsO26z z-9S;q3k)#+z_;QNZ$eWfyC>|4+xc4%Xyn-TTsQY)-eI3C_-jXXCo8)YUO~v~5KRb8 z!rCF9-u5qcAY?PA3y@5(VoYs^VnedrbK9^Zb6f4$HXGS6{yMwG6ulQR+wO-(Hpn54 zdY^N%nl_QGYdmjGA9dOuwHfJs^bctX>*FnRH0{-ea<9e#9l$bvhv_Wvbi=Q|&0h96>t}xfT#{h>1zF)4TGm=V4}enQ?z#Il4FRCn z*pCP<9T`SRO|*Y<$drf^L^&RzpJs*RlbgOSwiNx>8Mg7+_bDxxDEwe)7b)f?WY}d= z6|>7oHwtxEFEsQrglx*K1@;%PBOLV3(H13XM#1+BS7@1wL5oDh=rFY32*t7SMu$ts zT`+K7Kyl%byCZcPd~A1@peQNya_(Qe2m@V8f_=D@EdfDib#cL@EYfU-dH6@DOtcq- zGQYpDsU(Ft|iR1X|gypS|tF0We(bci^R+>!7B= z(Lg$BtG~JHM-q_=6d3J<=IruQ=}{?(+6w4^CA*-$jJJ*CyhkQc*UDJ)y1su|#G7&X ze|*?h;~aTyHe<+6*CUk@LrlAfoU*f*<3s~+9EY=!ML}9?vkU1yuQpr2i3La!>keFT zUZoOhC6}|2U)!y(B+g!n%Dxtrq0BmpGL)O7age5|Xe5W8_r`!Eq;;!Ayps`p&J!Oq z^{Ec^2{9s&SFV?~tprX*Bto~OcTNq~=+>os?o{d?rKS6{6wPaBS{x|Xww+0xIi%mP z`%M&MvJTNIMybos4N={II%|yxwrgB);_}IotwIWR|Hui+L6H@)&ntGNsh9be(IKDl zpu}X&D@uT4hQP|?HR>dPUTVzo9_I+uxbM;vk;+)18~{VV&2_eX{ANOEslGu9W<)qF zt8FgvzHL~_@0;U|3&n}W8y@~sccO&)rOvn%QW=~ja?{aWpn*v09|$$MOb}M_rvDk% zAh&e|R~DR{d+IiI4^3MnPh<5q zS1XWi1d0?|MI2FA>A{E(H2)jNvh=xhU@U_%D{>`rKsE41l5mCJn8`_uCD(=E)^-tp z@YjLzr~+KEYhbpn;(^7eUw`hNhg7Apaaa3CrX9r7VVrjrJ7mWX&K^sn#d$Y^vfE z9|bPCnox3g>L=F^?ccCBpMU?Gqq=*&Ekp0H$eQ?I?Ce1Mb!wA35V3;4fLE-ND;9G~ z$sup*DrG&e&7SlYaow_=d`d-L;p7BWxp_vWoHzeJ;Aul2QbO^pqE8*C)7=>F70gDI(rRe>wqYw3qb_)Cw{HXLb)NZ@{V%&xH0;I z6y3w-=Nad}QzrboN$muSkX}XB3p73X;1$Flp2qBEhGg>dSJ4T?Q(oIX%|O3}xN`Id zWxI5%fMa`CiZR|;Cs$sH{|1oOFlM+pxQQv}jz)@yux~C}m-BmzJ(l;htAJtZMp4et zyDRHeLw%4c`w4z0c2d?96lyFS|CAPT;abQK(wziJVKeEEuc$vcH zLA!qCj;W;Zk)1EHq<<9M8|RziUa4#*>rZKGu>D{vkF+r_){BSja63xP>xT3}Hm^T5 zkDOzrW;3&LRaLY$%k9cGnk|8Q)k;mLNvv|(?Fw$2(`yy&JhI!(^7SJwstlMV)M|~8 zv%5@`4DKX(bw}ul6T%T}c|J9cuJ$e0NVuD9c*2DYPKKLf({Ow%m;8z+ehiC~MD!rF zw-%$2v#VJ4amvHUmdv(6gxXBk+EHKzA7)o`k}Xp`IjW*KU%m&^qqi{O--skJ@}OY* zg_bdwR9r|;z%CoQ&^!ZhJ z9M_7SOlRIe&&HjNF5{J->Q+uQD`)1Fi?a&jM{4Gm|B6UV@<^<*Sg!Q48l#Ms*esJ4 zH4RzR3^xl|RJ6OT?&NxG%GS3Ae_7}*scNd}u$UH|;|wj2Y)OZ(sB2tKj+tXYSIF`V zsjh7C$FQXFU(TkRQ%RNow4@ALTktfRjF-_=7C#vm1MY4i^^t$_ktkCL67PCsnpDHe6i!XrOY6plA70YRbNe}2(#J@u$O@3yR4adGo<(ex z)=;E8=Zq?$sX??^NTOg9w4h1ws3QJ6nc@&}7&qC-y|#5GzO;A3t7)|owIqUl37~1B zZo?Ue1-;j<*ck27+a4<(qXx7oZ3?V2*8%%yl)^X6CbV!Ikb`kdNP4+kEy^^PSz~Tn zI3<`po)znCK0H=ryp#YuoDypKUqZ@$%9i05GUxx5Uk4seiq+O_KQ$){aH!6<({&ZL zsPDD2V!LYVvqhQCwlY<=|EgK2$Z&U>*HzqP{+WSgbF!tP&CK)oM^4pg{v~-qhDAJD z&}!vR*@WZS%k9E!v8!GUJ%t7L72FzGf=D$E2#ASd%A(9``i8R0ul-B@4>Hs`?0%%? zLt4KZL0;fDYvC>Ig)9Z(M44Vtz25zvZ|U5Mq{0Of+#Cbj)ZKpJc?8Q77JeS6CB;$p zAH!MRAAWptf{1*EZZ22+g&M5SgqvSmU;*e}{V*TY>{qci74EBa2eQo`C7QlKDu~J% z$AM@=2Yyd9U8xd+IJm0#CA17E)%0BFN}yqHe_>EnetG37qVZ*7Q&&=Jx0b77+u5DI zQ8Fz|72#K3B8lImY5m>0f>-DWF=rZf^AgM)e#RjZf1&03?24)be9w5BqkQ8t=?&JR z(G)41N>9vx%w~E|k|*WtWBucx68Xna{xd22O1cs5RWW6jRZ`6#tm2J)z+Gj-OS7ID zYK`YKv$oy;G;oABTxfU@yvYe#deb!h^j<^kbaCAP{dQYjnN$T*5<5z&IpOZIR^@Av zeDjN$dXu+0mhzhuBgy8{o`tgc&^~7DFgp>Nv%F!1dONwoF7qbChZWA)H#4%^*9G^j zlEKXJZ|al;TDKiH_Z9b#z09{4p7`O|8M>6S(ef1lzcC*U@V|k!k$Gb>BhoZJH~RQL1A0n@P0_E{in-2?y{hw+RM0txy|Nmt z(C8FucmQiU!lpO=e#K53E3(0_3=_m*;8C^DV2Ey|Yb+&4)!tLKci=^yO9@N$jdQ;W z4gHyJ;NG9AC2Jbiiq-z;67Zf?bIJKdV)?!z*fs4NlegH{RI&;MQ|4}Zl6Z^_7l#%k zCsdRp`X$V!MwpEHs&PLGB!&NTPg3K#;0G|Y<4eZ zkfnNeiD<`xD%3IJ zo`#^#sy|$RE{fo{MxS5+Dp0q@8t2!&ZaqoRWlcn+v~#Yq4Ll3ws+q5$)MmqocEztstq?4OT}qkHw^nw8 zT;M=@We56&^DTfoYn93V|6QVKKa6;4m06P4{bI245m$u^`UrS1SuHvLE*@CE1;4b+ z2iGgYT4LLBydUn(7?zI>kYHz|N<{!o5vB~au$Uu?wz3#!MhXxfhwymdS$j6bSdJm|5DyAP zLrnAb@y@JB^aMlrJ`tIJvcqcrR?K#_pPNDwO3x z*2^Q+wvr*N`=(~K$GMYD=hY=$nMXzTz=7#%c`c|bAe2Y2D9sEeu{K;4br=IO1f?t_ zZ30mOxA%Z0-a(;8!?FKwn}54>@97}6Z7~@di#2)wTbf8(IZJ9VYP5=^>LlZ{Y9qLn z2`;Wm*Zk0Xg*u2_#-g+%dZSJ~kF$C|%=Er-`CY*%4}QD$;+cTrxpKX5NHj-Q)9@|; zLi`ZM*IC-kreovr;2UoH{0eYtI=~dy3sDzS5K^ zc=9-QM~l7s;xf2;RL;69c$5yg;ByjCEVS?nO~H5i+;YQ)12tmebzYn(BmQ9B(GNHV zSJ<{&tN55XY@c+G=1<_d1e=X}X1_E-^+=tl11Ys=hsCF(eBuSS-5yUKiU^s+=z$Be z;qaB2yRx)H9}S>e(p^L=(`YTni-0OlvQ3d?fprI8i-7a2m+W+*h0E5iE+DI)QBN{g zQWQXEK>>xoho8-BWmuRogo`?EsKu8H4uY9KDk~h6eZ<#5`9*7I`;#E3SMgHhR2`=3wAew1w%mvg>||2pgckA2MR+aZLIvN( zxg2nXy5->Vm`P>pnc)QL4VAUUYE1=et@@W=z@s>fUkt5Ty$kNj3am@@oT=z4sNAgh zx7Hf#)9F71Mv$>7FHZe%k|GwisMU(i$#c?`J0Y9acpH=Ve(-h3>Y&SZC#Kf8w)#DCE!$5xPYp#*J>Cuf}tbe03Qz z0y|D>{%$Unovr&q^C%|V#j zvMnq6Le1O|OQ{TS3JdwP`jAd;cjfBfn5cRZ01o0?MLLd&TfaE;!jPYBQxv_*YU*;$ zeX=dDLM|3|B{4SW6r;U8>)I>aw6-X@+Qyi9*xIwcanh<&cEN@mV>xm@gLPUa8Ybr< z$3dfzvoy6Q?#=06k15>8oy!^{~SsYN@C0~dtC+YqN%<_-^@eoAu$%g z?737Y!c3FA+|wcYxt2meuo|NyssH>PUX?I=hLs^yp&NEwKpRQ#F5A$u`GvT|6 z#k$l{jnK>ou1Kqr7e}(p?#B3F?)3sZZ(l|?yCXgf;ONE@6)JWFFs92?Kbg{m7}Z9C z4-qlHS%>pYL6piYDJ`C!QbPW+iArej$RyvKi1x?oUy2+oK&fdE^(QGxCgRe_GYjDc z$(6he521pwps#~)XQ@fdL$Wf{9QQy{8>_jgww3*7xUZ|JM`72L+2UjxLoLDt$0Aa{ zD>O{CDQm-$^fjdbjFN!sDa$+Dcy9N|aUCism@qrM7tdL0MgUHthdi3`n$VQ1u!yRh zp+~O)7CvgC8T1J78BkuPpy=eUan<&;hc}SAbT02(jf>8nNxQss9I||EFW^=%_Su^B zgK1;GAd^;s^$^^x;X%zMqq}>Fo|)$-GffwMUe(;?@v`oSgIm zSHt}tjhr4w8i%M0=Bncn^d{$A{vj`coY&Lgf&Q+T#)aVIee~i+EM5Lb|CYXjfe(dD z#hXZf2D1V&71#OyWAB}UL}}V>-L`FGw(Z&O*|u%lwl&+fZQHhO+ctN9-@hW(+Hp?A zxjwP?O-5B@R$Wy^nB&k<;C0nwcbTD6`mA-h~v6+Nq z*kIP1@I+4I`(`c16CNZsm|oFTr05dfW~rAws85|+jG?ShKSSrQuCajl)7xIIf|luG zSF}C7f<$xW6BeZeG4}qgpz{5(+zbhr_Y0^*p#r}uM3Lr!d$GW6|q7UK}I)X4-)gCbCc7jNH;Ew2*XZsKf^{w&3 z+QzpfTvq0%>jtHa29q4R?@Ciajp#Y={mTQa6B5vO%diXOfu7w9pMM=#!g`z>1GVDA z!z6b=Q%O!OGt96toMeCtT75!2kj>!uY8PNtq;FG%jfsRqt?Ej>M}&>l$9a_XyrqVL z#)*L=-Uv_RTBDrT7Up^s!*UC+>Nws7h^2_AUcxMvNpriNI+Qw-`ad+LQh-{Os8}aq zgsOKU7i-w*n7~Qz#DI)Kgh|zk(X1D;7ASP|DhZ3Bcga`oC*b%#{`d38LoqA-v@D$8 z`J>Bd!05Bci|O3~xtqPb=Yv+MTnj0*1p7NM;|HMIH`~|##b1Mt_uZBx9rWX2@hrWg zIqSP&HE*q=Bx?nru;DRys3ow`!sO9s!B=fR^Sw>v5AT7lZ?-K#yBf-5AWkdSEOYN) zt5w6K4Nn_t9>)pq{8g{R7va~j=fY};4+U(M7y?U^QH4@NlwuCgjN~EAvuA$la1m@v zL4_5V0`h-iwZxlMf)mP(9qQ}jD*s5x8fe(PyXU9tAu#4Ud48^pfa-#4lX#En5;PyWnf}EjDNWN zn6N6DezqBlF&({5j?)~w)unjGQ#{17g$W>JgmYJMYq4!byocte3ru`dN;oW;skVpt zZxXoZacP#w)y2pysj>h96~Qh0c?pLDyr$20GzmUh)qiZ_)miQSL7t zQir7aDrRJ_6Ub4Ri!T0;){q*c*s3upB6g-lHZ1GEOB9S>xq8Bk|40o9C2FZ;{KmSg zB_A$?R0f&o9`EHj_qm+OdvNkEi59t=4<~)`rk5up0XiL~GodH^(iNwzD`qmr?k&vo zTU;(3_*vy~4u6iyJxLrtpegMIsdIAzY=YSC$BCDe^sypitYJ;z)uaatDUDGW>o7e^ z$gk_Mk3ctt=nkM2#oM=%H7%qb7rC?^c~kk8TKDt2-pL`jfRa^`Wx1(I^SJ$)y%e4D zjXep`*UK;XxRFbz0wC4^&1eBr8_!2wlKtw@NQMgv3BZ|@yn?`8Pb)-eI{a8raog$8 zPlwV#MpD=j{T!>Oqivxw-add=l*Y=4jYOZzfDbj$2F%M?@J_&VsT6QioXa5v5mkly zmOZM`Ld;h?9n6huG*^<2BCg;|@_c@QPwUH)O#CQ5F`;HCh-*%Yr2qqzUGruIpLjMo z$(ZATOmD@M8fdpN2SdWnIZZ}!* zJm~P)7F)K6oE1*6O($9XxuwNU&A`PLpZh{5mBi-0g8+;(a56{-m8{v&`BTG zJmiwJJ#y!Ku#=+R9n;o#QA@0@r9SK91vr!P_w zSy7z<|#ozF**Lfw>{xQ&=|4_?4>MwENf*5D;n=X**A1h8@|0_>L3BU_FOmkSSrAAd7bYl&^q2F3ZQCuprmzKF z12aZ8l|=K+==Q4O&4vp_HW0M|P8m}%B9&pIMKLhzk3}+*vbu`dc#7HvtV7u7aZTY6 zOHW^AC!ZN+5M$VK5ZNsr%+n1XTQB<~?^AM(`7B_v4n^t}uFQN{Pp(!R~C%HeLHL1QHETRUL9;vvv zGF_`-bEYjRqmVV{*1cb^p&4_QSCHqKQaItEFCk?~BL!+6DofW;*%fCIJW!q!%KZmw zMg?BtsT=&+r^;&A{wf7%MsMj|UVqPZD17kY zz-M1FcSMNF2A`HrhN8cAm3;gMr3fhgf1(tDu>YVG#?(#``2Rafapp)!q;B#5f>L~e z{RgGkv9M?{mxlSwO+P8~2qa5b{~*bFV}SG;_P7k}aS|--|4XA*`nV(EpV6j@Brp9L zi@DrLYW-0A^uUIjjnH@Dw~Z%b)kJG_=Ps- zQFX9|)06FIcf_)3jw96S@bYW4^9H7CBu97o{<&FCawfa;dV0%8h!m97@kyJyatqd> zm$Vv{L1ppqyedV4H3G#!O}-cU9Ho_6< zm~Z!##r}$az~=L=o{BtP3H(b$Q%nrHbwMpU?q3F_j7T)|RT^gxqUXP3mF?41(Tv21 zulbbW>#U{hN-)bfFdp6e@r)kH%SF_h!|SG4nT!t;GkJ!_akP{^9hZAhzYiGTHB&JV z*J>sYAR-E3jS5s@ZBKE1VUPx=t4BXDyvVeMi@!+xBJn%|x{plUe86}C*7FFIHg75u z*dHeWBeCFfrA`Wl@Ol%C(>4rN)TWv(LUHf^>HhKI_UB}>xX_hG?w9It6*#KTy`Ir% z^W7unk;Ur@iYz=!r#BkpFPac;;z^S?HmT4xH4oy-wRY|obaKF7+^?_OH$kMYxC5O2 z<`^V*77xewlP{Jnm?h#);T@MUK zTu59jnFLb(eYJo#u1(>yKPRHlmH8=tRBMk0W|4Hr!prt=yL!bz;GCEJDT7?xRo0~u z5aRn3LBZh-8A9eCQ_CEWqre;33p2+Y;(R6IxKGcVj25arqLd)O_bk@La8x?QSQByW zQ}{8K`rw`efT+hEOvd(&s#3$jY4N2EsRS6nlQ7hp7$>AK=Fn_hfa6W7+XseolGCgT zEQ@VdnWmv_^Xt(E-C^lW3c2X1%u(nLul&r_tG<>_R%8*oI%Zcd3Wdm`DbKeQTEYw# zi4-w_BXK1f01lXea#>yaABla>PbAn|y(zy_Vc_LR6sgVXidJ`vQ>ZfA|Am_We+xBN zhm>ysf3Zli#2RA?U}@It1;i%8Ce2-;f8)(}twMe0Vap|DzDUad(#&7h>X$;9^Z()2~MGgbHH1fiq#kv?7vO zEyvDyHmMH0ZJZ2KsJSH=@`8RzdH&2DK`iUJR_~CJ3i2&C;s{2yyc(y1QKZCbF;NoS z___p%U$jOPor;!Y=8$o>6yuYzQF3Zy&dYX`<5D(JN^0Wkc-3_Y9Rd?$;ta5MROKYh z169-{R*^bt;$9*c*r>Wm#x<%*VB3&JBrbnllQKL#c-J_G8!P6n4K$wqg_=2i7w^s& zhMO<;HMT@OB{*iSv*`BRs+r4*W*bAdtyxvYvT8+shp9?2|H(zeCgQ8{m48CJ@0DNc zbP(~sC)b49lqod|Y)WX{nk;Qp54r-cUYx9`Lbf1rTB=Mcf1S|iLOSN@S zCZ;htFvqms{Ng>k(2VTMsz4~|2YP@uI$bvf$4aJWnE%D4K3saP9ldgU@+@-s_Ak_& z&S9S1X~zn~)+ul66yV}s{;+2g&D4kpns&0IU8wGr`T?88WnuOV%{&OW%>L>E(I#DL z70U9yb6(fGEP;D+ErLjZ>(ZGJsYcQbRn<1AO^3dT;nSI+$N}cj7#PfU(TH@QUz=RF z89Si%uPU)2spsMlO&rYR*l=yp*Abs@t^DDg_wrw;`CTPq^&d$g>&P^EF7`En`|0BL zmVY0w#suO;BA7l~d;DjhD)1Y6W45iAAg%?ikUb1!F-Bvgg0FGRPmdA9NYD zBkkWEI8!o)HIeJZB+0+DbKVc@{O_erkEXs-k~Xy0;{~6))F&nEa42Hy?jkZBq>6?Oqt(eb9Ry&E?lMSEaE~@3@I$LS?SQXIz z59XOi?&G!9pP_E1V5MC(j(i#E`F9)b_aF(!CDf1nbO^qHL%8*$eWw+`hrM zUj%=RLt_1^aWL|6@Y0Jajuv9MoCAUMX>dap&P>l)UjdOLd^htCl&GzM46ZdowsuA# z-bgvf*LBGjCH>8}AoDXhpw{n+^6(#8S#L0lwfABRM7@smke4L5O#oXe4JHIM7j1ad??IftSHJtqU(?3FFM+_d1>6; zOn>o4V7gR_;??NK-8t^9pD#p00*80|(*>pFZuw3Lfj%2xdgzJ=nfNkyiz-m;KG_@a zO0wusbjzQ&1Nebg{G75Li8rwUJ#a*8DEQ7pPz^E;o`pS(he^)oDb`2D`l|;NDD;K# zCu#?lP{0++DuSy#9m7C3(B#|5v*N$^;rT*^1}#XdrCw(e{&$hat@7-{BF1GDiMcHP z1M|bLqT@1wOSg}xQWAUA_C z8vRDTw&l*Io`oJ2@!UvajlkM&m5(nXY(pn?yl&w0ehCXZ72xX~ZW< zK+-}*TBjsxQWU&NKxpH^SO=c30Sl(lZ+%_Duu!!)U>qSQ02%w6%Q3@TU*;(bek99+ z2CW)YkO1GD7>ST2G!vF2SExGdI87E!QYk)oL+t z6dg{jH${rEWbte;RakJ*#-qBus}-K92|Z3SdGVvQil;GGKmU;zP)`&MX((D#rv*SI z9d3mC2kDIlVtajP0IFp5>q6+vfvJ!wis5YQEEj$}kW?-Oq90E3fx1qNTOEH{>6Kbu z(|D;&5Yk|y66LzT;QfqNJ!+|h^}zSnU;-W!3@$o0^}G`OcD`8yse{YuZ}5(BmHOu4 zSG7VSEvic#kv8qNY{jc+$9&J~$Z<}YL+`xuM2|{)o!sK|#O?BD<_Ir|D!$6hE@&I7OCY;w zoGT&+0G~E9y_sA)GQ4O1%UQo0#h{Q`s|OEqT_>7y&z+rL#5!On^>Snm>j}*D?V!@= z)6?pG{a`(;hxkG~DjAgngL263XH_!ARqrroL(}wkWbS*1GMmSC0SV+(y^=T(q+1}I z8LSv-;t7&D5fw$b~=dZOc!{3-4FzZe#o_EG-GaC1vGYJEf)8_;J%Zy3WkE?EK& zmSrW?zD}uM;2tt}6@?3886ng8=zI_WuQ=A9&kp`odE`ev^OEWh{gYp# zR?;Y7v|$1CyUNFKIit2`3`s6wOLwQ*&nZ#Dtje$NM+X>&*d~*hMbUet89L(*IUPh2 zD_3ac79emkbH@rPBnlZMlZIQd-6eXqn-y$q81n)Pxt=H%WmffKJ4ww^5T(eWyiRC zU&@UXq-~ltK%N*^OO+Q4d|0vSDz-`z)N78bLf%n2ZH1}+#~^SSs+5$9dP!^!m*S4b zGags$_ z8MEB+XKpy=D61e!C+$o}t1xCrqfO0de1YM%V=m9olg^vZm$-;-`PZNEkZz|j_oj7 zsnb$o;K=^qq8W9%@h@i@v+Vn60@Y626vX(VCa61KP_I*MP4B=|hz*q&NP*OlyM>i? zg>F}M3pqWrY|#O@k?4H`2sKAa=x=Jw^M2+2sb8*J+j2CJ$b9@kN9QpEN}n}ff@@?p zE`JpT#>LxY&o}-eiPSxvPw!}LiPb5d#kNBUT2s3(Gc-;{k;@#rO5TQ7<;hlFxXRM@ zp&Nq6gHjQP(ow$E;RDTn!~I_HP}Dz^N|hG26#mJE@FYRBB&bhq%g35&k8y1=kI@Ib zD>I}3SK#8Gt}ZpHBnz|eQUk`)J!Cq<9DzyYq;+&;k)1n}e^zUY8yfCg%C&m`p5AKa zX|~Gu@R`=>9es^!3hOTyJThc5;Jp0JB(`t1cG+R_ToD{+nyw+f5GQ|OIe*{W?Fyg` zfCSJRZn?T!RUU$Jv%`x;$2hqHwTWgn8)Pp@D zP+g;PCh;2Am{4v7wBQM+6OMpI>*PsX2M67ff0HdfcVNs5eQ=SSCru}KNYU_AS7($Fci&>gO|2Xm_#aB9(b(~Y`D?CH4*1*;; zOoAmBopoSy@@OExdLD&53>;s|kA`J2&-H8;WyP7)jc^n^b5z6v z>Oojx#}vwwxQO?8DpOflA*UulCzE0ZOUjRh!iO0&s;Flcg)I$8pPjtNv4Gk1BlsN2$#2u_~T2+ea^scwgjZVhZmB3uYJ zP8R9Vae18q%(!aOfN8p+xn9=ddE+W>ud6KG(o%p}-TGO4l5<$TRMr0E*ETMacx?4~ z*+wOZbiKSudQqXzz=o^ch_-p?hq}&1MN-}-)OSKj3s90>@hR1^DG~@5$DLiE%lhad z$^)(fV$_YS;6j#W0zl3{UMpF>8)yzMs;Q_1L}V<%3V>K%if#lj@KM~$HqkJ| z1^ECTV!TT_50T5iN+ZjcdtBh;Esb~6+XJkj@K8DX=VSyQ<@*4gn;cJIc-I2Ov(0q` zPRXMp&pr7$1qMM$5}I;?o|*aBt4u~17u=Tqy0VwMIrx6fe7AOSNu#{5IBEQL;cUOK z@F=(NEb|bHrlY>i)tFnqx?+|{VlUQ7)P_3BE8Rxw+;shF-;X8XSqXfaDpaYBN6(Xc zRgYBi$c!Z=SqUA!T$yR;$G)#8VpKB+?4juFKy1-`=p`#btFsvFDdX&fg(YHG5#EL( zv{=!Gt`v~F;LTOU=`q5*k?pms9o#h&n>#o7&i`x&kR*-a>eoIxnk(1ijkElnqxtUP z!cu=}p-cSuqxIR@YQfneb3s#AGTG0)q51CM!e)TjqDN`tvAj=pVJ}xwo9=dWmbgxR zu{=6mAuXP`RcCwxcRYtXiPOF4*-50Ms7%2uwAlkcR%c8U?09SM{m7v^p3^gj{39EO zaW$;UnbttEUi<9^fN_^qvc@YqjFn|sMW6YEI=sdFgb;NL>=Q3~%)lkZF!>0g z@=tuFsdbx#L%hqzQPHZYHP)mQ{PxB`bRFKLR3GF%xI*+1>28GEN66;v25}ZcSD^_r zYvfVUJ1eW3%TW$}S~^~H>*#yiq}0~G&*rz9{X0Lq!JL*Z>@dG5@pfKsY-^jx1 z_H1o^x0aUP!O6(FsA?~3o)3(8E_wWA%=$dZ4gw<|K37^+;jWr(8P~Za0F)6bUO;ADHc7v$$PM^RbJ)SI=^~BTVmTp6pnb!&{V*zP~H^6JSWpV)Ev0E11g5Ct501>kg*HUJll@2;r_>_{GC|!)>YoT ztj5g3J5>1+C%Q|nrCVFbq2v5+bu3R4X}jPfvXtB(F8|YgUh!<1nN4atBa8J3CJ~Uq z0+}Ex0p}0cs|XM9iBac6Z^N@fM{L^o$0w~ek((v^vx08_vVO%~9b71SR(@46Af*@X zMq0UUYG!_07H&K&cSnW|Z|Gwhj~Dh&L+m`uep0JNcpQ@L-7)!v5T?dVeP&MTIEcIY zmZJ0BHY)1{zksS3u3tREZQ_u^!_B&;TYchCr+DJ<8CCwGx|r!{&Zu3k)o`8LVBM?# zx1%y^7K5nGE#L zmj<%zZpB^uUQpRpUf=G;BW2i`b~7=^+8m3kp|40uT72O0$h-KG#|w?9jlb&D9J|qL zHv_vREuV2>-l-oLoUjI-IJBnEt2}k z5@r6TQ&V2)4NgfXXQRo(qf+6bS|c$eUAwkzj4ai3rxL`E$74Wfi2x2NaZGwcMJ#KghFA?q@=&-+|v z1QAAi^Q@DM43tb`1KdV?O17uh`I{fe&cn%cPn_}De~}2_OMb*Ts|^rN9WuKO7Xx~Q zKf=Aa;x2W;`xk||jy1eMoN!{H;f$3co>UI2!xh=KKyU;Dr!0)}b1DmtOfV73p*iUR znt_$!LqTdpUHm}gTOuSab|fx+jLB8P(G3*<=CFBBoJd=G+TfjTE5=ET!agi<#iJ2}p z8p903SDEuj-{spp@OioAP6Dp5FWzJP$26DS* zs5^7Dg}sz1&K%GVv!yy8<;B%D&8Oo+d)29Ui;@V+lOVnOuf-hBsp^&`sn z!ab6Hb-7Xqj`lPtnccA8{Hua$aoa{i^%3>8WF%$Rk&w8&P_dY% zt_?oEjecu2o=gK-b+k^bIUOqB{J8Leqsd1a4??qM8#%QoixjxF38`wSD1qRTW4K@K=wB|G&^9LLp|02Th_;GVI1 zW_m)y&13kRSnMi3-I3hohERz=>OjhP zEZ|7^zD?TWV1jE{c%BpVFO~8Il>=yhAYiF` zB5i>|or7``6H4fpsrXzsF$ERAO?;hT)JYirV2wN#NUTSu=;xqs#LC4@nY+0`>uvm| zh(P|6_Wg2{Dehl*p6-Sv*cSO( zp5##m-Dpinu!Y5{LR3UGr;-KLlA;kyC@@Gb9mWQ{b;r0wiq$Zbj1Zf3_1PKuzC6}B(-_XqRs z&NDCV0)FB6nZHMMJST2MXr#Kc2bXu!3f84(A!PzJ5Hw->Ppb=h^(pvv%TkhK1rT%F zr<@`w`eiz{LEG_Bsk3S@Xa%(`AN|W&7U6%c$!LLX;Q9^6%Wktu>>Rn|D@-=M*U}#w z0H7xUzK}1sdfed>H7wYc?r~%UESByqv&{?4Yi?slm>m0N{2gP=+tV@nnwE9JNO}*+ z)Q#bV<~%?scpD3|^eJp7?p@Y={E4k4)j|XDkmfSO?46yA_^)V^ z59B`v{vN;p(1Ga-lvnsMG;%FFM3X%lMm@=A+?K4rbdw(OD#!9j&dMDEeL-^U@5~Qm zJG+^Hk!0YYg7!BXW;P2Yjh2TDANu@)sb*KuGKUBd}m6J|Ry zMIq1!KP(g-3C&o5@An#JTVHZI9nac0GV!_fENUjQ#&ru$dYX-Nw!M(0lfXf4e8Ox>Ulj9$(I z2tXyCuFxU+yd3QZUH$@N*d+0&FcT+~dxMf61*U7|m)sXZd7&tU$JzZ$bD@V>BDZh* z-Rbl8wnujy`PM)q=R`=_R7$>9ASHPJaVdjA1@bu@xmOw^lHTtEl>jT)T8g3EpBL5j zc{-x1K|jL=W@uDT)-(t`YG|&!qH*i|n>pYdNMQV~Ib6b{QN1;s=*ULv#bSE%Xp!T- z$utU3AjVU@@ml%O+hS`eKpjtLh1~i<-_nFvPkH7pY}}iR##kL%{jmA&j*qEcGcnGa z058@nF^_(8HJIgt>Cb#OhR)2>%i%Xq*XOy+G%YVY z;QJ%%YUI4l^v=@hc>To`_S+KcM2@ZuT9f@PsM3r7nK%2l{p}{Zrk9I$xlP!)02y5l zqeGf!D|vVQ!kvLQ@2%#$bifus>xaeDb?H-Oa2uow-R}1B!8YCTyCZt_s`89jy5|JV zpVG61egrI&t2{URl~!5oFZS2J@Don5av%Vx$Ebft+Mv7Y)>R8lLm7d?M6o;YYL0s% z_Vq>-X+YxKb$=^Z%ld&FxACptq0uTc$XXrnUaNG-xirfs8QU6%EzQB4M#a$sOYl%_ zQ%`RMy?VN;1W$;2YYN+weDD=L0TkH-i^0TGK)@Mmc(vHJBaTD!)AxygQx4hFpQw-o z>oz_}864tA4#?KKrvt!jZK-N28o>1WW|`;;avLP=o*~|J?M3ksJVhYIAq1Qn{e1WB z8lBTDd?tco&;_3rOeDm)p=K$H(9&+jVu3sVR3z{aZi-aK|6P%!0OgwT)qN;yFZ zsuTh2Zv!iEi=4vZKFddUolhRe_5iE{Z;M!ZSeI$gyK{}C2hh9Y=i5@)f+wYB^=AfK zm~U_E;}7;$PGfE=-Zz%tDAdd%`8WhAMfFIS`rcQ9e%*0MxFxm<14}duZQzAusE0^R z zjR2U0O=;_8?2?zb9Rw;xr(873$g|5~Tn=HfXo`Pc_KQa}nw=A;2Del40=MgMRXV`i z5@kje+OC%h<`nQ7Redq@9qasd1(Vph495SfRaikKFMPPwnBzGdrYi6g7;8qjHMT_7 zw0;7_$D~|(^N6W&q`9ap_hQ_4S_u~qmYzs(g42kn`svq2& zbA{0o!$~~mAk1U|z?$teXbOBseN@5*Tn>{@GT@-hosN;5H-6d4*ms|=U;K5|t@&{c zykETZMY_R%!HMf=Zp%mfhWS8qbCjOTGzIiEru6&x9@axAl>N^JfQl{Vps8A&rQee# zr*pe^J)>U3R(M&&(vP=ub+5b4`qY54@qqgutMUl!%IEw??}n}DrT1C)KkC=`A&=gZ z<__l&s-KOm3K7aXM&1L##TAoQF+{>vS0$5`Qd>plcOvTpUM0>xo7N(WwB5Eow3`k^ z$OgZ$S=#fBT^25)(l+xKFRpCNw!*?!ln*PO%V0)P>6=>y}e%IlOrS^|M< zl&_z;Zcf35%(Km}pJ!~>oQ0_4>)FGj>M8N^$W`v`2Ug% z1*r@TKwhGf(N4354l~k#>k^VZxK9jXty|=&kkBtD<=s>TfkZOR7oyU@9PI1F$yY9>r~@ zf(1xZt7>1B5Q#aBqR2FAPwzxIU|wWfeOYsC0vxM5xoA)_G5JtT@Zwwt@K$tOLO8@o zd~kj#wE{3Fcc&Ut8@;a3yRE}}y0#KPQDJY+lbo)*g8S17+cje3B1BOSx_M|^e6_s^ zMweaJo@crEaU~6ZpT!cI?`9)(YEP1h>uru5c$rt9H;^ZJ%E(yKf6(}HCx&w6EJr`Px9JqK z5oqRnfGw!+k~#2B)zuhmaTNG~Uj!?=$rK`M-6!GMz6v@z6utEh8OI?{`cjii`Gohu z03fDS0jVePEu3+V1uem_q|-^BU9=XEq@NcL{%L__ib;LXb4zqN%zB+wP#cWDtyCky z5|>2{tGpJkRZ%H6MNfYO>6`KjKOERWt({ajSeI6F6#a2}1FtwcUrL%n`ivsqUo!ND ztpp%1lnl6)&qx>)wcB>nVe5@i$i*MGa8B7~NwRHdT~a9-U5Ty7=% zt>RLR61&akF}=94{$t(D*XGvmSRf-u1E*8aiaxXxjsx{Jw7ng~)QjQqXK3pWL9##a z;8u9!;-@RE;|MnMv{I1gPtZkbQsTX4lsr&>o4>_DD<0zXpj0XAojOr93qrM#G+8j` zV2y}Ee6bgvT4n4a);~j=hjRGnx20k%wFi@Wy=JS?srXps+YuY1dUVKaT$U73Hp`tF z_bYV-EqguJ!}f@Aq~+4TLv4*(1OIpi?1-sa4Ba}I1|3|iog->w(&ZAjld$wTEqf(( znrh;>JUI`e8d1EHNw7fw+~cTa19p_=(uh%{=F+CU8vZl%-l38pe*bb@d)c1jatf9A z0Yh|a9U)P};hGvmgn^_|gDR5qsmXvL$m!Mlx~?1Cidb`dbNV>|?gKsc2X}YLJL>>K zj)9zP+11OC;#4jBOil*{7P9X`3)917jg>Wqv)p~Z^6tP#Hk)lXQG@{=#`YB`a^B{JyJ{dk3to;Du|aWd~{W>Tz^4 zVG~5~3Wl#Edc6K@{G2aQk0jLZvwgAJM6yD{;800%fm{JeajU-?Z?j06a;KxQZAmV` zHH=apzx@nAg6q;)+yKgtGS6x*86FEY@M&N5ZmV@$=V{|yCCWNPsBv0f6^Xw0Qs+%% z8t+GB<|QFumpumhzrBG5*^$G@vq?k0Q-68xlAY)fXBWvdUf9hFhGl1)+w?ir09vCp zzSG#y%ySpNkBr-CrXo_a#>eIy`WY@2SMzNgN=p|~dMcMC@ybzx%xG4`BB+t&QpCTc zN3YyJWx784OUAz`-o@49I#OaQ*s{nN;jPbF{6>A7b^qN;Aq&TmK1n|`IiKn`SUR^O zRV2=8SpRwkx+TQ+o>UX(n`_V}&fpXiq0&Fm>j>orY?8DXVn(=sk>WqOflIq(+wvFt z?PU*ifv)a@NgOLW#ZVTQw#<2{a6iLL^xLcbJ{n^e@DM4{7 z1=pkylgVDcCMy9B1oi=_>dQx~0tA}kpo~5xWa3b9IqII5A|!pAfg+2jcFr1xsC-yq zpcu<81;Zs`L4SrTd7z#Nr5`1Hes%C%bA%3Ak^wzq;(-l=LIdJND1{Ut$7fm|g%`4B zuzn0_kvX*OPuyA+zIC+WXpEWvy9rb?kgvWur5`T?%MPFkpKmDsq>tylwEx-kLPu9> zG5gf@{PLfda6tq#)zakh(!v!5Nm$ex$|C2}*^3r>neH6aIh;b<^khY)#2t8dtSCS zwTOIBbnuBXl!%7%z|fyTaA=!-sT5pc_*!Ps2fv48>-*q3mT65fX z1N<0jgJbK!%|wYc?)gaAgw=%-EIP9?5bLWBi}Cud&Lc~`^8zf}^pOLtIMxuFwX5E< zlI*;ZA=E-%Z~&Cv=PV1|97{IGr2Mw==QN^hII;}hWlqy$Oie@WZ?zPHnKb4d!hO(* zoTe{II(D!6Wp3Q)$0^Zn5cj=CwnahZEZxb(LYOJ}WVYP3zW83La4qSisa7nSeweAf z>VkuQ+;3M3_HZZNjl!iMX{sJ)@RLA^hZ}B(3pZdOSnDsAEnM3n$f<<&MfTFa@cl8o ze@rGHoQY8SVQ~@2R%%$tmROCQU>Y22B}-nMHV~*@i|jTg z_WguuYuw;|+)6)BERgHaBo;g-ATLjGTxe)caZqG9Ms-+R(Ug;tABP)dpCw+j=5<_V z_?LECWi-KRN^KOyCbqe5E`r#_s1a1`OT5U`08XOlf%|qv7r+4~{Gh(!g*PE_jmBQx z{tULq?0L#ct?b+9jtr%W*|rA?3FZoX|3~Nksg6 zNo1R>TEAvNs5uR=W)V@z{K!aV-)~XasT^Y>eEC??X`SCmt3@@_WS?Djq0_uBm*|B* z=X)$kHe~tRyiP>wsbmz7WffjNzO%dVl~p6rXFmYYAuQQ6KgOgNtc3+e#CY9mh1E`X z%x|Un`F-7bVx4Dk>pEX6gspJBS4&f4;9?uJZ4v&RybYPVdF44U|KxYnl*j`WU+|gV za!pc)b-=k`X6SA!a`<6jg;>qa#^M4eV+|Pi7gW-aqm1NosvnLgBa6PAqUa2k zFxzbBT|x0G@!N$9)Q}L_R>bQcxa+af@1cDT)8Amvy?Ut_(QM4_lE}tx)oMQKm|8~E zc(-X8NhtNxW&+U`Fi6eMXhlYHRx{hBoFXGsj{#1i0VQOGILms4&%}m@fiR#vF<>F8 znqRjqx`Y5uA$@6}FOp%{2YQ7}?|6e->>T8W)U4yLx@?tsDKNKc3MhC8HcwhDkSOg% z0D%RLyEQU=fI|hIP}c83hW!YF_skOrDEhGD#k$ToeR|pc{^%a;Anl(B8U65l zz1ihB{PutDSZ zP!!@0$BZmTND;CtRilxJ3_=>og z6q1P2DQ07ZcOR&Xw!5^g4shysQnJqsIfCE3K0K~V&_H@Z+FJ(w($ zXWJa^ABuKe6>vZ*2}}(73#t8_cuPmjKKKS^Eyio9fpEA7M*mxhUU}QvnXm3P|JmE$ zupt4mU#TSOQ^5y@x0`U8s+Pr@s47hy6^lu@jF2<-(6TP-mWZ+;;Cd6yNMm zm)kROB+G|uwZB%`;QCMRIohg_dv$P)V z#Rpo{ALoVVr%P?7P^gr06=z$!4O-4#P~$0u7Hg_ql4i>c;;_eb%XD#Eob1bghrMB4 zmR>OD5`{U(1z9z)<{$(uwaG!WAq(9PvbFvdAnXz*-9O}}NH5;NBI?K@?8q#56M;~~ ze!CHB#g06V8oJ?W6G5|Pu|QdZK>#xJx0Htgrrq3ghU`hPS(&NiSi@or`Xj!%43SI zFm|&fM{Yb?2Ic}$wxh*?G|`)Y6PM(*6})MTOdGDf$jY+iZ>})&&g~{Y3QQ92qkqmu zQ&DybFvp5~qniCHpji39`8AK@lsZ&WD93njvwgm9H(ErXgEly3GodB>Ss?2TU{Ra} z+V*KjHE~5<^;$w|v#~%L)e~_KECS(r;J#4pKv=&_L@{U6Pz%oB`W_Rf2H1@rLh4R_ z)BJUpXsmb~XXHWJgQNGy*psT~9MHhvY|ZhBaYehnb%AIP$i54#y)m#wB}S$hjJOmO zpc?~{IE35`j8m~4q+t`SU+F-(R2z4Vyc*P?f#Ly`yIO#`rfp%ikOl7alu+bU{Rz&c zBj9y7QEH8{@m({~gy`f<-d*H@RQ~m?Z_Z=qzs>%l9Z!ra{;~8(nPwo6CB94C6$=uL zHaHVpuVbSq{;Twz*~`JbYJr2&#rx9cH=zE-h;f{nUV1T@-FY&PAyr4lD_*Y~=aQk|yZ5<=+K|^?H6Ax?}8$gq&yPLi2J0 zEz;ej3sEa`;P39%w0-*i0eHP0N`;^$4-T2;Ud9fXL&)o~&5M4w9|F@DYhu*SIBnw5 z?C^h)_fOHewB6S)8XGgVZ6`CfZOquojLjL_HfDUswr$(C?d&|y`+onuHr8tGYi*sa zlk1@Fsyet^tEw@s{_B0bj@K{$?lY3l9(@1+)GMP#orAJ1)c;iJa*sbe1&?WW0km_} zE2nOME3V7F{50BrddrIMjPGNAhj%sy#fUqRE%f;ay=`7Hjq?L+unE|Iol>{|)gBGAN(gN$VSNH1upl zc!a5E*!%ihN71%4eR@K#oKwu-4{aFBpEovvcFdn|_cY6#^A0;A$M8VWlHd90I)-Xt zBb|5LQ`q)%2^2P7)NaaJW<}2nXgOj2=M4= z7Z%;N@QRKZlJfGwsYT|fLFT~B5Bas3@8{!#Lpw#}|K;+O3}sgxKd>&M_+|SC`4uN@ z`SG-GSFgvRScmi`S;aj@PSD{FAoe*BvC5cjd4z=Q%sj)NkR+e`bIC>P9{3DWLWlI8 zNE37YMsz9^eV>vlsNxeTS*g@uj8kGf!kc`04`@?+a(JCXuw5@G$4){rJRrjL$61E& zeFqdrEW;g_M*b`5D5fq}_+XuL<|V5J;MfDYS&@_Of+3U$^gIjiHJMGdo@6pb6zlg) z#D%zfD3qE1q5iqMOM|vdeL@0?49(oy!Gv*};R=26KJKG_DPd=2a$nyh>`sJb81rC} z@gE9o&nB%9k@4w+F>WD(ef46=U7sTK{h*v&pSb0CzJ*$yR9&xuDftEtBII5J{ArI5 zwPWqf3O5hyh}ebwGR%7=>TJm|y*}`gDcV zoSQPAI)~M>9@L_qeqPi}uMt*23t2GcUfqytHL6*Viv3nHi%rB}HZsVgbsdzT*gH*X zs{F_h(w@nHAtm@M_cdECg;&3sf-&fJ55J?rF&N{Rgh^?pG3O}2-+Ur%!;e2ph0El5 z_nyOlqYSuLIHV787ChJ@GSLwmnG%a#w#0?@YMi|B;}!5nRAAH#n`db{>#*i}aFM`` zN`$UCqh)KmMXfAhy&uC*2^zz7xxP?_&Ir7AM~#o8PI*W}KQVQ6zJim9<9p(_i2jC^ z$jJ_SxBWG0R72}|EEIz9W2PiP9s#MReVtOg5wvo;D&Rcc0ck|V1qUtX+9L0%v?OEK zqb9j)3@J?Kl4B1NJL6P=8plRo*gkTIR{_l5xhjevB=?=+dYTt&RNs*peu8&XGSa^x zSz=&f#zNM?(?BzeP`}sa5axX`Y#PA<#+PuUvjdljmp8zA#Kz`v2<;DZRZzT=z>vQarUXJc<4D`U zjrh{M3-xCqdpJ4WqWo*U6Fp@C1R8gUZIrfCm7)yB$qEr^G8xogJ z@8ns?5Dm-o*CubI+VB8Ba@R5u&Mxc23yX_=r5SuJnW@;7~C`_M@z}dYtb=*}{ao5QKNwN^E z`d4Ow%*S>BdKkqU_Vw2T1o(rNTUsT7teW*uOsq#PIIZ1D!pv+9AtC@-nV(6hrS9*6 zKmh^gk1>wZ_Z{QOlh#10sj=A7J+VTq_9r>PjOo~#{n(eEH~-OY8<6|p3+G=qL--RL zi~t}QivhXX9xfiB?@iwEeQa7K{nh*jt|j#Vd-DuoiHHR&6n>20bi3nI(hMQx`L?>* zk9x5Hec43jDsJ65P@h@O9MB_6Q-eI2~NFeX^V4B_%9p}8{?l$QAS>8;;KJei@? zk{MfBkIBa81Rc}7G9zb&mG)5M71LXp&2xeJ8^&7E;I$Z?Eq^DO-6ZjBzUDUs+Fieg zl&4?IPm7&1T9{s0VfxtULor0-aWw1 zfElQ|L2TfJhM_+%|BvEwNg@O}k}a>qnElF4niC-GU;7jWXhWG`0m{Wp)F@iA6Ka5@ zpf9BcMyV^r5eQqDk6>mr{G8kFk+}OGSo!$>0#=s(|ALiy+T17+RXKn~ALy@`un0?2 z(N$6nQq3pdRB|G@$hYb% zh79IE+7G}*GKWxXx$>$MKBX?ogYp@(3n7;JUx4UN<-TXxhwHTXXX%H>BI5Nf*FjH<>@S(_ZaQUFGbfa64kDJqsI-qLOwBEoC=yp zhE|*}c>TjQmzJcIo@w&pQR;FCmB(t%3GIIWHGMQE;9u+64l)6_28DmPV#JEuSh?KA zZJch!^5@K*Jf6iUA_#1Gv9tPF2ABF{#3)7*Z%Dw!^An6mpA~`fXDnE`@cd>*T3OVC zW{&ThqvST1tp9s0c9h(|zekA`&m6O2XLZWuCvaeAS)$`sRm^-hYWWFEi^uyLaWjCy z_yAKJyig5$t|yMR7TXCTw^*%2IaQX`J_wV9@I zwY{=+Fm&-Lbh9nh(Zo)xV|cfTktxWH|Iy8%vTu>rm{v!i0#`2r$|oa>Yrp3^UX4ep z=G4O8cfky9=~cf$n+H_?$_hUYt+yX}4IcBi(r!L3Yi}xBIBxkMDAM zPbXzc4gDbgnf$<3Mij{j^I|EZNU5u+$Kv(yzP-k*1TIuF1IWH$|Cwo+{}xMt;j9o5 zqBCbVYToql%Jl|&#AvJ~2Lz`RJwGMIS>pT}*O?by;p9KX@;UCp!+EOJ@y>x4s8spV z{mGh!iD=q$;_u5|HZ8gCmEyMVQrTB_&Oh0Piy_h@O8#Uc#PPoWi%^Ht8B=X{+=yO& zWy~?)W5JOAi_3(*t18yehc~Mxg?=q+lx*!1YwZ%J@o(K|sMTZq#h^R6?I)FYDw70r z2AapwOuJ-6k@~#DWYIGPzyI{n0b9#E?775>d{ddzn`d*`SGC~m9M?ZBBwLBld zkxeb$d5IM9Z1IV7VN%k+GF5zaW03-XGG!HMoKewqQ|G}^wDQV`m%2VcSQxX% zo_?kL;|a9ura{0&l9Sq48=HGmkn|{QuZSrP3*}c6`D0{+PA|$})o{z`QjWT%*Jv8_ zRsYYKLT+yzI}WOz562&(H}I|6^mIl{cPSHjZyli*5qa{`Lpf^hL*H<#68gT@TYqi+xue<}U)knScrTXXEQkgFS)^*ckv=xW zg1^otQNHWVwHf{~Jx{TLRYP2}z;K}!Q#ek%T*3$u3K^a+Xkezl@wdMQHG20`#O*H- zV#X5BErwv?NBBxhWOH2H64x{lk9p8EKmCjts2q?F=67X&#E+hmYHc>?|Jo6)4(!OV zi7QY@s73)tG33XB1#7UQVlCq>$~jl-s5qHM9p>PS<$-!2rfBoea(OA*!cs>}o<>e% zq*^&8qZZA@QaYd*2p>MV*|KFe}3dbRLdP3dh@zp#@d>C7>o8m%=(#%(OR*)wTt znGaVcung{DN7{a|Uw}5SVl4rnDc*=Z(5x7V^PlBs`^~qBxA>HHNjN&gA87@mxR(my zN5<%Gsd4ulWc=Qy6mbcuA#S`waMEtKNhx)|337&plr$1ItP*zIVzo48@?xkDyPH3g zzrG8OG1p*R24ScWj?|?soe0maZZH`Spaf#b#U(HfTrzr(U{qK7;0K#eb>6!vg07u^ zDl8ddb>5HN{A7d0d+~QxeX|slYy+d$W{MCnR|KqMFbN*qHe%-J5 zbB=8SsxqW}QJBBc@_JvvlfWmWL`*zl>wm&K2#zE{4QNqvIxUjJ6w?&RFR4(f{H1*e zE)H|5xjVgTw$k2t5`o+W;HT|}i$vS^ykUw>C=h6}lme3Y9u|nxhjQW%7aj@sHAtzr z4*S_3M&>POhJt6wcziL-?)o)!^fc#ASbr)pCA$#Y5DoItQy7K;y%A=H62u*k#{EPJ z*bv)3r@FPQAm4E#UCQuH)-21O=&+8dTaTKbU09bmi+nZr#Qm37POQ7^iJGq_NTa(X zZ&sHqHviOTmgDRWvGtl0WW}_${a?It)$F5MRR6xlO6fK|k79q8-^_R;d3tdlM+m4` zFOujf6-9(lI8kc5paU^@nXI(X3FWkm;Jx99-C0;Z{#Hf>UyP?-_g9< zOQegF%&O(D1@3(hGQ@M}yeQ!Li=OP;iIQq=X~N3tKI!W~D>gc16bcot6G-5Aj^x(e zLz?o*qwbkeSKZ*ld$~&XR`difr?i4^hYhjz@drW`93Y!ptt1EiqnXVtm&Q96k#iIa z(NgZq4)D;sz3$eoo?guL>kf#@Ta>1K6||Z^oL_`W8D4=o{%9%)w4CA~78(kI4BE%2 zPRW*TI|2s?Xd^P@5s(j56C~Wf)yyXz$R3tCubBKOYSg4 zd5iwi(xh4iYDGDg~tn87K@ZYo&o<3E$uaFc?>wd z^=^k@5cWY@_#smEYAY7_<_t=2g=V~Z%^q6nHX#hGv!n!Ww=H!h$uQO=f+x|05?VO| zK63$-yN1D|?(6+a2Fwe_-nI}j0?Z9f1=Y>e7)&c~9sNOza2;k^om09n`&_wE1+1iT zR#K+|c~^MFLrFf&TJm@KL=fK*UO{P{l037!8AELTD@Y67Ca!)`B5VM6rNa(jqX?%c zcMeZit$gIHvGV%Y>#r2ifg2SyJ(Y#5U!5h%OFg$wqF!sa&eHy6FYM4uj(IEAyd3ap{rf@SR5`E?JKuWI&QkRNY$0nh0V z@2t@8=$->@&!&HnwtR)Wa`8wu{cm#Y!Y>fr21eV>#wP`iLUckV@t;cue^Em>9XA$d zBbDz)6bT@)jk`aj0rD*ncvxbSA+_(^B{lZ%;-M+AAJ60)%&4xP-Owy<$X~xR<#x>0 z+$=ulrgQGJcM^}vvM2SwY2`@9|2M6C`9HLBaV~1%7%up~w6cKCH?7QC)ljT7k6cJW znFKXE4^~*BB`vMLK%8*giy?U}soPBC2Mmg$HI+8;E%n|111kfKf5XZ}vjG}X8SyMC zxsIotHKKhibR0O@Mx}7_d9R(_ox$-SAvpX8Ex)D$sBl{|_%-EEMx-K3jgR9&gb_N5 zRJ6avaKgJ1&e&#L3))Ex<7SpiWAQB~YRJ7Mjz! zB|cabZprQ1MI4mG602hMseSWbXXWFk{$Atlq{Ix|Fw217v<;yKPzFuh)(L6hM;-}e zW9>^WZi1!!6>|AYj}_*C8s6aZ23OHd*~y%vc^Gk<`c=dfSK<&)Y~lo2q(;&Us*H`l zN!LaD6Mw9 zyV)sgEMJnvg!5WlRCZkZ`L`4cS*04XtK528KGtH`Uo84p#+{6l!<67?ABw^sXgDE) zW;wbSZR#CUuo}bW$gk7di;%pw{k>qc>Fqk37E(;Ac#6(Y=zm2O$N4h2tkCaBE?dJS z(@+IpxxJ5|SY#g}YOXzJnOL|YdJNgnQ+%FR9j$MUJ_60QCk#>xG}$&$*rKDw!yopc zD|t2LVF_p8w)tl+;meN{s2YQfc&Bby>rHv59sy#d5I6*3Ji)Pse*;>I81b9tk8&7n98sO*nEmVC?s|V$CD(EiQ+Z@l+Nnv z_OQZNyuAU>VkE+Fw)9Ao-F<=Nvqp^OVa2f_JR^rM5b5(i&LM^J^NZ9stMrh#2PjP z0ows!%pa>3*!n9s+ZljzuU*@wkR2d>hqXH(chRWylWbfdcVdlSATlTwl0wgA*?8NbERN_0#>l2RqQ>MJJ*kY8*CP_BduDTAvU4(KrIS`nd zCF7%i!k+s$2pFs|m_kqJSBzwpVP0m{V-@k;M$ing8S=X2CB3(y_^k{O$Eu^SL*A}F z=9VygIBn;Pt;0%TnQCN3XUMII(~oR@dSi)>`E3~GgLqbPJC$>-2uEcHsv}iCo9g~zX=7k&C3op)ua+&0>fkc@ob~E?Ub0s$rZtH= z!YTOg&y{*Nl|KEtYs8Kd`h{NoAHB&U~c;<`EA)M)?#?pa%%6v0r^i=px~_L35DHMw)zcBkd7tWCh>4xE%W zw?8KOl2w>Y@EzEEwCaj|4>5e8SLY+&V9Pcy+w1}T#Yge=hjXjA&26I5Uv72Z$mT^- zwHQBm`llntL_*(W(CYQn2)~zCWk5KwZ`sePpCXI-T!|Zl)S}YWSPCMm+Yi3HkfykZ z!bO%uvGaR%X$}D}q>LC92T0Asz|@e;!6{y5Xu-%sYalM9`$iHU8+<)2==ESXVMNqw z0xIN!;ce-kO^qbvEA5N$tI17vHQ|1iB#<6myHTxeNwus2C@S^IrV_4+fmj;1nlGmA zhxFq|eQnIfYPWmL@iaX@m>VD&lW-&qQ)LA07}rkwg4UumbblBktG%i-B?kz0Q8R&+ z720Cce3_F5r!TC5d0jsa-sWK&K|%cB1qD6Bs)N&w(SJsBh4ZgBOB@cwRAzW{`n|Pr z>Rk!V^y@ql~RgT5LKO5oNCvc0ejTkDvqTR&uN)(Gca?T z?s@p+nddkHQ<$`_8Y{Sy7)E>Gv8|qWp;YV7pO9ddS(S)@H!ZI>RZ*R+Eu)sk$4|NBv z6ZK@Xq-%mUzm(I6;gp*(i4S0Dm|)VyW!Igq^f#mG*jy#~WfP!rKe&D!?D`O3@;5wk z?4TQNo#ZM9z!J|)<=XmjY{6yOqhG66)^g_9F26~QzwAIX`;SqIjm4Y5)*r3btUY8T zLFYf{s+iPos*m`aF@Eg1id+)WV2*p)~%GR;Qg0X#;-7S z^^v|))9!DiwpEqy>7kM`0a>C*hYwZ26dGw%)uj2O-3zQy_T-8G>I7=kAm8xxW{yE@ zbLhh8Dls5&EG+c+ULBJcaO(N;iHIA-z~PFld`s^ILqK&eJ9PZmu&s)v;(9CtH_ z%yknu_GRy;F84hH8$+NDtr-zvOvSAqYtks4am;hVV{r7C+UO>9XrsO$)msk7L)%EB zxuc1ZF2XY4Q*MJ(XtPl7hZnX8FV%tKHBAQv5en8>1C-;EG1N2pr+dFv*@)X2%rhtgRHk%B+_;xDKp8d z^%Vd4B5VpOy^KkA0ZsI*tj5#x$eg%ZTQi*QnD9yLJeBW@;fwyKID$HTZ7O)NbSVM8M5spk1_E} z@gRYHM{`TI3~Z$B!l|bb8KnJw#T@pvQaJ^``NRE1)^y%*CYWo;tZt3nqv(t{ng3w( z3wB-I{w~2Ql1JY`v&$kXh1%2#ZAaJR3+vsWhauN$Z$pUBV1$qL3XnLjOmOoVXj-(J z$c+8$s|POigg_dVbqllQXd_&Cp7G=tR1zNrBVbn<*bLx@AOu9P5m}vVg*xgO8Bh(r zmSG&ssd!E+$vEBS`pAOP1(AupI_(#FWf zm_*D^6qkti(-BRn*v}Y;Z%8_+Ny>XIq_&Ed@BR?EdvY|lDO<0kYFzlnRoc^}^^B5+ zy$2&SaT}(7GIEHXH!YQy{a!#T$FNL}n6;ewkyTaRXPe)3bb6UYe9LWq)spV%>HkSP z%w?MzeNR<7uoJ=h)QjmACoH)2{MKeij%jo?wEPCSuVk0I>{-*;N-!0K;2v<;QW{(3 z?M^>e>ySOBD5bBVZWqO~M6%n`O!Xk9`+L6uVz*)Zq~ER>^U>V$wtkAFjICDd-^F#x zlIbNUiaOrJR_u2&O%tt-WQ*z+uDIA45|h?!oyC5g7+)DhPS6aQS#roH&PsXQ1ol4* z7dm@{nt56sFcux`t;w4H*#Hr9bY>~l; z=+eFPACak%gd5)fh`YetCoWGej(E8N_@)6}M;Oju!I&UUv@lW&cw4EXoa~U;i5#Lv zAhFo4!JSx*6YzcXmVcJ1GgJSS_!t@Co;4k(;#VEL+WrP4ZZfm$)d8c`dkOtyhUT5Y z8r-zFbxHK_Ryu$PRj|aZl8k8rjt<4EN{L$9XL@_TVed!p`GEr(dugad>!E~g2bLcqG?`#Mw4gY}>kBWZrNrjX_|T6EP91UY8N` zPlk-w%;|)%PvPcFD!tYOXn}|Veh4_$hzS{I1s2Kd+VUArt-0D?eJ3r7SFrGE)gsyyPXfy47E=w_2QQ8CBQ4aBN>xG0MxMhLp2y)vL*YugvWhB)QeIzZ^f%7^*pD7dnZe>Plq9js5 z*PLs#LfZyn`RJ7-MM$ZZQ*NJXBj|l%74H)IQz(BB2NV?q8r4h)uo#};5Y5Dw+McK7 zFICsirSDnF`QL_gf7ub>{89qrZ^HB|d#~lB{|h9M5`b^z#VNo|z7YOJ*FiN(?ys`8 z(H|RXfJXrgY$`9$dI1Wo-36Twr9ambTySAHv6bk1mLt$lUVR2sFd3kW(UZfnA!NAP z4t<#jm`<<53Z63${>NttcT|hU#F_z&J=jU~iH|Y@O`tVX|eforyS9h&?^V{h@R%!}QleGWtbs!`+E? z(D~<&7^DFha&PQ`TjUEBi|3qeMCGqLf|#5f2`rbqQ*F()xby@mwQ%K^R>3e+OwC}7 zIjcfBl&hf-BMRfN)qS`ihf+zI5;X3(;>>&79AGoCGS_Ta7FlLgF0%~d5*|{rBnMro zqGTZ(siJnJKckkg;hfYfJCsKN8kz|S2X(byvHMlV z;p~Ui#!_1-G4K$KL)8*|(h-Ovnt%=BVzS~*piGym^<>poqd#LzT?eUKwUBkAopMIe zV@yK_f)3idK`w4Bp&|MfVVPrE&j@Pa7`t(>)M_~E^3_9wGO>)lfX!{8;Y2)bF690K zJF5B^6&fh#`G!sTeXR=NA)?<6cV)lHw5SH!7A!~hHcz7{`@0sXHww*qciJVeclRyP zF4w;VsQy%n84xmn!D#_4D@3mha1n0w_6tQYwnxt`3HF`4-4EHdF|FIcHF2vn>)Z_D zrS3$gf}JG~-~9pNn@cqiLlL-iwpvgJFbLk2C*dW}pi<}@&0od2;VGXD|Bi-URz<01 zL21Ss{E?gKnFSDQkKY>LxVWvVla+R?t`kkVt5*O1n+&TOa+71S050;_X8+m@vEWBm zR*j-5VRapqo5nk1Q5tQ4cwMzr)NrQ(2tUw7UCR zD&8sj(Wk5CeTdhAmh8W6fzWkUA&=Ug649uZ;W0BhhE6Hl7z7(+tISo67cy36V(Vl@ zI;b)KpOEq~{SDMQ*<$&l7Ss}p?<2Mmjcmtw*!s1WMHSk9nxZGKW!0K_mGlx!eZ_h) z_1n^gi;Brs2tC z#Rcb47B|&_@bTi~vk~X6@xPU}+=+`F#u7Tyo2#GALmyxGrN|WBBkvAnYgGOn(uY*+ zUyp6Ja5sd~aF)_A_A|MxQZ#Z4RehH_Zs?Q9a&v8svi{2vPWRfts7Y7jx9a z)UhJO+sTW`2F@K+MO*rsj%9T-)3wtf120`{`cw^Xr z3{+A9?fj8RF2NN$`e0xUU_dKv2NnlIR)5sn5!2XPfK`-VgA)XfX~gX&h>vdXF(E0K5!jpC=7k5p46yl*6hm#O@BAvGqIk6 zp96`;Ee=>Xwb1=$Wd$FLS<1*55r7$rRY!IDrBUwgGk=-l-Yg<%K4DqMc|gLhaxB%< zc;dmZsZ=|>bdt>N5W|b=?PZn?`%Sb)l@vlf(+05D_t)l8Ng9N}zx`ix;9BSZk2&xn z{a<)0n}Y<(^@}|Hyn(he!WQT`AW6T_ z{!6Z~C-wMDBAx(t;~X~YVJ60aWgoQ2g{BOhSgZHrjvPWPI+I>Iv{Iw5c>>UB+u;W( ztEjEevLdCRK{(_p-9&jUZz5K5PeRz8CDv)?%xCXi@zogHOc{Y{S?a^7mUgo zqhA*CE;Wq^96KIe5Ooz`XxU%aGWXj&p@jiM=9<(iZ1~f2C^=uX#ZRX}ggM!kFjeW^ z#5X6TLFkBg%|X`wXIe2e(bf_P{`tgs2R!Cpy0s00LOm&9o`%0Q4nJP*J7CZTDSPIh zILh2AvglK)A36{h?Qb;=3(e~l5~VKnBR*QbR-iZqu~>UIwm{+uAv>q|UldnWEYOPD zNVU&hdn^&CX!R0ANz*Y($#LO(GM{DM;oe?O$Z})fA(}Ey$pQCSM!~G|{xmg@+A~7R zO_NX@^Sz9IPmN!>Gg*0a)%N&kk;vBY$U0F-c<@L(B!L!kJg$bnCi@*ki8;1#j3OSf z8(^p*p!{wOO6B4DDzORf!*~}e7GN%fqy|H{8F^%G2rks%8$t51AcfT89OxP4Wq{aI zW!c8MbMU=Z>u#d~`NYk*0ysY@D$C-g(o{d>eB$4DM0v?mX9npK?)OJ+WK3W)Xe42< z+uS+A4f>!Q{V@S)_P{rG(R;aF%ws_Nj;RekA|lOX#62?|QQNKLF2wP7A5GgH7Gai_ zok7%dky$t2^F}SgUtTbgo2{=6mVN?zUVvc>c>zHUCG-^*Y8ux=wQ>(9_Yn(2=xWl$ ztwG*DX}BvjT|RT$6`^y9R5Ap)%ef=`a5=VE>1UWNX-~c=Q~l2Q5>8UQ#3|oD5pgsP z(W6;+Z;IF>~sgIv(g|O9Wv9%9lf<$_*m#3SFe{7iXFTyPm_M< zJLvp1Tm6n_UP5sE=-6RivZY=+N;<21*Ftqw=9|QP{+t+vN-8 z)7DLp<|6(-1jTJ?7|q~^L9Wro7wS9aj+)S8&DW&EA5U3@8uwKye=bdSwRURe-8t|Y z*Cq79m)Os~4L6Sd2nCgDp1Od3WwC~gM{ut&UZ-&o8|p2AiOZ!K;6)8>jqZcM)h6pX zg4SnG@0o2t+c>`zm<@W?6vwpk-7mTgNOl}pz@$QGn>K3ZLlJ(`#2lQe9pyF+zAZ+? zvWZ0@qg%%E)ek0LCf?My{C!{S^}S13ANh0m^uM@-!!WQJDx&J)LNGG@n3Z^N&BjL< znLi{0=bv?kP;mcb+@-e(RYtShk~N<4?Ckh_Xm-!OETKwgT22^Z+{BKpaDTJo9bE&= zGe@^WyW7m=?23R6kc+WrpX2k9OSXEC*0K4c6GVG|%>9^S2S@PNDa!SZ`f-Xcq1rj= zZ!bVe)yD?2X!=?_rH9=Ky&SWJ^9hL!bDFrwepWz}*Pq3XZu$JIlJ|=CpQJ0ns*b=1 zb6Js7RSWK=AGq3ido~T7;Db9Re|)0VMsU@_GRLQSzA=RDtu2T#$I$JrjSeyp+;U?k z4#oMejGVwvG2+IK*Xn2W>U9eY$#yc;&3xt9b+6JmpOL)A|L0-Ky|e#2nDWFckr{H; z@g&L%xlfK?_#+&TTcXgZJBx*+_TOLfg~J>t`pRKjZ=ZL~qU&IbN~Q686Z=~x2s9PN zO8XlmIZ~0I#5e-Z#UR)O*M6#2sz-vTOgIS-6;OUS34ym(45Pkc&lRc*F@Zg^*(Rqo zD#B))j~NJwfAm>T96-MNVfT|-kd?iHJEd_49V5$QbEp)Jt}SP(#xTkcd(lrhHCL1jwXCumzMkth1mq5e!NM9;WGv1)`6NneqYE01F2<9Iz6c z1cpV7_Gd-@$IKVT6;rUv;aL=!ypR>rxsAVmzR4+k>`zC9Qs_=8e<+tIUmJmq+;T9M z0h0R>`;J-P?T%o%w<&0j^sJ>wj8RIt517GFtB0XvG zuTBrPiPMdCLr1KEf!L3>>eJA(x(MWd7u9GY4?H1%Nuas_160MU`XppAT03SjhQPBG zIA)ABY8(*7?Ab_7H#&wEY(_9u9uz6*kD0;@27D7i{?Md zM_&K`V#?nKp#pN*zHfj9RzVLTcynsn^Gm0#h0?`rZ&Sg%Y5R;(jAz_&* z#4M$(4grtq+w7v*lqU#VBxhZ5OWPSg^vkC{`3FMwmx5~Bm|nuer55wK)20+^Mc5TtFVrVYdDAY1pY%iFkP&W$8%*@w$?q36f%lLcVt^cq|? z8W87o_umz)KD)f;Q(5!vcpg;huAvpg07EJ09}e?yF+Qt4ZtGy5V8P z@}%m(;l1JY*%I(#LG@-Xn}yD&rSo2gUt_y?oI-Xp##JDXKGi!B6Le+1ak&@5mWZeZ z`9%|^lg?uF9{bcco;to|$*5OG|3~ejD3U_dN`H8# zK-~R0^$+HMrSYEll+y7^YJH|^cu-V&ls`Srn4e$(ew8ZFxHO%YGKN#Q}Ee~(;_tNaLWDZ z^&{4lqb*`d%ID>eW{#aw#3-)5kz*DkR<3WzIKNhqx4z>$EwKq`=B-@bO-~s*DDG-nR-N5uR^y!(~ z@PV-|yKHD@Xd7n!*}P3t1lat+0y`C@a~Nv}7GGFrrvxcOo-4&PlYG~rNek5rCNFa< zP=`J!I2U3SkW#{KH&zA|wntO0E<*a>ikW-r--;P6hN7?t2B-Nj#e8A%<`^gF?M#(* zsEt0Sf_9YbMs{>7HJtdEakYv=w(yT^z})@BiM!F10@jZ@cHmu!ksG5}pM4fz-9>2v zI4}MI9Kgi**3~Xs{Lnu&GrJd^7{fPazr4eF>Vcg*yVO(B0+YPLS$ej@v@+fIT`(tV zRGrK<)r^KS-%u^B2mP+k^sSS34I}6U$|wS-+i=pwe8A3`Z9_ad4*L>pbvR`#?@t@j z&aO>4f_N(%(EfBD)&8SLH1O%cWyGMga?iiPaUG zxDrTP9@S{C4HER5xp$J)F@>c0?Gyi{sRytu<(P$dDoW8i#$P?T#5?_5{eUiK7&8y8(N(smOTkcm2k7yDIZ#TrnSjP@CQ%4Dv(3n zc1~X@!E!lFxoA)`X7t`(z-sDixI>Z2QC=pCD)PZ8^ZkEQmA|8vHzFL&OhsjwWz_~t zwR6(Sah*+73OmBV%ubWe!hdQM{-*^hFL>bB>R7o9>CEI_>HNkhK`Z4*CZwryPy}a5?2_cFw#~aeOX+gV*c2iP>kG?pEB7u#^9pX>yNFF7!{L~kHnZRw|24ljI!q*oi z>KCW$D?f>OwiuRzY+8GP@1Z<+aY~-F4C@+)P3NgU+X0{PxtjSCD<0bMNw#9!&99_J zA{K!vgqPF#37-WzD=B2?7TNrP>d8Nu0MV;K&gVTxi;~ZrFSD*pt_z;>$+tSeZ{X_n zV%Wv<;!X*C>x-Sc7b_d#HuQ!nHztRx##IPG<9%8t${NE@Hc@sVI9wy4W@Sr>}*)|Tr>27VO$iNsGllSE=q4ABl#Ojq|E$>zC+Z`OhXC@gDEMgF^;{HS&bYcMwzg*OiDPZ6S9no@9X3)^t2&eJmKVwmnxAGZ%PJpYivSrJ zND@A;EQcP1D$M8}urC6mmXRDdtC*YSe>@PsGfsj5Z===cF`g&2L|XY*#k|mA3D>i^ zQnEbDq*UWU@-Fx+O{9poI;Dxv(EIi#raleGo-Td-7<&(*q0CxPKQlv(wS zsmrEKy8DT<1~jT-aV+zdu^_-!vY7s)PO%&8v+c|hzJf!v`bq252cq!sE>npE-Ztyt~{`T}*a?e=Qgu5>t z_SG|x$?Bz{V=90}&$zRcL8-w}6*mG#z6>ZGAvrimk@136+vEQKGwWsQa^%_wUOsKWs!$Q1X zg=1$>MmQJTB&ag*8z1JlHJREMR9#EnL$j0YRG>9Wz)6-q)CLl0qjS3j;t9EA2NlR3;I@F=GZWg5zHsl)lRe{>(gr zDdy38aDo7xN-+$Cb{*u~ZPilNX>j6b=LA^eJqTs;fEQBg98z8vp-kKYog&wR1zRs= zODRexX6LF9ODLlx=2_Cw`Kd<8UXo6F!v@~e0-H&xzoPOC^d^G_%ra2)$!n?>Y*&OpDW^`;FHoXl zD)dL8fzaL0@{;gjN?;_T`v!SL5=*gD$R7X{eJaf_$rsY=8mPyIJ~YON)_;Ys43~$> zJ~jno;m(*1>TViKq3QV@j0Lk2)M`vRsz|x`dBIW-lK(vFWtzq?YV?^gD3UiS8J;+U zm#P&7g(zd;<`%KN`h`09-;^w}so94K9{4ABZrPZ*|25G+RYWQQXtfF%em zkEZk>0t)u@D@rrr)hvqnf|zkVon5T}lx})49i}l+D~fo!mr>5`QXpw_<}Z3OOWL>i zoNhYG47xY#Odmr&k$BKefX2q;xKl+nk=U#yx&Hlvi#FAu2sUj#?hCXn%Fx5+`pilc zQnA8?$ny4RxYB*#W6&qcmpm4B)FilXM}kGEHotXoFQyt$aTZx1(g;KsWqPwZt=1fm zX+9$-H&FsRxw_9H8E0K!80@{092!5Sg@{=A4yxJtJ=HLf^MsP^#}Q%>Z@S2?700e_{qQdSR%Gn zJqS{U!~fs(7dFz+-QZD zr=x-EzobSApTiJGuz;-K0z=g_L5(ckE06HyAx3fU3xDn@Hr@Ehj{B7pd#C2*kAh>O zIt}&|hw)$BPQpq3k2*=pV>#gE^Od0IyG)a-Lu#L-l6t%qp{m z2e&Q6cVdc5WCM2ske!IgT|x*B8sXbm{np3w_h2gf{FePG>7l5|`e{knWIv{Sc;wIt z3ps(Zle-506RMoq_D`tt^3Ixy8sAORTAv*dW6OoRZ+o8Dgp)jiFAq{V9dJ>YS|Sez zHXB(MkQf%sQ01k(+_DPwxjs zlRRi7t%|8hm&p50Mhr${1ZKZe%6Ux@Rgl*S%`hcm`#ua)6o%q{8 zRNu$b=gC<_S0=~lT%*WBQ0j6~o_PX0(!^a8zrpa2djgKsVj+BMge@us_8$jDh8pk@ zT(6JCBu3Uf0(+RTnG@N|=nTx?mNEm|fwh%sxJp^Vcd!0gDvw=b^OiN_Bp}L9nEEp-;VU?Oj)X%5hWxfo(1lRUbzTTc6tNvf? z-DObK?c4Bskdj71y1Tne1nH3O?oR3MM!LJZ8%0{WyBq25*bDT^f85voJbU)5{bnsj z){L*1`JLSEEU^ecH8d}@nl-+=MyX4d_XOn(G9aF^`?C#*Bb#%cL%YyMn4#x9B47hjL` zXph#EqjB{X164j!^Hciz`}xd?f6%%) zFel-UKW3^r<&VeNK!4lDn=i#v>m|v{6 z9|%&>zNUwyd?-fiZ_Ch(Mf08s`oy$Fy5`H_Q>LbXBss14KelS%jzLO!c3Bos-X&aP2#8q3yZfu$2s);T$l^3f(+H+>jyac?|7j2dB&0rP>6=?gvp6*k93R z0x#6Rz3$(mI+NeRfTdb|Ywdz(V}j2@?-LbSG6V4TavSgxcJQ^L%D<6e3#N+|GuJ7e znN1>@dlk_D3FV{4(|5qQzINI znU!49gpd@B@e`x0#Ojp4!0tR*laF+9Bpj7P_8ewGKZF4z(Om_s9=dv5N2l_t$8^WD zkF6>bMvrxw_CCd?)PY?*A<6S|q*P6xvB-u79aPF~pLY2OFOUl6GPDRoqgbYUUA?Yd zbe#VBPV)hym=cE2 zIN@RC3o{+HV4C`?zIVeB!Kt^+06@GIJ~UnBF4+pY^2lzaunjD7$8e@zy;+d20hGZ~DDeU;yQ9=HPa)&v(?BwunLHsCc6leD8}nC(?Z4o4tpc zQU;4ZJY}K12cs_@cvE-G#e=2m(3jI&lJqKG@i%WU)2dkN`NGj^8?#yJS)7uKdU4H> zt}^EyJ~d;@H{Z8EG};X(N`}swy?Dyf)tn~w5en7Kt+`H=G&-uW^+owBE6BMFUVBJ` z-~wbYnR@d@B`CZ!t3V}_ciix9ORRCsZE42;|#5UAsG#<06UwFyq|x z>W1Jp8@&S+k;sJ^!g2(BrfVy{7k$z32C6&NGvsKHsgCWNPi!re`Hz?p&>6E(3lIVN z6kKaC+w>9%n_mpLb@lCHD;+!6EmUL%c=BPn%jl^VmQ)ksKGgDg##pS8+YzqiUQ|9`9eUs`3r zuE=Xpjc&}WW8kin zk!zLn8$5w*NH=ju*WVQWaT7uX(>_{JyeTfdSl^6JMne^#XX_NQG z`#~FN6>5yEv)E79=I2*xi(g~}+#wFQ6>Tl0MtGsChA{I8Q$N(Qxkhejp!v|+knLc4 zc%Tk}>h3?FxjY4`LBS!r&`v2_tv8O~TaIukqMX*!4(qEZ4B4`aLc;7pl|^nlzvn__ z&0YF#8rCxPt|g8=73~nY14lw4?b1oFR#3t8`DO1=nVRIOTKYrP{-DvI&1PIKC3*dB z^7?lpZhS#8XO=M6sqcmt8`X488v~~!9)!uvRmv|f@P30?C@NcK?*#k3U1wQloUH>x zvx|*t%<2yd8EsS?dX4o*JB!rf-k(JFVkDMuGGbxGl}Q<7FIsI>3DTHuR2|0k-?nHX z(3TV2qJ529kVnaH?p8Y?jBpx=g+(_WT0fe%4k`X?xI3 zt96Te$ZtCH*2$tjoQ!kNjO!q{+=z|8l^$Z!-<#+Ca*?a&=J}vJ{^F&1egrhne5fzY z^G7P@kI&6B-*fX!R(G25+&uF=H_v>3G|z1RQ}ayY4jIRJKoC@)(+APn9+^DMbc!00 z5@4TL{U@B+8K#RJ1b{OW1wF;S;LNm9QsagyEb2^=Yuko%P7QD@-v+a`-TPJh?fQSP zoU-`z1!^@Wo`X`u6IJuLfUMCtSW(EYR?F0a2Fu`kcI$-se&>LcNbHn)E}mJ}F2oqb zclpUNE`4D2b)m|(G6!@yox}3pbIwJO(Ar&RWWN;8DL;#6ts*9VmZWmcwLgmI!hcje zpMB&g01N-5b$ZN4t8+R-(vQxaXBI+;HNB3zeve;J=sW7dE_DShh`qoC0PR`*@*9md z#9b5izHyyb%x)(aIRQLJ}_G zEho?)bfh>?+B1ow8GRi)#hK^S>(fD-Xrbc7H>v~3=AgDZqOzWnym>~#!#@2VVa7V; z%z+O(C;Bfs4kD@086>Qp#8(x7K8i~aBar2Ri~lN~@6N6eJ=kW))9YHh>cU2m}hj*QJ9>MLr04*vn}*Dkk9o{G&xL!eo`rJ3^8G~gduoP6!hyMSUdh#hiGmrUxzHy%)y2r@7fGFk8-p%~ubib+qU z-B%NJMTht0`g@6j{Yh(}$x$GJ^1Og(HtvbLLw7vr35-lyhI{7P#?xNB2<1uDSvDr( zwT!s~58yszmn-p-O0v!42>PcPc`p4 zIrY1S3LWxDa-x47I9osMrWS@%?jajjw~SNXg|DxIPFO$1W2ZTJ z&)K5Z$zM@ZbU0e;x4h4(M6MAiL{p$-ZpGV%gUQI(4wUEY1C1|hZ}meMA-X+xgh!?n zZ$f(7nK#n37MvSKxj0o*opMx^e5q7H4ChM0<9{nOoBzKkGz0%dXkLF2nuUJvp40Jq zyEI(9Re0?4=D3YhUQPn~Ohkr;E(Hl0sj`LTAU_a-=8DgI^bT~ZE&=s3;nwU6&c&CO zugofa{{!e>edec}M3gAOrxn9@9Il#_)m+vl;RDC&h1INEDE}) zHz{P8Z}P~`@>%+M+NbRyTFDBHx6}im_H6vJy*84O!)CJDALfaMB|5<3pNr~7=2SqP} z(CAP(ZGtUB`t7q`a)4DR+P+AOlv2(~ z5?RCU`C4jrYl8{D4H!=IRns@X!u5ALc4m(1;=HUv$&X!G%-urVEN=-vIp0(=p zDwGSDTYw1*{9n@NR&MHlo<8FO(&xPA^jY#}`V5WHrU)fJ)b_@!GxmXa;k9XtJGqH* zG7Z_vXq!f`@F(UELYH5{Nu!Kx#Kjnk=r3}mJ< zY}=pl>lk_#nrFe9-B43H9G->d{l5v#KR+Xwl>(+-3;jp=d|~|e@);OVK5yKVV&)Uk zyyef9szEJND~o0IoCZyl^y7RV=jxl(T_8)?m5(QzhECoe85ZM+8Ap-0G5)iBHv3EY z>~ePat9)JptVMY#pPggM9>|~&%^eObnE+RzgT14t81w9BzWBzjzV6GL(;qp-BLKsO z$6J75!$-I0VMCk`s}`tWNYqQ}5WNx!;KEP>ME27nEv-=-FstY__;)47{NY~cosY#@ zC12X_b(t1-t?Fd2Mye5gwK9cae=9cW%o zZ{-!$g_Sx2tVOAHY=)jTnp2n?rJ0&qB~d$?)~bumXg?zz7C_qOqrwJ^(>(jk0@b8E zPx{qYx4HlO?%D2?&kssBMr-#jS-xdTGEQvfmIoBD37Dc%hDn7?l-Qx7>v7@9!Z#%Y z24nRw-;?OO*U=fRdkl-bir)_!NYp#^iX?uhepbCVLEU~ukV5=)q&!IJTD-iCpvY0_ ztM;;sM6q9O#pAa`tca)5C{>Jhr_fjZRnSO|mo7CYnvv`9-kR4<=&WA|9ENRi*EqF}>F#L~ zQXNh=1JoLbTud*6WuMt&|#0JABD%dmh)Dw_5;pZV*g^G}}{ zJOC!92ISdi*5Fe3v(F3x@R`4CTs!?!pE;}dOFP|^A>FpsDc1a7yJxNoz4*f6@%rcP zdHDD4xoRL=^Yi|>C~qJ3MXiTQp0KSd;s>Po!!@F4g?OGdl4vIZfhBgw{%ylWF)qvl zflbTJF8p4Wk|b!d^g}&Y_tGK81KHnsX2m~v=5+6;ed(UoX4p7Ggf@?67a>qKrCuG2 ztb(WLU)l3x!!@zeVND3pQWOR52)VEY&-i!EmIChWMEke+(xgZajLJ7?!M5i4A22K2 zJtscA$Bpu9!`5UHs=*TyAve1iu85I=RUP8f(b#A7!hty6vJu?+3c2<`aqrD9Dubog z;-j<-nsfA_!OJyqx(#EJRJ!}P?;}%M`MOO7}Fz8xG`y=)H(p|Ql zH&tZ1)XtR;WbkiRVMee*xrfW+LW1mFT|~%T&h~;B87cXE#)UL*g4(A&V)bRizYg0m4QBOmm1L|s>>O!F+hLOuji_EcPS?ZRF$^O)bwx_IOk(xyd0 zxc9!|J6T~w&i9D5&xApWcH3TD!^?&lS=6He+@7o# z2rOQilFRp=2%e@h1jIi7j+-3!xqIIG**%{;ch81@?Vc%rb9JtRu^T!vT+4R|G{`PNu=K5!!8M9}v{*Umv6&?^i3%-QU<-di`^S{Dp z(SH^`m&g1TK4SvHXS848b0HRyJjKPmI&!4X<}pVH&K&vM{rkWK4`4c|(0+H{w7-VW z5pPDHg09f`Db6msqeuZ;tn69&Dn=PDz=c!T$tFQHiTOaA1>f=h9zHKVhtIjj=`Z2) z_FsHv+h0C&hQptIX1#w2pP|o`rqeL}GjaEx!{>s(gwOjYfA*RE|L~bNoY)h5=c-k- zsg^ls-e*-n3zu>@V+)!zjU2>&byQk`{DdUok3xB+g{+t@jzZ#@odoBZo5Xnkqb*h%=>6 z#$*?s5jWe<_r++wiYnjHTs~Uuw56c_jdi^koG6U^&!J-zv3ww4cxCESr3K^OnX;<^kgF><(M5A=0Hc}p52M-CqJt^^c#5IMd35JA@XC}1SI~2O!9Jwz?bpqR zq<*2SZ6?J!=E-DJsMYy9=6YcA8rR7==4qafwl}ZOT1s2hZT$+?9+KvLv*oG4^E-;C zvKqNobdvM$^yTY?(bT)Z&@M**D4)r|)(cztPubas$XwDBnm9+fK!Aezg7lqXN#$Iu z*0PEa>F8CPfMb*-05m({)-X8jeIzR;-53TV(hhD$vR|?|p)Ztv{W~zfEs;GgDV*cB zZy>6&=cS`!J6=-APaCKb$PtRd4aCV_cb&he}g;DHo*U?>J?BuQsHlMvbA zkZcL?(<_gv9XA%W$MYt8)sthI{hQaO7blZ4XX7$gqe->Lqq}SA7rt)Qf~{0Rnp600 zRgP~h+^JlJ_Uv(aOEO(6IIcBC_Qz7*tOvB<8g%MCD)`)}d^)K%Vb%lZExQHcC$aU# z7|Ab+=9O>8Ur&B)zWoTh&pSElV1m|Kh{Gd`=ux=zRR-i%qh! zd&3j$@rBK}(M9mGrcb$#U&VZGs6X*_;6B7Y4*Y}e+1Y4k2=-BkX8Nh1`6#kzd6fU( z5X~%q5X}^q&NC?nG&*hO6;Mr{)D}|gd3@m&GQ6om+2iUMsVxEKb_^vit};&RXzxmo zD!^tw2|Vd2dbXLrUspCi_s@E+p*KH2<30LgNDT#GI*+q(IC)sSNX#$7^Iw$a^KAhB zoIP4-&~`Eh_&;1&Ih@P^q%$^pstFalQQfFlAWFWiM|Co7C_5;;OvqTFv*=X?-z-sa zX#gl2s*h6tZZA-YD*gIk==HJ)g{`paf?Tq8d!h5IL)AVf+lIpw0;}1C#CI(#$}KmD z8JSa6`5N!Zu(bmqJrWW**SH_UmAD5=ws)-o56!QbdFCwMN&~65C4q1G(%J}Kd-r@) zWHag9itf%u&ku5(D}4*5=}orHsvR%3%J=V$=HR~=&HTR^%?(%o&1mM$YZGyHx0#w@ zkOS{5k?>@bvAG9~j=6%4^gI9X@j41{B&U+J-nFx-kB!L5B_sh5 zsBV7_3UUg4;^rj5RB>cq_C(x+b-QIzP?jd)*-UD-3X4Osy8JA&0aylB_$KA4<->12C-n0(zW`BW+WqYtY1x~kDj(_}qD_l2w%jiCP zqd3hfW|vY5KknJ9ZYRTmT|erY?%jZU9aauTA=W32zdkl|0!P3ug~b}yJCHEb#9V2p zwvU)b=l)?x{_A6l%(~c+xMNRuCC%cGJ?~}_$U{vZvS7=RO&eqMki=HAw~I#~%ve`7 zN$OnSzPr7>P2hmlanT4v6u!{y?_}7vgQ_CCt+Bf|j&%?ZK_<}WE31v57VGCRtUam* zXlP_9Z-}MvhKDh9QHJU1V11mQjpi>7)>l7`=1j}lv}dC^?Kyl7Bk|z}7o7wCJ$&B( zAHrw#m+;x05fDB{cu+rw&*xyZ|3~3-{vY9U5+Hmw`S;;-Dj<9Y41O{`8_iO`8_g`w zMzh_;$^V7XEb_lMn%f!wL-=gjukfFY=F6R7N0$F=G<#gW7|l!fgntR2zuce$!e_p} zhtKSme>a*n|7J9I{2o3FK8Md7l&LR9v*xqWO!nW6X2*=*!e^D2@L3uVKI8v2e1>iB zh*YzDrD9O(%yL!7J!HcwBc^CeSjxDYePCU~9bVTXJ=6rjD%0VvQ`eBZqCuRxb^;M? z-3Q0xzMc%1)%Manzc9@Y!s6kvrBIOFNFNr^(FV;-vz$0wZP~Plu%vU+Y^um| z(7b#1h)88BZjD69u)&hZ12w47hq!D;SMr!kdZ>z+B-SuQ{S+uz2P*?ilRD>=^Md7Z znJgRPMD*se^s}@kocqDC7-ZH;z!t9xNTA57IaznXL&$C4hQHyi;^8FH?Y8?s~h z3WACk;Un5r+332lHx7D`JpH~~Bo4av5#ji83Y(l%VXHIM8UotVFomU%MXs+S&Qury zb;!lO{zr7?W}HjHtsp(7g?_JhK|iXD+bDd)Nx%22KKW%wG8AY;x^R~gXA7B&w0@24 zeEOsMU3Msm!zxrgQ5>t;VzWyl`eMlp*Vo8vOQP^^ zA&)5v$d12%e_bQcNWEM8t^p;Z+$K)ctxiwugQp24_QWIwK2J<3#D(unTut|!+K%lK zUl9kzryJk9d7EN@lx{q?So_zDc6$I+U%RbZ* zy4$0jypDGTh4O4G0o!XRT78&;{#ZJ!UJlV>)Le?2Sg8wD!i&Hp;cS;sRtksy`1?B* z9IL@?V(rKXF_H|UnR4FAwES=D>+(5W$vedp^#1AQ>#;YM{2pz#ezG{_&Ky2oJ!16j zzMMMg*6O8&WhzaWO9>No;m-JyY2Gq1G`7f&<3R>aK%dz8mtp412LEICjQY|&Gl}oW zmFLo~kW13t<{L^d0d28|bTHNh>?Nj%OyZc}~8o+o-mRCENrZ%RgP z)$kaf)?BcM*rQ&zXTejQHZ}l&nsfF5P_z9XP&561hMJ3hhnn|q6AdOmX$-uENyrl? zajYu#a%fpa)6Crs5S21G8CS#BD#!sy&6zJ!bM~{;j7zQ2o#Yc5mtt&!QzqBP#tf%0 zzJA2=5UsoUBRx+R_3~qXQ}nqMEGbC`m6fgJY51!FQl%W;t(fE<(J)e-zC{rR(sQ_> z@dxi+X4*#WgA^uJeJciRvm9p6Mm&68c$$FmUK2mG*Rg%#-{Fhi646QzV&^=flQ|)= zX%MiJ6ZR`1{IB%k*p%v@XRh+kRJNoU>&n5=41PnVitWZxbd0j#{D}8`R#WPhx1K z?o3aQ_g_TQasloZhCo|X&3@@i{7mFGkLPVHophgPWtCU)-tI%K>lB6|`>pVeEo24vvX-8pX29_^(vN~8-6PBZ&|(bo2hxovXlSiDq1VA(4GD*U`?=rpdr0d zN%z_*>+?!@XQUpI>6^XApJYEA_)<2|GvNAr;h}%fk3=S@yLg z%uu|q(p6yeGn!cWjFE4^D*CjR|PZj4&6;lEJLt9ls&e44?GILw$& z)16q~DaHcGIf~Rg`S=X6T$8THX^sXme4~A`wUFcYf6hfa2PUxK+(z<{iL+Tj8gl>pu6-#)T4LAsLf@^v}`%+CN8la7#S*&z?=2NgaRgpI45} zlluBdAMIVx;DEsEx#2%6P838Q_5y!KZ<|BZN;3M;3!N=3I|iv0lX*NM&m}6HBOV6M zB$H=GNMIamgG|%0$R+e>HU(0s?aLsq@MFq;o7nIgzO(mW9EcD)R(Y28jfdmoO}qUY zAHWt%?kT3+&$Mn}=$l^gYWR641W@-e6Au)bBVCD-do1bQRU2IS4s|DTW;tHA& zkmlu(&BsWxoGuR`V9>oE*TK)^zGn}vmP5tB3N#j?DRgA{f4y7vs;tw>u!a#HGLSM0 zK~3zPD5p9b@f+q6WDzci_}L4|(~pnu1jb^kfm9Pv-F=Ele76V5ptk0+1x z<%frWZPbha&xhOO{qElSe5<{UNn`u%l&=@CM*yzd_#%+e3n znD3D7#z@j_`=yFF&PV*ZBb$wIu_sOl*4*-ry3W`OJr(5 zlYu|vz-qwH*lKm3(CHPueI>%plT6N9@a~oFs0xl(2v&v-o~~oBgMv1hbqlqY(0d;L ziRA;N6jMGigmknl9WViX#GHo0A5Ww9okYpgO?>mGZ)p_hKbmj6J5p?scBq$$GqCaw zo*IKO4v(S(5#ym;rW#)GyK!|?^dAs?tO(r@rSla(02bc_k3u7nMZg-UyR}-iCyYb& zP4JDqln>q18YvfrX*2ne+}-Cv_kR*WzZ_9y36PQ!{6)Ci$d8zU5K;hF0&Bqd5zfKN z3!j;iVBpZnj3M&g8L51xdj3l7W6lnxtmny5WMNwNxqrCJ>P`IB#+k5|=YiJ|VI4Q? zBsCg0&gmc@+LvMJ2IK~ie(~W|$qr^fcU>8=wlcLHYEg7ECx1;mV-(cd*iIp|Ld@iO zH}%THDOLY#pIWw8?mU47ynrNiCyBvFhA0%565}Vpd;*E{>x2zTI?;g9=`oYAgGnyc z3jx)PA~6q;%foTD@K@&-TNupnIMHiwfRixkKKbao=EnU9>5`?DE9z(a@bFH*?2X}+ z8R=0u2q|}8dv2@}+*;8s&YI&%(Rc2aNFxfUZ-%&ks-RcT$f>*>{!~FP|Kkce03bGd z5HuLl%MzCW?0ra7EuFY;ZRs=yXn?(QUeJyF-rR;v)etK^h7$C1THGP*6p}p@i$+1` zDe}YM-MKq9s^u`}_c=3#9=GLhpROWLWKBJgEbuQ=;W(HZ@DLwhZr?hd4UV820()#y zB%j^*f8h;c=Uo6&v;rV<%2j4wm+xJ6>>mvD+l{`U%A=QF$)9@s4;eJkO9s8^#c@9Q z?=xt}KQd_R|CmAJmi#la8UA;&8UF8NbIant$)KhFTLumMOg5Km(f=wcB43J%9B%?? zKv5CogEU1crIBQwIjobfMP#=I8UI^R@j^BOii)3P^UtCp^k-2K(foT+QDOC$qJrkZ zdu99?lZ)`y{0)<%&F=Vt8sEzOh<7X6r%N$UZ)JEffZ-a~@5R*v?%!Cqf(gH!RH)jn za60@sYXztw_Cn2JA&&{jC@RJQXoFnXu_uN^=SWorGJ;0`%G&sthCzZOfVu(e-h{Y3d=P? z{2+?{&|9YXMzOCRWg|lQ%?g5u6qVTO!6#cl9hTMLt z%5XXkkfsL34&fhlA3qzC}Os20jD_6X0y!9o*%ph?Fk%e7FL$_ZkJT$#q zXy;1Z9k#aP+z8;uO``++xKh7oKTaC?&|aEubZzm|=LKJ5&kalg9f#D;JIb%M{-#^L z=eT*W9~T(|KU%blxz^8u^>#<_Tth~2$dFuDWKvw>-17j5sFy%$i#>^_>|sEQ(NAf% zQW?scXXPgB=w*3`|3)^uc|1Ong)wuvDYnr}3OiRZDH#hjE2&1OXlo510nwk4_V~6? zX~gArRV7ty;a=|_!^@5C%bkfwyFe9c%jrIZQ2-TY^#fTJi3?}yHqZ?Ge`Z3%wseHS5QIVH?M8oH+f)d@9+vutZ2jg>QmK*S zO=6OiAtVZ$Rmi~+;#1%C786%TD(u`CFc16*T9V8xeQKdPhb7{O#qRSM zXZMyVONMtGqc36fNazsVrDSw{_QYN^1?xLs8C?&FrdC)h0W*&;C9Nb8v)fnofJ?ci z88lBjR3DvDpD|CuZ!l0SyX$PsDzcxp?s2hA+70MYht}7{zjp4-xQ6Fyb?* z9le2n+M`F_h9S#xjUswf3_b?z<82k@kw`b5wHp-(PER-9UgekpVhu6aS6x!cQ>~Xo^LLa>r3UwPiBgp61UiVyF_po`qHlffy*LXfQN<*;1gRu`vtQyl`up{AaH36@_ zo57>~*1jE)`o1EA!W~goq=1P>xfJ0jThwzDJG+SQ6mhcqU6c$Jv3L=rRFKm@ROn!Blyi=2>&lK7D+lkSjhN4br zlIGXAtVxA(Xhg%0-^XPk3KkC`6gIDNqWR^iIYw3~=7F92rZtR0TsqU+F@2GJf@5|% zfU^BF)?>Fkich#}ON%(4OlO_*MyvAJ+VVC$r6=JT^aD0MhL30L);xekW8~mjzG7uy zN1Jp##VsLk68@EIj$l3@{8z47^RHa<;QxkehFDOZ!;Ze6<~fm6uCxWz%8)rdQu_2_jP_ zoEszei`B^Hu6p<^i$4I4h;Hr#`ZGaAw)*BmCXy7&tSfbkUnr5r(Xvh$Qalq)+_b=h4w-gt|MrpNG9SlipIeRI$+=%76CfH7c z^uWy~uj~zgSUb>O#n_fJP6jHT-d*RZKYqF#9mCo;liuXo2ZY`>mD6ee25rXq4`_4X zpU~zo)X<@p+eL0Ns^hMd%<8dMI78-}y!dsVk0KAG?XXtZ@zSAjbp7^5v^6~&!kKy3 zFKIHQ`^l(!7WJ9Q{7|R8L8rRT+=mmkCb5^axpRkx{^duhZ|XXOCgpxs+#p8f^mSts z{VCsJ%VRH9_|nj8r?W4c!Y-TaO5e@*xfNA;ELaH1U%Cl{{92OIi^DvdP2w`4$15sv z`53pgEPxQq{1^rgK0vOK74|+240C0Q4lB{TUVFLIiyT5{nP=a!ncnhF?ye%j9)B&y z0>Z)C{7tn7>W+ftm9!nHvqj|*q|m527aQ&E;e2ahW#wScQ(%ql?QAqWE?MDFt zF|}IJY#U2Bto*^j_}M4I&_66k3k<9f5mKe&HEEM#+Bs9QK>CAZTfBBwetx?fjA7}sK|I-!8A$=Jv3PMZ;`dc`;@KbX^AkK@G$bV zn=%kgm4>`$E1?5Y!N6!QtDx=gXxIXdyuEo9XTh=`n&~+2_euy7QIEW*e|{!RfE~KY z1eN9+f;T-TwlUWt;C|x-XgbVW#5$O~*JhJ}MGqu!SfTe!l~qzijmlpz-H2ALQH|0P zs2q|Z70SVv>gF|bm~_5iuoPb&7o$uZAtw0=3za-WZ@%Vf@x~(Otl1iNrA924k!};n zwd>{9Vs0EL52#aXi^zMdIyWTJ;&U?fJ85L1xx=|-qqrad+nl}0TxYul$v<}e`r&JT zd8T~=4s|=_${@eooBKNiC+e?U!GGP9f*kmBQwnh}dOM>L|E2P{KNbjM;DchDlG`7T z-J>!jFp8NRmr@TrKo93j8c4Z5_8S~s zi1G1oPaf`H83whZ&1sGHO zvElP)w3#Zt;==7U0Bx543);N+3vHGH7WoXaysddpW?obSHLi|GbJ?uL9Dp2W`JDhU z3|by3++R!5m4v6w-Q5O~jEJ}6Ag@V;!fiW&W-xk1w1iu+W@Mt2u^qOSPJHFdL2H2n z=?;?_mJnFBfU1vxqOO1tKj`pl?Ifh()EU5FuUh2y!K?0>tS^G4-oe1{wOkW+kvb}h zyCK|kq&}I}zHj~NOe)f?q7mj+)Rz0)#n`m!!WU1dh+}BK#_YsZ?9Wg77o&Y(K>0g;LZl*5X^3*)UEM`B;hT8EwA{VIlR$l zsay7q@QTt|BS+*(=Vo&dSq4$(0l;5kG$Z*p5ylt^U~EeeWfTiFjOz zJU%RSoem5oA#MBNp7Aiz)C_eyLN^5Hdc`AV>=)n|iBC-S-KxD$-U zkY|AoGHam_eM#NQcq#+j`6jNwz9Q+}gyuWXt&w6I>}8pg#q@y5)<1C2)ZW^KwHc>`7{ND8DfGONlIp-Kk(t|&$Z#xH~p zu5i1~&~Hj(h*gQ4@2FICQh~2Yyq$VBa&7|r(ZiOxN83LL_kDo8KUC?JU7CfDz9V`c z(o$^mY)>_V!jB22gy{7Nro_GIzKcTR%4z?eG_Ke^B-wH`%-B6B?(wpFjW6~Idq*(w zjXdRQ>GEY#XQt8%66~q;#}CP|@HSJHVO`^tCXt3dcRsjYuNwe8hMmCJ0mb4Y#^Eln z+2@wZ?ksLZ_&QZ0v9_&uvHfZc1-i_8Be=?_z5eR?_x-=4%^SL;0ec_UGp-`dWAPCn zVyrZ0kj{r|u!T7`YNM>nzoTPAXHy7xmmyz$#M|Y7!?d!B1=S?=oHYU$n^v%^ZUzxS zT{Fbit^kfo?t@nuLQ&MsVcUNnv3e^GvuLP|#@kPElz`rs&ZF1BCmF-lHUtF9$kg`s z1YA}%n|IT#_##KYlTRW2=a-Z*{BUev97`C=Sz@e|4LBJ9c?3Mw8!qSGlQVZdDukMi zh9%1~Z}w=R#VzfN^B63NidoD!d-vdjhbE%$}+N0}=zqkiOB?7hBMNj03yUqQrn zD88VkgDXG1v#k-`su11K;{kh`%?|f?KFs2IKiOY}zqYD~>RJ$xej??CdJtmg8!gMv(jc36s@xI$7$b|20UkRs8B2@XDor7F= zYp5hz=uf?kM^Ag1_$~s((UzUib{X`<9mTy3pO_quW{I!F^3~WC!NR+*1AAIwyU}F2 zj{0O6!_(GH<65~{d&Db(n>979`2H{z4)!~$mj!F=Yp%*Mm2bbyxW@zpT7etEb*F7B z_IC+z^6+%mFnm#eOfXZVO7ACU58zIq2rMt&w5mUQf1Rb06;03WLx*u4GsdRe+i^@alcOH8og z8+&Z6;6f!T6HXKVoN8M;gO3UDiNXNDEhZAXxrb3)@y{OGt zKh=uBaL>I3cX}R$&260I{#S zlnex8wO&D<7YSU-P^YDhnUhu`m8iMBK>PpnUlXl`0-2!TxnmWJUBKWCSBD(;&zS|C9$ z>)D7ATQ4tQC%XBHC_Mh0cG^37f?M7b99?1kuS-g&M1aLsha|6ARPvU9f*2uklU9m} z0=1@J??4n_#>8snIr@HW?z&bfXF51@519czbtT{`@I)pSACW^mh&?~x1 z_vqQd%IkD)9BHe(93J%tOyU~qg=2PD{7nCcc@#TuE?S7_k1qc~ZH9;D%CyMpt5A|nK9(67p?vNufL^`(wlfA>Eyn8HgCw%= z6+1bg+RqK^&0G4y<^ee|jt`#6o)H2Tthdw{o>7Pug^-Vys(!7} z1mJxy6WI$T6ub=(>qA}P{$iU!7+xtR*{#&@29}Tp06mO;v z&*&5VYZdsRpYK6f`?H)+X{7MiS}R_qGttgkV^hG}s6_$QCE z0A{m9G;mh1F`pm0f6!Ko)J0o??kl*h4(5iZDXXul)c19Bw`R5H(>CmnDsHZ|6ZbU} zZZ$1G$>y|*KatHn?FYxYtDGwJch}mmn?93mPog^UTcK#nWG)GBqHk1Tw@fFyhKm+I zpM=#xpfMy8PI&Ujr`{LN!J=#8&rb%JXgw8%f0gaHmbf@hjJg)PxQxXZkt&LakW+jr zurH22>T>Z!Z5qWjA=4~?bN`ryzh1`RuI;rf3!hF(?2-TWtEBluipnipqZ)30*`q|! zR|)>zeU}@?Mm3MTw_n8<9}?u;5>)PJFRs)v1}qDqF&=ly&kZjXch`z1x}*wABciH2 zWQ3M;EZjw~-J;-rL@?bFgnbpxrXAzwcd$6-wP}15T6Bw$dkDv=I`XHypkJFYQm^zF zUA(pgVqC84Ep37EXJMCD&_>a&4Hz`uB6zz1UYr3vvvwJyi?Q*V@?zYHiDNN$wAW=u zkhBLqOfq})2P9hR^~n^VROZsTuh<1h&FBp7`-et$Q84JZy6!KkGlZ61(o$k^yOEvq z9DsWsHn%(d*KU~!BlR&wkkpciW0HzZLp3|v;Yg6QymYj;nJ`;6j2L2&g#)2-&F;|B z{>+a(V1>^_dTQasWhTQcYI2JIRZ0k7iT;Hi&{S!{iGkq#5m79A4z)$mAl<4QH}Dnp zW(&KTgXEhAvjK5Djbo}MSICi%rn9oN_%Y!X9lpE+1K1}x9zrEJ22-{dY=AWHIoD%MI&&2CD=j)tXfNwItvbm7nAhFM%f zhLmnIsNNvh56O0C7k`a!S7EF)GA6O@CtYqGx8%Xa&-jRG+!xeQftZhD>(s81hQ43^ z*7oL#-1bJ@5BYAdH}s3$tbrWO>~3|cK zTw~xDKO zdpMPWnp^3k8vddw?i@FsQq3h|9(ry>^JWj|t^4=Id&$HcLsJ39-!^DC$Wz1TjlN3p zEx_M*Prr&K-YocRZM^RY5t%|7p4_cxAa1spG{Z<^4=IJ#O0Z3#>7h}0LTpIVqPwmX ze|Y|>Z7~P*s+D8QD#Rd2yiaOHD$d?_~naaROG= zRM|`vO2=MOAf^C@H!0V&V$+Nj-ODwqqvjmIDKAn1!<(T+ayvZqtJIuphw|>a^Hy|k z%El&pXuFh=Kq?XHETr-buxCw>*9GYhQFIkA@MKK3wnj2YI6&!0!q5 znRRM}@h47Zlha4p@vw=A{CfiDr7Ex1xs35b_1B`$3=+{|(e>BT7)~e}&aXx-9>JQ| z`%&*wdx!ZUKSN9aal_2k1Uj@xt=5=zYUj!pRgWoaQfE2c6B}!oN4yXJ5S67XX(pR4 zo?2&PMyB2l5?IM06*_ql%bY6d13}R}CfP~dIg`IBK=noo*AM9g2~nLLkyNK*{&foX z2aVE6JT9!>3z7`|sUkyL%lUOG2f|uAX4Wx|Y@Qdc*~kTJv&6-Uka?ffAfy@cP=1UY z$Ab<%e}842_3IBjjL^FJ(My&WwmE(5QX#B!Lus}M9qhk}&8g2~GcGk`ZuUDyu+b3W zjzl3EjD;Hii-Zrcc_M23g(ISydF+=~646SW{c@GNK3lNU3_;?mHR8yjDweaJ{3Ob& z`fw8JZYb8!MAC@RuvYp+#XWDvD;1&ObVpg$#FOm*|{L}7i^1S)2N#wO@-UntRUwKBpW5>tD04Mi%G7yK$qvm|6-l$c8A~_2# zQ{z?sIKu8m@(MjljqSuF*6uy=sHSm7>)LebYBFX|ctIugXm^P7`y6Zi7;C0V6SDPO zWMZEQ$smRQhrPQB%B$TPHBA!S-8DD?g1fuBySuwXaCi6M?(R--3-0djzF+v(`lWVt zS4;Ilckh!4RWF>veCE8zHQ?sH&A}@MjM$xmP3;D`plAiYeJ>#hR=Qme2fyD2)mWhT zQ@3deuXvdn^tGiTA9ABBVU8Ddq)`Azq!B5&VhCjF6exQcjYHYTQym)E1Jc$yA1n;m z1w{eb#lQeqEoTMwRt`R#2^BtHg!@;3Zi0fnMh+I#HjIh!2_}azgW~)$+Ahv_&AB@c|jzES>d%I z-z_!pTf{7#l<%6pV_@*g2+gcLJx8108-Ek8$C#T}EU;Ht`lQN=|c{=i~x+imZ!nd5FscohRDdb zipMr0$}8I;U}Tu*+rp)5WEYRN&^i|+&jhxCi(1Pzx_8S1K<#Z)vdvgpNRRH)hD<2Y zM0kfWKbv|~lHa-+A!>PCgtoOqP9}IrC;W>!!z2+*CW-!Ltm<^B47pz55JHUDmw}O& zyku?~QKkM5QOkuOqDKsxm55GPmp^9x4G2d+gwXp)hVcHLX#{?@qymU@` z8>+s#k)A1raxXGm6@4lUM2gczZDJ*L75=h^L^+K|RL5OlAa#KAu_SobTnlt;iPf}W zc*B5gLBt%$o|n%HR|B>%ZWfXjo+2S78c%^rL@OtiUF4Ns=8D9oO~6i0D84dUhr%cK zX<9nA^7jSKW>QrDC7lGwW$PfaA6dZIRVkkuZumaW9`qHF`F^)yQM6`}xKIvufZpjk zm+%jo(8|&M#K#(ry-rgJqLTDH5scMe#k0W|UGafK>cI1FNi?^UT)_ z!M?<%i|XDn{v!jTA5!y74#T75=7xlBz(J_l-kM~9+-T?wPJzOsUpn$I=CBVchx!*(10NX^>vspPixu}$_T z!Y)Z( zP{LW_)cw7VEOgOW-fv9Lqfe5VmOSpehAYs4Tm0Zld0VkD@0KLzE-#6UQ#@#x82o}Ba_uoMRPK7ytH8oSB-Nnh9ktZIa)4y~L@C2JAFwztx?z^4!4)LV; zbs!sam@&OohOh!S5jc4Vg=Qc>-*J`)hRZ_DwG>_mQZV6eGU|VA3%-_8mx!JmfE%bY ze*8ugD}E=+{ed;tGfOQ0gEbGb@U5Uv%cWtW@s{F1L&bfdwI7%k3INlp29ec(mlF$C z6*5bG!h3g1rQ{K_2Xe#%hXu=S+ya8OIb(vUI`QdkG^O~F^{{-Z%L0VHa>v1=C7UbM zLJG!X1Z*zuW153P{4-ogQk2w3Hn4|Z`I3wvhK><)QY57&A~;WRShfk;`5ODNRuwKU z5MfwBHD7%>BPo58`@d_=kNZ%|g3x*`;&&=D@q8UOCu4217~l>?*JWWrs_FId=eJ&vUQ?q!F!9Xcr*s!Pf=K-@&r*#L7f!;p*3SZ zodtmnEcyN#pv7lR?Sjk3y#$vp%s1#O*RUtrUiH;RoE|K&RhDTq{U@slvpY^ z4cA9lQz?gBz}|KZ%pyV0Z@I(^g!(Av$GWK*-6Gkc=e@W8bUtuy;{Njb?&)=ZkC&S1n?uk6vn(^byD4gcmC;k3 zv*^&6$sqp|*Vd>Uza#g@H#qEopUlpP@>kRzkXd9ml7k0#^&5&93eE@r)Dy;f|E?z> zEu8`Ngx zr~j*-!1$-0z;*tQdO~;eztt0(PaxfeAN2$-Naw%n32mXEnV*1q;)VAnA%4)ILBB8K zaznzEGU=OZBn-5@Wj8bKIHP={fB8-pfnfI+n;*x`XHAl(K$D|#YZ#T-yglp%4v9D8 zF2o|1CAP6w^`;iz;ti)3OiKsGA27(DnHs*l6q%F}h%r)_o?h@T1O1h$Q;KhiU03Pd zBIZ6>UkW6vu(J?^$TA$m@v_14h#A=nr89;~9g&ngdR}yinYbK3FHDCB}DJlnl%4z;hs8+%PA`P3Wvf7YRctCoa z@L#L0-Ri(AeU71J1uy3KcIqFl$RM3t$&yVj&)7t)dKh@FV7^zkN^QNOtgH1h+VefZ z&43o2VF-{hZxa6}_$G=&j^wc*gW?Vc0N?Vc=lrYky-CI$BLec#k1MqkYm1qt_CPc?+X!am{k{{#r`Ls}fj!f&RN~&DLc{n|Qm6$k{ zxE2g?2K=QdseThe@llvE^kG&c$(y9rK-+`b50V`wBpT|f$Qe<(lH3bi8opT&lYNy# zVHzae@XC37W@Ttpw3`%d|X%{n>K0d;okLNL``7rNum?`-RrS4|eA z@alU2wM=M7&LVTtuA;sgwa*vS#(S-y_-DR@Bgs^ibz+x{n5OJUlThX{#HGecyc=O9 zw}%1{k+F5^godSXT1N{MwOVeYLz zI@RGK!<8yw)@*M8#oQmG&kH9qQ<#!TO6?a6DyS>NWu4=G0=meGoGHMr zro!<*rmMt-34%zacB+v5!X)MfHD{wXCV)+eR=8H_dHrp$Ga8 zYIe$s>1UYs{ZSlyw8~5d{tX)JP`_-$i$hVxd#FA6B!r^RIzDJBpA3gq_r_+}NnW_z z?)B@i7H)z-Ae=B?Imbcf0=vkuUu?UQvROcR0~x=q11;@)tHM(88X-yd-Ulw9s6Ye1 zaGRg0%B2kg-sg!N;^<#9V#)B1VD!X@ng|)AyB7{E%O2f|CSc+76<2qXtgeHyd~fK~ zn$wOOJh^^ehF1sHw1DPm3FECk;5F?+&;bR(y1mR^81|cn?-c;e|2;_nn%~e%^=bl9 zQFOB*qB+v~MJ0vAE3J$6E5GVkDu<8awUpz+UGmd(Ib71qf7P_$p@cs*Ep%4zxYq>VR)(h854N2lQC(!RpE8Egs%ZwySU72O6tdNd{OI&D>MpHM_WO7-XBpf>l*Z}D$FG+{Y zW}IeF#6d!wV5cQkkjQ5xR?sHrHGG7GY_CwWDmyMeq|kbj{f@2mZ4g;s z=Pbo)zH!fHn+WFCjv30?$~zCaSA~!+{!d_NHJ}BV$i;p({MDX5zA&1$$eB6b-V>Lb z!H-JW3c8V9p-J;{04I4fJQ?^H^`~!`>t*Y8$^bNdDv({Jerbo8Cq$}o`AJoH0m~s< zp;uJjak84Qmc2w*MXFB*M%NfkAkgm0u>|8*!V6c2X_9w_XB`6=ySf4^oI4=u);Osr zE!x#LE?JEmSe(SM)>9MWw{vO;3WZo=O?YC9hK(s|7xR{w<03J~i>v3>%d|YT?m5NX zaZPpH2Fazb^m2xSgz}&@J}9g639?szln$oK_Lh4O0c=Pa1Z+ql9<9Myf;y#Tl`A6W z`m~gNwLKh?Oh($48tAJtFl>{Oon$#8&k%E4|D#d{e2Zk0q*A+NPM|RXv}7J$&Tvad zYS(L?->wvGEOdHX)NYmAPObT4vcWE^m*k*m?eL? zTT?^5?_?dMWp>#Uc{LYMjny zb7}E?R~IHWV4FQdfxAV^IP}iOc8V?`xe70DiGc4MO;5;K0x9Ph$&_)YJPkG5rP1iW zSEC$fFQTu21{vycY)u}}M$wG8k3G$Zol|&T2G8%*mx>(oluDfxc$6 zTm!l0dsV@j!r$h_Z?l!%Wcrt-NB`~un+8G%8(Ggpr3B7~l~t()$`ZBp<0x}5TF+fz z7Y55u-!)>zgDzA=jw9eQ5AFH3HP!*g{7Y{J*~f;PRD44gDC7R839vS~0xOs>FrxBZ zk_}s0FCd&yWSyys=?M8#P2$KUZ zgH*2rFTq?@I!Nt50<+eMA==5ErVZ>m2#9w@4V2Kcv#!&_ys9AG&oz+Be|`ot#17SA zmRiGy09c=iZQ7+}z7S&TO9d84+!nHY?C!9k#UD`ktn%3XMyvGLCKc!it|_f1yJn>| zAT1;VHk31P)hh%u%v`AmiVJ5jPOO+CXSn19k<)K#Rn#DeLy><%L$pHtj zi^r3(R&go_5AZ85*EoL`i)Rukr9;`4yIeAny4Cv5DN3YeMpEGsbBp~^q`;`ZZuR@Lqrc!QPN{Qm?#!4+yq@3~OLV#!^o`jU^rrggAfuT@@%la0dTPt0vv8z(+9zVmEZSR!!iA|aOCQDf9 zMt!z_Z$+^4r8Dtw&H5UP57sf!`5?QbV2V=EN~Ce(+-(L+PPrZ}NQ8-2LV^v`o&F%< z;rsfsgD4~76}T+v7^m`uz9dp1}jQq zCQ=k%rMzRGIRrULlBWiwNg^hPkkT>!5@y}Z{K*iy2{Q9eo?FJ9#Lv31|AV8eUORO` zR&wjK3|e3ifAhI)z*x>F&WJ4SE87}q4aEvD4!CWGxYDJTZV}nHe((3!WvFdjDrCE3 z0cUC&n0cbnNJg0jn8V0cB6~;t&4A7C172qEf6Ww~qAL;DfY=|L`w&(hvjg89oxxk{p6vL(;a^g&ZJ)zCfpPCb z=mR!oQ;3kLg<>p+1Zu^DBn~4zA&6;pqcm>dkLg{@)frQ5Gq#{v*ONUU3N*{px3z9e zm+`{fTv5rM>M;H)>hu8``>njo*73zm+m_(wOe0YFnMft%C9L?e55jAI!(B4gf$UO! zzSJZV#+4!b-{PC%Bo zr(0La`L3xal$85Ar1-1X5Y`vNyu9z3MfO4?ar?uTLZhgiA9AdMj$F{ileYbEu8lG_ zESoL{EWR5oHl>>{{P+6==sg(sR|FeZN$SJkyk*6fSH_iD?*)8U{RlyK zB3z3`kwo9IUld8r1~P+YbHBN3sAFMTr5=+qyd6Dj1@?E{{tQaN&i@|ZJtthI;Kzq0 z+w74whIBcy|*doEfYO7UWtlpwDj@Zo_}oo(#c$XGroWunfOv| z!4xzIc@IA;9gB_x`;~EFVAIJ=!;%GI+qhk-7;0IPZPtuB8K5t(;;DRhxryBdOuDMz zn+(~uuWNwVlSH+Q^&TCPv!?3QGOv*Ds13&E;`i__K9`fZgp%At_Nrucr}qxoTevsC z-a*#=+W~sT6VQT)maELDvprhkE+6aJChDZS ziP_GSj@5OrwRZ3KO%g_R6aEZ&K)%%q9b{_H^Qss&!A-TLFMu{h( z{J|y7NYYC@#+2t5=5OkIdaV$zmJ~R2j^@Yeb*iyW9Az%KHA4lF#k0^SlRoW`=i9D! z$bb_ii!vY!D3#MVWL#eiH`R#@_N7%Ic)%VcQQi2?R3E_QE_fTIF8DL!bKp9wi4Map zmkkagXFW)Ho;x=`*q~}hS;XXfi9C|sy5&J~xuRf|XMQwqHuP|iKn)O8*qgnA2Rzm) zyD6+&lMfY$a5qrtc%x`lsanXG^6;OwQ{Cc%$T*naQ*O{GUuy#e86Om2V<{5c-l#+W zblbUP=)#>MLpgZ~jCKN{gA5pQ^G$$&g6?!%^osdH$3S+T3RQUME}8xK}3o6fC=5ySd(v8zVZ(w;7UpIc0z=`0M|0} z37Fo=C`TNDOA7_`4r0izz9&f|=D{uRI~RfOl-MVSTkH7A2Ake}27iCdJ8R`hh^sbi z3BFU+a+<_*918;31&}-?oOW13M$LmeF>MaY3;&i7lHSk+cbd>r*#bzUE?G&iF1ay` zx0{U_x*~QaYW=;U8j?Ua;w-1rR?YT_`e^uAe-2j`)8Gtlfk9x0&^FaI(<{B5o6u7~ zCiIr*su!a4 z%=(P0cOJ2PH}}0@U_eZDjzmA8uX?|N1AWOAXC9?qJ;0GBss>Y1qPM2HX8>R7OK^Z3 z(X=*(-+}vU0^ersmwoF3?OQ>Q2`uclfy4+Ny4CYfr%YDm_Gv6@*?9g#urb3cTRJf~(Fl1FA=9Jb>|bBu=c$jC{+f1A7MCk#_AAr1-xk;V z+g!YeDq2!|OU|X44^_>${*bMM1)#~gsp2l?7;5b%4z7LAj7e~WU8Yv8{W?(VS6*Yg zgj4pZKbBLE#ux)GP60`?53*W^*=eddUQx4$zw6rEdPOsz!ll=kWzQ4^s^83}qWP2t zu1)n=twK$SI#GDV*4OYSlaC`H*LR2L6W4g(%fIUckJ#9V@$J$Qs~IMlUx50v`{>#O zkxDpOY-JT8l9Q=cfyBxRwBmmuTpRltpYDu-hSv>@0zZKr7;TcwfdA%Oq>|zN?K;gi z5eMc$bI~=2>}h_3=(d5>&;2Nf)USWQ;t}C%j0U&++bl*=;ryy#2uQZSF1rW1SHOO) z@JZ({?H91?P0STVHqG0fK* zA}`gTo1rb$rpLRw$hlK4FBSer9mk8#*oAyn!U5$3oc}5;GaI}#9esmN8AUMT$tsb0 zQ#cI_KbK$p|4^pP=urN@nJK?|-*wbATN0{2w|avN--pFb(kUTc+%}2D);0}CD%#Q& z-;b~8{vskG_yPgTqjuE?*adky6OoT~07FD33u%NM3e+n7)5Ia`metwR0WxysfB_?1 z%5Ulr;WK#l0|d|dfZ)0NfGC}AaA)ugR)};c{_>R5Z_1R0Z57}-)1Hqi>e8@rTII@L z%rmipe0~5URM>sMfSv)GJEP-(87}25nJZ6&o}q@Co?SXc-sGGsKRJ7Vyb?22+#l;_ zgoP8VV#@}joOU>x!gqmRPu5;AZ3c%GFJ7|*D&liZ8(d$O3EkC#L|4SnLn}S@m-J)thqi$AS)+t2Y@w?{3iHFo>@PV=kI)54_`l$=NmxsJn)%3 zYg^WubOVy-VnFhI2uPmgIo$GXyxT@T4*Mk6hr$bsMdF3M#7qFWss7}rxp+;k$YZLtX%$Tp!A+Cy#a_6`M{jSd;MWT( zEIM}e7t*=`Ky@SNg>3(tTErw?V89QqBYt5CxdOGJ3r9M53{Eb!u|HjozlKLH# zUG8$`^t<*uuJsakS@ca^c%tbYhA8_nBjW8wMB#8urF!3YuT(DqcMJ;KyO~3Gk0liT zr&@HvMZg1|mj;N+3hTWC7IoZ$Z@I1Lc{jEzBr}$q>1Sf(p5+c915+W&X|asydz_Y+If?%oAt*? zHZ`bP*25O8dOP_n;5ee6v2SSakoDYE0ao)E(%=Byz6&(KYIcx#IsEHv5%g&_J4#~@ zl5vripU3S1tY!`Pk8RLl<1C8os0F&RR86-ybZ&TX-iSVD-!G8$%_I82__$_66<4Dj zQYBzh$PR%3TcQ<-j;(L=ZIJItd!RsE7TO9#S;Atslt;%=`pSLVs=wj%_%VUMUL#sE zYye*b8J079zu7y5EIJuc7OjssAkf}V&wf#W)N|zXw!>zLtA42K51l#K z_FtDEX0JJ^6UL0)yXfr!9Ra8AVw>=9eFHU36c`sbzjm4$W5Fpx(1Y^Qz4BRXQp_N2 z1Nul3r|tU2edC4l7*7xb^BK3YU@~>W@l{qpQzC75NWgO@0~4Rs!4RUFl5`=YDN4Gl zHL-OKcw@I8T3hDjSOq5V$|yQhebLhK_xMJ>l~MRV6uD|JydrSJs2(0Takdkn=W}F@ zFR4;N?Hlr@1v#yD;y;~JEx`?gSI=`BFQYsN51Zwg#PAhu&&+q`*z>T7ia<*vG-m0a z51JNyB+oOP0YZ%c zeNP0hg6j!+KnSV84nd+_&;%X_B+t1sMA%U~Zb(yf<}VQSke*$c z#TYUHhiqS#&(x@+kdRIfbJRDX9t6Q9a?(=1RD$F-Uc2ugX_Df6hh&oyyf=DdHi_)PM=7{q$6m@c~=9$ad^F(vwY>H)Xc_6R165nT(IFUed8{Fr%<3*KRGWciy zdZ(@cEv~lANo6mcrIJFj?MbLbMb&0dCS0>5oOglz+0K@`)S$+DJfh@(-m8zcjosz5 zWCkS91*E%uN9j#SX9ge1GwEt1AbEECOr9;z?;Z?Hns0FnI%OrE)KzQnkDdblYTs~L zz0_p;xXu26%Qpig&zHERnQ0216Nc7TGs*wOYCf}FJpQnnkGXi3m(IUj(HY!d0zI!a zP-$wl!4YYwA3OO7NNcA*j+!mqc_nf1H%VHA+u ztkHUwwR_~!>`Ss`5my7xk$hz)?0K#Eiq-&1XX$}OEZMf#;Zvbr{FTKf|1>Y@Ry_wU zuN9CzkAY0Be&KP}zR>t`XUT|gLEhJ%fJ=$Ep(rD}krIP&5NxMDd+cNLgGYOpGJ(me z#iAHo#xg&yMa7^msOCB^6LC5AciC6~*FH{OOYO82ovZQB=)&i(k2q`CItg`9e(V)a zo7KztSPj`T>}_Q#agEC@J=TYn|8UK3IjIh63fIRbZ_kwNrw=WLQ?m{k{UhX4Cr+7Z zSvfyM%wm5lV*Q+2d?KggA|t;nFzd7|&M4UAv1gR7H92o)6Bal#OkHZmh&<2s#;b(a zu1(K0l3mcPbV*v1_&JRw6MSE%pJz_~`6K~c!de9Iy{&f*6fNFMd)M~)rn1kHV_ocO||7u0-wB|1T^+2TsP(9a^ z{;PVf2T;w>H~)=lF8_yWw%f%qD9LNVyHyB)e2ubeU}4KXtDT(#!7*vrk%)6^u_NEk zOQ%%4{-~bqhi{~}tKr;>wpT=-Nc%&EXrNZoIL06!iKCj-;q1y_ zT7V7rCM%1Cg!fiFEfG>W+t-fdy@iozuZNKs#bxne^t_vIxV0`yF3{hvJK1kM`Gm`G ze*F~{67VjYLHVYe63dq6OAyKVoU;OXK`NmcY&QX*eeN$T>2+e{-l|wmj+aEp>zr|p zq?!2(fSNZm9Zr15%NkW87I*P}U#=v-x_-9L2c)J-VfO+NpHTBM>fd%%X)ydL$_?(R zGng`CZSsaEea?wX1_vX~iH2t05}{J~5Dw3Mm~s)}=IUlq0ylRECmBS2FFj;XS)z8* zXo$bbL9Bs%P|A*45*8=~i?nGNJP|hfFQmhAhI?W}H_?C2G~!##l}UEiN<;Gf~=S4l(;S%mwRo1Xqs1DeQI)e$8IvQfn2|kY7yzS!t*)BjwS-GG0`8J;=8R zV_rKZ=N-1TKN1B-e(fmM#-8*|Tr_o*-t4UoXkh`|?0Ro=LEfv)%QZ{mZB(iHK8QqF zQpc?`ZR;tGFxg5x2n{7z?z9a^*)+u_AhWw(98^YXKh$m;pRRc6Yc&#LUGZ^aJQHGB z);BUpdvsGl%w|BpR{c@N9ECXd{cCxJ@=VW7->ITKdH1mU&eieyHfa10(_FeWa5!&l zb9}R_YP%2PdHlmjriv6wz4^hH!h_ebGu^xSVGp~agVlPr5fDDdeTL5+8h_VS&30*t zvt6t_^m(j(G#=|8+|4{Jv#ok&#UGy2UtaAx#+VOdEkQEs76+%V;*O;_p?O*D(T;|6 z_xY?J_IKd$vW~NJz&9wQuwY{>(L5|0tA(a8EkKcDs9iX9CVoK-_C^=0K@gojSQKbf zeuWqnG0UGhmZPdPVEe-h2()6j>Ji2$OxgRC;q_^iict@8)JE-^PXVKXW; zfqW~Nd~*O%AYdUFSZgVlM$>BWE(igMZmu_sJ}df78X|vPa!^A(c$AQyiE6uL7udNK zWn~F%pbpSfElpJ>Bl*THc%Zi9;CB&sr3i^&+S^d+&f8V%wf)p=sGK~IX|Jgi%#_Ii z28$Aq%8pz_0v5oDgb0Z5%WSCTf1XGNcuX{6$~tG0gvsPiK85CA#hGz00HN7bc>Q#2 zKc)+a8E9SD!d+>${NF-zlqd(p!hVIvCmqhEIBze}5z#ag0r|$fTI}r4*m;JRf%r z1&7+0QYHE|m2~*AXthy@!C4cfhJL+RB-xJ0?=~3i;o#c^%=h{cUpV!{^;yX=F_P!n zowLbw=nIw^x^@3?g16pHC7f4o;h79qr1ofczvW?2t=gX++pyboS7KqsEr5JS>gEhf zq0p^QZIW&R!m0(veY>IU)sc0k)cA9bbkdxy%HWgsvqjIb+YQxTA^jI);1t4PbCH%r zxb)QSofg)?qinVOJGl1v+x@Rg`4JnG%1@wq*Z=I?a?-gg%^EQM0rv1idpUiA`Q%k_ z^zjn1$#;ZEa3t0cDtv#Sdii#C*uUHDX9=CkdD_l9p~G8rzOhNSP$0F{R4Uh<}_8OR-MaD!9`1$TU8YN@@r|21v{AMh2 z>QubDbh`9x5=-?Lx1Gv(ABJFUmv*=xA*AK~hIYT+!du9xJ5n^EG)ZDuo(PO!4(ez0 zY$22NPxP$SFOuAcRPnNXSfbFdP53vWzW2^VL(hI?g)*r*^R0q_|qPK6WiY||TS5b(|_ne(Q zlJ6ymBNN*{cxH!&_hLoxWkuu9=Gie(Mf#uS`Sd@VXZ{=}c1Iy{nyEwctY2_ z?F`Wuu(~Bbt!mK0GS~7JzkA32>?I1J)3?W|fF2x3teT2@WNZje`19cU1pL}T4shbq zxl{U;yBr6KzPp$cTnV{75`{3Q5n*B+?y(J9ZE^5@G|$cB)2IK@JTHS3ok0kYm0lpO zS1`#oK*rtc2IfVkLvSf5^so7G3*Z-rF%noDYKPco)Knqszs`m|nI3VPkdv^vPIm6Z z$ac|L*V52>xm=%#W@0{EY6_;U;2#cMndovX<_!Q=X@Aqt1PV@hx3Ty$f{3iDhAkYx z=)EHys~9qE8_9-y>ID!zJ1bf>A}_mrM$h$?)l&Z%Ju4zSuQDD#sX4+N2W0N% zG^ml~T-Q53Xx`jwthOr&yumKHSM06f{Pu=5$Y`{XenP(e&*)ia{o%RQh6G*jJZRw= z?B71K8+RrY&K2sIJtKkk{cf|xAh|2G^p9V<>fMYN8d1UfTLpiyT*`mVABD`<3=)pu z=`Z9~kIitwmCbdT!F*g+IZOL@yc<$Q65Z+5;^dlllhuaH@Ag<|)S`l?VlySbWiei; zx?icHYuarAA9Vg2!(1r)yy)xJngk?r9>z@B;cGWQHE3af-%eq}q?)&OKY~u5)3j3) zWT>W2%9r)fsR1Q99)}J})KASF(qYDKD*H8p*;LlLTf=2#+&5Ah$`x42=seqZXhp01 z@<$)newRA~Gg@%}uR zfM+l#TVeS!qF6)EKARUrpMeCZ_>%M>ZMS8G=A>jVsD2>ik=wN81@>F7PZr}nr77oN z;}1afOr?Kak5ZI$_0@0H%6h)Et&t@VELL;Rh3;yPp5Hk--1DwnNKJyU3XFdnjFrE%4J(rD5_OI_n2S<)s!!vx_}wzM+R>*!)#teu|T=7$G56$77o8xARAhRM$7-iyNzc8SQ6A3hkqAwA>;eNks zb0^hm$DhgLPH5Y)0Lu_FgrvSzNUX+jA1e^zW}7eyt7m(#DA5g{ol*7aKb+o>ERbS0 zsC_&FSrcS=POOUc`K{j~M(Y?IKHoLk?E>xkjWja1uP)lwNrIOV5Iz5Zy>}7B({A&@ zD3E0<0*Q3gxw_!}sdu$NJ%YhmU=c)!J->~&{X%Y1>_6qsI@U-pgj1FYX_va(Go!&^ z&%`w<3DxtfidV}gU?Ph>LWfuLg{UA_#?+%l1%FLA2`HCcNHO;Wq$J`OQND^;ay+DT zh-nl)PGX-v0W`Zjgn}!&Cu3rV;R{ zoPtkTC0nT=FJ4`kjLD{>C;=kbRbrGuovnDH;PACVuVnh{>)D^H6NKck^lBwTwcLoi zON|=E5R_#^vQi;wtfSKq?wYhC%fZMp@%7ff{IzD&oj(}w`+~ePW>*v$F_(w3blS^6 z+)Sa6n@~42QIZlvyh-!nQRYGLAGYXCJmFIBn@jZg0AsRypzVlRK+Vk(lM7 zII{NOu)hUmDY+DJ8cH7#oL2|(EJE>LGdk@3mrh^1N_sdC<7E_UaSm(}PJuX4Fef4w zIk%)YVfaFM1;tJPLUZJ&&@6ZqiTj{E$OsjYNIw9!Z33_%A$xj)XbC^O1WA59(4RsMIuS^*qigd7{p6XcKX~Q^)@368T>|pr zx@$SQdImdry50IKc_#Hq0M867%>Hklng5e#F0ogl>u297w_pHN&x;nkv7NExC8N4S z@g1bNSkO9|yU`wruz0~WvaS45;!^FnET`@E>P&}lk5oY~iTqw8|5VR*8nFMpdKTX4 zo#}S2l5Inb@{p-++3@n^WFqnP|03ZS07W3*;Cv_oAJ>yV$thRYYxP9)fU!JObY^G}<({Gk~&AoE`~v+Eu8+?PXC z5>z$+O~>?(J4~a&TyFnmm`x}Crq$m)rzOm1UC_ooL@LfM2pXedo$Ohrk~Fyx`1d3Y zN5_AYvRxa7??y~Q<0|Zr&SP9~|NDEiNLMk(lf&EY1I_ixN1MeB!UU zBT#*gE_?lQZSird-H1OiQ-<{uJ;U|Z`99mG3(eklTnx;Gr8Dl`f8pyB+HF=a;1)%L zgj}tMa#@#y88?BdQYycsB*C0O!(q%&XDtcHFvo&ARz|bC4yaGx>zqkNfSKbAC+l zw#z@M5}=ZI*+aMti+&`}yk<3xjSTO5M_1M!G&)k3n3l*_luba5ft!Cc8lj#^U?o`L zpYOcfTd}Si{&lM@b=csHHV;et?EK5>c9lu=OkjfQj&qZ&r9Af<;WZ4O|Mb^{ZK49Wvx(5`>FECbX_KMM<86)4=BB4xyB)z&4u+6X<=neoC?r3j?SGl9Q)&Ik#na1#O~_i)5sE zX#c*-)HbSL-)Xy_DXRk3hs=y{CHCCSwj*0ZnvXcIt9vH;ha5r`(*qv{p0@R6+qRnz z=mr3gOyGs|$*$ljJw>dwnJ_ zT#!lEcc`%q@dyg*E`(ow39rg+h;uHte1B)C`6s(_%Y$eRIe?dg2!b&WjlnUa^=9F* zjRy&^@5oKMlK)C~pLaxSp!=F+K4JI@mFd1BpWp=9EEHyM_G`g)pK<}8U+_;}?3V=T zIC`Uz0RAjH5?vo@f;(aN=w|r_3gvh~7M#KVqcd{?bmr1pXn@Wv)}6=JUuV$lhM`^q z(Pvzm_d1?2gNRmIOe;KKuT4Ea%-(hED^b{!K_JYvkIZyz914gy6rr zfq3$i*Wy2A@umx_^kI$yOd6i^??l{Oi6UAvi89blk2%J#_PP4!@d)VIXXG#c5FLll znlaOjhoX3A2xt9Ab5!t7W#$MIo8wnusPOB>T0$z=#FA(N2qDNMeJq)wLL__n0QH{ zWtF7UkdWPTjh7jLs{vH)aDk2^Oxil|&CPA=TKn!ss~vu4W=3*zwno~3AR}*bQy15{ zO43I2n0Brj%2duqA+In4EMh|3iAludAy!A);@e0YcSgtj?;dpGYpKM=#&2-a`7F~F=Bo=@Zwc8d9e>DDza4XXlS5E0F{j1)B3Qp_J>L^wmWq1~m_Rs?fV7#uF_h;SRF_r?NHYQB)7Xy{Y?)1_ z80NXm@_xNsX%U7BSYfLM@XUkapFFdMV9PduXLbYd%vS)OxdFg4Q~#T1#YwxgBBSLfnF-@kQT~3}f$8M`v%&<`&@)Z+u|) zU+tDQ>`?)f7ojyVG5P~e2H9waf%#YAR(vBrnl@3fcLgo9BJavvTLkW>|4A<#%mSU! zG*V%Tera{Emf%nc!QY}GWV7CsXcRK@oYGVKuVsupn579q#dy;)X0ddiBYRYe#==s0 z9lOOyR1-)S+9a-t_zIWmne;AFE3*;D{Pj%jWaXSU!%1N8gjFsDr*$%*CoiiyD&}2z zG6YYF@|I8*i{xCfnkH7$x;9Pr8=0c(Nr@J;&2{9=0ZGmIy@OCYGU+x^_{f$zm{iTNso9?9 z$x^AGFm4gX$~tb5*34z8k+lfxZV7TSG}FXYKQ>T5+hjFayEQqm7 zwJ^keGdthA#k^l0KQAf9eQ5erF--{{(SevP97s;Ze)ShHWz`#3;N%D=hI>s(=2k!* zP2e?$1d4^O^3t6|G16xvIDgI+N)FzE>!cxj*h6sej0lHwVN6)zwPW%UJLB^$yi zm>Inl^pzY>-JA%A5H|G5!0wNLC|EX*T^GjxVDBD-E9(};Ux%HJt&Y>NZFa}D)v;}( zW7|&0wr!_l+h)hPEB#{ceXjne>fWkz>%L#sTvc)~pn}7;mgA z=)!K}n44!%I?@)fBlx0{#-MYMLrVxC1_}r|a>GR4M%u0*%G-M^> z=xV}4j&mFC#a1t3r;aQLPqUtDcbwO7(``g>5T{6zxoL^Jxmi9MitPWZceZjZiv zDC3Y0&&(0GU!hKD=wxf9I+vcJNbaVHj(s41{DlVlyu57e35WRHklG;N?H5nAM^gOg z1z*FaA=+E7jAz&(cpYvN{liRdmzx88XsqDF19a$8Rars5i?2ZXmm6CBU1YNHx^yLv z&PQN5bVv^ov=2`1Q;a#_>|$cyO1!@Rh{Ia2g+sbFSO0j!=G_p-2=z-6;T-*v6k9H4 zdt(c>_t6mFV`2++XQVYEp^zt-AwW4G=h8fR4+~U@qB#T9QbBa&9!qx+$j!*F9aU2C zH0atuwqNZ=H&7Ilusb=69K$U`bIj=T_RbF2G{udh0b^geN&|pr_W#W@r|w=*!80qtON=m*izgmS`gKR1_LY4-K9Ab2;}_ct6f_j|A`t|F4NMbl z&2h>YWZiv&Q3x~I=*mTvn@ANE-hx_np%AQ!fk+j5UOVTFH0zbrq5eU`z9#Ydb+7U# z;GUb0I|cn?s5#JBI+U?{CxlX+V!E(sx4FdlJRz8+1b}C5D}3jfr4)IQK8!dk6%N>f zrn?+hIA-18{H~sFK_*6MD5JQg9JN9O%grQUabPd8kAFF7hG-Isb@*d1VbsTZ)abX% zrYqMBnq2B&ef2Ose-bg~O3=&kM#O6Q zlG#@L7+9B|IR2J*c-0cs$e@}pmmUZn^@_K(k0u(tY~D!eHg3Qe3r+}Q&@lqMg^plP8z>B9+cqi7@!e;xT&S!r2H;?K8Ild}dnM z?QO^K1>m1N(OHPe^uW6yjU~~tj_7{Ii$cl7&_WQnXFo7BQ%YrTM^Lx{KC=bBH6ULC z_{@sp?>@6-W>P=nNcAZ4G?;8_62NB`B?S1)iVFarS(_=6%vnz^v-~w4Z3*q{J}w|? zvgdUb;4{}M`-&da%|hxutaYI=glqDd&eAW_$!#Ye(u^+J_>k60GJz|VuK3Angfl2E z07aO(0VSA9Ul;*pMhLFj*kHB@#MjZ!P(J*zC|U0RJ&I^YbUW{}WLx3 zj`WPq@9O#58^$-b3!e=G46lek3LT_T-;~jInF4l?;!b}bm z23X_(KA8jz;AsfIjV@T><3a*uMjB(E9HT(3+H_Hr+Z*vxR5!WkP+%bTqoLZ*LFe`$-XU_D{DFPX&wmqB-gVVM)}e;6@fzpke2&hGOgghXV0~5(&p26m_Fd| z*>g2$ALJ}fT4QlBxWs6Jk~h+E5xRj+?{h(yU-TX~Y?6te3(^5zR%&0#m(Sm!P#gHb z{WD^#uI-?_;XmLmVCGth(Pn@4l6j8RzR7Zi!3nIGx;WX&=3-g}W14Mg9nkkF)a8`< z=KC#&{aoISVJXSG?ZNu?tYbFwTnVD1tei(3=cUd)qGJxwJ-d3UE%B&DOm_*Jp}&<1 zpQJM18;Cwv!yU%<)mUHaZ93Ijo^J=vCc@9by(%NMFd6mVuUQ5r(++^agI zGI(m-0_RKYeVomeo&|)@tNCG>EC$MW#ThRJjyLH?16HQ+ndHG8Gi&W~+>fHC+n?)7 zyc8N{hkk8wcS4ul1Rjl`n?mrCO~u(LV|G+_o|^!GW@@hjxOOScmo$z?wg&*vtof2^ z?~(dAvv|Hcv19j=%yG|fdNz=E+%vQ2W#YB|W9>P?k-rD;IsSF{RjlQn;&iKEW>#2q znHR9Wn78^I<9H*=k;nUUBb4c$5Vl=pAmFU@g7*p_G`BqA9zNpW)SL&<{uY`&{uY|+ zM$%hh9$DC#mA)}%*}|tz_X<-rLM!p(RramY4=@cPDlHXU>)1Do=gM2}2o>niaR7Sb zKr4IOhs(L&!LqyLvyOd?1SYSXHQ)@({4hLlKzs~nkpWHf3a6bSMwnFO@&U?oUAR=& z?>zaxHp|-62PiN?1qtA!2d4H=XR8M&_RJjMPWtwyRXbUWLJ)*XX;MA2h8%VU2wWo6 zViiT0t34lfV@m6Rkv806bg4^7K`YOC!%8qO&qXQhMAS?FQ)m|bOK9fO^az<$z!EG; zL=Y2R_|}bwwijU^+VNQlp7HpHE4F7?IbV2WeX1&wk5Xp75Bp|l3}X8R{S0ixr>ciM zJC`mK!VE_XqNxHh@(0OrSmt3C8gC_67EaPtd~gCq#GyKl4$*Oe6zJ#KT@SB zb2F~U+n%f=GVby6C<}0V#L~b=cgfvcEUP*=Mt6Vd?OiQ@AitZRhIBiuSvA(EKFz2) zZU2VFVHP%MK)Yy}hJLk|`7eqAXPLlXL^aB66?uR@WJ2`#DbFR75(*tK)Xsa!VU(JU z@HbIWfVT7q3|kxM$pp)4`N-9`p!WIFCrY|bSCN${oh0@vi5LeE{6`nmp+|KOa_qjB z;EON7xGWVWNaHzq_oy{?;5H@rRwsOc7g?}h-dY8)VA+75zo{ONWxl0p>ei=YLLdEN z&=eSLvv&ZB_f<)s%*2Km*QfgKKVM6KN;$k3p&nwprXn6^HZti)#u{Y%`{NyGUWBC| zss{kgHXepGwlm4Iad)4P(kxiYv9@$#Gfr7Nt=@s=1~kgsHWQ6Zb;+VhFa2(Ho8Hxu z=l;7*9YPJgLU`>EJ0Ub(0*z^$@KcuRS^R!j-IYH-Hp4UPkliGWngBvGJw~*qH3jxI zP*v66LUY-lLUV!kyU?8SMT6j7XvY3O5Sr2c7Mg3bcVFlDdq1BUsC37Mm|B~o3uCB8 z?XbO;p8Q|V0+t>F;U zOI(rivR{;5bl$<@=Xn-ky^0cSEb&BZc-_(=WIai{&9H&(1Cc(JrQk+CjHkx5AYzac zKWjoyfgDQVEddxubRRqE==lRC$brvIYXY^SOE>0g%Xe(#t0Fk^6XCUEh4A$QU3YAN zW|{pMcSVHySM=hRWsY0CeeoVV$@UDW`+YwvvVdRL9m~Sn?`HW)d}%EuItiOn9eKr{ zG~^zcE~ROF@T0{2o=v9+TaF0luD+rOBUmh}h*X|Vjfp534tNTAkX9+?ID_rfRz9=& z0M(Jb zZ}QSq(vh9I)2Uk1Z65QMWy#^yD+u^C*eXs}aWi448`gSmSVKg;D8zBa8wX3sxbW=v{gYL}Qd4~HbY-q3x=SWlUuTOldrAr_ ztmYp^RMBXXaqA=yq(;EHwjca}62`$!84@7L+#|N3C=^Gdwq2f)EMRUwv8VUTkgkJ` zF>Q=bsfd?eqYL;Lc!Pv$H-KAPraNc!&@Lldwic6q|1=+f>BKFWbg32=^UZW$pbP>U zq$DWir$O6&?#`7*a}e#ebwVw^Kq{2AP{5M`IFC83@zOnuSc>0o3#ji|`#}@P#j+{khWtl&N^Vu6!IG@pPR7rlClUARi|2v2lz=*h zRVhx*Gpm0Pde_BE9qC3Rk`9l@x{8H~2UN45KczXv9F2={j_jnj@nxJr?>ITzc`N^< zfq89Q@*l8Fv|R9&I=`saRa~@5TrqGhUzgKVoRh^=5JlijBU+)zf_BQSydN~!CL!-u z!q)Eh>e2eeeazN1Meti-+m@y)W1EN--{-aIi0JaJM}X3N2GMG0u*PU;q_ZEm+CP5w zP}r|d5!|p~A|Hzexf9J|gzjNipP80us<;s4*e#l3)SLhc^q!_R7xibEH zu3UD5pD(BA81TU{VtV*La^=-u;EpVR03YIf`n$D}B?8tGd6#s5{9T^$=o=GUU>u`e8xx^2EFw`NnEjhs|M@k7hl+YYw5MQX&;V*jDd$Tr@mj>4Dn)OOtEfgt)bgpD(JaWR zF&B$Kk8o@PPV;dU9#CUjGv10kG0Y(UV+EQkg-bpH;;pbUI2is-!6mQ07z2@cWC&T4=9!k#E7Tdv}YtSx;(BP6^@KPurbrQnalDxmhIvsNsW6O^r zG6!xTsG;<2$6-ey|Vx0Dss}=!j20zU3H?y!ZNCO zib8-;dOi3nsr1zabU@r82ep49rDJAqs%E=vuz(%p}?ACLzcJ|YbinbqHo6}vCbW~@rCEmkc+??=CDY7EHrB#x8I+f=VcDCM3%b^Rlc3E z;7e5Y(Zry)^eeN)^@JCX6dHa3J5UBgeIIaAIvWtQE~f=F6(_KJOD#$QkQ(wH9rHKSPPNvIMNnZ(*6O>B?V zet%Us_POEvl1vfme)>3_!uX77W4p}NJ^95!?M}QGul>P!^D&5jlywo!EX7tNsogv7 zs#BZ>VcI!b;G5JDs4(DcAU73Y%RKC}js|IM?dMaCB58GGMRZ+VMZqZ&i_a^=8#kwl6p&XBQ1E2LQy7;zLqteH4n&4=eVv81atooK!*I~-x zVW>ye#{p;I>26L$Bz4?wYCE0Pm)0{{h3;Wgf(;c+Kb|q2JMCG37NW|0FFWc7IL%;W z5)^9u4o!H{p~-V0N-t&Y?vtZb%0KjRgM{_9e-MqcI`0Qtrx^k-*ZKfaUBwI8b+_O< zq`LRLj!uly&Y{kTT6Mf#E`lEr=ybdmH`+YjVyQeZ2EbuHPfug*_uaaUFQywfZX|5avu#oyI-p=lE9mHnXy;^19r?hKg@ z_^QuY{B_x)RJK+q6<9D2S_^)`PN(~lPQO?QM3j>+m3+R055#Ut71t*eDZ83f&lzyE zn+=&wE48=qmoEWaE9Q)8=3RpbnHagcs6qy4yNx9eURYSVG1O+OSr#s^=%FZu08!}) z)#_%oto8?HT6Rti)7NHz_thCgJ6y7x+(MW6>wH*7Whin)q}>1O;LKT2ry)BsKQE( zB%$27LAI1vt3J+TD1JrOm1*0Ww(|Iu`m2fLz?aO#r&ilOKY*l`9yZmqtK_^;;Nv)8 zLIUY6!&j%P{P%>!n;zkl^85bDUBnQSr(qPGXE&YVeWH%+ZLKB5BDZT7BDzl4&R>Yj z8Hx^+LXi+2b_hb}dPo!CXPpewcCGZ*lH1GbC%FqPw@q28SlKqHULi_CXyxUV!-&q1 zpcn1oWNF&yMHNu<#yW>{LCc`g(?M0im~mnHeHj@26SLsJf|0;+?!ZEafHxoNWmRn{ zMkTnIj0z68<(+FD3HhSm+t)O5&-fYHGZ3?yK;&6f`q5pB+Ew^&#eQ%|+cCi@?0vE^ zH06AF<*;VRA=FR{-Ni32KF5zhuL$|sgB6^g1LR&m7i>?}{SG{R(@HU328}+mAddn+DQcRaUe6C65Umd+2Vr*J z9anW@5AHo{+P!Ib72cGM5^}Xvs`Z`Dme?(t+GP?LrN440{BGMtUn_LloHVTf`xnt-TZP|7d1kO_3X|>aG^$l~9I3zqyzm|(yp4rgfJ^rZ* z9%nGSXj3pQbx%(I>$DW)x$vlzc$k^u(Be(L1jw||m2y~f=)83Iq8jgPU0o1GnY$q; zY@+(?j<*R)d#AZ2XJ*m&%(h11d|lH&QF>KVcFxq(X&}&LLSf5zQC)UPL)7RgQ_a6p z(?KatCyrdRAo%wCl&CLE>B=~y6!Fe6!IJ) z|8a{X)Mn#QGKKnz^npPlhK!MAeskE`+#C{%J6T(AL?t*Zvp{A*v*syn!b zQ5c190~(=KUZQl2bB$S02tKB}ly>zXy?6^iqf zS_i5$rX~J_9NOxE>w;brv#Vx;JLg$#`=)2Bh>!bxb1oxydD619aN2oI==~d^*id)j z9e_sCm*CDbsLhLy1{@-!4c)Bd*LKq+fz=e3GV+y!cE*TfTFm}cEfPjOss`x9ZICmK zrC6KK{3qwK3}S z&Ako!Z8Tq~f@ao{X8KPNlS+BNV*S}F`;2j*y&KJovACGj5LX5*zm4XMqTDIlm->S7 z&Ud3(Q2_baR`pXK1c{l>Z=;z#pFIV}vFCTI?DN}b-gXpfZ7qH`n(dS&)6UTTG@5Dj zzdO1&eDt|2t%`UznqR&rg^{hhyJ3w@0COHXUOPJAWc%S7`gQCpJG@0;fY?((iPhn( zCk+#`Kzvf56Vd~T`sC%?u3A0<+f#1psbZFv^cI^xa!yAFbFXSQ5i@7!-jd@Nx5mJt zt?mP*#!G;m{5|6UOz*s~73YUmra}`gVO-pH-Qgw0M$p3LhdyTu+?olz3c~u20fp~I zv#8C1sO-i^x>(=vM`t;WQ&HJox@b{Z6pr4!TE|A0q|q+a_zdhKvj%| z871U+h)T<~BAj~e{C4}}9%9~6&(J-rZ9?(+ykWQA?&wr$*ei6y@k?L8qBPQ;3&HpP z5$df?FJ7OmG)TcX=^CnM3B>k~j5p{*1rPGM&KgY7NBaf+sm_>X-l>ApCuVDE`EF9T zHlrg{fG4_Aj4VX78OrD4ts2ETiQ(9@%+mEA9a42}*_&LD$QNK$FS_4? zoD-&bh^vTpPSR`sNa7IbH`CeE;RrwOWRw<%!N~u24^3$*fgO@VaLMT%Lm`& zTfnF7?B1V97plyn@kEjrEo9=+q(V5)75ARP$t{+>1DWmTi;<%umJstV=&cowf)n4W zLI_AN0xRYUYyTv^&fuA@5YaWL5Yy2oAxoTuES~~m|41w>|47mt)`u@CF$=dLCDA6w zyMi+mLy+tHWCY~|;;n0H>I0CPH-ORky;YMWyqvG4e2*q(S^?8V;_>t8`E~yq2?Vr- zLgnJ39}Dt;wdqQVd|whB#TqH}NeV{_4q#<^MH44rCw-T@kE4b`yK`!aaY9(9+fDi< zNR;)&GD_hYEHlfKYoky%rB zwouSeBfm+B5m1yv^IiP8wbf3s?>k90{1~bS-G~sjL}&I;%PkJG8Y*Yb9s>54&>R)_ zVh(fV>(6J+;i8Ku{EwU-`ycC;pL`Vk-F}j17aMX;Zc;1*yHYS^VAZ(wW_DwJNAU}Z zTL=Ue!r>pF9D8Hgc2iTIJ$tU$PVpBS!Q2K*jR6%><{gYXO?=}Xu&Y6SgOV-0q?2_<~42)9c&_xx&w2;DdLc zQkXpU;f(4uLh|)E!?~cm(e5a{3iePxM7rU2)ne@&;5M6Y4U-5im7kx)dkkFL@L*?} zc9x!>B>EbKL57>zj=s+e_2>cfLgz4|S-Qh%vV=tAg5m8q0k4I<%s2PvXMyobWXb*E zxrn^~FDJ_ybN|DVWr2)e+!x7nVDCn=m2OG9)VtAKV&3tG(fo=VJWpST<1$77++qph zXb7VGf&o%%SHUNS z(s8m4eI2EKc;JXUiOj6!@e-Ng#nizME#c8k)W5G(Ltk-?H3xS;yI%ZLEB{j~|5Gdf zQ!D>dEB{j~|5GdfQ!D?^uaz-5rNghmf;s#Tv;<}aIK8lmGR_XA-$;WX=s$V z+Ufc5T0;!DwW|TB^b#i(9EZP@W)#YRS%q7Cm1mEiyMUx9gTUF2r+z# zVhmQk8ApD|chB?*xrE_zuKjZ4!gwg6k1E$0yb-eW#|-g6>X6qU zwh{;6UW+hKJjRP4i}#)sI1|qXXvtFXcrMX57Lt84L=aXI$lXP)8Q|~>^@3tNNIwHE z${D>TpYhgPWlovLh^f30$W~XMeS_adGbe2y;XHGGKtzCZ#O+fHO&<;7kM%Eb7BI_0 z?Wzpxh2tfvaY--#)XM+V%4GNP9As>r{}0#7fHE177e`LxvmqMj;lcRg#LpsE)#=fF zbW9VAPOt%7GsL3x=`p~V$zeZqZPS00I$QBDp!*2Ld7sGmkps{r6CcC>?_%XY3T7Ta zrwj<6^%3kH=|6G^8~t(prH^1v_08U7N<68+Z;#=D4b#9oub-YMw^q_(k`FlO7~nKB z8F$Sb{&t$LoR=f#5WBms@ro^-9?Ys&HH8Dx$JK*#92x-;a%59LLqhg!s9~b8d&k+; zH!!x<(|QbzO?5z_{2+3Zb~ap}@91Pf;Qr*fs)+ADSE1H$)(mk(gZC|?;nwN696=HA zvtsMo;=Ya3i(-cZ8u-SAUBTe0cc_`>Csf$u5Mb5i*y76p{^GSLT>rGS-;SNN$mAVQ zm86$G7#a|YAJPaM3aNsV^;U5)8Xdj58n9St!EdNJ^8@W<*e@oXeAqqFEH7_MvAQZsHW zcuvSEpFd5#D=$lYGgY|ERHSGzjSbA9upxx>x;75l5&)4l28gNezLv1BV8 zk6O#U5x4GoX&0^dR-%JQCRK)iDjsx4u7evMZ)v-GrQ;t|Gh;uz3-4&y&~usVb=^|m zMDcRm<#sVVodJVzA+ATU<5j^Civj;WdF+GC?FnyP?zsZ5r@Y&}gy{2+ySw(jVA(rT|#IPU4qkL(UNHdjqN zQZ0|HhmS0`MK$XqnM3=Jj1CtDORJHh^MOS*Z$Dq##oHdJPkjS;?b-tOw2QVp5T72z+KYJQb)lPF2!3*pj(}ZN-Sh&q&ykM5xPRVqau}||Y9HzK zR!u$XzU8!Bt^*minEs*h$}#*40s0c>9ki2=$CODrpWKju+n}1LstGPUGB)AA_qK54K4Ls zR&JRh|D7%m9?a+t@z;dI36!#>2b7H2TS^i*N2$eWO;_3eBP{PixAQj!tuE4$2nY%@$m**czW za>OfX>3w3UX+|nz;WUN}j$=nsHZZU#l`!m*Hte(kvSTAtF$t_?Qsn_fON<< zyB-Vp+-f@3R@Xsl1=6Yd!m8Nfmw8(Nn9XKuy_1_eL+y$y{Pa2*$x9iPDuTny zmm}IoTvkt28NRlo_&~WjeBf>8NW}@s;`aS&)(4Z(U+TBm{v=L|& z|L+#dftZ=b-C^^(aWL|nq^8^|2r7azaWuz$c*Ke4(}M1pfi-#H1WO9uj0`KZlo#L3 z_@?_CWPgI0pFtls$5VRyDKCw?s&zFXa>E^?=iavOLu?JL~<8G>rD&ES8~`*t#H6N?cL|-6m$)32kYoXZjJj{+r3N6y?;lYFV5Ke zol_6bM)RwpGVMC7m6V#ga0>#dEI+l_yeO3K<)Af4XLDMXRl;$7hM1ywTxOOMp@<#w zOpYlft|{C`^?40t0)dl5`#ZtyNZ{v z!N;=e?8(~UUxFVTwVCtxmVt8!nECQs*AZG02dq6!fM6UH@6*j@+-PbPnvW;X&AHGs zPU>YaByS9re^|Q=@N=&##-rd>ot%5XHvTWfa(T^eiiPH+kD>TDQ&9nBB7@ZMkQ}pD z!$T%l!RQ+k?o(wT$9VdVmt0yBOiiaG#}FqJUFJsA<$|6iAtiT45V;fSV%j7p8vxBR zooUrK{-;|0pHwZ!z5()~piR*_j_RKnGlW5wrsde#J|1wH>4EY>g+>ERD_apISvtoiYt z)$E`0&vAvU2{P>&GZ5GUZf1V$2~L{9Z+D|)dLDB+>`&Q%&)Y}?>?)=2wG6s**(vo8 z`@DFh&{5OaK++@YTR@{y*xuk(>Gj|V=|Js^OT(nEj&2xmKqL*E@G?qFg~@BNp!m{Y zX5!u^IjF=F)Iaj|Nx#bmbXS!)*Jg<%e}ZC@%P&QWsTE}p-oG?d`{Gr6%jb%pEfFu+ z?)YK?IFTxht;quSn{hS=^%L&pcdw6BikGX-VA#nALs#W}{Y^@wBF4ZOOe2se1FDFytv7I5pv zGW7@siu9!cf;b*e+tF3^I$4)ggX4P<8iD930I008Ve7Qi>cc!lK*Fw-QR10y)rFDp z==$SD1*em`(sFWxpdE~g@6NP|hf~Tg&g**M87Q)DB?mp)en$`#64bJ+=9S2TJ_$3y zl2;{d9%I9V5;+F=-p|z)a!C7Ft=9r1FqY}~;-zd?wW&CLi&l0a`(bv;@ zW?A>k9_t%6HLmxTC%eeBvP$t5yU=CoTC_B)+q{AybI4ZC8r|3CN7jzHqajXdJ-4r9E$g+T$po0PFOb^bo}COXME1%#o?( zj(HRQ1h0TNVW-=4#$ZsS^vT1?8jCwt#P?}Bpo;7i43=4prElHiOl6B>TSM#oOhE7z z*Rmc{s$NGwNIFcm3M!W&)OKa|xg#8~A26ZLay zY6`*X6q2FN5c=-y)u?9D#FLW&S?SJz0nvOnfxhW49g2piUb;_!ZR}37fzS4w`JmqzR(5@%z(Ke?;#`w?KsT+xK)YDmD_ae*&O&Q!om zCyKKn=?k?k4;$K_#-22g?fK(s`Icxo>B?U;m0-n4 z#SRf56XSGZd<>_IdH1tF3Wj(XK+(N&)6Lq&tWVm|aw3bL3eZbr== z@M~xPnEQ)9cpt<`C;59wTdYzOf^ZkaOEk|s1j_g{N86layXyF zK;cXiV%VuPs7`_wV-aqvOv7&HQ4IZKO4YD`g;ND?5J~8rMl+@cjr#-?=|GRdrWawW z5xqQdsS@%pNOT>&gwCE!9zzVOqX>WK2agIJ zw|_gQ)g6yi?nHez-x8?Qb+}j~R?91tNMPpNWaGPB*NNVgE7w}eS#8@OtJWf0D7HWR z*((2qEJNtFX;&|;u(WTyF0IfDQGSHt)uo)A+oRYC;!ou?wo{L7Fim-$VzI$1Ue%sE z=C2!}ZRJhGb>5C&1GIa!)Z&rPR<^YoU6dOA&M}fjxq!Tzu2II?+gM%ui zr$#rhO35#E+{aqqs-?aDCyVM}L{_`yRL(p@q8kDNxAtX{A^zJo_cw@$?!;8CU~(69 z9{)&`N5g@GyB`~hhl)-I;ia8;>{LcuVL7TBwEbO({`GDb6}t51Z@qVIkb}{r$RmPs zc>#p7pj7xFZT+y_hsQDV4`= zrrdgZ_Tg1vz1x-=@>DznA-D|~XqM+V5+m75Ssn6D5m8Qyv>$mQW4OuVWiO!Zq`yds z4*uL2Ik0{N98&<#8`K_soh0QH)>z|+2FwExmUI43gyrf#h2?S1Uq46(--YGswI*8d zCV;T4^q<0V%)f+Xjei%GSN;%|pZ*q>hyPtz7E6B@mc{=TmJR+xSpM=kQ;`GKmty-@@|4e-)NB{zF(E`~SJHJoSGkEa&|rEdL`c|Gy$EYapL8?29Jt z`y+g!Z3qf{djSivBSDen8LPfv6ukev&YQU>9lm8VB~YB1`N?X_pccdujk~JC#Vq-Z zeN}kPaPqHW`SNY?X>PyXqIUiKm6C0d)Whs-SYyd6^IDP7sY@R&Ph`+&l>{Wx6|&0Tg1@g3aVH0Y-gsp+o;SG9OcIs zps~JBkkOJzDGb4xpuHJR=e;BQ`YAI7a!jYfsl#(98mIEAy^h#SsZcKmJgKddo4MnL zoMARk_Io-u#Z1nzML%H|&D-50oDj#`iA#xe4wA~{ByfnfXX)%Vnxj~)O;yX1&=|%e z$QQ;}H@Bz*-D6MHV4WhMY?PW_EFlkki>fc^_>_SfN8{1a=g*C1RI zj{l7{_uR1mg*7W+Wgt!C@^erH34g(G%ttD`qI)zY@))^yKw|&!Szh!NjZsj&D=q0K zO4hVAX3Ed`O$sDGCVE9qZ%+DKs26J|BJltTtQB7QMr0rqd3i{%kRo7sHh2&TKj*ub zV<#j9ul&8+F)B)H&&*a=X?kXb&f+Af5O2j>4o$w2g`xv6jXs4R ztKcWWn@PxYsjB62e_+iLe__pP(q>1eMXdD4M;0S6;qm*WGn1u9H|Jtw+_xJQH7PBn zLVBDo@}-H)e6$wcAoD=2CC@)6fA5pkT?PWn^m+_G_k7_|R+KPCdYsf)gJ?7E?FOSt zY1Ldc0U(6J3V6PP#Ezh>n`3)r#+p;zMzLFGK$x@_Km}i z-WgD}MoZ7QmjxFe2>P-JiQ&F^%L-3kid}cy-e>eH&1SyUnRCw@tI|kSlC$e5-1q=)p<*;MvvHo;Qmn<=svZ+x| z7+}bVzYX_T(Pe~DzU$Ur5R{^7wK4wlENQ3XEZC_yq)q<3kt8p6i1)PLsl~Iy?g&SD zAl@OQR&|w~>?vY<)13`>)>~_poeDfM6tW4GeeZpKS%on_#m6O-MwWDAf--`-R8)P? zv3Z{Vy5E7z%NtF9BCy(2UG=$+G` zHc5umF-L?QbA<sCf_!${YjJ z64G2jt(PG7>r1EcuGJ$Rs0W@CAm~a?j+yovvF$W)i3f2*%0Ut!_nYC>dG~uGz%BUe|J4nJ14a*o5~I(Fmt#r zIVMsg?`vT;9ok+?2`B6AV5V68Vbp!uDx$Wv^9l8A<&BTrvz-4MJ}nrG8qk7F#Nq%4 z{%Uvcmr%Oai0OI$o)hPr0qYj}6-$@~PNhc8hkl&o&9G#!V>DXdP?yW6>*Riv_W-&= zucTe>KLfLFKKHIU^sd>qjBk0^qqy2@$x>MH&O-#WeOoH*(0)cgGUY@z zmfN(tLqziKHDPaEK*Ry|H5~rKD7jX4_?HZDtc^K3>|_h4Z|l82Y`jXvE=hT{SK0eHB7s}O4`A(*;<0v~D(?#DtldJIa7Yq2#(RBx(DU))KkxUtN z$TQGzTpCin+Bho%lqTGH{u=s5c({??``+v+qZGOlH^7eXr2|vF%i#Gub=gtg)dXBt z3?j>OYKf7Y-=lV1{0e)F(ywU!5ONgOI0=tF-%EX?vO6Ju0wG?{o<~BXfye}sm8yDA zyjQoc(x{#<%_)l^uZ8Ia{ItPcBa$>n1{#8(7nhEW6*{JvoV6{QIR>|f+$ zokr}0H*Jk(r>pYqZwb>&g5k-bSnaMobY_@o(ahA?G(S#HFrQyZ+DDS z#JD`r+;az7uM}iuMHgoHmUDa;r~9rmDkS_#`qvegAXleDiH=-`u2{83T^Q^l*jA0= zPtW~v^5D?jnFHk0WYR>lU7QUE{(Sk(@hIZV?0WX2SAdmJ_gPK8_taa(*e9p!ALB>#0H7HNtTBcv zO*`A_#(u|f!fzr8X<6$|eatfv)v+%2;H@s-DSW-~2rGz7V%e5Xx2$uBTaM}4f~yfk zeS&(=I3(|sW6}t?{8;oenJotC>HHVGP{U>$QZt{)tLcdpntQmfw* zI(JY-T^FmFQ&ly@e_9sIr>V33D5621^BQUXv^qsK zp+%bTy(i7aG=8WNWqripJ^x}z;je6;d60R#Jw59|P-3(<9MxBuV%zOT0T!<_@mvO_ znsLe;=4OD}Cz6Y`J8hBfT3CCx{YRWd|&_T2Q$ zr?hgF`1^ne=y)0*d+AP^FZnYU$}OoAjnU;8cvgHiyZXFp)tMKd!W{qPnz@((T=S7> zf)B69eQm)kj=Zp-HM=*9wG_AX=S=yM*YsDSUoIi85_ARO>LlrtqR`_gN72&F%(exn zFWEc*uDKrmZ?2gKi$}0-(Q3IfE-d6ET2+Hk-7#1ldPz|!~&pW)l z3|DgfzgVUpOn@6srF>tk`T*5JylRuFUk*pqO2^f^(DH%5OvwP^;ZyeKN@LX* zOgSNYL-3+zKWmS8MjL)i?i{XWNy@A>25Ku0#XjLLE5JesUt3K)1D&DuNYQWLktSle zU*WzvUC2k%p?Shoblc|`Bvqvw9|$Jv6>Dw%z zqe)BITm?@wgf-1dwDK_x9Dx*g@dq=8YonMSf|Yp+gU)s`Le)H-wkE%#&EG>Ny-)W! zXBPdL-D{;uyN$`RQ-mTFizRwttO{en_H--sgD9qXqI%v4U92qE7Ja_U5Sg$)!knZD zwcf)Np`jfL|N0VsC_i2g{;owoJYilJO@G6-7S4u5Uv|Ypr&UZ~czL>hlJX%P-`m;k3?DN~6X+RImG`=rzi3FOf-2HD4P`~ly7PJMeI>$jjJ>L8**JPM^cf$yjT)1RV9lBn zGm*`p3F1>D9nTBMB7@?j2wtUia}tSSc(rMi<^3Wy3;wu0x5*gI6Ww&435u)qc5%EOgVufks-bHf z7|f2T3-0nmdD&FvRTZ<)Px>wV3Tcu+i8yjfQWC&klrV-lkU^tZZpR{Af209_*~Y?Mzk29Xa~7llO8r< zHRF==f>Azc)1a{qvVD=$$osWK|3is@)ZV}auN%|Fxn)luxWL8w*%}fsoKkgCD%tTH zcAjiybdUut@2D3nI_D{aWIbSGtUXjrsx;FTEtRRdQ-(1*J(7d%6mMd@bT-?(quL$t zF@%UF;B}oU#>%DOL=f5z8M%MiD`J9N{5T9_gI(+)AGOe#eOuE345;MAv9K?I;D={S!GtcvxY z#}frH&89+edIf_%8u=RPoVd8wB}vy#Ps>YC&M%{m?X>95(iH5#-02kKx0fgO^w2Pj<7%YAo3x^^BB zsrwu5B*}BxWD}eA<;@aWlh2?s{lO0fuF%S3AoV(T9kC2ilj^lIQxs2Q8cS3)-mek! zpU9K((2|L7lTjXIvF%7ML(aIPD?)!^78*-;`3aNfWw6F3^rALjD}-Fp;#p8!x_RAm zSt7Ff)WsF=I5R#-C-;c$7oqHC#7Pqic}2r!waWvC!{2vOG!%!@MI?t0Jt}?g3>W?4 zQjf04_xX9Q=t#I*$3)uhRwX~1(dtPOUd#$ZvN^4DWZzlqvGjjq?=EBFeEWvagMixeA5ad&rjC=NTc|LeN$&Hd!bZnB%~Cij~e!c68BOpf#X zeUHx}_?z>v6XRNU9(aHcZUN-n`Q2ZwIq*MPvz|r+iS!4j#^`+RCS-4NHbR;8eG=H+ zc-e1uY7umuJ3N`p1oS9MOd+%ox!Vim@VWq9or4Aq^|xBJo2iF&a;+}dFuKJ2mh$U3 z;zw^M=NEYG2uUaz-@qWs0&YZ-yVH=EMeR9=Wh^)xi1IuyNpkp!`U3%pe(1W{&cwaE zQ1}I9I}C)nBd^Sm_O|2&ul%TyWrCC;=PWO{=rPAqlr(nw@}AgP@-k)fz4L-Fe1_0@ z$lnuVwFX!Kz%#s`l7TLg(F`LK6EX50o;vPcnCiW;fSBkT)#4X~vXyx}nVg^n-j&s$ zP4VGbJYsvyJXLhBzz(d9L0H%ybGMIqha=IPKOYUC(7$x!#w-ODW%5c?(+ zoC!oPz0|RPP$2aH9_4H}2DW_HcndaKi}>|k@F*G?RxWW6N%BKpma*QoW~R_dliA<3=0?2r z(?{?BXw5E@VKJ}=814;i(|i5Da6a%rA(y(TqI`%Q z#GHRV!wdx z$2RcFX1C?e$JO3NXIH3u?mE6LXK+gWz!&gh)W2hA5!Psjo?ZDxTIZals;!b5I;AHW z%upR0pj+QD=LdcrPeh0+=|LLY--ydQ@isbI6TL;%uebLZ{J%IY*l>QEBSU-$bPy}I6EM4jQV5}?W2X(Rr%%d>m~ilv*vxy z+^Gm~{Cw4OwCPJxC*x=mMRetpg_HQ8gScloJ1|~8os|CEdPF9hK7wi?m|On&kJoJb zcz!IxUi+r1uwG{PT#R&)o^UTia6-qPmpenkuk8I&*j6R~1M4U1=L2=$(tNLck9(o; z;;8na`(Q{P1?YQTng<@|3+JPw{h7&u!R<%R+gn@ZTWefpQ{Om&#DQDWqmAL2^;oId zKkf7851GIRhFc)l{F3~`#P=IN@Us6osqK-P=85X|M($`ob!M9CFzr>c@Z;1$B-WQ{UBaAz5R*%LBC~kkSJQdaMGNOJ6gQt z&pUuGnK?aj13%f!$wJfaxmuj+Z7o{fN24&&S3WDq(g`0xT<3sM7fME67}S+NF#5^Er7kR}q6v#VFIJDj(<_!)^zcuB*_$ zxMtEtFStonOZN&om~Uh!Oq!=l>>9@jsNCF`mc|RqVM|qKiDio%>vo4H# zVDzuBk8mZT7rdsv)9v(4w1yyH|iuMOh60$Tc4U zxn`Ur56lAK{nx7R2v`qX<;M@qi&K5GR^ZZ=8aIc_+Qw4p_eqv#1?<}L-HWE}Y+(j| z*3$tgHlOICJ*pHAS3}`%0KQauT#nf4TPvoF;|s&^fiJU0jBjTwsq3?nbzM1fi!!mN z;-?ALcUjhV?8_7G)}o(02VJ&FQ#sy}_(vef(?`)dug%tq#2V-_<(&`Z*D+S)r0w4Df7&|)GGf6G zrzr%AwIBF=G-`+S{l0LW1vhh5oW zMp3Q-HMHFfmK*A!=z^6$537+5`zCU-EOP2U%k^?IEAgFeX1;Z1K$spi9cOTAmY6Oz ztsl=<7X8ldk@l{!oW~N^qrD+azepjnzoYG{^XANe(ZD-O{n)RC4qk`SY@?vt5N^dD zVPA;N@y~Ti$OkSn%jcE3L`R7#>ATYXv9gHwga^SZ>4WY|#gaH)Pz4aR4li7I+DWj# z@#c;VX)z#TiU}o#y6|-nKj!i+AxFT}X}n3^;a$Wg%}P#?1}hFw)%XbQ`#B}VVdoIr z2=uMRHZ%q^rG$@vZW#uYj!>f$QKNtCQ>%@2ZBSSs(EqV;F6%=m?Z;aHbzu0b*tlcc z8?Ho+DuymwIWU?3c9%DYB6sTd>~FDOb&|?XBjMIZ=<|oP1L9K@ynfgf*8ojDeeLSw z5MMM(E%FRtl;F-}34Rd^%$jyB6 z@EpoA8jfVvEJuZ>_Kkco%>znfZO|ObeLi2CTQe^{Q)Jga6b^FEf^>OpXCf8!n0ew^ z+ZLW$H+Fg_H@A3@Qw|KNheB+JldfSznKZLcdJ+8K!e>H@p`McE(!x|m=-EL`K&R2P z)kc$+@Hc@gz@iIj2-9V2HAl>3j-=H~YzGn%CuC8{<>OK(0& zr^aqtYyd5ht?#YC&uDv`-huk{`&gUR-=e`XhWa3l)f}9E%%om*Y}?8kno)=lIyvHt zQJA$r6da0m}UF=c4Gdn zx@X#>I5UBb@nAq{1(ve+By#KJZ~$1j+PVjIGCUen46^3!O3@KC5NFQ)`CO|uu4Lwr z+DWW(lQTQry+7&Dv4(pH0MpT@dXf-Av6g6>B%pc-rT-|Uwkxzm0t50 zsd}zUFi`Mwn%1xQi%g&z%PAYV^JEz&}qndP}6@E=y!cjWz3FxLRXmiqHcxC$BsgN>B3%6$vF5FlRV*0f; z_tQq7dSG$1OE0cZJttY(M*rPW;d zZ>xEU~4 z??!Be?m|<$Qy+#?+rV&yn)}P2@cFReooYUcEQfuh)KQH*w!2*B{eF7i_HA?4DpULz zN9oihCzGg;?w3VEff`=bl)qqBe5$}k&yA}enP z&}wcJ@*4GUNeK~px0)ST+huJ8$@nK?;;sH=H5>i0n)B}ZY>Jby@BdoO2Q4Kz=(StJ z&623>6YjU^<|eV&ZYIPZk?;4e>uZ1QQ(!5woh;;dmf;ZLOYD*I;-LpK*008s5Wa3TX`~I4{=%Eq((%c_BH2 z<>(USIka7>(j=Iv6^P{0rC%}z?81o$y{YE+QlDEX{$R~)|6tA2EtI&#*O_e@RX5bd zQ_Uv`>?imo@$cdD^wrfSSMkt$_$>1$eC~J;pXI^d!{-{e`X5vO3ZFypJwz&6VYVRu zOZY4Zr~>5{{SqN$m;A5r*{tk6eD;42pHKGEO*ouCk02nVmB>=M)mQktc5D*se>)l& zQZTu`)+I0mme0Ar%iy$c1gaYPCqbQa89onX6po~1nOo#m%MNii$*b#JyJo%()6Y4J+-S4ZLV4f^HrGNtQ!yia3N4JvR{(>?0i z;A!w^*Vh{foY@SiVg8C`BY@{T3&ThJ*%hI)T>p9w|9y&tM27;eU(ebGldKk+*~Yg^`!U0ZGO1DG7f{heVc2P3N49dIb~s4anPZgipMmw9EH%4 zE)>TxH^eUC_07{hKhT69PM7Tr*BWOvPS3Bq%9;%vWHsixkO-EO<-4~WW~HcBM|G9& zEGxvBE6c|rd<1SlIsA|s67%QGV7vjL{al~zcKxpLNX=j7|7{FC%&bPFos$!##C);j>G@)ny zCrbG1HCHu2g;+l1obzR2Btag@xc~8*m(sws#2%T%B$A;ysSlz870`W`X0vIM?BJX* z5VjM1m!i*IUii-&`ypVhSD1?V7;w8486T)t)07_vAI;K!@L=}@drggU3U6Rfsbpqg z3Dpop!6*89ME^NT_=Bzlcpap@GMe&Gm{zbw#$)cAM;5)HxFd+%FncKY1dlxk6u>0| zO4G&b-h`Ge_kQ`*o)aW(<&0NQNvY7}Q4qK8c`DA?)LIAub&K?)<>kK0VYB)vCa1gs2^hkK&6L!WYA5;anN+s$T6 ztpCK%*6(05X$F?9Z}&f7b2Jcaek~VV6iixU^c&wMyOVSLyrbCG zyYFxPoa&ng=bP8&tNU#KFc07Qcq~@_P`cC9?@%7K;pr&=<6bWy8vyA zp^X5k-KyL}(TW}TGWUJOx>CJS_+4#A{G&Dxy{pYBU{b6=wV9*zUurX0z60?Ww|>{T z|ESIM3#TesRzfnUx!Cze6ynCXCao2VZ<8(na-Eqrq2((~#uQjZ>s`TfjSeN(7UdKZ zyO4;nDTKqA1SW79{*UX7<14`rUTzv8E7GsEQ9II{L9(Zyvb#_T*p!SgNG2NIt#<9G z!|=k2z1nXUKOLA3<|#t#zQf3V!QsUY&o{jN1WMe|Ue{6i6FUG@Y`puI$4|C_c`{<3 zU4lTtQ=AWMBv7-%0-ZlyYkYDo1O9b=LTe_BKFw5ynRO(e3Dbk4^M#xr<*H15XtzK4DA1`}5R}+~A+G>8d=PVLnkK*?5l~nrP?giRJc2r$A-WRXRX?76$LIWkA53 zcR#9FVaL^MW7zsFxHCg)Wdub*rd3yx@jLbkm_SPa6KIG+Ck)@}%D)M;LL*ms8_XwQ z0f`_KTv>ggb90w037QoMAUQ4d=JPrUsq%Qi*0UtXPd_= z_R+VMfNZnIKWuX#*7e&{@TJQ8Ei{tf*+|^%t;A@dI5!Lzdzl5SV_>gCDxdbR5k2+8 zxdfI#G-@Nz-`QqC&tg8OcAs_@!^XA9@~F8d?uVKl58LH2fJ-sJp)Hb9M?qJQBgU~expah*Ad$ykN1SX^D7>iB?FS2d=??r3KhpV=3C zPT%H=ym&|N9)n-`ATww3)Uv07rSxnf9s37Io#q%IBgk=Gr|lIS&f0aO<}0)8`OHlg z&9C?pl|FIhq5x8*@IMLkT#fwS1iIuaxf3vfe%`*Q&f2r(W%M(lvm?S!DZ2<~%^GJj zRKHugjcS^l{ker6%hOVj=G(@=XTwClvZj-kBGx42TLS#LU)!xEzNe2uE>ack`FINs zs|86S;{UO*?{L3jz3Z9yQG4tQ_bT(&SVlVgJ$)*8cnl(fqje7l;TXG0OF-35E{Smu zs2yGA;90AlIq1ZQ;~LjTe4(E_#ZEc5W9}rstjq1|ubdC9;FF9OwOUk6OP-UGLS336 zpG!|FN#5D!(+ibluo=bQ>PhVh>sozV#sa(@EeuM!vnvrS{KIWL&pXZcq#COqzKvlz zrDlG6yf|xidr)2d@ZLbr^No4VQO00)et2)7UGB@QQJaUyBG95(zE!Z*#Q;%)IRE5j zuAVZ0o=1Re<<}5VYnLP0if+;U8t=XEZ(R0;9VTMhpl9@Uj&&{LBKz`}<7k&wnk!a6 zdJQ!TI8^u%CO-yf>{vBOxd2|3F13~Y?C!m;j!vaTdU=A%_`9UY@ZCHTi-u3gb)fC> zi``MeLKK#1ZatQEQ|1ht}GbFz^`N1w5wH#(mJFFw6Qhv*CS6wM%2Atx!XY(H9)+-Jhrr(*PSgv>ZxF%5G`gmzKdBxV^JjG%)sUlYZ^x@$f2%0wDB8IB5El=KNGiYb)jm}RV}NFo;E9^1}< zN`BCTa*G`b!r%_s@44=MFig6Fky5U$IH*tdO8lPR%)-~KtlFg-JbDS+nrrsjho8|- z+kzKi-SqW4i|yXfye5T1?xhi?#3?J%h&AfdD*N$~wlm~$aK>&yy>_GMKHc#}`@#A5 zHqUQi&xA{!)q86>c>?hDV|c}6-Vqk|_oSnpOi=neigsqtFM6ElQFV7;PF}EfluAoL8XG!#tcfZRH)4DNA(D)mw^sry)#)j>070=+gSH;ichRw6AhHrky z{{4&HeQ7^_7FVn4&qKRuQ`+;mIKkkRfw-kMbql?o)c4sHd?R;Z-=&z0Rzf!FOtFS_UakfQ>5C6`{;U+6_PR&v$ zl&c&vrokXeuZ$mTW9`c~Jbe7hy@V*iXt`4_&UkF|y)yQR>+*`WFm}_jUvJjF4R7Rw zz*X9S?qVG3($xts#7}134-6?mdXK2gIEKwnXPzzixG%^|jqu)G&yn943-^+A5*O)lXU4*>z(XKtmT=n{Y3=79KH zOVsGRl@MfA4oZxyu!2)Wo$Jy+Y%?fnlJU2R6@w-PlM<<=1CXPlBpGM7xHAaPMKAVW;s! z{wq>8OV)s06Be{a-nfy+oeyEad;Dc;!Qe0_cZ)k>T=>qPmJOqpOIV>JRlfCP$|l?VGP&M$ z?1;4c&0t6YDl*`dY;;77QxlR7J|EoSuQF`W-xz1_BHQC~hJJacCOni zh2lTh2i+Mq_@$lR^d_yIAjVEN);H?9BrhLL{CpR9)rjM4_oNy(y@HXmSCGznX;_Vi zf)s>!1xD}nx`>=tP2w+R>~!g?mNc3rxII8pF-W%0&_vP?B#n6NqVTdM(3S8q zjf2p3GA)*`OB=80z1bjnX&KVQin54q-R>v`xPXRp{jS8kM^^S4TN5q+>!+RBOoJS_ zUNFmBIQAwC&xo>oF!g>_MTpAs`9m=6et9vf4ccPbQ$_;?gn=x-#Sw>O+G$+t##qyY zD(T9F6hW<74{a4&1>hy4hOLf?StYOOw-6Jxl6SMY4B9t)cEy+-cX=?=sIwB(!yFu= z6>39o_g~kG%TUDk&(pSEcgJ_%%Z-E+CqnnG_z%R$FewctcTSJwdEU+DM-+OX*_`Iv z?7Tp~FR|i`p2$KIaO3(o20mj$1f~7~U$VrnJmW5}PpujJMm3LbdhaewAeaM&2I>Qi zJq&mhcR-9r##`0E9b0qx>vN6q@ptiI2m1iILD0f-B4GDA{Pua{`(ghZSQ2#^p$!<9 zAP?ni_*+#6O*v(+?D8skT$o{Y5ecZtxIFtQIH+z{_!hRobWcdpDH_9qs^4+0Ab=9s zAry@Te#Yv_WnC3ETB<}mODda4Z@>weHVXxs&2#T&Gc(&?vl)@U&yHdJnon$I!~@(l z#bto}%~_#CMeQWpV}P9`TlUqQI^^1AEj3+jxzcmJGi+M}5r%0htvwA{4S?mqbm*ZC8?e_j5Jj#&jXe>FJpp38V zYZYg54PKI2NW7^V^Qin0+og{euZwU>soa<%bx8&wh`SD5Y-n)fH;tW1qsM+a(=@qA zzH75o$WUrgk@A?#;EeJwxxpljAq8NP%q&5{gTKq0EZg)nrZ$MAms68Kvz*faJi(L1 z*0>5s>TJjZKH)i8c5)6sMfT8htF8xR4;ypP)cn$)+Ommfr*UTn&u^Nav&A_3HErEt z#FlHXh1XBZSigjMU^i|1ikge@Qkdt5EW)ON=Z>=#r%gh{c@pT{svXHp@ZuSV8ng9F z&2!x%OLoMR-R`gtK%A7rE~LY*Abf#Q9P1oYCHp*ho{(wtFuz#*jcZ}CV90&pm_gE^ zjze24%w$9+KBb7tn zc~Pf&oT>QfZE5FOL1(ot-9)oPZuv=W^RiO1H_?>eKMU@~LQ2m+`SM5Q#Llj74;-jL zMuEQDfuN2N8fJxYrae%t>GA+h)*;(w zGrjTG!*g^_JbRU#@Q}wOZs>$UxIt7x&`GjdoVx$d1T79`=+72{}uN2EmA6+F#KDKtpkES@JtMap;JMEc^dB~L#`4>(U) zA)E#Fu`u)7o86>SjvRe%e{KBKwxsE`#eS%cajnquZ2%D}eAyFzy1w+&0r0jA^e;LB zsN=ZcOaCM|-Oz77#3U8OU#Tzr*#rSzw@=#v!5}jvaF&=GtTZ-?L13d_&hZJ798yyE zy_mA(m1INiD{0`7!#JK8mx3bnR6u%P(ir_DK%LgQ@^HNJ6?fS=N#k1UyF~E}qf^Jl z_)b|MAbFQ8V5Y}JnE0>*O5+Vf@<3?Rk$UPqkTzQtgg}25dBA-=%o?9IjhHy47>K6a|LblLqbN2-eNe- zlIRAIKz#YcJ>}L0oNa7@R_j0}USX&t?3No_{h~z4txEXK<0>zuOJ5?MYc|gNU6<}0 zqz0ZP8_qR^{sEzBRH;TjLP4mQmsKT&ER*K)6TKSCAW_$j#$dZ^^CCHqQD-^l#GDiK z#lz0*a&k%tD1^Jf`?9;)`@b!_Pc!~3yB#rMMe27TUVhM3=es0jj}+2vjFPFMK0l$j zGiYT4CT*}n(HR!?LJ#GVf+gWZ$zA5n>$?(m5H@idNuDarMPmGE0IwAWl|NQbdY#Y# z=Ybf5W;o~}6^aB;B|by}J|fi`MwZXQy6nlcjV5t!4;>y;NAUUVi{M%?0>=3%+ko?F z;yK_=)-!S$wr97RUcF+9WxYo6SnIOv6EtfA>w;S!L=zoYTHd$K^n;!U6dCB)$$Hg# zOD`b>1+UlPoVDTo056YnnhxCG;cR({7}~re+epo@)s}oextmGk;9?@2lCJYE-3P~@ zU?KId-VixnNdUiRODB!|(l9SGpYt z51K^y%YkKAz|vb1l{Z>YYH ztIT`};Idv}ISi85wkuYQ^_r@R=czhCzP)7XZ1K($;y-lxMPR6Zy?8-WKI*d!Rs2=; zChh$Wsj0GGq6u{-821`fJ?%;mAB=srOv>PA!*Xiye&4s3C)RC31`LN|QC~);$T{+n z7p@tJm2$tMp*xeJDm} z=!-fP75O-XFSARt8kC@6H_E^{a<$NbaHc;heFR%~BD((+gge zM^~Qs=p(tH~UuO1P&Yp7wuNtWYBnqEn51jE@5`}Me z87q_n2}Ww6a~La0Na-=d+H|XI>~MC@5+hgS*yTgw41eZ zRcjoRNVcT}f-)KFjW-SrR(z8*C{zSZH3}+y#5o5UWa`1&QLIK5^-${s(Y|}GYUN6wQ4n<7hnA2>O*y?eK{7SQc zU+VB(2Fkf|5B!mph;*?wGs$-qQe&P2-sy97sFjOD2WXT0BT0P~3&fTm+8L{7z^m-C zf02j}70z8m)?_$SxiI;Gv^_FpLG^YmD71$8y*pT1v`OoQl^91mux}>unL~xbBmQ3Y zn76BI&n_O($9RKXJr0=g#tgY^s62{EFMK`}3Bkhv9)0bCM4VO#>k`IcXC3{T6kEld z5Q7Ts?#;sWwV()G5s7OfYD*^By(vFvn07hd(8|@Z#i&yi%FI|UyS6=`VA*0{&<#;%4b>K2mMk<(q?dVQ|vv;S}7X91_* zJ9paJe9OB>*C%obq)-oJZ7aI|udFdHAL*}mG{|{6Cluf$yiapeXr@-wMJEG?U8FK( zDk4Gb6A$SrNzV~Fb|V|kxO{L|MR#p?`$+~rRc6Rg=bnD`@+llcKOL|Oj%nY0#KaE8 z>VLt}reFo$3Sbckd0Q;>_jSc%WF!uFbGb`_v8zq!UlG-M+b50=h!(Z-d@y{qY+W!< zHLs^oTPswFTk$H0_cc^n{(Teff=Z@Dh4td7^?(uKXkB7VRO{n6BPL9g5Zptce-mXU z6qBI5a71KCV~pFJ6$s#6-v{GE+F;m;TJc5)JQ?4>h9-UGY;}XK`#~eQer*Q+z*5u4 zUan9OwV9l6#;ZrQC?A*{QH|w?r#3P58iLKzy|$Qd>#kzVv*o3gREOEQAfy`pajxbtXlaqPh?mRHPuw4zkk7%`NLi_acw>R|y}R{<_pAqqy!PZ7AYTETH!Vo)Xn?PB8`BIKhh9mJUiL25n zM;i5@$%~fxG13a;HXF{&s~cMVyP95*{fL$9T+7xNae`vbsn~}Jm@en?$9N{qrDiDpkUTh{GwUvi^1|QN_6o@$MjrUBOGp@9DLW+Y$wg$qior7A z6h0UMOihuWJOR>7>n68wD{$dxP0Dei57LT;6D~y4`G;Je-m0|K4@L34QzV&PZh8J- z@qI2;m=Rpe*^L2<1l2OOYgZ-Fn3TR_Fi$g6&02UPqgTjoY9>Z#W(zEA>^SIaL^?!A zQQGJFT+)KK#1rNa)wAPh3I$;+!ZVv*yTaWg#5hiP#d6pm(tX%2(Q!6{t9g|Wto>v+ zs|-KqJ@U_F^@zWu!qlFq6y->Dfez_E|B~-H0RnDz` zjYeC-JiCtyiBs%*J%#5MTB7SFpIJHzs`ENGfG_K1ByKu7ki({NtzMS#ey#0B&!Eh} zr&B-cp{^EBriPNJEAiTjSFGDC4JQEFyD>?@qo^b3vs?oZ05}cB{TpmiwlJ=>~SFoP~~_3X3L|G zz-QiM(%OJY;stkFvCY^2ce3Rr#s4p6%SvJY&t%Ik6l>Fd>2qmR{;wdb^h-OqB&yV1 zkhIh{S&eJsgV672^RU>uc4rXbec)E^a{+Y!mjh0(=m1kJE#lGiX7Cxll08 zAGCRN(98%7X|IpH^=;1XzoN~YuiBya7G)3SWlv@g1YV7gf6eB}KW4LRE`3b!^6o(I zU84=rRw#QCvO4k`UASHb>ld%W6MGZcJzMs)8Z{z;3LBN597}|TLtkSQ!5>v`|Cr5Q z!B+Z2<^C@v9*^>f+Z@h*<4FMhBLn?1(3c{l%lM;XqFHO_t4_Dr3*nYW5yV~i**;`x zHsckwpC9QjJh{8~r3Tl_0&7$>1WID~eNZX|HM0~^FS_<6K4RNjHRddI9oRf`_@$pO?aF_K63NW6sHlyp z_3#@tKO(_gfvU)ZUf7Z}P_N^%p8!Y{PV3=jKZja7Ib{D0lKm&ciER0BvjITbx`HrT zK7nR?;4DU*YB1@Nc@Ow@Gbaxa@sh*r@3c|kB?Hz@S6w!Ri2}IUe>!Dg_57cB`NkRe z$&&{&wHx<(z{yjnAWOY9L1bN2!JjVTa=V@^+Oz@)Ux&_ z?{>!qXsatg8LWom*qQ>~!0Ka0T~Ng4SKH5o zjZ$R~JIJG7HvU~O_t^F_rV5EDf}hU7tKzlp8ixTcDdy-%6aLxem;HB}-{7`XSy?Ys z^&(u=5)Pqvg`IC_l&RbNZbP#1ced|?_joE=5Cq~+x5ZU63R-UOOJ=iE99nRrIZ9D{ z8ZIA%t5`3niQzW5?(RF0`uL@hfEPwBGIuLxcou`cd83=Fa-?vAocwB25?XJ9wTxlA z_}s#_9HK-9KHbqzc>oWw+#gkHDM{f9-}e}`3wI&1yqjeLVW1X1F_;~iG)$GwKPIht zw+bpeyG|vuh8j|gdGB3Cb#^c<=rinHoijQ<=tW~Z9WN$z-YnS6FfO``FS_VAAZE{t ze{D#$;$U=ezg9TxlNC%@q@U!8-ILR1YcEJ~qn5sA4jIezlObTFqEcVRV62!0sa5 zr`7lUTya@uK>4h)t(tmvBiFN91aUWRh)>0F;80f^^}wdt7SMVQSecJSy5^pfUYyuu$+vBVincG>MJP1z4prV3TH3E%)?T@D=Z<2VS?@DvC&C>i+l9zZ#sS0}+QL1EcCoVhzsT&mNLDfI1 z>%mFb1Mx3KztB-jOz3N-G2i|ZUHO$?gO+>;D1?pT2l{l4lYZ#k=OJ~gFAp^ja1Ey; znu=ZXgDhXqC^E@PF#tzFn>(C#lwU~bam(tE|EF30pJw@g-z<+)ccY7S&|r^RSr$BS zdpU9%kmD;Ar90Hq7hwks;*nD+*RC~^C&hq`Vu*fJM=(YGZe}-)`{@g&S{tQ3;x}Qs zqS;2CW{7BaQXDF!5Um_&bK9lh;gU$O(tNoCiD18 z6U?SlP+nQ1U(2!v79Wvx19*{WKMd?$#eW=EX|(+ek3*%!IAcP#@lwU3EMGYk&a*3z zxi-e>t$bRV6k0VGN6eTt@6t6uB{E#~o9Q;%hn*edp!JV(t2!OLjK>Ba8kmR@vHj?ijJ?5;YLHffT6ruS@1A>-8#cFx5F7 zZL209_snZeJZ6}LG!fAuV)yU7{dAcp8NVE02ah*ECQF<5L^rHn+jGqU3zEE+~G-6y=c7 zZAK~C;cwwd_V3hfd?-%Hf4t_D|E1S#{2#BGRB13J0%T5|yKLB4I*Xb|;RuJB(Y)#( zui05WLyPkK1kyoOpSY$k6TBnA!SG^0jqzkjugwsSdv*JrYxX&Y>-yGpqosLhGx6u#Bt1Y%RWW=@Edgvj+bjiH zGgU_lqWsepnr8F_dE13Cu1^A%&7eW|Mo?SyGbHaQY{=V9 zRXEhI=i+J;I=+aqMg|@cM4kcb9V!&W@x~4>x0o^(-te<0G#)%@IDFgZq~q%4ZgNbd zRl1zYnwzf_>S`=bQvM{NYZJ7L0dAma?lbt3UZ8CrAMsG^E&Y%t(~MAbzlG_9=ij|H zfOwQ2amhLpdt+hIW;zyMvP9l+&!<`FK`OYgU&L_W?^LM1Xg&<`t|bu~|F>wls+jRW zvVp=hW2uBLkwv~Ladz%`bMR&BMPPfm$<0MzrJ9NmB2iQ*H7b$X)>8nYWc(-gKD4h**`Dm06%vJB?q0-8JsX zH19-i!##YbD2rO3q(>D*;Z`iJIG1dbr}txS7`RCq+?H!B4U{2VX1{502QT)7KQF6f zQe`J_<}B=y&rcH$haCYNc4Jg^bAD&Z)!w^4N_#72Pb_svAvJb`E>@=lfUDpVuJHm7 zY!Q?CJAhV^YIO2u9q#4SjmznT-7{}A^Ol_a6t!7L&G+2wW>;J*>?YRfg<~Z2(#38) zwP{``+a86`vN9LpR0O%C&DxVPRjMSejs25moTzk;hkLHqfl|fRXzp58>(2!G*5FE3 z3+Y+O5M2fNb?Hhryh06-tzvs*7UFDS781%YdvD^=|1E!eU5ZAu|EK-LL&^I6+5Gu6 zDW*e45I!9up%Gal5liDiC+u2!cKe@``@C*6o3m{Kb-U?ix%%#B}c3E7!cp zq7^po7pv3OM1s>FF{`&_-T<0HXs<-u21RVj6QNA7Ni5EgzR^I1;wL~IHG!}p2TT>- zWy!aX&0OvuusPhp_10MUjG<&l_!$tog8;$-Wh8oB>A$J{W8V{*3ewpBQ90+bbosvP z)4#!H(7#|aAlJp&6pkVb>>X_0$#vOo*X#N(U~}X<*!&6vo0EL%`GH_F(qFJS<`39h z{0=rlZ};xxe%}5EY@QUf63R*nN@6im&(HWnX#v6JNqoU+=zu=ZgKSO^a3dZ$aC#no zFV^H_h3C`XocJKJHm-zurKL)&-o(&?IWTi6Ak(6B(m6O)83~_Z3K4=stGAQ_NR2HT zyW?4mbx5R+leW!JPfZ|iOxp=15y_Lv>PMQJIVw2&e2L|4a)Yt8OQnZ@2tRKg$EG%i zVgsyF&+3Y40)q}^Bx#U4cF>rkQ|+<87V+B!5jEF_?rw-z^}US04pt0z=`|irH;c{& zr!6!C#pX|_qmeBF2LFi7;y|(4S1r$qc*wfH78ld`<`fw@stFQ2`ir2;Cr=}tjtSXf zljzP5wx%Mit%OXC^u9d$i^IE9nF0o{bAerFE7nR?9JY~r*tHOYCA0T7v*)gR*50MN zDnZd#KZT-BF{ou_w0+#&u@xfI=MvO!tJY#cwu; z2G!i5i{1-z6*~I#5b=_pd*qAln|FE{`*wGEy6)@d0qX&?P}4PNo8|3^)H3!tYv1+r zbjuGzC`ixx+T>vY#b5!&RRPA=*5_kL-Iv<}rFD$?Q2Eco#(QiJ+x3rQ%5*U_p#AWt zHMloWzdq<+`;Ir-vcH?npl|$SZ?E=s<1T|4){xnahXcJ=iDmM92>H%?jLTG^+3-!9 z`f*SPyW3XCG zw~U$JT~%<;7Po?Ta=SRVOpDkGVOW-SklaY#S8}@Fs?)DZpHUQVU<3zK4U%HE#*=4p zmn+*%mae zMm3$Yp%T!AmKRS7m7%M{2dLc=04}%Gl-%6NCRH)~#y{blB0y4pA^b{0d-uA{MepB& z=R@q0U0*GUlk7R~e?>rNgt&T1RUGU_r<1$zxdI+yRMWV3-cu1-G+WG*aRotLW}G?T zu+OmkA{XXdYeW?m_-)SD3z?QiVRumyiUSqc_GLqOEzWG5YqSG&#cS_^iJqgUT9pxO+i-x&U=%}`jPTTFpJAlJN9oPKI` z>$?x_y{pZ1%=;2}8*u9O0Vx#2n82MVp*Hij8kAA*YV)LrKGhq$=DGE9`odU}=J{&% zQ0xDxS1!DLg|Azy(XwVlX4p}*u8y39dtQlMxJYjL&fowj9VKY`9zD1`Bll{S*@`@S zrm%cky0nYMpCxlg;A$jW@~>W5so}|hz5Piiqh*VkNl38*G+1qPXsSy6><+eN;oN@tLCFIEdiDLlrXJVmx|=S@sQLy!C%wuhx+!O_ zd2i)e(=m=^VMTO56``w*81tKxj>n3DW@~2)6GNm$;H&(qyI;q!q0ogEHj!jmSb+W! zG+I5Ja0r;bc< zJ;LEb0tf4RLzE^b=G%c9bl|gU$$sHKe1Fw2Gg+fU%jherSax!W2OD6yyvrLwD1+ z8c&bIVr};(yD1N%C@V*SLR}2SyTJ>?gt)Z`lq01SU(z$?IT|XvAH1)Q{G98!^rpxUVC#3t=Bs0S@jmA&=^~@@ve1oJ`Qs!HMaPV`HEk}RTaaU253j!(tQpBiz+)^T7 zBRSa?OVD7gKIr|--Nk{3Qp*(DwPhgl0{&rg2J@hj+0dPg0RpCN!GK0BycHUid{$Fd zgpx_5#KDB-P|E#_Q}m>Qe$%~c`1!(IZ)}dQ_R2+ zPhA_PXU<#femuLM2hk{I{LWR7V-1INF(i*jO8h=6y*+OJFv)E2M>0g{$~qAynO;(| z@ONrLNnu<@0%cWFcI{?>VT_b3$EXL@H!pBzSpse=k3Ok#Bd-~$a=Y;6s1|d=dh!Dr z$qV(byb4a5U$amBMuC9ij5G;Tv%A2Gi07X9bwqxHY+^&Pam}L)uy3tNK zqnNR8%(9}R&Tg=)cS~644~vD3d~E2h4Yz8wc9d8ig__lE-vC~=KLJ62G7o6zLVwP; zXX6RTf~9l43bZ7Piz**{iI%W%Juzz4*t=Tg@{*O>wewSWE-O~Tgx*TyZEy$WEk@y37)tbPs`?0b3E9-(eLIFiIq;L_;K#I%4Z{1 zF_6ou$koiq&6tA-IlsL!OT^j}wn*7GZma5KrJbtlM3e8Tm$c*d4ejc4QerbpT;;LM zgx%i5Eih?ER#p(H$jpyaxaNO4hlyOcyc*R${>3bRKp(Cw_K>Y!3T72)vgY1X~hNzcri&~D&0iWs$zfDs<)f-;{;FbgVpfi_ct+?!C@dzI4ihco^m!I^VT_e@k zAUNlH;V}u` zcY-ot95$6+d+qODcaibkGSamqBB27 zC#=w&UlGD^!2>cENEn8NX$k=qXLx`>Q+9+9^M-=*L#DK7+eKf34Mvbfz7Ix_aIxAG z%Jg3(%(%hhd+)djy2rEUAGdr*04e7}+QO>Xxx%aE-lUM!0MwvlxM$d=dZ(6z9@ z5Quxa%V6m>SYwxL9ha+hK^QV9y{HU~HvP3+$;qjyW5jJ1&p;wAH+|K%i)AUkGQ!ge zw827!8`C7Ha%Xp9qiH_dQ7)2kHA&l}m)Iz`oBrm62C;B4{P@#syz1qgisw zz4O5uUc4<`$<>PV#XeE3n`qT;h+5q*r>u_9%`DE4X3V2NO#I94 z>+N0s)e0KwxR2YkF43S*?FtyO*TKI z#6)9XzIVOOWS|@tA+?k_do~1Ry|T-J2um%-zs^zrL@+75KW%mKGhfaK5jM%)9|6^w z%l4jY00(a*TsF%yse>cwVc;2_>jvhimDL7%M^7Uz_jD1*Y?D31P!e<11*mKYHg}Hx zYR-wmQ^ec&gQ{TnE2XKkbeEX$5`Z|2aFeA=xIMTg5&9K8+-wBTFSMHbxkLg3`kOP( zfNO?nd|8g=K5x86C2Lle!UJ?^J)n;!c4BNgt3hJ%Sg-b9q;YZ+*Ovj(bzrR1V@(1L zeFq?UpF%(^p?b+@`(VXBgTd2nbrF@17R@Lx=*oBD$nTTgPw6Bl3cF2}sW&j#w<=IY zBhe)8^)w-Dzdti9GvJ2Z?AT&X%|iwZ_L9rmYVe_wpQSJU3bc z{-#?iHfHsWzp&@j3gtkqrLe6p6|WayW=WzEVXf@bcEY5)#Z{uWo`UMoonX_T6uhdC zT1YWyl*p%DiEo_;`}A{S?K23mKKCVo<-wizo7 zS@PxZ-w`Ijwn@G$>Ds&a)Cn9Ncn+JA4`C~u72p%CQ3(^kQS9*5ksnXh<4SPtyCpbO z4P$0PdF%arh^wJ`MeD=Fr5W`9~Yvb5wKv!7pJmdEctSg*x3z zzNt+TO2at*ys-(?WBxt!r(Py`U(wn*=#K*X`K3>bUqll&qA0x0)~N+8^{o&H?7t=r ziEbymwL3Y^vi6E*WK}-Z^l%ca`$}7aZdc?6kJwLnW_)4PkDIkC?S4AAE3Rkfsxk$t z5|Q5-J!kV`J-apS>;e%z+qv0=1AtR&j>{%J_`%ImEKBq^rs5xVg+yk${vy*>I^g93 z9ho41l35Hu?so6L%T|t$n^Mj0XG-^ zcC3yCmQNY_mPul!OTv02%lw5-hQ9OfP8I@khXLLk`BUv!=XaI6rOjL3KJ=|Bj3*`P z6wx_Xw;C;eT7sEUdU;bDRxo~gq;~M)RNP*JnABmYsHvn6ndo)z{|2%A4cKh%%9kbe zy@JmkqTMB{PEkt6u_ODUbkaEawk;#Ps2JX(uK=8KqfS5j!qGu zA>CSuI{EQ4EPzpk@EK=_Ommy)a8)R~qxLnlA}@9Fi)`doRzT+$OowV_D9FrJe%YD+ zw2}yu#w#`n3*v$WM2j7}^Y~&d*>b1CFo6Q-fiRJ#e~RcACly~<#bqW7IIm83N^E>E9@cUinAxj3f>^H#Kfa`VV(5$za&EDmMYb z>i`PVx64?f43;2Hz~*5`r5KcXguXu*cvqmOKRR@YoYOH7AFNei?9Tw3O#ZVV7; z{ZTU>37GjA>P0AqPG+UzlbjP8ZcD}rLf4`9i@@E6>I65OVQO4i*UJ(k#JBW}AmXU5 z_nOuLf^5;wv5gb$>+TQ{bcpof39d1Y z>KsU^EM_w(-tnllWBv#pnkUyHZ=}ZX0559SGO45 n0%uv*0_;vby@nA4c(u{6-q z^6*@}k)n-f+odZfT9P0VLU}B5+?q%+XBmN_;qQGX8@kz(9Wb^ceQ;<)PEA zvWkbU+s_E?*|JX6RQTyYMOaRM&x06afu5>)#U8*E*hVS9i@N>9KYEjvo*OSD!N*sj z@NfXu=0_50Y5Sp|2mnB0*#>DoArAID@%?xj+7s;!-Z_HPWR(SUG;SRkf^qzc-w@?a z*nq`UBZT|Rzck7CC4vel=zLfpZB%8VKNtS;EZ+ts#&RXcE)g8Z{==L6ECCY`_JaQx z-`oikuxO58J^cDVXqEqiYZ)Ri=NlExjR{i02PSyd^Y7m*n1BY-C^}eA$UEn09Ws3K z{QLO%V<9FfWpyxE6#wQ&&89p-=s$8c6}L2<2}5h%^(hE&SzijOZDab06PH>{=gyl_ zC>4Eut!uYw)b3W8S{>%D9{uoVbogTaa~rmiJ!qW=-;B$#B2y=o)>f9TODMff_=_88 z1=FXhXN$UT5*r7^<9Cgpwi~E<5#I(joC-%q4qm+mxQzoq^fex7(y~_3-eV@po?`jG z8$cr=@R2NXObq!z+=Qtf!fzh_{`rpZLu>#A7%7@LJI>tJK;%@_WdHD$S=yX_%TrNI z48|{u>+;P11CHelqO#)6170#<5!AT=C}kMr36Jdb#F>5&obgaA`>%=}?jkB_u80~#DO~dy9*26inY*Z1K5MW zg}yH-!_>oZ41HDC|4FjEmUOdM8p~k9Bwh^YQ|kGm?2^IMDR1(B6IvOs)%8En%8g@; zu#Q2=hbKH(nU}N2`~3F#bIyM$lEr^G@BRnHvim5E@A;*h05w$xIY4GCDpX?A?@+NYEl*w0X5tV2iGufx)N0m;~JYQ z*!qH$A3)ZdlI{-eH$X+VYZ;k;y7xpH-%*MvR~%GS5+ub`_XY8fPvCy9m&MEROc$Xa zINmYzeq!OaRimh;14eun6YJbm(@LZ@x_Q@OQTxV2|nM@riaHqoS$wPpGoR6!FAo$>9kpngzB%QC5&qSNvU8TC(VfJ79Riop+jC(Z`EudM`P zxc-QC+^+Q3)nhEF$*{FUi^Fm9j`?v&la zV?<96^Qa!#^4>P4j+XTifLV zAtej$rL9hP0EqwshybL8RK~enQmCQ6hiks=oj{}ABIT|cT%@odP@OY8&KSY$#Kj}X zFFlB0i#!tW1b)=PlG_@4EVRTx?JmN??A={?(`r}7JI~QYsu7fL9mf`p1?ceU0!Il^ zN$71sA-!bdkCM+-&4{zW>r4Q=q$LZS@xHXrhzZX0X3<3UMv2hn933J4%D6m0a{nz_ zE8X{(J@4R&M={N~b@US^>vrixUK&bgdh)2yz3yM-k_J0BUNUV$oNEr!6h|*o3YF2h ztVweUa>AUj2bFlE9rc`P#%r=s13rEh><;tNk>XxB0d=yM+~D9Z_tvRznO~bCmUiW2 zr(P32ekM1?_MSuC*qOVPs9mfk%h*AC5uR^~zn#npk$YJ;gtu&&wJk7;41R|=U=3Pe zgrfR6WiRh#9=LWp@L3bJZL1vb0ULIn>m*0Hd&+ZMX6}O|gA5WTG1El=r&8keEA}r& z&pH}U0!a~@!?pz()Qi2>^&po6f}B`&JHv|G_7XsOP_%Tx`n&gcz!39LssvhO$Qcv} zC!9Rn&^Dli7QY0l?j$N-2b^&@Ve8s*MGR zVosyg=*Gf}_^lB5C}bgceNwKGY~xc##^=dFiQ``Jf8k94&q@g~@Q5z@#&qDz*JTAU zlKI}W;v?rXU?E?Hg{SUNE%y(cRDIJlZ?06q@W+A@JT(J3Ggn){RN4~X2A*5XEe#V=YvHJBYH1M31B(pVf#WR|rep-o2rX zeF`}`?M_3;1#=`-o}`ZOul(fEJdaEFJV{sXvoga4Y8$pJVoxs!?xsFZ$Jx;=6XxHMuLUW%fBzilt3p|xWV`U@IpyZr%+ep<@HS^?6Taj z9JPmR6nWud3t&HF?SZ)jk<}H#SGZV+FCW8T?#w_B49<)U9Y6kp%OYStrv( zEM)@4`Kf}FH<6=v_ooi#bYEGVP51l+t;ZfWJ{{(y*j38&e#oPh>Kcl-lzN+Ss-Lnx zZKi^Fs&T*o{5DDbhKqAF`DKJwJU+YqlGbK&@;%{-jYcmq-fb@p)q}ljRX4VVLC+_Z zE?*U8d=E{TvTKqLjny?WMx)<;wUb;~O<8xnjrjHw%G_>_gr(X~1%0oAV3G=Ik96}u z$cH%$R*0cP^qwF?95f0<5((G4O;xrxvB*b0b#?-raDw_&c&COYC2T&qq~kIyP{X-Q zqbh(Six`(22Q`ut4|!Jimwb+m zF3pCIFiBJ({TggSXIiQCx>x1ENT*fJ%mbME0c4EL{g7G*a(>pA{gf_T#^>W4*hPkv zm@RNQG}sdFJInH;`P#yS*4F6hvV22R6*p$fYCL9mY!Xb>Ybwj(_Gv19b-b2c&J_C5 z&aRnZ|AyC(@x5$=L7nj(=(MWn7vvAENw|kkf|#adW6d;_eI8huRzk}MV1x0}2y_9B^fU5j1Dt*XN44MhEQO+ilM&=0!GA8n zn{Rt*%3L+J$Zybr`@0QhV4erk>d=)cW}~JP19`+{qPv51Gf)gIGO7c`{s<*8rKrIG zTzVstD9DPU>2`N%Hq(6}s=4%Ha2&K{kBUU&WZdsAdDZ3*~ z%}oibPvk*+M;KJs3eo#Tr`XdkfpP*rrdeZs8C{blcuO9F(e5u_P^&%85FMQyoIqU- z+09Vclf;UEO(2t+x#ja}Vas0#1Vj>8#Rk42Nm!XCDl^4I`;f}Cxn%gDR-6G1ugVL1 zz8G~#ZnZu;7<#+|VPU@tqkWDBJr=oAUt~!W&HMm~O$PdWBU9XN^4-87;O9Oqq!B!?3j`>uOI>{pso4BY2g8%8ZR zmeb}GS1rsMf4baGJwA`_`|m|NYxn>G4i1JeOxfW%*XOD8#CG&7#vl-jRSq+vAaSQ| z9?JWXeX-4ZJ;;&NB1&FUlU#W=d}+F~q>^8BEsP^$1#;0Z!|lxt>Ou)8r-zx`*1z~7 z3Fzgf=&)U5$F6R!emu!gwV5#uU&iB@U=HQvdXogwV6_WL61#4?^Ul}q$ReJ{!LAc^ zFZ}`^AnVilEr#}48?I12DmNm;q3e5()$Tt2^&~-86O34YtN@y+7Iy|& zLEyAA64(xc$(E=xeth^vC~Dvw5XMxqfs!O#%GdJ$bd2F4P)IoG5xacn;5^n#85mJjf{TXi*9?#xxq&7(~W z?FhtzG6u~KFV#mxI;Ksz#6oVZz_*b*Ddl|8ku`!0)*+HTlNCqFL({|?^`BAdwcc}ds&z2JBkq#t zY_L@l&L&Ko879pX+SrHL!ckEfR?NnPNaL2a*3s^Pk;jv8a0%Y)bhDBA}dD*O530z#7W0~v#=b) zKWly}^%__h-c>il^z{=^Gq|5_P9LNRztch+EqB4Kz*z3CD>e>^Kz6)Du^-34?itsK z;b!g2U-}4+JcE_j`ER+on~wau=u_S447B;fBFk*TGW?Gc@j-KT?2Bk>9Kw z!xuKcVyk{p$e#ZX3 zGKpJWqp5nmlH(|?k=(gD2FZ2R*O#)E!wsFzezV|)&ZETMbAJJP5Yy1v;a|Fq2YI!9 z0cxbHZhxc(ys&(u-Kn#S-D-=n;xk4oeGyW5X%1^gsxjTmmKk`V436y)quo_Q?hI4 zw8cHSKvece>BN%){M+Vs4kfF_OsDc%2Mmdh`U-on9UE$@U>VeYqM^qu%6Ox=AL3#m zJ1t5_j%}oB1!DxGq3^`Ppd5kwPZTGBi1|^U`|KGt3%PFG?lPAnESn3 zT*P$SM5ceCyaH~qm+6gnBgQmU2K}ghhhGDV`H94gb~M8U{sX#7?(<LivXu@P{B!mELb}_q&aN!t{CO5sKDH)O3q%zmys)p;*!OhiB2K@ z(0)mD{%}wVIztZP(Ym?F*L}TVs2x7by=uI6^+Xy3BkWKk<8Ewf2V=h+T{5$8M#}h?q%@D9*nnRXG+20BTzOU`NRZ{Z`{lbbJG2r{NAfzv$bfZh!R z8Ma4BRxU<*RqnSTl~U3i;y|JzyP20Od*GmF8>8U;O!{*(Mo>nZdDUy2pBFsuxz{T5 zRO~#NoFSzldgOw9@v^n9`qSF66fo( zozr};eXwa*Ohqt?-_=KSLR0tlJ(q?fVvD#^(bBDWAjJ+XcuoNDqT%CzB(2As*iEgt zDsJdB)=ogtNBZG6pnq+&rH=b@kr~?aMQ_n0G4g$dUk=k8C}bN=k}BpQqjl=D*lAT6+zu@I z=VbD;;@9N@s;!cTigBT1Mtd1Uu?qAWl4T91a$JN-8ndGcyC(f~yYzZbZDIKgtD@~O zwaJmsOgf+$%^U+N@4S`MO_Q14vEW3VdI8X`lk9Gg`A_k7nc&Nk+KmMR7J^a$Alc&C!!eHA8;l zU9dbx{e5MM#k&3^er+?xnWSZve=a-N4N#2jKUD#}CU)>Vt)aL+oV^pkI@~L|l8<14 zo)l__boqLLW_cSU@~_|eV^*9wwGFCMEB7rc9dZ@mcpHp~y0Su3o0vJc0k!f%TANWy z(6;hvVaQrLH!MfY9evL7pM|z6)D=lP+UASlNehBD#4A2xFV}@XnT7RM>}_0fM9~*4 zjdRN}@P##*gRWR>toi2F2vzdgGlgyD@`ZeogY&q_NL+p)<3h*{h){}tZfg3t0LU3OCj`_!6bCFm;Ln(CWt%x-7q zzAwQ)(O3;Ge#g^hD)2u-`?p6vYq!*?HQMx}3lg_1+u)0J%dJV(^`=A}-_pOnmj612 zDgF;{f7=2t(A-|yH}X6-ZMoPQ%N{Ru27I_7b^f_?ZKl?L-Ga^kzwReX<5{2Ue~n^e zYP>7;;g)yUvixIg%)E}$QEGKpITD|<~FgY9tmzu%9=3f7>;kHhina!+s#$7f0} zLh(@`O@Qb|WxX{qg)#ehp{6_Uwf4#ly^@t?&;CWeBOPaNG`wvOrxIy$Eq^Lj7nQ7a zAcH9>zgQdi+IV&(mi?P=)hFyI8@qxILzDDp1s^p&2T_w__WP~t-dz_y8x7y2V|o*A zrMZUr#r-ec>%U%zk|IEwhoX?k7Bbt}!cCbr%rgY~~l4pf+6;>!D~|i+GMAaa&f9irWWd z{A(|mR=7Hgl!7!tx8Zk8ofl)7kVKL`>FHOV7b^B_bOQVWot=schslc?4{Y(bx4?!@n*CR&f+EWHq|32XtgzZp*3 z&r9Gv%(zU6i)F?W0iziLDhr}>n8+o3bVJw!UgO-vssYcGzHM{=Z5yU}qs983u8mmZ zrwgSDnW^7!0YgA7Nd-Yp2f{G_<#;*Y;Rho*sI-acV`$X(CXv(zG+DC;%gs`a{@8fF zCWnbt9!$X0j%Ap}o)qPl`c`RSN zKHj&a^u3X{uuZM=dYarTjhaNj=BV%f3S2f*#uAh6i8*CEM=BS2hvn!AW3hAfa+T7FK7c_eC z4WaZB$+4T@C$opg7QvTLwg9W&R<|vDJ-ssiJ~70mMp(rg^m9Nw$J8bLH+?$A3+a|a z+VvIEJZ(VpJsWC2Pqac@VBNrPx0v!!4UDQz>C}I;`xvvm#&oYvB59yI8BD$qi~%3R zrmnD^e^(!r`*zy3$I$hHtU|-4c4=2sKxma&f84aO-ll66olUeB;(-teuRks4*Co8D zVhq7TW`1`XS5!iXsmh)1w#|~q?~9dkP*cR9uW{l!%@DNqznf8;3ADyTWk4d4*#E7^5%HTMqpj{0b!-5~ELMQBn`aL0l@O}~|AJA>{J zxrtF&G#0i6Z7G8EIOG<)g-wB1`YdtjOmmv*tqA7Ed@hSkmZRjf_sandt;k9tc=`ga zq!0gih@C{@05EKil)}V2uy&TWA8w@9rlvqScX3rh-hGSkP_gdD@9X+ZZ0Y=y#HKGfYE)5&2e@dJps#<2?&k5-S-6e=v#<2Rxdee`y#$ z;bGi8o29*@IXwe4nj2AxbfrXeK8_6Cpk>fNCkFg}3VdM}sqiI;(o{u^j@irixc8SU zh`Tjl-BZ9$4q^R#?n3}U#(Xe2r5GM~h4jS$cS!q*V_fQ?K4gei6nmSOP-d2@ZRLtL84LVEf;ugvNsxd<%;E364yameKQLoD)bO&x8`pObu{vsS^eko zA~I4gav1!hdl?+)L+qw6tf3xv{9O135>H7-A{V#WLqvT6Yqt55Y%!r15+r9W#{sA9 z6#qvaIRpBC<&ncaPf_{(2yEdGIi~fkjiSgIWR?b!&7XZr>{MR)lyTIj9mg&;X-tXE}Qz-5HiudgM z*f{eOGdtSKw-lyL{P6yI=}*5tGqXO1*LLohFWe(?u(XC>|;xM9b|4F(AfD7|N zL)xX8&Knw4M`?9rq|36=#v09~=eHoBj=Jhj!F()4Z_nOd>!YVG8Uq>2uw650!qQb- zn!D_>9saCkBu|Qd$+aFwHJM6dPa_@0OO%czlT0B0g)A6iZ^4O{wDQ16oIIyoYKjTw zXfd$U2u;8jDj7HQ`9`Y@E z{$%b}gZX>)TX$|52B>p3-Xf5bTMz(4gVZPVTjHS*hg!{iI{91j z^DYX^Er1Z+WqD}$XeFIuXo>FPO@ zMg2~W-^SAPiD@}PF|+ZQT|46(Mu!J%+f!hEry`H9owc)dKRc#1{Qv#IK+;h_UxDY= z*ooNg6(+;gL*pbq9E5Vk#q-`m+N7|7(MZW=j5nC%kBjBF_~AeWt94qB3$zS6jM9#= z;+*FW?wf0kUK(o-V5GpXXFSe4_K+v%&tCXiZ9TEzpGKH=eCU{ZCD0->*zB!l(Q=0r(GBH_o!KY3l;DprFiNiI6S7rE4gI4)0jBdr7bc^qV0w zSv3f9w|Z$v*KmKpO$hIqqz@9lxqc&Dz2AYU%5b^vR+dz4(pG`T78~w|YHci&Y z+Bfa_WcR4vH;_!9r!8>=r;06(T+{3af1UiT9!H080EmcshiI0;K@#A!G=xu2MUcR`=h~QRoe?jh* z)b8$aTf#EMYh?jXXT>{#ImHG*HHn{hrsz5pElip6`l zSck~hm_EiH@-TLXBb4LIdC?Mv)?*OnE3N7#jwIaRMo`OB`r>yu1lthKOUeFBj~Z$M zswtLC^F!NNdqQ^!?1^?GGzh8a4WuT@y?lUN#f!xNM4cjX{%pDj41hSl0FV(?e|V8_ z+g$b((KEBa{$@{)nMxNQ^d14>MPa2+t&|dLM|Wuh$q8IvEZlAv2wXy+Y?!J*w@6hPEY}euiOVQ ze;E1+B+-x^2B%u=b$$UFXUUH!%t8)8DCyRSE>QX*(wL&)kDK0|3`J1Ok&ZO3#kMH` z$P*60e1Nr_3oqe9Q1LUySIF|m5N2AwIU4lzjC?WPvMQnsD#`INg-U=f>rWMQB}gt$ zsN@`0P5Ao2g3&ms=^52xkP1k{91utCIEm>Q_3xS&GnfFGZydPKF}tfvX@;jcAPEOS@QxQpz+hiyFjjs3l$q z?;TLj1>vp_P$6H1diB@Is_~(2{>M(UdSPDO_Fa0)XQS$Qtk{-v$;;1y_qG~Ri#rt& zH(+_?4nR{cMaB(Y`6W>;OfB&*v)8}OCM=f_>pCTJ&M2m4e|;~6$3*biyIO;Ox2cNl z#cVGm{xgd!8ItuDRF*fRq9}fITSEZ(LU`q<`8r~^mr~|2)%c`H0Irr`DY7oAPxW3x z>A$TGh<)FK)lFPC9c1UeOFI<{?I7HSX7Z)T!A+phr2oXvrRZ)^uMlvdoqN_u*V>iG zz}!&9Z$$c|DJz>VMA~cj=;=7r4U!N#a(4fv;|p9t8W;ox00002z}!7gD#m5$kOKn% zK-C=p0R6kw$->6P+QQa~-pJ0@)WS^J#F>H4-Nw2>*T!kBvG&u~H&`qY{wd1>Y0YBJ znQQ@5vleTEdId{S^0MA(5I88dq`UO}yyt#^8lJ+T>^~ z>*Dnz1HUy>P%2_K%lQ7tw!fCibPKS?W=_z#N&h@n+*Hfddw+(EN@M--Fk;TTOzBVehsrW>d-XmtG$y+czH_T z%Z}&0#cK{WL#|(0qoOCrmdacVu+B1GBmttuzsJo>-LBG_#k)+hvV!Hqq-NWqNnC0~ zUO8%+S(Zq$i7wA!l7zS<)>!V-vmz(l;6%TQCw<9$DRw zKnpZldc^g9N$_Xpq($kWm(Ro0SqA)9kfMh8w5eDM^%&76ue4wz4{5WJ>GoDOS?~#c zNpsN_$<$B+)IdPAcIb|-az}cWiQPB(SM|LnJ)+OYB-0j7p}W?f1X_t3kd&OYy|Uy; zjMrFcLIKdLtOX8*`U)N|OY1Is1xuT=$4-QvYW&}r=9)Cc1$1!lFW!}3gpB%33GyZD z*C1k)!P*{quq(5e5>%+%T65UU)AGuZ&dNRD0qCL=H!V5Hc!2?mlm;!dLfUr^bFAnx zwzalbSw|#<+UxF-pb|^Yln{~RzwOEnbi!)moLWO{94m%6^lw1*s~kYXX>_q!>0Ya) zJ}%fySTK)f+z&SvIB7EGr8h33B?#q_r`&t&J(+v#XX(WNE28%}26JGACc_J$1#j2x zM_4fuY?LEHP?8~7G7q*(`2yitzVgB+C`#qfnv}Ert9>@6fA`jNX)hCkywUrV^Yl^W15A6E`77~%4aBQViH+GF&aTON!A&$*V zbx&HL`l@wZyOZXCN!?A&)gz}chC?&$!8iy_k-hwo$uM@UV%Q?&VcqA|(YxlN$i$)A;1YfFTsn1l` ze~^3r5aV1HHoqhl48;YyRJrN6)<|DNF(K(AwAa$Jh!B)?CBW}sw`4hCnG;u3o0Eig zE%+6eo;CztU2>0m4d75Eg{Z1+Y0srqS;yIWi6XVZ?%YkDyu!J4jQ1eYhi&3xzMs-M zIN7(WCY^)woVrl_=EwN_@5*lTv#lK-nt)Y;>b0&p>T+>o+!#Fn1*~)n+UKxBx_Cxs z301deu=#08-pL-K3yBCP&xzXwH{9nbkIREMfv148%$hHXxh+?w&>PLQl{5q!fw_AE zfwyUMkaR()jk~E0cj4}nEypaS1oV&Hlo8Z?3!schW4unxcb*~>1GZ}V#p(XS-!A4z z^8f*jqp~sZbn1x*dRJwQ^^soevt!Ak%g(1cNea4%t2xX4& z;XxTr?hUl5I2s-VQDNw;kOAmB3CM=NV0*qqv{Q&$hIf@s4WuxKd&GV3&~npAXQm?g zXLayKn52ckYUpx3r3_UJHYdt8ugzQdHjS)_#24#lOl#m0U^u(Ljr3?LNOGW%43_dz z4y(nq!t}wBRf~mGF{`fzRzm{$3Ny|Z}1ud>{v3a#$lxI*%ERy~8 zUhgl89L;tg2Lj87Co!R;4pI-tSt&QKZ(eub!W>-13k3$h{G~JOtpK5B~= zzpuR~{LPN9Pw|YlV0&H3OFWkq1uXfwK@J*9deDg<@C0P87f4i<*`@eK{pIQNeQjf7 z;}mI|i(UaJxc4+xROc^Xk!Q72i#t_15Sb&2RFS?}FsT+b+shF~zOG&Sqbe0@G^Q-6 zqwbsC1mFesqBg93NdR#z;&9A#V=QFWpkRsJ1OU#QOuj!dh#0(&iP%&aRx}~ATzw-@+B@s8sM>W8 z4=F9ujUXM;F?6bQD=FRGARr|nEiKYWNSAb{G)PJbqNGTt#2NRuzfZQuea6Ed^Vba5 z42+lSzTWqqXMVG0#k0D}#rCkAG#E-xC)2wu$t~b{4x_TfO%Y5cDUYe;C+~-i=0SWo8JVry)R?Dotn&?Bc6V+MA7UXkW~myDRqY?GWiofk!l``Il5v4#j6j z>1eIGPkv7kGO|YvNRw(+_s?M2AEQa;hCmF~2 zT(tEoMS17;YoHN%2(#r-p7v}RQ*i79161%MF-mb7+jnK9b|hkSmniORB>Pi@3APi$ zz2prQ&pj}uRH^@xR=V?)Qv+v70L#AR$wO1+cC+;qJIPQb(h&LmPr9!|a{2hS9VA#M zV#z9|T;)mKGuH1@72M>4MMKmwWO7Ns_jz^{gycJ6ApKfzhr?bj!5$G449{3e6nY1<1F=a>P#a`{0jsqDqY#o^1Z<*vIA67O zTe9pj5O8|9{PufSFa*@uL-t^#RP_41+VmcDpSYl5GG%lH!PAq`6!%2us5~^1=px?Y z>mW%G%Pc9 z!3#S25RAZlA@h1>RMIDvRb3TGj)S=K3u1$7vW-m&KiT{ zf+)2#{2NYi_k`oq{X+IJW>SYq<(O$rMS_L*%NDX{+T&JNdXjCBE6|YR3EX5Eo>0*B z)2-oGtM_~g4mmo_kD1p!z!7j1EjcZ_wi~d@dmY+n(v|YD`!e zHOmgnGDzR8A@?*Ixkr(+aU|5n7JuAWL4vf|Lqk$zO^}lFmdtv*l0gi^+$j&kL_A6L zt%W-Fnk^28QYdEIOL)3EO?NX|HzTEYLP)nm!~L8*YP5U>Ot`*nQULA3M)0>3h=9fyLSSSCcX{{JncKyJe`(nmFlXa$=EwoKh(a@2Q*^dnmHCde7K9cQxK52_^R zQLjWSyV!J%4^(Ki5)biXE|}vRGwq zp)E%(WB2+?ZmiybXqEBejcw&z*qd02Orv7lbg0`X{@64#B{Sq=EP@fG>7Tcn_KGiX6yY>2S|lK_l=8pfdR!_=WQsCPd_neKO_!1i3cJWzLr06qFB0FB~vuLxP}#yM2|_T z_r7E{iLzi?x*uP+^&V$`pMvkQBnLO5yFL9BzbN%E&d`0 z#T)8A#q3iX;MoEikQUDCvUeR-uc}7X4RN#F=QFacs1a4rH}>Q1tf-HL=MwE7yNmOXP*w>zia zBqhZQ4WSPsyT;uhJlhMCwXk56n2AI@^1!7R!G!{jo}`;Mj*j>JJvk8u3$u4E7Kt8uaK0&1Af|{dns5^cY0%^HBvwVHv0fd&EQ(27|69+wn+P z-!Q^!Ukd>$+L3n`jsPtOEnPq?+E?H{ z(p{e+-^pa7a0$`_^9W>5U_+@aJK9m9tjU zy>i_ww!w%nxa(QIkwZE}N?lr90xK?ya8fn%FwxyXFC$}l;N}hH6bv40(2HA>g#hqNo(M5v%k>A44Jr5DWoX!Z;jxAea0LB7gh7O!w< z!SqL&Cu2y6E~G}Tk8@~kI<)vZ;lCk-<;$PJ3OUAj(y;3=;r5%sE2zavDr@(eVkv$8 zgs2#L_Y3J__UF)-OW3!ZSuJ%7S-v#MrFGrJXL(e0jvXpUUB>(3WjX868o4@XyRak@ zs*H1^YqF0{%bnrAp#0sGgsltoVO=@)vRiHTO29^hvM+t!1(`x6}Za>{|`_9JBW3xolabP-6X;J5lgCUE`y@M@J=~ z3vt%Dz^N~n(J5zOV-emIvJRnlb|t_)=OtR<9M z9o2h3rIxCfE2YuY?2FocIPyMUd)Mg|h6la(hdBfV;uT-qshG;oT;PNp%Bo5)#dSp*IoN8|@N?Eu&W{FtlP zNA9T6=X^XwUv7NGQrVQ(K;5HH-S)B|VDv~l{mq-+hP0k=#fqj`y!l#(qGK{~=c$k( zM0-a$!V>5F71f=c^6f+eZk5Xk^-bAjpHWj{Q+l&ggZ!YOkU3fAmrr>QSAjz0THbHS zh7|*7R$P(0SXkUOwA;{|`liGiUU)XO=rbF5Mp&HaqL!Ci6mjBp=OlPbMVSxoEP1xY z&ymfiJ&7&YSCSgf>TeQByPDP9meekb$n zKX1?EXxj3O_|^dDzW}hpZgN5x_Sjy`t@ckV=DQqkj_kVJL~+wvJ3eI^MSqHEz<%QQ z>TR>_T4=(Er@rw9&#AZXvRlbDZ{F z>TR+yD}{SN!J&qM}CeOd>W#2F9pQTc)ruy3x^7Lq@lI5;v3X$N-)TPw!mRks%k{gU!|C|9becd1xJO{#bGw%h1|C9NST9 zkIsCUY$YZr2s#?qDmd zRSdF^n4S>qF`+KD+=$Xq?^pC|cpk?FPy1NcyiCto%9|Ubf+J8pn+eVwR}AU3T6Hy< zSRB>*kd3Fsv_lw@KqX@>e_f+1!>BXn@Cl2q&UYc;4MZy*g2bDv8Fd$GMzKWoT*iX4%Y(O^qQ^A|YeEeULe5EfjYOT%jI+7&tXr$c2Y{9@9nf}^ zMWk4x7P6S<=C!^9Hf)nSJa0@TsMFgYY-9FoT6VhDi4{HJFPxEKqW&g=V!=X6UFLaY z&X(dPz2%GIO#tf2=INYNufQ(jOz~>Z*PVncRli!eW4lxnsF9ys|CGdh<&4Z<^H9)y zNsE7dyQkfZYz579`p9UZdV2HRHfYeRq^E()$HbE__I>#$f;b98)c99H1PN~pst_znzAou2uH$Q|7;K|<)XqzJhDWqxNVHD3Zh zVe+U}kI?W5T*9z#F5!foVn_D4wry$Zr=7~6p_V&sN)#Jy=*$8ZsC^k!~Dap;hg$pEWPCnhZ`BR7~Xm^oCL-E&O zkceK;o&Ks2J1b{Xa~nq%33Gi@8(T*wb3+wpJ39wsM@L(SA8M>4T2b0qF(tOnLyWhk za~{8@TI;O9*}q|+y&;wqOU3+Ya^}gc3^YfAWwtOYU4Q?V2A>viL%ae+<^%b^KVFO_ zufJ1O7e&k6c$2=OQFe)dJ{!{+<~Bd?D_5L>4I9In9gJrUAg@kw>xlz zlV+NB2wqGCA*i!pVtX$7%VEMV)8V+h<@FRajVaKb?swCXwKX+26t&T}a(`m%p!lyj z{(s7Ir$=E()}>#j|4pGZGs-)9xuO0FP?44*D{jm5%e_?-d*R9=&Ghb_tb9MRJTpBE zk!qolX%@MUmSBefb^Vz@L$4pls4vrHX6zVcdWIs0^?n&2`jr~xN)VUyB0T4f0@Y^& z0sw#wnuC_OEvP1KoOD&)?Tj6DehjxWc20hY6*EAWdY9Q^_6ux=n#wc0s3=TTSTa33 znh3Q^^RHqR3e7Q9@X~5;T8at1fK7z&$(BQReE1*Iz{zjjyPr`f4;pJT^W|XoUTL` z*-fjAkT$ZS^i8nC$-x($$?ERYq9czDX_2rAx_8MkLf`9*3J$4<1r8MOQl+cmDOV#> z!)~lg*w=nuJ|K7%9p%vwzEL4jQeAg?&=e7AUUi?ux{DEcQ#wWyd-N7+6+m0=2KM~2 zTo-fU&ffcRm+lJPiZ7(P6fcRIV>J=ll&Ff8xodg^;d-kVrX>0L6~z%hpqly@pW%GB zPCc6X0Hb=e%Tn8}K}M!$c485|c<)5UEUc-!0nR(_ohbW-N2TS*XK=xdUuP8MFtsM8 zEWc$T;(VjsvS<#Ebjb)eCCHj`JmMl=ur!XwN36U_Pwdkk%tTmjnWp1IyvUO;Zp3t0Sa#hV-S0#d;P0H~lBP}jlO%8}*nkH_rMkJ9a|IEdSi zkZW~E@ov3vu2<4`%{+h^oUY)kJQ0ts-Q1MJt#%$T8tdDBv32&2V(-gim(SQm*qXSU zGRo45YIS%a`1@I&F9j7p3FHy+6_uvnaEfKZ-{bk(62xD8tLuegrnV^Y*1#RZVhcq- znMzUI=1EQ?|r{nnV9qz`mWULderxJ2D3&Z>ZB8V^V(^RfoMhes}}${ZQYN;9NjQV zX*%N?&uCnEmI6zh9gI&_%La){%y)@7hdd(lFY{E?mq_mwc#so&e(S{l8CgH{8blwM zXutvhI}{+rSKs4*c{Z@JHMBGWrIv%f^^f<;zr1%&D_PF4;sh=>8#p!7mB-!j7-RNl zr?XP5I;=CRT2GuRf04}mp(HJVt&W?*G;CyxeRb*y^3dE)=Xmi}bjjTgm+frD(Ci1v zC4qGZa`fM}=9_yYv1m6eXVB;447M^K6yIeLsvVTylJx;Y$gcqJcZWn`}bMAIJTM`R*yeSg0?PjYX2)Z53 zPfWxUXYjR3ZX-*8>uusz3hhxAe}0nI#K*wW=b;v>##Z00Cr<~uGhF79v-kIyPB!AT zh^@AAPQ0;pC02tn%nMxSUA}MKoh3`<{U*S+-}~}tB*2pY!;QC^f~))VJ2G=y>n9>j ziR%0O#C~&^T1)GahL?K_N9f+S63cuExmiu&9If9k*d0X2v=SyBCe&PK03ohdK;0fhCls; zUN4bs8KTOBUILa$8rEpxeABQ~na=fcyp-74cePy~d&d#3@CYg`9ohMLA|&R~9)=8uCf zQfs$DD?uem3VQcb|L@ZL=Map)*NXmCo5qo?7VWHPfx4ZmO?0GLVYhOtTZ=8f1G5D?P6L`0F71wG3Tl<(5S=_f#z`eT zenXzm7azzq^VJgN37V#qb2a0hnm+t^_G*JNWeAx%Cyi@2b7F30BIG8?0`Ef3xZ9Ou zPJXOJpyTz+QGupx2l~YNkCg}mBWpJ!eJ6buHAiCy3D6(9Y+PKroa}e4-SizC^xdZ3ZF$#sv7;y<;4QMJ6czv)zknr_oOuP@#~nq znWlP{CjXuTbagFF?*}mK^@D{98ERgLrgU^t0AKr2+e9pWi?1OG^-(2)zGYlm}+QNLqs(SPi zl+`~}L$exURSnH*h^dBVHDpw?L9-fCSq)MR&1#5MH8iUsrW%^nkWtMJ&1y(xHApoy zt07j^(5!}-YG_tNMl}aCt09%uAl1;UhFDcYvl?Qmp;-+X)tu0*hE!IAR70~GVpR>z zYKWYiU7z4fIKT4g;&6 z;dze=?Ut$jLf~$vPfZO~85J(2T9kTh;w#N)O;t3mNgo*fyDTt{AAFYcKO_4bAV;l| z$ifu8>RW^L@G|F6C$hPDpIiVn7R#tu&A#*RPQ5nToSXc>bM!d%q_TI@b( zY0`f${uk&q=+{3WYgh0n4g5v+L=fUV=>9|dkK13UY|tqGD@xK0)LqQc+}7sT;r*B= zQqqHQOHe1ZR}26E-e1UnKl;L5VLF*PTN~Kun_JzrvoXCo#UDLOOv;0)#1R32Rp8HY z{RO$rbmam?zbOtL&cBP}D)Yy<@Fs8E8PS9V_^lBD2>(5zzvi0DewF!4GpMVW-(4-~ z2k9?NNjU6(yLQsAkYBqX{)CX^{0{PW_n%)mzcwfQ$r-rH`F*RxtFYfS_WKE&&%H7z zaBcp6#s1o0??>E^0(r)i6^>;1rep2n*Kq4m4+eX+o57#EU2Xu6y@p$*Ch*v6xNT?#kG+Ol+Ey^wt7jkox!dSA@Yrj( zJ#Pn(y@p$}PVm@kxE<{RgS~pT;Geq{dIuhR4YzN4z+rz+^0m@ zPJ+Q+J$m-f-HL&}TK;qK*59n!abG=B^v|(H)8Mh!a7!=?9(xV9{&QfkSC4l4a|z$( z!DFvcw;BuJvDa|hu?QY}4Yw>yV6az@PWf}UNuR-Eui+M989eqHZtYgUW3SfYo(cYALgJoXxH%QnDcui=(t6Fl}BZiBvo!Cvos+3ps2>^0o#?10B!!|l6W@Yw(A q_SfyRe{W3y066x+VE@@#t0;p2+N1{nh=6`>;es}e9)Pwn0{#aKWz2E_ literal 0 HcmV?d00001