Skip to content

Verilog Implementation of the Census Transform Stereo Vision algorithm

License

Notifications You must be signed in to change notification settings

slongfield/StereoCensus

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

52 Commits
 
 
 
 
 
 
 
 

Repository files navigation

StereoCensus

Verilog implementation of the census transform stereo vision algorithm.

This is based on work first published as "A parameterized stereo vision core for FPGAs" By Stephen Longfield and Mark Chang, FCCM09. Any academic work derived from this code should cite that paper.

As an example, with a maximum disparity of 100, and a window of 19x19 pixels, this generates the below depth map from the Middlebury Cones dataset:

Example output

Note that there are more errors around the edges of the image, where there's less to compare between the image pairs, as well as in areas where the image has fewer features.

To reproduce, run make venv in the verilog directory, followed by make gen_test in the verilog/stereo directory.

About

Verilog Implementation of the Census Transform Stereo Vision algorithm

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published