diff --git a/java-vtl-model/src/main/java/no/ssb/vtl/model/Filtering.java b/java-vtl-model/src/main/java/no/ssb/vtl/model/Filtering.java index c24eb73b..ffbadb8c 100644 --- a/java-vtl-model/src/main/java/no/ssb/vtl/model/Filtering.java +++ b/java-vtl-model/src/main/java/no/ssb/vtl/model/Filtering.java @@ -1,5 +1,25 @@ package no.ssb.vtl.model; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import com.google.common.base.MoreObjects; import java.util.Collection; diff --git a/java-vtl-model/src/main/java/no/ssb/vtl/model/FilteringSpecification.java b/java-vtl-model/src/main/java/no/ssb/vtl/model/FilteringSpecification.java index 5b5003f3..04e3c093 100644 --- a/java-vtl-model/src/main/java/no/ssb/vtl/model/FilteringSpecification.java +++ b/java-vtl-model/src/main/java/no/ssb/vtl/model/FilteringSpecification.java @@ -1,5 +1,25 @@ package no.ssb.vtl.model; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import java.util.Collection; public interface FilteringSpecification { diff --git a/java-vtl-model/src/main/java/no/ssb/vtl/model/VtlFiltering.java b/java-vtl-model/src/main/java/no/ssb/vtl/model/VtlFiltering.java index 45554806..cc318813 100644 --- a/java-vtl-model/src/main/java/no/ssb/vtl/model/VtlFiltering.java +++ b/java-vtl-model/src/main/java/no/ssb/vtl/model/VtlFiltering.java @@ -1,5 +1,25 @@ package no.ssb.vtl.model; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import com.google.common.collect.ImmutableList; import java.util.ArrayList; diff --git a/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringEqualTest.java b/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringEqualTest.java index 0c4bd3fb..5145c50d 100644 --- a/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringEqualTest.java +++ b/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringEqualTest.java @@ -1,5 +1,25 @@ package no.ssb.vtl.model; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import org.junit.Test; import static no.ssb.vtl.model.FilteringSpecification.Operator.TRUE; @@ -210,4 +230,4 @@ public void testAndWithTrue() { assertThat(aTruec).isEqualTo(abTrue); } -} \ No newline at end of file +} diff --git a/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringTest.java b/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringTest.java index 18bf222b..0cc518df 100644 --- a/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringTest.java +++ b/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringTest.java @@ -1,5 +1,25 @@ package no.ssb.vtl.model; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import com.google.common.collect.Lists; import org.junit.Before; import org.junit.Test; @@ -151,4 +171,4 @@ public void testLessOrEqual() { assertThat(filtering.toString()).isEqualTo("(1=a&2<=c)"); } -} \ No newline at end of file +} diff --git a/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringTransposeTest.java b/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringTransposeTest.java index 1487f7cd..84b457b9 100644 --- a/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringTransposeTest.java +++ b/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlFilteringTransposeTest.java @@ -1,5 +1,25 @@ package no.ssb.vtl.model; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import org.junit.Test; import static org.assertj.core.api.Assertions.assertThat; @@ -100,4 +120,4 @@ public void testTransposeOrs() { VtlFiltering.using(bc).transpose(filteringNotAB).toString() ).as("transposed %s", filteringNotAB).isEqualTo("TRUE"); } -} \ No newline at end of file +} diff --git a/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlOrderingTest.java b/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlOrderingTest.java index a045f8f1..ffbeb086 100644 --- a/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlOrderingTest.java +++ b/java-vtl-model/src/test/java/no/ssb/vtl/model/VtlOrderingTest.java @@ -1,5 +1,25 @@ package no.ssb.vtl.model; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import org.assertj.core.util.Lists; import org.junit.Before; import org.junit.Test; @@ -82,4 +102,4 @@ public void testEquals() { assertThat(order).isNotEqualTo(null); assertThat(order).isEqualTo(order); } -} \ No newline at end of file +} diff --git a/java-vtl-script/src/main/java/no/ssb/vtl/script/VtlConfiguration.java b/java-vtl-script/src/main/java/no/ssb/vtl/script/VtlConfiguration.java index b770b1d8..31526936 100644 --- a/java-vtl-script/src/main/java/no/ssb/vtl/script/VtlConfiguration.java +++ b/java-vtl-script/src/main/java/no/ssb/vtl/script/VtlConfiguration.java @@ -1,5 +1,25 @@ package no.ssb.vtl.script; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + /** * Configuration object for vtl. *

diff --git a/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/MembershipExpression.java b/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/MembershipExpression.java index f5932daa..a260450a 100644 --- a/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/MembershipExpression.java +++ b/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/MembershipExpression.java @@ -1,5 +1,25 @@ package no.ssb.vtl.script.expressions; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import no.ssb.vtl.model.VTLObject; import javax.script.Bindings; diff --git a/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/VariableExpression.java b/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/VariableExpression.java index a0d95cf3..15d1a3e7 100644 --- a/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/VariableExpression.java +++ b/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/VariableExpression.java @@ -1,5 +1,25 @@ package no.ssb.vtl.script.expressions; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import no.ssb.vtl.model.VTLExpression; import no.ssb.vtl.model.VTLObject; diff --git a/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/VtlFilteringConverter.java b/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/VtlFilteringConverter.java index ae41a1a6..0cee8faf 100644 --- a/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/VtlFilteringConverter.java +++ b/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/VtlFilteringConverter.java @@ -1,5 +1,25 @@ package no.ssb.vtl.script.expressions; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import no.ssb.vtl.model.FilteringSpecification; import no.ssb.vtl.model.VTLBoolean; import no.ssb.vtl.model.VTLExpression; diff --git a/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/equality/IsNotNullExpression.java b/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/equality/IsNotNullExpression.java index 38a15b4e..785b95fc 100644 --- a/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/equality/IsNotNullExpression.java +++ b/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/equality/IsNotNullExpression.java @@ -1,5 +1,25 @@ package no.ssb.vtl.script.expressions.equality; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import no.ssb.vtl.model.VTLExpression; import no.ssb.vtl.model.VTLObject; diff --git a/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/equality/IsNullExpression.java b/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/equality/IsNullExpression.java index 319889e4..89fd884d 100644 --- a/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/equality/IsNullExpression.java +++ b/java-vtl-script/src/main/java/no/ssb/vtl/script/expressions/equality/IsNullExpression.java @@ -1,5 +1,25 @@ package no.ssb.vtl.script.expressions.equality; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import no.ssb.vtl.model.VTLBoolean; import no.ssb.vtl.model.VTLExpression; import no.ssb.vtl.model.VTLObject; diff --git a/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/DataPointMap.java b/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/DataPointMap.java index 7b860c40..3e0d744f 100644 --- a/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/DataPointMap.java +++ b/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/DataPointMap.java @@ -1,5 +1,25 @@ package no.ssb.vtl.script.operations; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import com.google.common.collect.ImmutableList; import no.ssb.vtl.model.DataPoint; import no.ssb.vtl.model.DataStructure; diff --git a/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/DatasetOperationWrapper.java b/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/DatasetOperationWrapper.java index 4c89ac76..48eb2aec 100644 --- a/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/DatasetOperationWrapper.java +++ b/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/DatasetOperationWrapper.java @@ -1,5 +1,25 @@ package no.ssb.vtl.script.operations; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import com.google.common.base.MoreObjects; import no.ssb.vtl.model.DataPoint; import no.ssb.vtl.model.DataStructure; diff --git a/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/VtlStream.java b/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/VtlStream.java index 4c8db3e6..cecf2eea 100644 --- a/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/VtlStream.java +++ b/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/VtlStream.java @@ -1,5 +1,25 @@ package no.ssb.vtl.script.operations; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import com.google.common.base.MoreObjects; import com.google.common.collect.ImmutableList; import com.netflix.spectator.api.Clock; diff --git a/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/union/ForwardingStream.java b/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/union/ForwardingStream.java index df3e1e57..658d3300 100644 --- a/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/union/ForwardingStream.java +++ b/java-vtl-script/src/main/java/no/ssb/vtl/script/operations/union/ForwardingStream.java @@ -1,5 +1,25 @@ package no.ssb.vtl.script.operations.union; +/*- + * ========================LICENSE_START================================= + * Java VTL + * %% + * Copyright (C) 2016 - 2018 Hadrien Kohl + * %% + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * =========================LICENSE_END================================== + */ + import com.google.common.collect.ForwardingObject; import java.util.Comparator;