From a51f289ea305a870e4c708b846ffc0479e8fb6c6 Mon Sep 17 00:00:00 2001 From: stdlib-bot Date: Sat, 13 Apr 2024 00:59:31 +0000 Subject: [PATCH] Auto-generated commit --- .github/workflows/productionize.yml | 3 --- .github/workflows/publish.yml | 1 - .github/workflows/test.yml | 1 - .github/workflows/test_bundles.yml | 3 --- .github/workflows/test_coverage.yml | 1 - .github/workflows/test_install.yml | 1 - test/fixtures/julia/large.json | 2 +- test/fixtures/julia/medium.json | 2 +- test/fixtures/julia/runner.jl | 1 + test/fixtures/julia/small.json | 2 +- 10 files changed, 4 insertions(+), 13 deletions(-) diff --git a/.github/workflows/productionize.yml b/.github/workflows/productionize.yml index ec90164..f92a6c5 100644 --- a/.github/workflows/productionize.yml +++ b/.github/workflows/productionize.yml @@ -344,7 +344,6 @@ jobs: uses: 8398a7/action-slack@28ba43ae48961b90635b50953d216767a6bea486 # v3.16.2 with: status: ${{ job.status }} - steps: ${{ toJson(steps) }} channel: '#npm-ci' if: failure() @@ -518,7 +517,6 @@ jobs: uses: 8398a7/action-slack@28ba43ae48961b90635b50953d216767a6bea486 # v3.16.2 with: status: ${{ job.status }} - steps: ${{ toJson(steps) }} channel: '#npm-ci' if: failure() @@ -698,7 +696,6 @@ jobs: uses: 8398a7/action-slack@28ba43ae48961b90635b50953d216767a6bea486 # v3.16.2 with: status: ${{ job.status }} - steps: ${{ toJson(steps) }} channel: '#npm-ci' if: failure() diff --git a/.github/workflows/publish.yml b/.github/workflows/publish.yml index 3eec50e..ad3a3e0 100644 --- a/.github/workflows/publish.yml +++ b/.github/workflows/publish.yml @@ -218,7 +218,6 @@ jobs: uses: 8398a7/action-slack@28ba43ae48961b90635b50953d216767a6bea486 # v3.16.2 with: status: ${{ job.status }} - steps: ${{ toJson(steps) }} channel: '#npm-ci' if: failure() diff --git a/.github/workflows/test.yml b/.github/workflows/test.yml index 7a8c0ba..a0bfc11 100644 --- a/.github/workflows/test.yml +++ b/.github/workflows/test.yml @@ -95,6 +95,5 @@ jobs: uses: 8398a7/action-slack@28ba43ae48961b90635b50953d216767a6bea486 # v3.16.2 with: status: ${{ job.status }} - steps: ${{ toJson(steps) }} channel: '#npm-ci' if: failure() diff --git a/.github/workflows/test_bundles.yml b/.github/workflows/test_bundles.yml index 6d77abd..5b5879a 100644 --- a/.github/workflows/test_bundles.yml +++ b/.github/workflows/test_bundles.yml @@ -94,7 +94,6 @@ jobs: uses: 8398a7/action-slack@28ba43ae48961b90635b50953d216767a6bea486 # v3.16.2 with: status: ${{ job.status }} - steps: ${{ toJson(steps) }} channel: '#npm-ci' if: failure() @@ -139,7 +138,6 @@ jobs: uses: 8398a7/action-slack@28ba43ae48961b90635b50953d216767a6bea486 # v3.16.2 with: status: ${{ job.status }} - steps: ${{ toJson(steps) }} channel: '#npm-ci' if: failure() @@ -184,6 +182,5 @@ jobs: uses: 8398a7/action-slack@28ba43ae48961b90635b50953d216767a6bea486 # v3.16.2 with: status: ${{ job.status }} - steps: ${{ toJson(steps) }} channel: '#npm-ci' if: failure() diff --git a/.github/workflows/test_coverage.yml b/.github/workflows/test_coverage.yml index f4eda1e..2bcf0cd 100644 --- a/.github/workflows/test_coverage.yml +++ b/.github/workflows/test_coverage.yml @@ -119,7 +119,6 @@ jobs: uses: 8398a7/action-slack@28ba43ae48961b90635b50953d216767a6bea486 # v3.16.2 with: status: ${{ job.status }} - steps: ${{ toJson(steps) }} channel: '#npm-ci' if: failure() diff --git a/.github/workflows/test_install.yml b/.github/workflows/test_install.yml index 2729ab5..335c310 100644 --- a/.github/workflows/test_install.yml +++ b/.github/workflows/test_install.yml @@ -81,6 +81,5 @@ jobs: uses: 8398a7/action-slack@28ba43ae48961b90635b50953d216767a6bea486 # v3.16.2 with: status: ${{ job.status }} - steps: ${{ toJson(steps) }} channel: '#npm-ci' if: failure() diff --git a/test/fixtures/julia/large.json b/test/fixtures/julia/large.json index 22f9750..183c2ac 100644 --- a/test/fixtures/julia/large.json +++ b/test/fixtures/julia/large.json @@ -1 +1 @@ -{"expected":["00000101111101011110000100000000","00000110000000111001110010100000","00000110000100010101100001000000","00000110000111110001001111100000","00000110001011001100111110000000","00000110001110101000101100100000","00000110010010000100011011000000","00000110010101100000001001100000","00000110011000111011111000000000","00000110011100010111100110100000","00000110011111110011010101000000","00000110100011001111000011100000","00000110100110101010110010000000","00000110101010000110100000100000","00000110101101100010001111000000","00000110110000111101111101100000","00000110110100011001101100000000","00000110110111110101011010100000","00000110111011010001001001000000","00000110111110101100110111100000","00000111000010001000100110000000","00000111000101100100010100100000","00000111001001000000000011000000","00000111001100011011110001100000","00000111001111110111100000000000","00000111010011010011001110100000","00000111010110101110111101000000","00000111011010001010101011100000","00000111011101100110011010000000","00000111100001000010001000100000","00000111100100011101110111000000","00000111100111111001100101100000","00000111101011010101010100000000","00000111101110110001000010100000","00000111110010001100110001000000","00000111110101101000011111100000","00000111111001000100001110000000","00000111111100011111111100100000","00000111111111111011101011000000","00001000000011010111011001100000","00001000000110110011001000000000","00001000001010001110110110100000","00001000001101101010100101000000","00001000010001000110010011100000","00001000010100100010000010000000","00001000010111111101110000100000","00001000011011011001011111000000","00001000011110110101001101100000","00001000100010010000111100000000","00001000100101101100101010100000","00001000101001001000011001000000","00001000101100100100000111100000","00001000101111111111110110000000","00001000110011011011100100100000","00001000110110110111010011000000","00001000111010010011000001100000","00001000111101101110110000000000","00001001000001001010011110100000","00001001000100100110001101000000","00001001001000000001111011100000","00001001001011011101101010000000","00001001001110111001011000100000","00001001010010010101000111000000","00001001010101110000110101100000","00001001011001001100100100000000","00001001011100101000010010100000","00001001100000000100000001000000","00001001100011011111101111100000","00001001100110111011011110000000","00001001101010010111001100100000","00001001101101110010111011000000","00001001110001001110101001100000","00001001110100101010011000000000","00001001111000000110000110100000","00001001111011100001110101000000","00001001111110111101100011100000","00001010000010011001010010000000","00001010000101110101000000100000","00001010001001010000101111000000","00001010001100101100011101100000","00001010010000001000001100000000","00001010010011100011111010100000","00001010010110111111101001000000","00001010011010011011010111100000","00001010011101110111000110000000","00001010100001010010110100100000","00001010100100101110100011000000","00001010101000001010010001100000","00001010101011100110000000000000","00001010101111000001101110100000","00001010110010011101011101000000","00001010110101111001001011100000","00001010111001010100111010000000","00001010111100110000101000100000","00001011000000001100010111000000","00001011000011101000000101100000","00001011000111000011110100000000","00001011001010011111100010100000","00001011001101111011010001000000","00001011010001010110111111100000","00001011010100110010101110000000","00001011011000001110011100100000","00001011011011101010001011000000","00001011011111000101111001100000","00001011100010100001101000000000","00001011100101111101010110100000","00001011101001011001000101000000","00001011101100110100110011100000","00001011110000010000100010000000","00001011110011101100010000100000","00001011110111000111111111000000","00001011111010100011101101100000","00001011111101111111011100000000","00001100000001011011001010100000","00001100000100110110111001000000","00001100001000010010100111100000","00001100001011101110010110000000","00001100001111001010000100100000","00001100010010100101110011000000","00001100010110000001100001100000","00001100011001011101010000000000","00001100011100111000111110100000","00001100100000010100101101000000","00001100100011110000011011100000","00001100100111001100001010000000","00001100101010100111111000100000","00001100101110000011100111000000","00001100110001011111010101100000","00001100110100111011000100000000","00001100111000010110110010100000","00001100111011110010100001000000","00001100111111001110001111100000","00001101000010101001111110000000","00001101000110000101101100100000","00001101001001100001011011000000","00001101001100111101001001100000","00001101010000011000111000000000","00001101010011110100100110100000","00001101010111010000010101000000","00001101011010101100000011100000","00001101011110000111110010000000","00001101100001100011100000100000","00001101100100111111001111000000","00001101101000011010111101100000","00001101101011110110101100000000","00001101101111010010011010100000","00001101110010101110001001000000","00001101110110001001110111100000","00001101111001100101100110000000","00001101111101000001010100100000","00001110000000011101000011000000","00001110000011111000110001100000","00001110000111010100100000000000","00001110001010110000001110100000","00001110001110001011111101000000","00001110010001100111101011100000","00001110010101000011011010000000","00001110011000011111001000100000","00001110011011111010110111000000","00001110011111010110100101100000","00001110100010110010010100000000","00001110100110001110000010100000","00001110101001101001110001000000","00001110101101000101011111100000","00001110110000100001001110000000","00001110110011111100111100100000","00001110110111011000101011000000","00001110111010110100011001100000","00001110111110010000001000000000","00001111000001101011110110100000","00001111000101000111100101000000","00001111001000100011010011100000","00001111001011111111000010000000","00001111001111011010110000100000","00001111010010110110011111000000","00001111010110010010001101100000","00001111011001101101111100000000","00001111011101001001101010100000","00001111100000100101011001000000","00001111100100000001000111100000","00001111100111011100110110000000","00001111101010111000100100100000","00001111101110010100010011000000","00001111110001110000000001100000","00001111110101001011110000000000","00001111111000100111011110100000","00001111111100000011001101000000","00001111111111011110111011100000","00010000000010111010101010000000","00010000000110010110011000100000","00010000001001110010000111000000","00010000001101001101110101100000","00010000010000101001100100000000","00010000010100000101010010100000","00010000010111100001000001000000","00010000011010111100101111100000","00010000011110011000011110000000","00010000100001110100001100100000","00010000100101001111111011000000","00010000101000101011101001100000","00010000101100000111011000000000","00010000101111100011000110100000","00010000110010111110110101000000","00010000110110011010100011100000","00010000111001110110010010000000","00010000111101010010000000100000","00010001000000101101101111000000","00010001000100001001011101100000","00010001000111100101001100000000","00010001001011000000111010100000","00010001001110011100101001000000","00010001010001111000010111100000","00010001010101010100000110000000","00010001011000101111110100100000","00010001011100001011100011000000","00010001011111100111010001100000","00010001100011000011000000000000","00010001100110011110101110100000","00010001101001111010011101000000","00010001101101010110001011100000","00010001110000110001111010000000","00010001110100001101101000100000","00010001110111101001010111000000","00010001111011000101000101100000","00010001111110100000110100000000","00010010000001111100100010100000","00010010000101011000010001000000","00010010001000110011111111100000","00010010001100001111101110000000","00010010001111101011011100100000","00010010010011000111001011000000","00010010010110100010111001100000","00010010011001111110101000000000","00010010011101011010010110100000","00010010100000110110000101000000","00010010100100010001110011100000","00010010100111101101100010000000","00010010101011001001010000100000","00010010101110100100111111000000","00010010110010000000101101100000","00010010110101011100011100000000","00010010111000111000001010100000","00010010111100010011111001000000","00010010111111101111100111100000","00010011000011001011010110000000","00010011000110100111000100100000","00010011001010000010110011000000","00010011001101011110100001100000","00010011010000111010010000000000","00010011010100010101111110100000","00010011010111110001101101000000","00010011011011001101011011100000","00010011011110101001001010000000","00010011100010000100111000100000","00010011100101100000100111000000","00010011101000111100010101100000","00010011101100011000000100000000","00010011101111110011110010100000","00010011110011001111100001000000","00010011110110101011001111100000","00010011111010000110111110000000","00010011111101100010101100100000","00010100000000111110011011000000","00010100000100011010001001100000","00010100000111110101111000000000","00010100001011010001100110100000","00010100001110101101010101000000","00010100010010001001000011100000","00010100010101100100110010000000","00010100011001000000100000100000","00010100011100011100001111000000","00010100011111110111111101100000","00010100100011010011101100000000","00010100100110101111011010100000","00010100101010001011001001000000","00010100101101100110110111100000","00010100110001000010100110000000","00010100110100011110010100100000","00010100110111111010000011000000","00010100111011010101110001100000","00010100111110110001100000000000","00010101000010001101001110100000","00010101000101101000111101000000","00010101001001000100101011100000","00010101001100100000011010000000","00010101001111111100001000100000","00010101010011010111110111000000","00010101010110110011100101100000","00010101011010001111010100000000","00010101011101101011000010100000","00010101100001000110110001000000","00010101100100100010011111100000","00010101100111111110001110000000","00010101101011011001111100100000","00010101101110110101101011000000","00010101110010010001011001100000","00010101110101101101001000000000","00010101111001001000110110100000","00010101111100100100100101000000","00010110000000000000010011100000","00010110000011011100000010000000","00010110000110110111110000100000","00010110001010010011011111000000","00010110001101101111001101100000","00010110010001001010111100000000","00010110010100100110101010100000","00010110011000000010011001000000","00010110011011011110000111100000","00010110011110111001110110000000","00010110100010010101100100100000","00010110100101110001010011000000","00010110101001001101000001100000","00010110101100101000110000000000","00010110110000000100011110100000","00010110110011100000001101000000","00010110110110111011111011100000","00010110111010010111101010000000","00010110111101110011011000100000","00010111000001001111000111000000","00010111000100101010110101100000","00010111001000000110100100000000","00010111001011100010010010100000","00010111001110111110000001000000","00010111010010011001101111100000","00010111010101110101011110000000","00010111011001010001001100100000","00010111011100101100111011000000","00010111100000001000101001100000","00010111100011100100011000000000","00010111100111000000000110100000","00010111101010011011110101000000","00010111101101110111100011100000","00010111110001010011010010000000","00010111110100101111000000100000","00010111111000001010101111000000","00010111111011100110011101100000","00010111111111000010001100000000","00011000000010011101111010100000","00011000000101111001101001000000","00011000001001010101010111100000","00011000001100110001000110000000","00011000010000001100110100100000","00011000010011101000100011000000","00011000010111000100010001100000","00011000011010100000000000000000","00011000011101111011101110100000","00011000100001010111011101000000","00011000100100110011001011100000","00011000101000001110111010000000","00011000101011101010101000100000","00011000101111000110010111000000","00011000110010100010000101100000","00011000110101111101110100000000","00011000111001011001100010100000","00011000111100110101010001000000","00011001000000010000111111100000","00011001000011101100101110000000","00011001000111001000011100100000","00011001001010100100001011000000","00011001001101111111111001100000","00011001010001011011101000000000","00011001010100110111010110100000","00011001011000010011000101000000","00011001011011101110110011100000","00011001011111001010100010000000","00011001100010100110010000100000","00011001100110000001111111000000","00011001101001011101101101100000","00011001101100111001011100000000","00011001110000010101001010100000","00011001110011110000111001000000","00011001110111001100100111100000","00011001111010101000010110000000","00011001111110000100000100100000","00011010000001011111110011000000","00011010000100111011100001100000","00011010001000010111010000000000","00011010001011110010111110100000","00011010001111001110101101000000","00011010010010101010011011100000","00011010010110000110001010000000","00011010011001100001111000100000","00011010011100111101100111000000","00011010100000011001010101100000","00011010100011110101000100000000","00011010100111010000110010100000","00011010101010101100100001000000","00011010101110001000001111100000","00011010110001100011111110000000","00011010110100111111101100100000","00011010111000011011011011000000","00011010111011110111001001100000","00011010111111010010111000000000","00011011000010101110100110100000","00011011000110001010010101000000","00011011001001100110000011100000","00011011001101000001110010000000","00011011010000011101100000100000","00011011010011111001001111000000","00011011010111010100111101100000","00011011011010110000101100000000","00011011011110001100011010100000","00011011100001101000001001000000","00011011100101000011110111100000","00011011101000011111100110000000","00011011101011111011010100100000","00011011101111010111000011000000","00011011110010110010110001100000","00011011110110001110100000000000","00011011111001101010001110100000","00011011111101000101111101000000","00011100000000100001101011100000","00011100000011111101011010000000","00011100000111011001001000100000","00011100001010110100110111000000","00011100001110010000100101100000","00011100010001101100010100000000","00011100010101001000000010100000","00011100011000100011110001000000","00011100011011111111011111100000","00011100011111011011001110000000","00011100100010110110111100100000","00011100100110010010101011000000","00011100101001101110011001100000","00011100101101001010001000000000","00011100110000100101110110100000","00011100110100000001100101000000","00011100110111011101010011100000","00011100111010111001000010000000","00011100111110010100110000100000","00011101000001110000011111000000","00011101000101001100001101100000","00011101001000100111111100000000","00011101001100000011101010100000","00011101001111011111011001000000","00011101010010111011000111100000","00011101010110010110110110000000","00011101011001110010100100100000","00011101011101001110010011000000","00011101100000101010000001100000","00011101100100000101110000000000","00011101100111100001011110100000","00011101101010111101001101000000","00011101101110011000111011100000","00011101110001110100101010000000","00011101110101010000011000100000","00011101111000101100000111000000","00011101111100000111110101100000","00011101111111100011100100000000","00011110000010111111010010100000","00011110000110011011000001000000","00011110001001110110101111100000","00011110001101010010011110000000","00011110010000101110001100100000","00011110010100001001111011000000","00011110010111100101101001100000","00011110011011000001011000000000","00011110011110011101000110100000","00011110100001111000110101000000","00011110100101010100100011100000","00011110101000110000010010000000","00011110101100001100000000100000","00011110101111100111101111000000","00011110110011000011011101100000","00011110110110011111001100000000","00011110111001111010111010100000","00011110111101010110101001000000","00011111000000110010010111100000","00011111000100001110000110000000","00011111000111101001110100100000","00011111001011000101100011000000","00011111001110100001010001100000","00011111010001111101000000000000","00011111010101011000101110100000","00011111011000110100011101000000","00011111011100010000001011100000","00011111011111101011111010000000","00011111100011000111101000100000","00011111100110100011010111000000","00011111101001111111000101100000","00011111101101011010110100000000","00011111110000110110100010100000","00011111110100010010010001000000","00011111110111101101111111100000","00011111111011001001101110000000","00011111111110100101011100100000","00100000000010000001001011000000","00100000000101011100111001100000","00100000001000111000101000000000","00100000001100010100010110100000","00100000001111110000000101000000","00100000010011001011110011100000","00100000010110100111100010000000","00100000011010000011010000100000","00100000011101011110111111000000","00100000100000111010101101100000","00100000100100010110011100000000","00100000100111110010001010100000","00100000101011001101111001000000","00100000101110101001100111100000","00100000110010000101010110000000","00100000110101100001000100100000","00100000111000111100110011000000","00100000111100011000100001100000","00100000111111110100010000000000","00100001000011001111111110100000","00100001000110101011101101000000","00100001001010000111011011100000","00100001001101100011001010000000","00100001010000111110111000100000","00100001010100011010100111000000","00100001010111110110010101100000","00100001011011010010000100000000","00100001011110101101110010100000","00100001100010001001100001000000","00100001100101100101001111100000","00100001101001000000111110000000","00100001101100011100101100100000","00100001101111111000011011000000","00100001110011010100001001100000","00100001110110101111111000000000","00100001111010001011100110100000","00100001111101100111010101000000","00100010000001000011000011100000","00100010000100011110110010000000","00100010000111111010100000100000","00100010001011010110001111000000","00100010001110110001111101100000","00100010010010001101101100000000","00100010010101101001011010100000","00100010011001000101001001000000","00100010011100100000110111100000","00100010011111111100100110000000","00100010100011011000010100100000","00100010100110110100000011000000","00100010101010001111110001100000","00100010101101101011100000000000","00100010110001000111001110100000","00100010110100100010111101000000","00100010110111111110101011100000","00100010111011011010011010000000","00100010111110110110001000100000","00100011000010010001110111000000","00100011000101101101100101100000","00100011001001001001010100000000","00100011001100100101000010100000","00100011010000000000110001000000","00100011010011011100011111100000","00100011010110111000001110000000","00100011011010010011111100100000","00100011011101101111101011000000","00100011100001001011011001100000","00100011100100100111001000000000","00100011101000000010110110100000","00100011101011011110100101000000","00100011101110111010010011100000","00100011110010010110000010000000","00100011110101110001110000100000","00100011111001001101011111000000","00100011111100101001001101100000","00100100000000000100111100000000","00100100000011100000101010100000","00100100000110111100011001000000","00100100001010011000000111100000","00100100001101110011110110000000","00100100010001001111100100100000","00100100010100101011010011000000","00100100011000000111000001100000","00100100011011100010110000000000","00100100011110111110011110100000","00100100100010011010001101000000","00100100100101110101111011100000","00100100101001010001101010000000","00100100101100101101011000100000","00100100110000001001000111000000","00100100110011100100110101100000","00100100110111000000100100000000","00100100111010011100010010100000","00100100111101111000000001000000","00100101000001010011101111100000","00100101000100101111011110000000","00100101001000001011001100100000","00100101001011100110111011000000","00100101001111000010101001100000","00100101010010011110011000000000","00100101010101111010000110100000","00100101011001010101110101000000","00100101011100110001100011100000","00100101100000001101010010000000","00100101100011101001000000100000","00100101100111000100101111000000","00100101101010100000011101100000","00100101101101111100001100000000","00100101110001010111111010100000","00100101110100110011101001000000","00100101111000001111010111100000","00100101111011101011000110000000","00100101111111000110110100100000","00100110000010100010100011000000","00100110000101111110010001100000","00100110001001011010000000000000","00100110001100110101101110100000","00100110010000010001011101000000","00100110010011101101001011100000","00100110010111001000111010000000","00100110011010100100101000100000","00100110011110000000010111000000","00100110100001011100000101100000","00100110100100110111110100000000","00100110101000010011100010100000","00100110101011101111010001000000","00100110101111001010111111100000","00100110110010100110101110000000","00100110110110000010011100100000","00100110111001011110001011000000","00100110111100111001111001100000","00100111000000010101101000000000","00100111000011110001010110100000","00100111000111001101000101000000","00100111001010101000110011100000","00100111001110000100100010000000","00100111010001100000010000100000","00100111010100111011111111000000","00100111011000010111101101100000","00100111011011110011011100000000","00100111011111001111001010100000","00100111100010101010111001000000","00100111100110000110100111100000","00100111101001100010010110000000","00100111101100111110000100100000","00100111110000011001110011000000","00100111110011110101100001100000","00100111110111010001010000000000","00100111111010101100111110100000","00100111111110001000101101000000","00101000000001100100011011100000","00101000000101000000001010000000","00101000001000011011111000100000","00101000001011110111100111000000","00101000001111010011010101100000","00101000010010101111000100000000","00101000010110001010110010100000","00101000011001100110100001000000","00101000011101000010001111100000","00101000100000011101111110000000","00101000100011111001101100100000","00101000100111010101011011000000","00101000101010110001001001100000","00101000101110001100111000000000","00101000110001101000100110100000","00101000110101000100010101000000","00101000111000100000000011100000","00101000111011111011110010000000","00101000111111010111100000100000","00101001000010110011001111000000","00101001000110001110111101100000","00101001001001101010101100000000","00101001001101000110011010100000","00101001010000100010001001000000","00101001010011111101110111100000","00101001010111011001100110000000","00101001011010110101010100100000","00101001011110010001000011000000","00101001100001101100110001100000","00101001100101001000100000000000","00101001101000100100001110100000","00101001101011111111111101000000","00101001101111011011101011100000","00101001110010110111011010000000","00101001110110010011001000100000","00101001111001101110110111000000","00101001111101001010100101100000","00101010000000100110010100000000","00101010000100000010000010100000","00101010000111011101110001000000","00101010001010111001011111100000","00101010001110010101001110000000","00101010010001110000111100100000","00101010010101001100101011000000","00101010011000101000011001100000","00101010011100000100001000000000","00101010011111011111110110100000","00101010100010111011100101000000","00101010100110010111010011100000","00101010101001110011000010000000","00101010101101001110110000100000","00101010110000101010011111000000","00101010110100000110001101100000","00101010110111100001111100000000","00101010111010111101101010100000","00101010111110011001011001000000","00101011000001110101000111100000","00101011000101010000110110000000","00101011001000101100100100100000","00101011001100001000010011000000","00101011001111100100000001100000","00101011010010111111110000000000","00101011010110011011011110100000","00101011011001110111001101000000","00101011011101010010111011100000","00101011100000101110101010000000","00101011100100001010011000100000","00101011100111100110000111000000","00101011101011000001110101100000","00101011101110011101100100000000","00101011110001111001010010100000","00101011110101010101000001000000","00101011111000110000101111100000","00101011111100001100011110000000","00101011111111101000001100100000","00101100000011000011111011000000","00101100000110011111101001100000","00101100001001111011011000000000","00101100001101010111000110100000","00101100010000110010110101000000","00101100010100001110100011100000","00101100010111101010010010000000","00101100011011000110000000100000","00101100011110100001101111000000","00101100100001111101011101100000","00101100100101011001001100000000","00101100101000110100111010100000","00101100101100010000101001000000","00101100101111101100010111100000","00101100110011001000000110000000","00101100110110100011110100100000","00101100111001111111100011000000","00101100111101011011010001100000","00101101000000110111000000000000","00101101000100010010101110100000","00101101000111101110011101000000","00101101001011001010001011100000","00101101001110100101111010000000","00101101010010000001101000100000","00101101010101011101010111000000","00101101011000111001000101100000","00101101011100010100110100000000","00101101011111110000100010100000","00101101100011001100010001000000","00101101100110100111111111100000","00101101101010000011101110000000","00101101101101011111011100100000","00101101110000111011001011000000","00101101110100010110111001100000","00101101110111110010101000000000","00101101111011001110010110100000","00101101111110101010000101000000","00101110000010000101110011100000","00101110000101100001100010000000","00101110001000111101010000100000","00101110001100011000111111000000","00101110001111110100101101100000","00101110010011010000011100000000","00101110010110101100001010100000","00101110011010000111111001000000","00101110011101100011100111100000","00101110100000111111010110000000","00101110100100011011000100100000","00101110100111110110110011000000","00101110101011010010100001100000","00101110101110101110010000000000","00101110110010001001111110100000","00101110110101100101101101000000","00101110111001000001011011100000","00101110111100011101001010000000","00101110111111111000111000100000","00101111000011010100100111000000","00101111000110110000010101100000","00101111001010001100000100000000","00101111001101100111110010100000","00101111010001000011100001000000","00101111010100011111001111100000","00101111010111111010111110000000","00101111011011010110101100100000","00101111011110110010011011000000","00101111100010001110001001100000","00101111100101101001111000000000","00101111101001000101100110100000","00101111101100100001010101000000","00101111101111111101000011100000","00101111110011011000110010000000","00101111110110110100100000100000","00101111111010010000001111000000","00101111111101101011111101100000","00110000000001000111101100000000","00110000000100100011011010100000","00110000000111111111001001000000","00110000001011011010110111100000","00110000001110110110100110000000","00110000010010010010010100100000","00110000010101101110000011000000","00110000011001001001110001100000","00110000011100100101100000000000","00110000100000000001001110100000","00110000100011011100111101000000","00110000100110111000101011100000","00110000101010010100011010000000","00110000101101110000001000100000","00110000110001001011110111000000","00110000110100100111100101100000","00110000111000000011010100000000","00110000111011011111000010100000","00110000111110111010110001000000","00110001000010010110011111100000","00110001000101110010001110000000","00110001001001001101111100100000","00110001001100101001101011000000","00110001010000000101011001100000","00110001010011100001001000000000","00110001010110111100110110100000","00110001011010011000100101000000","00110001011101110100010011100000","00110001100001010000000010000000","00110001100100101011110000100000","00110001101000000111011111000000","00110001101011100011001101100000","00110001101110111110111100000000","00110001110010011010101010100000","00110001110101110110011001000000","00110001111001010010000111100000","00110001111100101101110110000000","00110010000000001001100100100000","00110010000011100101010011000000","00110010000111000001000001100000","00110010001010011100110000000000","00110010001101111000011110100000","00110010010001010100001101000000","00110010010100101111111011100000","00110010011000001011101010000000","00110010011011100111011000100000","00110010011111000011000111000000","00110010100010011110110101100000","00110010100101111010100100000000","00110010101001010110010010100000","00110010101100110010000001000000","00110010110000001101101111100000","00110010110011101001011110000000","00110010110111000101001100100000","00110010111010100000111011000000","00110010111101111100101001100000","00110011000001011000011000000000","00110011000100110100000110100000","00110011001000001111110101000000","00110011001011101011100011100000","00110011001111000111010010000000","00110011010010100011000000100000","00110011010101111110101111000000","00110011011001011010011101100000","00110011011100110110001100000000","00110011100000010001111010100000","00110011100011101101101001000000","00110011100111001001010111100000","00110011101010100101000110000000","00110011101110000000110100100000","00110011110001011100100011000000","00110011110100111000010001100000","00110011111000010100000000000000","00110011111011101111101110100000","00110011111111001011011101000000","00110100000010100111001011100000","00110100000110000010111010000000","00110100001001011110101000100000","00110100001100111010010111000000","00110100010000010110000101100000","00110100010011110001110100000000","00110100010111001101100010100000","00110100011010101001010001000000","00110100011110000100111111100000","00110100100001100000101110000000","00110100100100111100011100100000","00110100101000011000001011000000","00110100101011110011111001100000","00110100101111001111101000000000","00110100110010101011010110100000","00110100110110000111000101000000","00110100111001100010110011100000","00110100111100111110100010000000","00110101000000011010010000100000","00110101000011110101111111000000","00110101000111010001101101100000","00110101001010101101011100000000","00110101001110001001001010100000","00110101010001100100111001000000","00110101010101000000100111100000","00110101011000011100010110000000","00110101011011111000000100100000","00110101011111010011110011000000","00110101100010101111100001100000","00110101100110001011010000000000","00110101101001100110111110100000","00110101101101000010101101000000","00110101110000011110011011100000","00110101110011111010001010000000","00110101110111010101111000100000","00110101111010110001100111000000","00110101111110001101010101100000","00110110000001101001000100000000","00110110000101000100110010100000","00110110001000100000100001000000","00110110001011111100001111100000","00110110001111010111111110000000","00110110010010110011101100100000","00110110010110001111011011000000","00110110011001101011001001100000","00110110011101000110111000000000","00110110100000100010100110100000","00110110100011111110010101000000","00110110100111011010000011100000","00110110101010110101110010000000","00110110101110010001100000100000","00110110110001101101001111000000","00110110110101001000111101100000","00110110111000100100101100000000","00110110111100000000011010100000","00110110111111011100001001000000","00110111000010110111110111100000","00110111000110010011100110000000","00110111001001101111010100100000","00110111001101001011000011000000","00110111010000100110110001100000","00110111010100000010100000000000","00110111010111011110001110100000","00110111011010111001111101000000","00110111011110010101101011100000","00110111100001110001011010000000","00110111100101001101001000100000","00110111101000101000110111000000","00110111101100000100100101100000","00110111101111100000010100000000","00110111110010111100000010100000","00110111110110010111110001000000","00110111111001110011011111100000","00110111111101001111001110000000","00111000000000101010111100100000","00111000000100000110101011000000","00111000000111100010011001100000","00111000001010111110001000000000","00111000001110011001110110100000","00111000010001110101100101000000","00111000010101010001010011100000","00111000011000101101000010000000","00111000011100001000110000100000","00111000011111100100011111000000","00111000100011000000001101100000","00111000100110011011111100000000","00111000101001110111101010100000","00111000101101010011011001000000","00111000110000101111000111100000","00111000110100001010110110000000","00111000110111100110100100100000","00111000111011000010010011000000","00111000111110011110000001100000","00111001000001111001110000000000","00111001000101010101011110100000","00111001001000110001001101000000","00111001001100001100111011100000","00111001001111101000101010000000","00111001010011000100011000100000","00111001010110100000000111000000","00111001011001111011110101100000","00111001011101010111100100000000","00111001100000110011010010100000","00111001100100001111000001000000","00111001100111101010101111100000","00111001101011000110011110000000","00111001101110100010001100100000","00111001110001111101111011000000","00111001110101011001101001100000","00111001111000110101011000000000","00111001111100010001000110100000","00111001111111101100110101000000","00111010000011001000100011100000","00111010000110100100010010000000","00111010001010000000000000100000","00111010001101011011101111000000","00111010010000110111011101100000","00111010010100010011001100000000","00111010010111101110111010100000","00111010011011001010101001000000","00111010011110100110010111100000","00111010100010000010000110000000","00111010100101011101110100100000","00111010101000111001100011000000","00111010101100010101010001100000","00111010101111110001000000000000","00111010110011001100101110100000","00111010110110101000011101000000","00111010111010000100001011100000","00111010111101011111111010000000","00111011000000111011101000100000","00111011000100010111010111000000","00111011000111110011000101100000","00111011001011001110110100000000","00111011001110101010100010100000","00111011010010000110010001000000","00111011010101100001111111100000","00111011011000111101101110000000","00111011011100011001011100100000","00111011011111110101001011000000","00111011100011010000111001100000","00111011100110101100101000000000"],"x":[1.0e8,1.009e8,1.018e8,1.027e8,1.036e8,1.045e8,1.054e8,1.063e8,1.072e8,1.081e8,1.09e8,1.099e8,1.108e8,1.117e8,1.126e8,1.135e8,1.144e8,1.153e8,1.162e8,1.171e8,1.18e8,1.189e8,1.198e8,1.207e8,1.216e8,1.225e8,1.234e8,1.243e8,1.252e8,1.261e8,1.27e8,1.279e8,1.288e8,1.297e8,1.306e8,1.315e8,1.324e8,1.333e8,1.342e8,1.351e8,1.36e8,1.369e8,1.378e8,1.387e8,1.396e8,1.405e8,1.414e8,1.423e8,1.432e8,1.441e8,1.45e8,1.459e8,1.468e8,1.477e8,1.486e8,1.495e8,1.504e8,1.513e8,1.522e8,1.531e8,1.54e8,1.549e8,1.558e8,1.567e8,1.576e8,1.585e8,1.594e8,1.603e8,1.612e8,1.621e8,1.63e8,1.639e8,1.648e8,1.657e8,1.666e8,1.675e8,1.684e8,1.693e8,1.702e8,1.711e8,1.72e8,1.729e8,1.738e8,1.747e8,1.756e8,1.765e8,1.774e8,1.783e8,1.792e8,1.801e8,1.81e8,1.819e8,1.828e8,1.837e8,1.846e8,1.855e8,1.864e8,1.873e8,1.882e8,1.891e8,1.9e8,1.909e8,1.918e8,1.927e8,1.936e8,1.945e8,1.954e8,1.963e8,1.972e8,1.981e8,1.99e8,1.999e8,2.008e8,2.017e8,2.026e8,2.035e8,2.044e8,2.053e8,2.062e8,2.071e8,2.08e8,2.089e8,2.098e8,2.107e8,2.116e8,2.125e8,2.134e8,2.143e8,2.152e8,2.161e8,2.17e8,2.179e8,2.188e8,2.197e8,2.206e8,2.215e8,2.224e8,2.233e8,2.242e8,2.251e8,2.26e8,2.269e8,2.278e8,2.287e8,2.296e8,2.305e8,2.314e8,2.323e8,2.332e8,2.341e8,2.35e8,2.359e8,2.368e8,2.377e8,2.386e8,2.395e8,2.404e8,2.413e8,2.422e8,2.431e8,2.44e8,2.449e8,2.458e8,2.467e8,2.476e8,2.485e8,2.494e8,2.503e8,2.512e8,2.521e8,2.53e8,2.539e8,2.548e8,2.557e8,2.566e8,2.575e8,2.584e8,2.593e8,2.602e8,2.611e8,2.62e8,2.629e8,2.638e8,2.647e8,2.656e8,2.665e8,2.674e8,2.683e8,2.692e8,2.701e8,2.71e8,2.719e8,2.728e8,2.737e8,2.746e8,2.755e8,2.764e8,2.773e8,2.782e8,2.791e8,2.8e8,2.809e8,2.818e8,2.827e8,2.836e8,2.845e8,2.854e8,2.863e8,2.872e8,2.881e8,2.89e8,2.899e8,2.908e8,2.917e8,2.926e8,2.935e8,2.944e8,2.953e8,2.962e8,2.971e8,2.98e8,2.989e8,2.998e8,3.007e8,3.016e8,3.025e8,3.034e8,3.043e8,3.052e8,3.061e8,3.07e8,3.079e8,3.088e8,3.097e8,3.106e8,3.115e8,3.124e8,3.133e8,3.142e8,3.151e8,3.16e8,3.169e8,3.178e8,3.187e8,3.196e8,3.205e8,3.214e8,3.223e8,3.232e8,3.241e8,3.25e8,3.259e8,3.268e8,3.277e8,3.286e8,3.295e8,3.304e8,3.313e8,3.322e8,3.331e8,3.34e8,3.349e8,3.358e8,3.367e8,3.376e8,3.385e8,3.394e8,3.403e8,3.412e8,3.421e8,3.43e8,3.439e8,3.448e8,3.457e8,3.466e8,3.475e8,3.484e8,3.493e8,3.502e8,3.511e8,3.52e8,3.529e8,3.538e8,3.547e8,3.556e8,3.565e8,3.574e8,3.583e8,3.592e8,3.601e8,3.61e8,3.619e8,3.628e8,3.637e8,3.646e8,3.655e8,3.664e8,3.673e8,3.682e8,3.691e8,3.7e8,3.709e8,3.718e8,3.727e8,3.736e8,3.745e8,3.754e8,3.763e8,3.772e8,3.781e8,3.79e8,3.799e8,3.808e8,3.817e8,3.826e8,3.835e8,3.844e8,3.853e8,3.862e8,3.871e8,3.88e8,3.889e8,3.898e8,3.907e8,3.916e8,3.925e8,3.934e8,3.943e8,3.952e8,3.961e8,3.97e8,3.979e8,3.988e8,3.997e8,4.006e8,4.015e8,4.024e8,4.033e8,4.042e8,4.051e8,4.06e8,4.069e8,4.078e8,4.087e8,4.096e8,4.105e8,4.114e8,4.123e8,4.132e8,4.141e8,4.15e8,4.159e8,4.168e8,4.177e8,4.186e8,4.195e8,4.204e8,4.213e8,4.222e8,4.231e8,4.24e8,4.249e8,4.258e8,4.267e8,4.276e8,4.285e8,4.294e8,4.303e8,4.312e8,4.321e8,4.33e8,4.339e8,4.348e8,4.357e8,4.366e8,4.375e8,4.384e8,4.393e8,4.402e8,4.411e8,4.42e8,4.429e8,4.438e8,4.447e8,4.456e8,4.465e8,4.474e8,4.483e8,4.492e8,4.501e8,4.51e8,4.519e8,4.528e8,4.537e8,4.546e8,4.555e8,4.564e8,4.573e8,4.582e8,4.591e8,4.6e8,4.609e8,4.618e8,4.627e8,4.636e8,4.645e8,4.654e8,4.663e8,4.672e8,4.681e8,4.69e8,4.699e8,4.708e8,4.717e8,4.726e8,4.735e8,4.744e8,4.753e8,4.762e8,4.771e8,4.78e8,4.789e8,4.798e8,4.807e8,4.816e8,4.825e8,4.834e8,4.843e8,4.852e8,4.861e8,4.87e8,4.879e8,4.888e8,4.897e8,4.906e8,4.915e8,4.924e8,4.933e8,4.942e8,4.951e8,4.96e8,4.969e8,4.978e8,4.987e8,4.996e8,5.005e8,5.014e8,5.023e8,5.032e8,5.041e8,5.05e8,5.059e8,5.068e8,5.077e8,5.086e8,5.095e8,5.104e8,5.113e8,5.122e8,5.131e8,5.14e8,5.149e8,5.158e8,5.167e8,5.176e8,5.185e8,5.194e8,5.203e8,5.212e8,5.221e8,5.23e8,5.239e8,5.248e8,5.257e8,5.266e8,5.275e8,5.284e8,5.293e8,5.302e8,5.311e8,5.32e8,5.329e8,5.338e8,5.347e8,5.356e8,5.365e8,5.374e8,5.383e8,5.392e8,5.401e8,5.41e8,5.419e8,5.428e8,5.437e8,5.446e8,5.455e8,5.464e8,5.473e8,5.482e8,5.491e8,5.5e8,5.509e8,5.518e8,5.527e8,5.536e8,5.545e8,5.554e8,5.563e8,5.572e8,5.581e8,5.59e8,5.599e8,5.608e8,5.617e8,5.626e8,5.635e8,5.644e8,5.653e8,5.662e8,5.671e8,5.68e8,5.689e8,5.698e8,5.707e8,5.716e8,5.725e8,5.734e8,5.743e8,5.752e8,5.761e8,5.77e8,5.779e8,5.788e8,5.797e8,5.806e8,5.815e8,5.824e8,5.833e8,5.842e8,5.851e8,5.86e8,5.869e8,5.878e8,5.887e8,5.896e8,5.905e8,5.914e8,5.923e8,5.932e8,5.941e8,5.95e8,5.959e8,5.968e8,5.977e8,5.986e8,5.995e8,6.004e8,6.013e8,6.022e8,6.031e8,6.04e8,6.049e8,6.058e8,6.067e8,6.076e8,6.085e8,6.094e8,6.103e8,6.112e8,6.121e8,6.13e8,6.139e8,6.148e8,6.157e8,6.166e8,6.175e8,6.184e8,6.193e8,6.202e8,6.211e8,6.22e8,6.229e8,6.238e8,6.247e8,6.256e8,6.265e8,6.274e8,6.283e8,6.292e8,6.301e8,6.31e8,6.319e8,6.328e8,6.337e8,6.346e8,6.355e8,6.364e8,6.373e8,6.382e8,6.391e8,6.4e8,6.409e8,6.418e8,6.427e8,6.436e8,6.445e8,6.454e8,6.463e8,6.472e8,6.481e8,6.49e8,6.499e8,6.508e8,6.517e8,6.526e8,6.535e8,6.544e8,6.553e8,6.562e8,6.571e8,6.58e8,6.589e8,6.598e8,6.607e8,6.616e8,6.625e8,6.634e8,6.643e8,6.652e8,6.661e8,6.67e8,6.679e8,6.688e8,6.697e8,6.706e8,6.715e8,6.724e8,6.733e8,6.742e8,6.751e8,6.76e8,6.769e8,6.778e8,6.787e8,6.796e8,6.805e8,6.814e8,6.823e8,6.832e8,6.841e8,6.85e8,6.859e8,6.868e8,6.877e8,6.886e8,6.895e8,6.904e8,6.913e8,6.922e8,6.931e8,6.94e8,6.949e8,6.958e8,6.967e8,6.976e8,6.985e8,6.994e8,7.003e8,7.012e8,7.021e8,7.03e8,7.039e8,7.048e8,7.057e8,7.066e8,7.075e8,7.084e8,7.093e8,7.102e8,7.111e8,7.12e8,7.129e8,7.138e8,7.147e8,7.156e8,7.165e8,7.174e8,7.183e8,7.192e8,7.201e8,7.21e8,7.219e8,7.228e8,7.237e8,7.246e8,7.255e8,7.264e8,7.273e8,7.282e8,7.291e8,7.3e8,7.309e8,7.318e8,7.327e8,7.336e8,7.345e8,7.354e8,7.363e8,7.372e8,7.381e8,7.39e8,7.399e8,7.408e8,7.417e8,7.426e8,7.435e8,7.444e8,7.453e8,7.462e8,7.471e8,7.48e8,7.489e8,7.498e8,7.507e8,7.516e8,7.525e8,7.534e8,7.543e8,7.552e8,7.561e8,7.57e8,7.579e8,7.588e8,7.597e8,7.606e8,7.615e8,7.624e8,7.633e8,7.642e8,7.651e8,7.66e8,7.669e8,7.678e8,7.687e8,7.696e8,7.705e8,7.714e8,7.723e8,7.732e8,7.741e8,7.75e8,7.759e8,7.768e8,7.777e8,7.786e8,7.795e8,7.804e8,7.813e8,7.822e8,7.831e8,7.84e8,7.849e8,7.858e8,7.867e8,7.876e8,7.885e8,7.894e8,7.903e8,7.912e8,7.921e8,7.93e8,7.939e8,7.948e8,7.957e8,7.966e8,7.975e8,7.984e8,7.993e8,8.002e8,8.011e8,8.02e8,8.029e8,8.038e8,8.047e8,8.056e8,8.065e8,8.074e8,8.083e8,8.092e8,8.101e8,8.11e8,8.119e8,8.128e8,8.137e8,8.146e8,8.155e8,8.164e8,8.173e8,8.182e8,8.191e8,8.2e8,8.209e8,8.218e8,8.227e8,8.236e8,8.245e8,8.254e8,8.263e8,8.272e8,8.281e8,8.29e8,8.299e8,8.308e8,8.317e8,8.326e8,8.335e8,8.344e8,8.353e8,8.362e8,8.371e8,8.38e8,8.389e8,8.398e8,8.407e8,8.416e8,8.425e8,8.434e8,8.443e8,8.452e8,8.461e8,8.47e8,8.479e8,8.488e8,8.497e8,8.506e8,8.515e8,8.524e8,8.533e8,8.542e8,8.551e8,8.56e8,8.569e8,8.578e8,8.587e8,8.596e8,8.605e8,8.614e8,8.623e8,8.632e8,8.641e8,8.65e8,8.659e8,8.668e8,8.677e8,8.686e8,8.695e8,8.704e8,8.713e8,8.722e8,8.731e8,8.74e8,8.749e8,8.758e8,8.767e8,8.776e8,8.785e8,8.794e8,8.803e8,8.812e8,8.821e8,8.83e8,8.839e8,8.848e8,8.857e8,8.866e8,8.875e8,8.884e8,8.893e8,8.902e8,8.911e8,8.92e8,8.929e8,8.938e8,8.947e8,8.956e8,8.965e8,8.974e8,8.983e8,8.992e8,9.001e8,9.01e8,9.019e8,9.028e8,9.037e8,9.046e8,9.055e8,9.064e8,9.073e8,9.082e8,9.091e8,9.1e8,9.109e8,9.118e8,9.127e8,9.136e8,9.145e8,9.154e8,9.163e8,9.172e8,9.181e8,9.19e8,9.199e8,9.208e8,9.217e8,9.226e8,9.235e8,9.244e8,9.253e8,9.262e8,9.271e8,9.28e8,9.289e8,9.298e8,9.307e8,9.316e8,9.325e8,9.334e8,9.343e8,9.352e8,9.361e8,9.37e8,9.379e8,9.388e8,9.397e8,9.406e8,9.415e8,9.424e8,9.433e8,9.442e8,9.451e8,9.46e8,9.469e8,9.478e8,9.487e8,9.496e8,9.505e8,9.514e8,9.523e8,9.532e8,9.541e8,9.55e8,9.559e8,9.568e8,9.577e8,9.586e8,9.595e8,9.604e8,9.613e8,9.622e8,9.631e8,9.64e8,9.649e8,9.658e8,9.667e8,9.676e8,9.685e8,9.694e8,9.703e8,9.712e8,9.721e8,9.73e8,9.739e8,9.748e8,9.757e8,9.766e8,9.775e8,9.784e8,9.793e8,9.802e8,9.811e8,9.82e8,9.829e8,9.838e8,9.847e8,9.856e8,9.865e8,9.874e8,9.883e8,9.892e8,9.901e8,9.91e8,9.919e8,9.928e8,9.937e8,9.946e8,9.955e8,9.964e8,9.973e8,9.982e8,9.991e8,1.0e9]} \ No newline at end of file +{"expected":["00000101111101011110000100000000","00000110000000111001110010100000","00000110000100010101100001000000","00000110000111110001001111100000","00000110001011001100111110000000","00000110001110101000101100100000","00000110010010000100011011000000","00000110010101100000001001100000","00000110011000111011111000000000","00000110011100010111100110100000","00000110011111110011010101000000","00000110100011001111000011100000","00000110100110101010110010000000","00000110101010000110100000100000","00000110101101100010001111000000","00000110110000111101111101100000","00000110110100011001101100000000","00000110110111110101011010100000","00000110111011010001001001000000","00000110111110101100110111100000","00000111000010001000100110000000","00000111000101100100010100100000","00000111001001000000000011000000","00000111001100011011110001100000","00000111001111110111100000000000","00000111010011010011001110100000","00000111010110101110111101000000","00000111011010001010101011100000","00000111011101100110011010000000","00000111100001000010001000100000","00000111100100011101110111000000","00000111100111111001100101100000","00000111101011010101010100000000","00000111101110110001000010100000","00000111110010001100110001000000","00000111110101101000011111100000","00000111111001000100001110000000","00000111111100011111111100100000","00000111111111111011101011000000","00001000000011010111011001100000","00001000000110110011001000000000","00001000001010001110110110100000","00001000001101101010100101000000","00001000010001000110010011100000","00001000010100100010000010000000","00001000010111111101110000100000","00001000011011011001011111000000","00001000011110110101001101100000","00001000100010010000111100000000","00001000100101101100101010100000","00001000101001001000011001000000","00001000101100100100000111100000","00001000101111111111110110000000","00001000110011011011100100100000","00001000110110110111010011000000","00001000111010010011000001100000","00001000111101101110110000000000","00001001000001001010011110100000","00001001000100100110001101000000","00001001001000000001111011100000","00001001001011011101101010000000","00001001001110111001011000100000","00001001010010010101000111000000","00001001010101110000110101100000","00001001011001001100100100000000","00001001011100101000010010100000","00001001100000000100000001000000","00001001100011011111101111100000","00001001100110111011011110000000","00001001101010010111001100100000","00001001101101110010111011000000","00001001110001001110101001100000","00001001110100101010011000000000","00001001111000000110000110100000","00001001111011100001110101000000","00001001111110111101100011100000","00001010000010011001010010000000","00001010000101110101000000100000","00001010001001010000101111000000","00001010001100101100011101100000","00001010010000001000001100000000","00001010010011100011111010100000","00001010010110111111101001000000","00001010011010011011010111100000","00001010011101110111000110000000","00001010100001010010110100100000","00001010100100101110100011000000","00001010101000001010010001100000","00001010101011100110000000000000","00001010101111000001101110100000","00001010110010011101011101000000","00001010110101111001001011100000","00001010111001010100111010000000","00001010111100110000101000100000","00001011000000001100010111000000","00001011000011101000000101100000","00001011000111000011110100000000","00001011001010011111100010100000","00001011001101111011010001000000","00001011010001010110111111100000","00001011010100110010101110000000","00001011011000001110011100100000","00001011011011101010001011000000","00001011011111000101111001100000","00001011100010100001101000000000","00001011100101111101010110100000","00001011101001011001000101000000","00001011101100110100110011100000","00001011110000010000100010000000","00001011110011101100010000100000","00001011110111000111111111000000","00001011111010100011101101100000","00001011111101111111011100000000","00001100000001011011001010100000","00001100000100110110111001000000","00001100001000010010100111100000","00001100001011101110010110000000","00001100001111001010000100100000","00001100010010100101110011000000","00001100010110000001100001100000","00001100011001011101010000000000","00001100011100111000111110100000","00001100100000010100101101000000","00001100100011110000011011100000","00001100100111001100001010000000","00001100101010100111111000100000","00001100101110000011100111000000","00001100110001011111010101100000","00001100110100111011000100000000","00001100111000010110110010100000","00001100111011110010100001000000","00001100111111001110001111100000","00001101000010101001111110000000","00001101000110000101101100100000","00001101001001100001011011000000","00001101001100111101001001100000","00001101010000011000111000000000","00001101010011110100100110100000","00001101010111010000010101000000","00001101011010101100000011100000","00001101011110000111110010000000","00001101100001100011100000100000","00001101100100111111001111000000","00001101101000011010111101100000","00001101101011110110101100000000","00001101101111010010011010100000","00001101110010101110001001000000","00001101110110001001110111100000","00001101111001100101100110000000","00001101111101000001010100100000","00001110000000011101000011000000","00001110000011111000110001100000","00001110000111010100100000000000","00001110001010110000001110100000","00001110001110001011111101000000","00001110010001100111101011100000","00001110010101000011011010000000","00001110011000011111001000100000","00001110011011111010110111000000","00001110011111010110100101100000","00001110100010110010010100000000","00001110100110001110000010100000","00001110101001101001110001000000","00001110101101000101011111100000","00001110110000100001001110000000","00001110110011111100111100100000","00001110110111011000101011000000","00001110111010110100011001100000","00001110111110010000001000000000","00001111000001101011110110100000","00001111000101000111100101000000","00001111001000100011010011100000","00001111001011111111000010000000","00001111001111011010110000100000","00001111010010110110011111000000","00001111010110010010001101100000","00001111011001101101111100000000","00001111011101001001101010100000","00001111100000100101011001000000","00001111100100000001000111100000","00001111100111011100110110000000","00001111101010111000100100100000","00001111101110010100010011000000","00001111110001110000000001100000","00001111110101001011110000000000","00001111111000100111011110100000","00001111111100000011001101000000","00001111111111011110111011100000","00010000000010111010101010000000","00010000000110010110011000100000","00010000001001110010000111000000","00010000001101001101110101100000","00010000010000101001100100000000","00010000010100000101010010100000","00010000010111100001000001000000","00010000011010111100101111100000","00010000011110011000011110000000","00010000100001110100001100100000","00010000100101001111111011000000","00010000101000101011101001100000","00010000101100000111011000000000","00010000101111100011000110100000","00010000110010111110110101000000","00010000110110011010100011100000","00010000111001110110010010000000","00010000111101010010000000100000","00010001000000101101101111000000","00010001000100001001011101100000","00010001000111100101001100000000","00010001001011000000111010100000","00010001001110011100101001000000","00010001010001111000010111100000","00010001010101010100000110000000","00010001011000101111110100100000","00010001011100001011100011000000","00010001011111100111010001100000","00010001100011000011000000000000","00010001100110011110101110100000","00010001101001111010011101000000","00010001101101010110001011100000","00010001110000110001111010000000","00010001110100001101101000100000","00010001110111101001010111000000","00010001111011000101000101100000","00010001111110100000110100000000","00010010000001111100100010100000","00010010000101011000010001000000","00010010001000110011111111100000","00010010001100001111101110000000","00010010001111101011011100100000","00010010010011000111001011000000","00010010010110100010111001100000","00010010011001111110101000000000","00010010011101011010010110100000","00010010100000110110000101000000","00010010100100010001110011100000","00010010100111101101100010000000","00010010101011001001010000100000","00010010101110100100111111000000","00010010110010000000101101100000","00010010110101011100011100000000","00010010111000111000001010100000","00010010111100010011111001000000","00010010111111101111100111100000","00010011000011001011010110000000","00010011000110100111000100100000","00010011001010000010110011000000","00010011001101011110100001100000","00010011010000111010010000000000","00010011010100010101111110100000","00010011010111110001101101000000","00010011011011001101011011100000","00010011011110101001001010000000","00010011100010000100111000100000","00010011100101100000100111000000","00010011101000111100010101100000","00010011101100011000000100000000","00010011101111110011110010100000","00010011110011001111100001000000","00010011110110101011001111100000","00010011111010000110111110000000","00010011111101100010101100100000","00010100000000111110011011000000","00010100000100011010001001100000","00010100000111110101111000000000","00010100001011010001100110100000","00010100001110101101010101000000","00010100010010001001000011100000","00010100010101100100110010000000","00010100011001000000100000100000","00010100011100011100001111000000","00010100011111110111111101100000","00010100100011010011101100000000","00010100100110101111011010100000","00010100101010001011001001000000","00010100101101100110110111100000","00010100110001000010100110000000","00010100110100011110010100100000","00010100110111111010000011000000","00010100111011010101110001100000","00010100111110110001100000000000","00010101000010001101001110100000","00010101000101101000111101000000","00010101001001000100101011100000","00010101001100100000011010000000","00010101001111111100001000100000","00010101010011010111110111000000","00010101010110110011100101100000","00010101011010001111010100000000","00010101011101101011000010100000","00010101100001000110110001000000","00010101100100100010011111100000","00010101100111111110001110000000","00010101101011011001111100100000","00010101101110110101101011000000","00010101110010010001011001100000","00010101110101101101001000000000","00010101111001001000110110100000","00010101111100100100100101000000","00010110000000000000010011100000","00010110000011011100000010000000","00010110000110110111110000100000","00010110001010010011011111000000","00010110001101101111001101100000","00010110010001001010111100000000","00010110010100100110101010100000","00010110011000000010011001000000","00010110011011011110000111100000","00010110011110111001110110000000","00010110100010010101100100100000","00010110100101110001010011000000","00010110101001001101000001100000","00010110101100101000110000000000","00010110110000000100011110100000","00010110110011100000001101000000","00010110110110111011111011100000","00010110111010010111101010000000","00010110111101110011011000100000","00010111000001001111000111000000","00010111000100101010110101100000","00010111001000000110100100000000","00010111001011100010010010100000","00010111001110111110000001000000","00010111010010011001101111100000","00010111010101110101011110000000","00010111011001010001001100100000","00010111011100101100111011000000","00010111100000001000101001100000","00010111100011100100011000000000","00010111100111000000000110100000","00010111101010011011110101000000","00010111101101110111100011100000","00010111110001010011010010000000","00010111110100101111000000100000","00010111111000001010101111000000","00010111111011100110011101100000","00010111111111000010001100000000","00011000000010011101111010100000","00011000000101111001101001000000","00011000001001010101010111100000","00011000001100110001000110000000","00011000010000001100110100100000","00011000010011101000100011000000","00011000010111000100010001100000","00011000011010100000000000000000","00011000011101111011101110100000","00011000100001010111011101000000","00011000100100110011001011100000","00011000101000001110111010000000","00011000101011101010101000100000","00011000101111000110010111000000","00011000110010100010000101100000","00011000110101111101110100000000","00011000111001011001100010100000","00011000111100110101010001000000","00011001000000010000111111100000","00011001000011101100101110000000","00011001000111001000011100100000","00011001001010100100001011000000","00011001001101111111111001100000","00011001010001011011101000000000","00011001010100110111010110100000","00011001011000010011000101000000","00011001011011101110110011100000","00011001011111001010100010000000","00011001100010100110010000100000","00011001100110000001111111000000","00011001101001011101101101100000","00011001101100111001011100000000","00011001110000010101001010100000","00011001110011110000111001000000","00011001110111001100100111100000","00011001111010101000010110000000","00011001111110000100000100100000","00011010000001011111110011000000","00011010000100111011100001100000","00011010001000010111010000000000","00011010001011110010111110100000","00011010001111001110101101000000","00011010010010101010011011100000","00011010010110000110001010000000","00011010011001100001111000100000","00011010011100111101100111000000","00011010100000011001010101100000","00011010100011110101000100000000","00011010100111010000110010100000","00011010101010101100100001000000","00011010101110001000001111100000","00011010110001100011111110000000","00011010110100111111101100100000","00011010111000011011011011000000","00011010111011110111001001100000","00011010111111010010111000000000","00011011000010101110100110100000","00011011000110001010010101000000","00011011001001100110000011100000","00011011001101000001110010000000","00011011010000011101100000100000","00011011010011111001001111000000","00011011010111010100111101100000","00011011011010110000101100000000","00011011011110001100011010100000","00011011100001101000001001000000","00011011100101000011110111100000","00011011101000011111100110000000","00011011101011111011010100100000","00011011101111010111000011000000","00011011110010110010110001100000","00011011110110001110100000000000","00011011111001101010001110100000","00011011111101000101111101000000","00011100000000100001101011100000","00011100000011111101011010000000","00011100000111011001001000100000","00011100001010110100110111000000","00011100001110010000100101100000","00011100010001101100010100000000","00011100010101001000000010100000","00011100011000100011110001000000","00011100011011111111011111100000","00011100011111011011001110000000","00011100100010110110111100100000","00011100100110010010101011000000","00011100101001101110011001100000","00011100101101001010001000000000","00011100110000100101110110100000","00011100110100000001100101000000","00011100110111011101010011100000","00011100111010111001000010000000","00011100111110010100110000100000","00011101000001110000011111000000","00011101000101001100001101100000","00011101001000100111111100000000","00011101001100000011101010100000","00011101001111011111011001000000","00011101010010111011000111100000","00011101010110010110110110000000","00011101011001110010100100100000","00011101011101001110010011000000","00011101100000101010000001100000","00011101100100000101110000000000","00011101100111100001011110100000","00011101101010111101001101000000","00011101101110011000111011100000","00011101110001110100101010000000","00011101110101010000011000100000","00011101111000101100000111000000","00011101111100000111110101100000","00011101111111100011100100000000","00011110000010111111010010100000","00011110000110011011000001000000","00011110001001110110101111100000","00011110001101010010011110000000","00011110010000101110001100100000","00011110010100001001111011000000","00011110010111100101101001100000","00011110011011000001011000000000","00011110011110011101000110100000","00011110100001111000110101000000","00011110100101010100100011100000","00011110101000110000010010000000","00011110101100001100000000100000","00011110101111100111101111000000","00011110110011000011011101100000","00011110110110011111001100000000","00011110111001111010111010100000","00011110111101010110101001000000","00011111000000110010010111100000","00011111000100001110000110000000","00011111000111101001110100100000","00011111001011000101100011000000","00011111001110100001010001100000","00011111010001111101000000000000","00011111010101011000101110100000","00011111011000110100011101000000","00011111011100010000001011100000","00011111011111101011111010000000","00011111100011000111101000100000","00011111100110100011010111000000","00011111101001111111000101100000","00011111101101011010110100000000","00011111110000110110100010100000","00011111110100010010010001000000","00011111110111101101111111100000","00011111111011001001101110000000","00011111111110100101011100100000","00100000000010000001001011000000","00100000000101011100111001100000","00100000001000111000101000000000","00100000001100010100010110100000","00100000001111110000000101000000","00100000010011001011110011100000","00100000010110100111100010000000","00100000011010000011010000100000","00100000011101011110111111000000","00100000100000111010101101100000","00100000100100010110011100000000","00100000100111110010001010100000","00100000101011001101111001000000","00100000101110101001100111100000","00100000110010000101010110000000","00100000110101100001000100100000","00100000111000111100110011000000","00100000111100011000100001100000","00100000111111110100010000000000","00100001000011001111111110100000","00100001000110101011101101000000","00100001001010000111011011100000","00100001001101100011001010000000","00100001010000111110111000100000","00100001010100011010100111000000","00100001010111110110010101100000","00100001011011010010000100000000","00100001011110101101110010100000","00100001100010001001100001000000","00100001100101100101001111100000","00100001101001000000111110000000","00100001101100011100101100100000","00100001101111111000011011000000","00100001110011010100001001100000","00100001110110101111111000000000","00100001111010001011100110100000","00100001111101100111010101000000","00100010000001000011000011100000","00100010000100011110110010000000","00100010000111111010100000100000","00100010001011010110001111000000","00100010001110110001111101100000","00100010010010001101101100000000","00100010010101101001011010100000","00100010011001000101001001000000","00100010011100100000110111100000","00100010011111111100100110000000","00100010100011011000010100100000","00100010100110110100000011000000","00100010101010001111110001100000","00100010101101101011100000000000","00100010110001000111001110100000","00100010110100100010111101000000","00100010110111111110101011100000","00100010111011011010011010000000","00100010111110110110001000100000","00100011000010010001110111000000","00100011000101101101100101100000","00100011001001001001010100000000","00100011001100100101000010100000","00100011010000000000110001000000","00100011010011011100011111100000","00100011010110111000001110000000","00100011011010010011111100100000","00100011011101101111101011000000","00100011100001001011011001100000","00100011100100100111001000000000","00100011101000000010110110100000","00100011101011011110100101000000","00100011101110111010010011100000","00100011110010010110000010000000","00100011110101110001110000100000","00100011111001001101011111000000","00100011111100101001001101100000","00100100000000000100111100000000","00100100000011100000101010100000","00100100000110111100011001000000","00100100001010011000000111100000","00100100001101110011110110000000","00100100010001001111100100100000","00100100010100101011010011000000","00100100011000000111000001100000","00100100011011100010110000000000","00100100011110111110011110100000","00100100100010011010001101000000","00100100100101110101111011100000","00100100101001010001101010000000","00100100101100101101011000100000","00100100110000001001000111000000","00100100110011100100110101100000","00100100110111000000100100000000","00100100111010011100010010100000","00100100111101111000000001000000","00100101000001010011101111100000","00100101000100101111011110000000","00100101001000001011001100100000","00100101001011100110111011000000","00100101001111000010101001100000","00100101010010011110011000000000","00100101010101111010000110100000","00100101011001010101110101000000","00100101011100110001100011100000","00100101100000001101010010000000","00100101100011101001000000100000","00100101100111000100101111000000","00100101101010100000011101100000","00100101101101111100001100000000","00100101110001010111111010100000","00100101110100110011101001000000","00100101111000001111010111100000","00100101111011101011000110000000","00100101111111000110110100100000","00100110000010100010100011000000","00100110000101111110010001100000","00100110001001011010000000000000","00100110001100110101101110100000","00100110010000010001011101000000","00100110010011101101001011100000","00100110010111001000111010000000","00100110011010100100101000100000","00100110011110000000010111000000","00100110100001011100000101100000","00100110100100110111110100000000","00100110101000010011100010100000","00100110101011101111010001000000","00100110101111001010111111100000","00100110110010100110101110000000","00100110110110000010011100100000","00100110111001011110001011000000","00100110111100111001111001100000","00100111000000010101101000000000","00100111000011110001010110100000","00100111000111001101000101000000","00100111001010101000110011100000","00100111001110000100100010000000","00100111010001100000010000100000","00100111010100111011111111000000","00100111011000010111101101100000","00100111011011110011011100000000","00100111011111001111001010100000","00100111100010101010111001000000","00100111100110000110100111100000","00100111101001100010010110000000","00100111101100111110000100100000","00100111110000011001110011000000","00100111110011110101100001100000","00100111110111010001010000000000","00100111111010101100111110100000","00100111111110001000101101000000","00101000000001100100011011100000","00101000000101000000001010000000","00101000001000011011111000100000","00101000001011110111100111000000","00101000001111010011010101100000","00101000010010101111000100000000","00101000010110001010110010100000","00101000011001100110100001000000","00101000011101000010001111100000","00101000100000011101111110000000","00101000100011111001101100100000","00101000100111010101011011000000","00101000101010110001001001100000","00101000101110001100111000000000","00101000110001101000100110100000","00101000110101000100010101000000","00101000111000100000000011100000","00101000111011111011110010000000","00101000111111010111100000100000","00101001000010110011001111000000","00101001000110001110111101100000","00101001001001101010101100000000","00101001001101000110011010100000","00101001010000100010001001000000","00101001010011111101110111100000","00101001010111011001100110000000","00101001011010110101010100100000","00101001011110010001000011000000","00101001100001101100110001100000","00101001100101001000100000000000","00101001101000100100001110100000","00101001101011111111111101000000","00101001101111011011101011100000","00101001110010110111011010000000","00101001110110010011001000100000","00101001111001101110110111000000","00101001111101001010100101100000","00101010000000100110010100000000","00101010000100000010000010100000","00101010000111011101110001000000","00101010001010111001011111100000","00101010001110010101001110000000","00101010010001110000111100100000","00101010010101001100101011000000","00101010011000101000011001100000","00101010011100000100001000000000","00101010011111011111110110100000","00101010100010111011100101000000","00101010100110010111010011100000","00101010101001110011000010000000","00101010101101001110110000100000","00101010110000101010011111000000","00101010110100000110001101100000","00101010110111100001111100000000","00101010111010111101101010100000","00101010111110011001011001000000","00101011000001110101000111100000","00101011000101010000110110000000","00101011001000101100100100100000","00101011001100001000010011000000","00101011001111100100000001100000","00101011010010111111110000000000","00101011010110011011011110100000","00101011011001110111001101000000","00101011011101010010111011100000","00101011100000101110101010000000","00101011100100001010011000100000","00101011100111100110000111000000","00101011101011000001110101100000","00101011101110011101100100000000","00101011110001111001010010100000","00101011110101010101000001000000","00101011111000110000101111100000","00101011111100001100011110000000","00101011111111101000001100100000","00101100000011000011111011000000","00101100000110011111101001100000","00101100001001111011011000000000","00101100001101010111000110100000","00101100010000110010110101000000","00101100010100001110100011100000","00101100010111101010010010000000","00101100011011000110000000100000","00101100011110100001101111000000","00101100100001111101011101100000","00101100100101011001001100000000","00101100101000110100111010100000","00101100101100010000101001000000","00101100101111101100010111100000","00101100110011001000000110000000","00101100110110100011110100100000","00101100111001111111100011000000","00101100111101011011010001100000","00101101000000110111000000000000","00101101000100010010101110100000","00101101000111101110011101000000","00101101001011001010001011100000","00101101001110100101111010000000","00101101010010000001101000100000","00101101010101011101010111000000","00101101011000111001000101100000","00101101011100010100110100000000","00101101011111110000100010100000","00101101100011001100010001000000","00101101100110100111111111100000","00101101101010000011101110000000","00101101101101011111011100100000","00101101110000111011001011000000","00101101110100010110111001100000","00101101110111110010101000000000","00101101111011001110010110100000","00101101111110101010000101000000","00101110000010000101110011100000","00101110000101100001100010000000","00101110001000111101010000100000","00101110001100011000111111000000","00101110001111110100101101100000","00101110010011010000011100000000","00101110010110101100001010100000","00101110011010000111111001000000","00101110011101100011100111100000","00101110100000111111010110000000","00101110100100011011000100100000","00101110100111110110110011000000","00101110101011010010100001100000","00101110101110101110010000000000","00101110110010001001111110100000","00101110110101100101101101000000","00101110111001000001011011100000","00101110111100011101001010000000","00101110111111111000111000100000","00101111000011010100100111000000","00101111000110110000010101100000","00101111001010001100000100000000","00101111001101100111110010100000","00101111010001000011100001000000","00101111010100011111001111100000","00101111010111111010111110000000","00101111011011010110101100100000","00101111011110110010011011000000","00101111100010001110001001100000","00101111100101101001111000000000","00101111101001000101100110100000","00101111101100100001010101000000","00101111101111111101000011100000","00101111110011011000110010000000","00101111110110110100100000100000","00101111111010010000001111000000","00101111111101101011111101100000","00110000000001000111101100000000","00110000000100100011011010100000","00110000000111111111001001000000","00110000001011011010110111100000","00110000001110110110100110000000","00110000010010010010010100100000","00110000010101101110000011000000","00110000011001001001110001100000","00110000011100100101100000000000","00110000100000000001001110100000","00110000100011011100111101000000","00110000100110111000101011100000","00110000101010010100011010000000","00110000101101110000001000100000","00110000110001001011110111000000","00110000110100100111100101100000","00110000111000000011010100000000","00110000111011011111000010100000","00110000111110111010110001000000","00110001000010010110011111100000","00110001000101110010001110000000","00110001001001001101111100100000","00110001001100101001101011000000","00110001010000000101011001100000","00110001010011100001001000000000","00110001010110111100110110100000","00110001011010011000100101000000","00110001011101110100010011100000","00110001100001010000000010000000","00110001100100101011110000100000","00110001101000000111011111000000","00110001101011100011001101100000","00110001101110111110111100000000","00110001110010011010101010100000","00110001110101110110011001000000","00110001111001010010000111100000","00110001111100101101110110000000","00110010000000001001100100100000","00110010000011100101010011000000","00110010000111000001000001100000","00110010001010011100110000000000","00110010001101111000011110100000","00110010010001010100001101000000","00110010010100101111111011100000","00110010011000001011101010000000","00110010011011100111011000100000","00110010011111000011000111000000","00110010100010011110110101100000","00110010100101111010100100000000","00110010101001010110010010100000","00110010101100110010000001000000","00110010110000001101101111100000","00110010110011101001011110000000","00110010110111000101001100100000","00110010111010100000111011000000","00110010111101111100101001100000","00110011000001011000011000000000","00110011000100110100000110100000","00110011001000001111110101000000","00110011001011101011100011100000","00110011001111000111010010000000","00110011010010100011000000100000","00110011010101111110101111000000","00110011011001011010011101100000","00110011011100110110001100000000","00110011100000010001111010100000","00110011100011101101101001000000","00110011100111001001010111100000","00110011101010100101000110000000","00110011101110000000110100100000","00110011110001011100100011000000","00110011110100111000010001100000","00110011111000010100000000000000","00110011111011101111101110100000","00110011111111001011011101000000","00110100000010100111001011100000","00110100000110000010111010000000","00110100001001011110101000100000","00110100001100111010010111000000","00110100010000010110000101100000","00110100010011110001110100000000","00110100010111001101100010100000","00110100011010101001010001000000","00110100011110000100111111100000","00110100100001100000101110000000","00110100100100111100011100100000","00110100101000011000001011000000","00110100101011110011111001100000","00110100101111001111101000000000","00110100110010101011010110100000","00110100110110000111000101000000","00110100111001100010110011100000","00110100111100111110100010000000","00110101000000011010010000100000","00110101000011110101111111000000","00110101000111010001101101100000","00110101001010101101011100000000","00110101001110001001001010100000","00110101010001100100111001000000","00110101010101000000100111100000","00110101011000011100010110000000","00110101011011111000000100100000","00110101011111010011110011000000","00110101100010101111100001100000","00110101100110001011010000000000","00110101101001100110111110100000","00110101101101000010101101000000","00110101110000011110011011100000","00110101110011111010001010000000","00110101110111010101111000100000","00110101111010110001100111000000","00110101111110001101010101100000","00110110000001101001000100000000","00110110000101000100110010100000","00110110001000100000100001000000","00110110001011111100001111100000","00110110001111010111111110000000","00110110010010110011101100100000","00110110010110001111011011000000","00110110011001101011001001100000","00110110011101000110111000000000","00110110100000100010100110100000","00110110100011111110010101000000","00110110100111011010000011100000","00110110101010110101110010000000","00110110101110010001100000100000","00110110110001101101001111000000","00110110110101001000111101100000","00110110111000100100101100000000","00110110111100000000011010100000","00110110111111011100001001000000","00110111000010110111110111100000","00110111000110010011100110000000","00110111001001101111010100100000","00110111001101001011000011000000","00110111010000100110110001100000","00110111010100000010100000000000","00110111010111011110001110100000","00110111011010111001111101000000","00110111011110010101101011100000","00110111100001110001011010000000","00110111100101001101001000100000","00110111101000101000110111000000","00110111101100000100100101100000","00110111101111100000010100000000","00110111110010111100000010100000","00110111110110010111110001000000","00110111111001110011011111100000","00110111111101001111001110000000","00111000000000101010111100100000","00111000000100000110101011000000","00111000000111100010011001100000","00111000001010111110001000000000","00111000001110011001110110100000","00111000010001110101100101000000","00111000010101010001010011100000","00111000011000101101000010000000","00111000011100001000110000100000","00111000011111100100011111000000","00111000100011000000001101100000","00111000100110011011111100000000","00111000101001110111101010100000","00111000101101010011011001000000","00111000110000101111000111100000","00111000110100001010110110000000","00111000110111100110100100100000","00111000111011000010010011000000","00111000111110011110000001100000","00111001000001111001110000000000","00111001000101010101011110100000","00111001001000110001001101000000","00111001001100001100111011100000","00111001001111101000101010000000","00111001010011000100011000100000","00111001010110100000000111000000","00111001011001111011110101100000","00111001011101010111100100000000","00111001100000110011010010100000","00111001100100001111000001000000","00111001100111101010101111100000","00111001101011000110011110000000","00111001101110100010001100100000","00111001110001111101111011000000","00111001110101011001101001100000","00111001111000110101011000000000","00111001111100010001000110100000","00111001111111101100110101000000","00111010000011001000100011100000","00111010000110100100010010000000","00111010001010000000000000100000","00111010001101011011101111000000","00111010010000110111011101100000","00111010010100010011001100000000","00111010010111101110111010100000","00111010011011001010101001000000","00111010011110100110010111100000","00111010100010000010000110000000","00111010100101011101110100100000","00111010101000111001100011000000","00111010101100010101010001100000","00111010101111110001000000000000","00111010110011001100101110100000","00111010110110101000011101000000","00111010111010000100001011100000","00111010111101011111111010000000","00111011000000111011101000100000","00111011000100010111010111000000","00111011000111110011000101100000","00111011001011001110110100000000","00111011001110101010100010100000","00111011010010000110010001000000","00111011010101100001111111100000","00111011011000111101101110000000","00111011011100011001011100100000","00111011011111110101001011000000","00111011100011010000111001100000","00111011100110101100101000000000"],"x":[1.0e8,1.009e8,1.018e8,1.027e8,1.036e8,1.045e8,1.054e8,1.063e8,1.072e8,1.081e8,1.09e8,1.099e8,1.108e8,1.117e8,1.126e8,1.135e8,1.144e8,1.153e8,1.162e8,1.171e8,1.18e8,1.189e8,1.198e8,1.207e8,1.216e8,1.225e8,1.234e8,1.243e8,1.252e8,1.261e8,1.27e8,1.279e8,1.288e8,1.297e8,1.306e8,1.315e8,1.324e8,1.333e8,1.342e8,1.351e8,1.36e8,1.369e8,1.378e8,1.387e8,1.396e8,1.405e8,1.414e8,1.423e8,1.432e8,1.441e8,1.45e8,1.459e8,1.468e8,1.477e8,1.486e8,1.495e8,1.504e8,1.513e8,1.522e8,1.531e8,1.54e8,1.549e8,1.558e8,1.567e8,1.576e8,1.585e8,1.594e8,1.603e8,1.612e8,1.621e8,1.63e8,1.639e8,1.648e8,1.657e8,1.666e8,1.675e8,1.684e8,1.693e8,1.702e8,1.711e8,1.72e8,1.729e8,1.738e8,1.747e8,1.756e8,1.765e8,1.774e8,1.783e8,1.792e8,1.801e8,1.81e8,1.819e8,1.828e8,1.837e8,1.846e8,1.855e8,1.864e8,1.873e8,1.882e8,1.891e8,1.9e8,1.909e8,1.918e8,1.927e8,1.936e8,1.945e8,1.954e8,1.963e8,1.972e8,1.981e8,1.99e8,1.999e8,2.008e8,2.017e8,2.026e8,2.035e8,2.044e8,2.053e8,2.062e8,2.071e8,2.08e8,2.089e8,2.098e8,2.107e8,2.116e8,2.125e8,2.134e8,2.143e8,2.152e8,2.161e8,2.17e8,2.179e8,2.188e8,2.197e8,2.206e8,2.215e8,2.224e8,2.233e8,2.242e8,2.251e8,2.26e8,2.269e8,2.278e8,2.287e8,2.296e8,2.305e8,2.314e8,2.323e8,2.332e8,2.341e8,2.35e8,2.359e8,2.368e8,2.377e8,2.386e8,2.395e8,2.404e8,2.413e8,2.422e8,2.431e8,2.44e8,2.449e8,2.458e8,2.467e8,2.476e8,2.485e8,2.494e8,2.503e8,2.512e8,2.521e8,2.53e8,2.539e8,2.548e8,2.557e8,2.566e8,2.575e8,2.584e8,2.593e8,2.602e8,2.611e8,2.62e8,2.629e8,2.638e8,2.647e8,2.656e8,2.665e8,2.674e8,2.683e8,2.692e8,2.701e8,2.71e8,2.719e8,2.728e8,2.737e8,2.746e8,2.755e8,2.764e8,2.773e8,2.782e8,2.791e8,2.8e8,2.809e8,2.818e8,2.827e8,2.836e8,2.845e8,2.854e8,2.863e8,2.872e8,2.881e8,2.89e8,2.899e8,2.908e8,2.917e8,2.926e8,2.935e8,2.944e8,2.953e8,2.962e8,2.971e8,2.98e8,2.989e8,2.998e8,3.007e8,3.016e8,3.025e8,3.034e8,3.043e8,3.052e8,3.061e8,3.07e8,3.079e8,3.088e8,3.097e8,3.106e8,3.115e8,3.124e8,3.133e8,3.142e8,3.151e8,3.16e8,3.169e8,3.178e8,3.187e8,3.196e8,3.205e8,3.214e8,3.223e8,3.232e8,3.241e8,3.25e8,3.259e8,3.268e8,3.277e8,3.286e8,3.295e8,3.304e8,3.313e8,3.322e8,3.331e8,3.34e8,3.349e8,3.358e8,3.367e8,3.376e8,3.385e8,3.394e8,3.403e8,3.412e8,3.421e8,3.43e8,3.439e8,3.448e8,3.457e8,3.466e8,3.475e8,3.484e8,3.493e8,3.502e8,3.511e8,3.52e8,3.529e8,3.538e8,3.547e8,3.556e8,3.565e8,3.574e8,3.583e8,3.592e8,3.601e8,3.61e8,3.619e8,3.628e8,3.637e8,3.646e8,3.655e8,3.664e8,3.673e8,3.682e8,3.691e8,3.7e8,3.709e8,3.718e8,3.727e8,3.736e8,3.745e8,3.754e8,3.763e8,3.772e8,3.781e8,3.79e8,3.799e8,3.808e8,3.817e8,3.826e8,3.835e8,3.844e8,3.853e8,3.862e8,3.871e8,3.88e8,3.889e8,3.898e8,3.907e8,3.916e8,3.925e8,3.934e8,3.943e8,3.952e8,3.961e8,3.97e8,3.979e8,3.988e8,3.997e8,4.006e8,4.015e8,4.024e8,4.033e8,4.042e8,4.051e8,4.06e8,4.069e8,4.078e8,4.087e8,4.096e8,4.105e8,4.114e8,4.123e8,4.132e8,4.141e8,4.15e8,4.159e8,4.168e8,4.177e8,4.186e8,4.195e8,4.204e8,4.213e8,4.222e8,4.231e8,4.24e8,4.249e8,4.258e8,4.267e8,4.276e8,4.285e8,4.294e8,4.303e8,4.312e8,4.321e8,4.33e8,4.339e8,4.348e8,4.357e8,4.366e8,4.375e8,4.384e8,4.393e8,4.402e8,4.411e8,4.42e8,4.429e8,4.438e8,4.447e8,4.456e8,4.465e8,4.474e8,4.483e8,4.492e8,4.501e8,4.51e8,4.519e8,4.528e8,4.537e8,4.546e8,4.555e8,4.564e8,4.573e8,4.582e8,4.591e8,4.6e8,4.609e8,4.618e8,4.627e8,4.636e8,4.645e8,4.654e8,4.663e8,4.672e8,4.681e8,4.69e8,4.699e8,4.708e8,4.717e8,4.726e8,4.735e8,4.744e8,4.753e8,4.762e8,4.771e8,4.78e8,4.789e8,4.798e8,4.807e8,4.816e8,4.825e8,4.834e8,4.843e8,4.852e8,4.861e8,4.87e8,4.879e8,4.888e8,4.897e8,4.906e8,4.915e8,4.924e8,4.933e8,4.942e8,4.951e8,4.96e8,4.969e8,4.978e8,4.987e8,4.996e8,5.005e8,5.014e8,5.023e8,5.032e8,5.041e8,5.05e8,5.059e8,5.068e8,5.077e8,5.086e8,5.095e8,5.104e8,5.113e8,5.122e8,5.131e8,5.14e8,5.149e8,5.158e8,5.167e8,5.176e8,5.185e8,5.194e8,5.203e8,5.212e8,5.221e8,5.23e8,5.239e8,5.248e8,5.257e8,5.266e8,5.275e8,5.284e8,5.293e8,5.302e8,5.311e8,5.32e8,5.329e8,5.338e8,5.347e8,5.356e8,5.365e8,5.374e8,5.383e8,5.392e8,5.401e8,5.41e8,5.419e8,5.428e8,5.437e8,5.446e8,5.455e8,5.464e8,5.473e8,5.482e8,5.491e8,5.5e8,5.509e8,5.518e8,5.527e8,5.536e8,5.545e8,5.554e8,5.563e8,5.572e8,5.581e8,5.59e8,5.599e8,5.608e8,5.617e8,5.626e8,5.635e8,5.644e8,5.653e8,5.662e8,5.671e8,5.68e8,5.689e8,5.698e8,5.707e8,5.716e8,5.725e8,5.734e8,5.743e8,5.752e8,5.761e8,5.77e8,5.779e8,5.788e8,5.797e8,5.806e8,5.815e8,5.824e8,5.833e8,5.842e8,5.851e8,5.86e8,5.869e8,5.878e8,5.887e8,5.896e8,5.905e8,5.914e8,5.923e8,5.932e8,5.941e8,5.95e8,5.959e8,5.968e8,5.977e8,5.986e8,5.995e8,6.004e8,6.013e8,6.022e8,6.031e8,6.04e8,6.049e8,6.058e8,6.067e8,6.076e8,6.085e8,6.094e8,6.103e8,6.112e8,6.121e8,6.13e8,6.139e8,6.148e8,6.157e8,6.166e8,6.175e8,6.184e8,6.193e8,6.202e8,6.211e8,6.22e8,6.229e8,6.238e8,6.247e8,6.256e8,6.265e8,6.274e8,6.283e8,6.292e8,6.301e8,6.31e8,6.319e8,6.328e8,6.337e8,6.346e8,6.355e8,6.364e8,6.373e8,6.382e8,6.391e8,6.4e8,6.409e8,6.418e8,6.427e8,6.436e8,6.445e8,6.454e8,6.463e8,6.472e8,6.481e8,6.49e8,6.499e8,6.508e8,6.517e8,6.526e8,6.535e8,6.544e8,6.553e8,6.562e8,6.571e8,6.58e8,6.589e8,6.598e8,6.607e8,6.616e8,6.625e8,6.634e8,6.643e8,6.652e8,6.661e8,6.67e8,6.679e8,6.688e8,6.697e8,6.706e8,6.715e8,6.724e8,6.733e8,6.742e8,6.751e8,6.76e8,6.769e8,6.778e8,6.787e8,6.796e8,6.805e8,6.814e8,6.823e8,6.832e8,6.841e8,6.85e8,6.859e8,6.868e8,6.877e8,6.886e8,6.895e8,6.904e8,6.913e8,6.922e8,6.931e8,6.94e8,6.949e8,6.958e8,6.967e8,6.976e8,6.985e8,6.994e8,7.003e8,7.012e8,7.021e8,7.03e8,7.039e8,7.048e8,7.057e8,7.066e8,7.075e8,7.084e8,7.093e8,7.102e8,7.111e8,7.12e8,7.129e8,7.138e8,7.147e8,7.156e8,7.165e8,7.174e8,7.183e8,7.192e8,7.201e8,7.21e8,7.219e8,7.228e8,7.237e8,7.246e8,7.255e8,7.264e8,7.273e8,7.282e8,7.291e8,7.3e8,7.309e8,7.318e8,7.327e8,7.336e8,7.345e8,7.354e8,7.363e8,7.372e8,7.381e8,7.39e8,7.399e8,7.408e8,7.417e8,7.426e8,7.435e8,7.444e8,7.453e8,7.462e8,7.471e8,7.48e8,7.489e8,7.498e8,7.507e8,7.516e8,7.525e8,7.534e8,7.543e8,7.552e8,7.561e8,7.57e8,7.579e8,7.588e8,7.597e8,7.606e8,7.615e8,7.624e8,7.633e8,7.642e8,7.651e8,7.66e8,7.669e8,7.678e8,7.687e8,7.696e8,7.705e8,7.714e8,7.723e8,7.732e8,7.741e8,7.75e8,7.759e8,7.768e8,7.777e8,7.786e8,7.795e8,7.804e8,7.813e8,7.822e8,7.831e8,7.84e8,7.849e8,7.858e8,7.867e8,7.876e8,7.885e8,7.894e8,7.903e8,7.912e8,7.921e8,7.93e8,7.939e8,7.948e8,7.957e8,7.966e8,7.975e8,7.984e8,7.993e8,8.002e8,8.011e8,8.02e8,8.029e8,8.038e8,8.047e8,8.056e8,8.065e8,8.074e8,8.083e8,8.092e8,8.101e8,8.11e8,8.119e8,8.128e8,8.137e8,8.146e8,8.155e8,8.164e8,8.173e8,8.182e8,8.191e8,8.2e8,8.209e8,8.218e8,8.227e8,8.236e8,8.245e8,8.254e8,8.263e8,8.272e8,8.281e8,8.29e8,8.299e8,8.308e8,8.317e8,8.326e8,8.335e8,8.344e8,8.353e8,8.362e8,8.371e8,8.38e8,8.389e8,8.398e8,8.407e8,8.416e8,8.425e8,8.434e8,8.443e8,8.452e8,8.461e8,8.47e8,8.479e8,8.488e8,8.497e8,8.506e8,8.515e8,8.524e8,8.533e8,8.542e8,8.551e8,8.56e8,8.569e8,8.578e8,8.587e8,8.596e8,8.605e8,8.614e8,8.623e8,8.632e8,8.641e8,8.65e8,8.659e8,8.668e8,8.677e8,8.686e8,8.695e8,8.704e8,8.713e8,8.722e8,8.731e8,8.74e8,8.749e8,8.758e8,8.767e8,8.776e8,8.785e8,8.794e8,8.803e8,8.812e8,8.821e8,8.83e8,8.839e8,8.848e8,8.857e8,8.866e8,8.875e8,8.884e8,8.893e8,8.902e8,8.911e8,8.92e8,8.929e8,8.938e8,8.947e8,8.956e8,8.965e8,8.974e8,8.983e8,8.992e8,9.001e8,9.01e8,9.019e8,9.028e8,9.037e8,9.046e8,9.055e8,9.064e8,9.073e8,9.082e8,9.091e8,9.1e8,9.109e8,9.118e8,9.127e8,9.136e8,9.145e8,9.154e8,9.163e8,9.172e8,9.181e8,9.19e8,9.199e8,9.208e8,9.217e8,9.226e8,9.235e8,9.244e8,9.253e8,9.262e8,9.271e8,9.28e8,9.289e8,9.298e8,9.307e8,9.316e8,9.325e8,9.334e8,9.343e8,9.352e8,9.361e8,9.37e8,9.379e8,9.388e8,9.397e8,9.406e8,9.415e8,9.424e8,9.433e8,9.442e8,9.451e8,9.46e8,9.469e8,9.478e8,9.487e8,9.496e8,9.505e8,9.514e8,9.523e8,9.532e8,9.541e8,9.55e8,9.559e8,9.568e8,9.577e8,9.586e8,9.595e8,9.604e8,9.613e8,9.622e8,9.631e8,9.64e8,9.649e8,9.658e8,9.667e8,9.676e8,9.685e8,9.694e8,9.703e8,9.712e8,9.721e8,9.73e8,9.739e8,9.748e8,9.757e8,9.766e8,9.775e8,9.784e8,9.793e8,9.802e8,9.811e8,9.82e8,9.829e8,9.838e8,9.847e8,9.856e8,9.865e8,9.874e8,9.883e8,9.892e8,9.901e8,9.91e8,9.919e8,9.928e8,9.937e8,9.946e8,9.955e8,9.964e8,9.973e8,9.982e8,9.991e8,1.0e9]} diff --git a/test/fixtures/julia/medium.json b/test/fixtures/julia/medium.json index c9e8e50..7a81ca8 100644 --- a/test/fixtures/julia/medium.json +++ b/test/fixtures/julia/medium.json @@ -1 +1 @@ -{"expected":["00000000000000011000011010100000","00000000000000011000101000100100","00000000000000011000110110101000","00000000000000011001000100101100","00000000000000011001010010110000","00000000000000011001100000110100","00000000000000011001101110111000","00000000000000011001111100111100","00000000000000011010001011000000","00000000000000011010011001000100","00000000000000011010100111001000","00000000000000011010110101001100","00000000000000011011000011010000","00000000000000011011010001010100","00000000000000011011011111011000","00000000000000011011101101011100","00000000000000011011111011100000","00000000000000011100001001100100","00000000000000011100010111101000","00000000000000011100100101101100","00000000000000011100110011110000","00000000000000011101000001110100","00000000000000011101001111111000","00000000000000011101011101111100","00000000000000011101101100000000","00000000000000011101111010000100","00000000000000011110001000001000","00000000000000011110010110001100","00000000000000011110100100010000","00000000000000011110110010010100","00000000000000011111000000011000","00000000000000011111001110011100","00000000000000011111011100100000","00000000000000011111101010100100","00000000000000011111111000101000","00000000000000100000000110101100","00000000000000100000010100110000","00000000000000100000100010110100","00000000000000100000110000111000","00000000000000100000111110111100","00000000000000100001001101000000","00000000000000100001011011000100","00000000000000100001101001001000","00000000000000100001110111001100","00000000000000100010000101010000","00000000000000100010010011010100","00000000000000100010100001011000","00000000000000100010101111011100","00000000000000100010111101100000","00000000000000100011001011100100","00000000000000100011011001101000","00000000000000100011100111101100","00000000000000100011110101110000","00000000000000100100000011110100","00000000000000100100010001111000","00000000000000100100011111111100","00000000000000100100101110000000","00000000000000100100111100000100","00000000000000100101001010001000","00000000000000100101011000001100","00000000000000100101100110010000","00000000000000100101110100010100","00000000000000100110000010011000","00000000000000100110010000011100","00000000000000100110011110100000","00000000000000100110101100100100","00000000000000100110111010101000","00000000000000100111001000101100","00000000000000100111010110110000","00000000000000100111100100110100","00000000000000100111110010111000","00000000000000101000000000111100","00000000000000101000001111000000","00000000000000101000011101000100","00000000000000101000101011001000","00000000000000101000111001001100","00000000000000101001000111010000","00000000000000101001010101010100","00000000000000101001100011011000","00000000000000101001110001011100","00000000000000101001111111100000","00000000000000101010001101100100","00000000000000101010011011101000","00000000000000101010101001101100","00000000000000101010110111110000","00000000000000101011000101110100","00000000000000101011010011111000","00000000000000101011100001111100","00000000000000101011110000000000","00000000000000101011111110000100","00000000000000101100001100001000","00000000000000101100011010001100","00000000000000101100101000010000","00000000000000101100110110010100","00000000000000101101000100011000","00000000000000101101010010011100","00000000000000101101100000100000","00000000000000101101101110100100","00000000000000101101111100101000","00000000000000101110001010101100","00000000000000101110011000110000","00000000000000101110100110110100","00000000000000101110110100111000","00000000000000101111000010111100","00000000000000101111010001000000","00000000000000101111011111000100","00000000000000101111101101001000","00000000000000101111111011001100","00000000000000110000001001010000","00000000000000110000010111010100","00000000000000110000100101011000","00000000000000110000110011011100","00000000000000110001000001100000","00000000000000110001001111100100","00000000000000110001011101101000","00000000000000110001101011101100","00000000000000110001111001110000","00000000000000110010000111110100","00000000000000110010010101111000","00000000000000110010100011111100","00000000000000110010110010000000","00000000000000110011000000000100","00000000000000110011001110001000","00000000000000110011011100001100","00000000000000110011101010010000","00000000000000110011111000010100","00000000000000110100000110011000","00000000000000110100010100011100","00000000000000110100100010100000","00000000000000110100110000100100","00000000000000110100111110101000","00000000000000110101001100101100","00000000000000110101011010110000","00000000000000110101101000110100","00000000000000110101110110111000","00000000000000110110000100111100","00000000000000110110010011000000","00000000000000110110100001000100","00000000000000110110101111001000","00000000000000110110111101001100","00000000000000110111001011010000","00000000000000110111011001010100","00000000000000110111100111011000","00000000000000110111110101011100","00000000000000111000000011100000","00000000000000111000010001100100","00000000000000111000011111101000","00000000000000111000101101101100","00000000000000111000111011110000","00000000000000111001001001110100","00000000000000111001010111111000","00000000000000111001100101111100","00000000000000111001110100000000","00000000000000111010000010000100","00000000000000111010010000001000","00000000000000111010011110001100","00000000000000111010101100010000","00000000000000111010111010010100","00000000000000111011001000011000","00000000000000111011010110011100","00000000000000111011100100100000","00000000000000111011110010100100","00000000000000111100000000101000","00000000000000111100001110101100","00000000000000111100011100110000","00000000000000111100101010110100","00000000000000111100111000111000","00000000000000111101000110111100","00000000000000111101010101000000","00000000000000111101100011000100","00000000000000111101110001001000","00000000000000111101111111001100","00000000000000111110001101010000","00000000000000111110011011010100","00000000000000111110101001011000","00000000000000111110110111011100","00000000000000111111000101100000","00000000000000111111010011100100","00000000000000111111100001101000","00000000000000111111101111101100","00000000000000111111111101110000","00000000000001000000001011110100","00000000000001000000011001111000","00000000000001000000100111111100","00000000000001000000110110000000","00000000000001000001000100000100","00000000000001000001010010001000","00000000000001000001100000001100","00000000000001000001101110010000","00000000000001000001111100010100","00000000000001000010001010011000","00000000000001000010011000011100","00000000000001000010100110100000","00000000000001000010110100100100","00000000000001000011000010101000","00000000000001000011010000101100","00000000000001000011011110110000","00000000000001000011101100110100","00000000000001000011111010111000","00000000000001000100001000111100","00000000000001000100010111000000","00000000000001000100100101000100","00000000000001000100110011001000","00000000000001000101000001001100","00000000000001000101001111010000","00000000000001000101011101010100","00000000000001000101101011011000","00000000000001000101111001011100","00000000000001000110000111100000","00000000000001000110010101100100","00000000000001000110100011101000","00000000000001000110110001101100","00000000000001000110111111110000","00000000000001000111001101110100","00000000000001000111011011111000","00000000000001000111101001111100","00000000000001000111111000000000","00000000000001001000000110000100","00000000000001001000010100001000","00000000000001001000100010001100","00000000000001001000110000010000","00000000000001001000111110010100","00000000000001001001001100011000","00000000000001001001011010011100","00000000000001001001101000100000","00000000000001001001110110100100","00000000000001001010000100101000","00000000000001001010010010101100","00000000000001001010100000110000","00000000000001001010101110110100","00000000000001001010111100111000","00000000000001001011001010111100","00000000000001001011011001000000","00000000000001001011100111000100","00000000000001001011110101001000","00000000000001001100000011001100","00000000000001001100010001010000","00000000000001001100011111010100","00000000000001001100101101011000","00000000000001001100111011011100","00000000000001001101001001100000","00000000000001001101010111100100","00000000000001001101100101101000","00000000000001001101110011101100","00000000000001001110000001110000","00000000000001001110001111110100","00000000000001001110011101111000","00000000000001001110101011111100","00000000000001001110111010000000","00000000000001001111001000000100","00000000000001001111010110001000","00000000000001001111100100001100","00000000000001001111110010010000","00000000000001010000000000010100","00000000000001010000001110011000","00000000000001010000011100011100","00000000000001010000101010100000","00000000000001010000111000100100","00000000000001010001000110101000","00000000000001010001010100101100","00000000000001010001100010110000","00000000000001010001110000110100","00000000000001010001111110111000","00000000000001010010001100111100","00000000000001010010011011000000","00000000000001010010101001000100","00000000000001010010110111001000","00000000000001010011000101001100","00000000000001010011010011010000","00000000000001010011100001010100","00000000000001010011101111011000","00000000000001010011111101011100","00000000000001010100001011100000","00000000000001010100011001100100","00000000000001010100100111101000","00000000000001010100110101101100","00000000000001010101000011110000","00000000000001010101010001110100","00000000000001010101011111111000","00000000000001010101101101111100","00000000000001010101111100000000","00000000000001010110001010000100","00000000000001010110011000001000","00000000000001010110100110001100","00000000000001010110110100010000","00000000000001010111000010010100","00000000000001010111010000011000","00000000000001010111011110011100","00000000000001010111101100100000","00000000000001010111111010100100","00000000000001011000001000101000","00000000000001011000010110101100","00000000000001011000100100110000","00000000000001011000110010110100","00000000000001011001000000111000","00000000000001011001001110111100","00000000000001011001011101000000","00000000000001011001101011000100","00000000000001011001111001001000","00000000000001011010000111001100","00000000000001011010010101010000","00000000000001011010100011010100","00000000000001011010110001011000","00000000000001011010111111011100","00000000000001011011001101100000","00000000000001011011011011100100","00000000000001011011101001101000","00000000000001011011110111101100","00000000000001011100000101110000","00000000000001011100010011110100","00000000000001011100100001111000","00000000000001011100101111111100","00000000000001011100111110000000","00000000000001011101001100000100","00000000000001011101011010001000","00000000000001011101101000001100","00000000000001011101110110010000","00000000000001011110000100010100","00000000000001011110010010011000","00000000000001011110100000011100","00000000000001011110101110100000","00000000000001011110111100100100","00000000000001011111001010101000","00000000000001011111011000101100","00000000000001011111100110110000","00000000000001011111110100110100","00000000000001100000000010111000","00000000000001100000010000111100","00000000000001100000011111000000","00000000000001100000101101000100","00000000000001100000111011001000","00000000000001100001001001001100","00000000000001100001010111010000","00000000000001100001100101010100","00000000000001100001110011011000","00000000000001100010000001011100","00000000000001100010001111100000","00000000000001100010011101100100","00000000000001100010101011101000","00000000000001100010111001101100","00000000000001100011000111110000","00000000000001100011010101110100","00000000000001100011100011111000","00000000000001100011110001111100","00000000000001100100000000000000","00000000000001100100001110000100","00000000000001100100011100001000","00000000000001100100101010001100","00000000000001100100111000010000","00000000000001100101000110010100","00000000000001100101010100011000","00000000000001100101100010011100","00000000000001100101110000100000","00000000000001100101111110100100","00000000000001100110001100101000","00000000000001100110011010101100","00000000000001100110101000110000","00000000000001100110110110110100","00000000000001100111000100111000","00000000000001100111010010111100","00000000000001100111100001000000","00000000000001100111101111000100","00000000000001100111111101001000","00000000000001101000001011001100","00000000000001101000011001010000","00000000000001101000100111010100","00000000000001101000110101011000","00000000000001101001000011011100","00000000000001101001010001100000","00000000000001101001011111100100","00000000000001101001101101101000","00000000000001101001111011101100","00000000000001101010001001110000","00000000000001101010010111110100","00000000000001101010100101111000","00000000000001101010110011111100","00000000000001101011000010000000","00000000000001101011010000000100","00000000000001101011011110001000","00000000000001101011101100001100","00000000000001101011111010010000","00000000000001101100001000010100","00000000000001101100010110011000","00000000000001101100100100011100","00000000000001101100110010100000","00000000000001101101000000100100","00000000000001101101001110101000","00000000000001101101011100101100","00000000000001101101101010110000","00000000000001101101111000110100","00000000000001101110000110111000","00000000000001101110010100111100","00000000000001101110100011000000","00000000000001101110110001000100","00000000000001101110111111001000","00000000000001101111001101001100","00000000000001101111011011010000","00000000000001101111101001010100","00000000000001101111110111011000","00000000000001110000000101011100","00000000000001110000010011100000","00000000000001110000100001100100","00000000000001110000101111101000","00000000000001110000111101101100","00000000000001110001001011110000","00000000000001110001011001110100","00000000000001110001100111111000","00000000000001110001110101111100","00000000000001110010000100000000","00000000000001110010010010000100","00000000000001110010100000001000","00000000000001110010101110001100","00000000000001110010111100010000","00000000000001110011001010010100","00000000000001110011011000011000","00000000000001110011100110011100","00000000000001110011110100100000","00000000000001110100000010100100","00000000000001110100010000101000","00000000000001110100011110101100","00000000000001110100101100110000","00000000000001110100111010110100","00000000000001110101001000111000","00000000000001110101010110111100","00000000000001110101100101000000","00000000000001110101110011000100","00000000000001110110000001001000","00000000000001110110001111001100","00000000000001110110011101010000","00000000000001110110101011010100","00000000000001110110111001011000","00000000000001110111000111011100","00000000000001110111010101100000","00000000000001110111100011100100","00000000000001110111110001101000","00000000000001110111111111101100","00000000000001111000001101110000","00000000000001111000011011110100","00000000000001111000101001111000","00000000000001111000110111111100","00000000000001111001000110000000","00000000000001111001010100000100","00000000000001111001100010001000","00000000000001111001110000001100","00000000000001111001111110010000","00000000000001111010001100010100","00000000000001111010011010011000","00000000000001111010101000011100","00000000000001111010110110100000","00000000000001111011000100100100","00000000000001111011010010101000","00000000000001111011100000101100","00000000000001111011101110110000","00000000000001111011111100110100","00000000000001111100001010111000","00000000000001111100011000111100","00000000000001111100100111000000","00000000000001111100110101000100","00000000000001111101000011001000","00000000000001111101010001001100","00000000000001111101011111010000","00000000000001111101101101010100","00000000000001111101111011011000","00000000000001111110001001011100","00000000000001111110010111100000","00000000000001111110100101100100","00000000000001111110110011101000","00000000000001111111000001101100","00000000000001111111001111110000","00000000000001111111011101110100","00000000000001111111101011111000","00000000000001111111111001111100","00000000000010000000001000000000","00000000000010000000010110000100","00000000000010000000100100001000","00000000000010000000110010001100","00000000000010000001000000010000","00000000000010000001001110010100","00000000000010000001011100011000","00000000000010000001101010011100","00000000000010000001111000100000","00000000000010000010000110100100","00000000000010000010010100101000","00000000000010000010100010101100","00000000000010000010110000110000","00000000000010000010111110110100","00000000000010000011001100111000","00000000000010000011011010111100","00000000000010000011101001000000","00000000000010000011110111000100","00000000000010000100000101001000","00000000000010000100010011001100","00000000000010000100100001010000","00000000000010000100101111010100","00000000000010000100111101011000","00000000000010000101001011011100","00000000000010000101011001100000","00000000000010000101100111100100","00000000000010000101110101101000","00000000000010000110000011101100","00000000000010000110010001110000","00000000000010000110011111110100","00000000000010000110101101111000","00000000000010000110111011111100","00000000000010000111001010000000","00000000000010000111011000000100","00000000000010000111100110001000","00000000000010000111110100001100","00000000000010001000000010010000","00000000000010001000010000010100","00000000000010001000011110011000","00000000000010001000101100011100","00000000000010001000111010100000","00000000000010001001001000100100","00000000000010001001010110101000","00000000000010001001100100101100","00000000000010001001110010110000","00000000000010001010000000110100","00000000000010001010001110111000","00000000000010001010011100111100","00000000000010001010101011000000","00000000000010001010111001000100","00000000000010001011000111001000","00000000000010001011010101001100","00000000000010001011100011010000","00000000000010001011110001010100","00000000000010001011111111011000","00000000000010001100001101011100","00000000000010001100011011100000","00000000000010001100101001100100","00000000000010001100110111101000","00000000000010001101000101101100","00000000000010001101010011110000","00000000000010001101100001110100","00000000000010001101101111111000","00000000000010001101111101111100","00000000000010001110001100000000","00000000000010001110011010000100","00000000000010001110101000001000","00000000000010001110110110001100","00000000000010001111000100010000","00000000000010001111010010010100","00000000000010001111100000011000","00000000000010001111101110011100","00000000000010001111111100100000","00000000000010010000001010100100","00000000000010010000011000101000","00000000000010010000100110101100","00000000000010010000110100110000","00000000000010010001000010110100","00000000000010010001010000111000","00000000000010010001011110111100","00000000000010010001101101000000","00000000000010010001111011000100","00000000000010010010001001001000","00000000000010010010010111001100","00000000000010010010100101010000","00000000000010010010110011010100","00000000000010010011000001011000","00000000000010010011001111011100","00000000000010010011011101100000","00000000000010010011101011100100","00000000000010010011111001101000","00000000000010010100000111101100","00000000000010010100010101110000","00000000000010010100100011110100","00000000000010010100110001111000","00000000000010010100111111111100","00000000000010010101001110000000","00000000000010010101011100000100","00000000000010010101101010001000","00000000000010010101111000001100","00000000000010010110000110010000","00000000000010010110010100010100","00000000000010010110100010011000","00000000000010010110110000011100","00000000000010010110111110100000","00000000000010010111001100100100","00000000000010010111011010101000","00000000000010010111101000101100","00000000000010010111110110110000","00000000000010011000000100110100","00000000000010011000010010111000","00000000000010011000100000111100","00000000000010011000101111000000","00000000000010011000111101000100","00000000000010011001001011001000","00000000000010011001011001001100","00000000000010011001100111010000","00000000000010011001110101010100","00000000000010011010000011011000","00000000000010011010010001011100","00000000000010011010011111100000","00000000000010011010101101100100","00000000000010011010111011101000","00000000000010011011001001101100","00000000000010011011010111110000","00000000000010011011100101110100","00000000000010011011110011111000","00000000000010011100000001111100","00000000000010011100010000000000","00000000000010011100011110000100","00000000000010011100101100001000","00000000000010011100111010001100","00000000000010011101001000010000","00000000000010011101010110010100","00000000000010011101100100011000","00000000000010011101110010011100","00000000000010011110000000100000","00000000000010011110001110100100","00000000000010011110011100101000","00000000000010011110101010101100","00000000000010011110111000110000","00000000000010011111000110110100","00000000000010011111010100111000","00000000000010011111100010111100","00000000000010011111110001000000","00000000000010011111111111000100","00000000000010100000001101001000","00000000000010100000011011001100","00000000000010100000101001010000","00000000000010100000110111010100","00000000000010100001000101011000","00000000000010100001010011011100","00000000000010100001100001100000","00000000000010100001101111100100","00000000000010100001111101101000","00000000000010100010001011101100","00000000000010100010011001110000","00000000000010100010100111110100","00000000000010100010110101111000","00000000000010100011000011111100","00000000000010100011010010000000","00000000000010100011100000000100","00000000000010100011101110001000","00000000000010100011111100001100","00000000000010100100001010010000","00000000000010100100011000010100","00000000000010100100100110011000","00000000000010100100110100011100","00000000000010100101000010100000","00000000000010100101010000100100","00000000000010100101011110101000","00000000000010100101101100101100","00000000000010100101111010110000","00000000000010100110001000110100","00000000000010100110010110111000","00000000000010100110100100111100","00000000000010100110110011000000","00000000000010100111000001000100","00000000000010100111001111001000","00000000000010100111011101001100","00000000000010100111101011010000","00000000000010100111111001010100","00000000000010101000000111011000","00000000000010101000010101011100","00000000000010101000100011100000","00000000000010101000110001100100","00000000000010101000111111101000","00000000000010101001001101101100","00000000000010101001011011110000","00000000000010101001101001110100","00000000000010101001110111111000","00000000000010101010000101111100","00000000000010101010010100000000","00000000000010101010100010000100","00000000000010101010110000001000","00000000000010101010111110001100","00000000000010101011001100010000","00000000000010101011011010010100","00000000000010101011101000011000","00000000000010101011110110011100","00000000000010101100000100100000","00000000000010101100010010100100","00000000000010101100100000101000","00000000000010101100101110101100","00000000000010101100111100110000","00000000000010101101001010110100","00000000000010101101011000111000","00000000000010101101100110111100","00000000000010101101110101000000","00000000000010101110000011000100","00000000000010101110010001001000","00000000000010101110011111001100","00000000000010101110101101010000","00000000000010101110111011010100","00000000000010101111001001011000","00000000000010101111010111011100","00000000000010101111100101100000","00000000000010101111110011100100","00000000000010110000000001101000","00000000000010110000001111101100","00000000000010110000011101110000","00000000000010110000101011110100","00000000000010110000111001111000","00000000000010110001000111111100","00000000000010110001010110000000","00000000000010110001100100000100","00000000000010110001110010001000","00000000000010110010000000001100","00000000000010110010001110010000","00000000000010110010011100010100","00000000000010110010101010011000","00000000000010110010111000011100","00000000000010110011000110100000","00000000000010110011010100100100","00000000000010110011100010101000","00000000000010110011110000101100","00000000000010110011111110110000","00000000000010110100001100110100","00000000000010110100011010111000","00000000000010110100101000111100","00000000000010110100110111000000","00000000000010110101000101000100","00000000000010110101010011001000","00000000000010110101100001001100","00000000000010110101101111010000","00000000000010110101111101010100","00000000000010110110001011011000","00000000000010110110011001011100","00000000000010110110100111100000","00000000000010110110110101100100","00000000000010110111000011101000","00000000000010110111010001101100","00000000000010110111011111110000","00000000000010110111101101110100","00000000000010110111111011111000","00000000000010111000001001111100","00000000000010111000011000000000","00000000000010111000100110000100","00000000000010111000110100001000","00000000000010111001000010001100","00000000000010111001010000010000","00000000000010111001011110010100","00000000000010111001101100011000","00000000000010111001111010011100","00000000000010111010001000100000","00000000000010111010010110100100","00000000000010111010100100101000","00000000000010111010110010101100","00000000000010111011000000110000","00000000000010111011001110110100","00000000000010111011011100111000","00000000000010111011101010111100","00000000000010111011111001000000","00000000000010111100000111000100","00000000000010111100010101001000","00000000000010111100100011001100","00000000000010111100110001010000","00000000000010111100111111010100","00000000000010111101001101011000","00000000000010111101011011011100","00000000000010111101101001100000","00000000000010111101110111100100","00000000000010111110000101101000","00000000000010111110010011101100","00000000000010111110100001110000","00000000000010111110101111110100","00000000000010111110111101111000","00000000000010111111001011111100","00000000000010111111011010000000","00000000000010111111101000000100","00000000000010111111110110001000","00000000000011000000000100001100","00000000000011000000010010010000","00000000000011000000100000010100","00000000000011000000101110011000","00000000000011000000111100011100","00000000000011000001001010100000","00000000000011000001011000100100","00000000000011000001100110101000","00000000000011000001110100101100","00000000000011000010000010110000","00000000000011000010010000110100","00000000000011000010011110111000","00000000000011000010101100111100","00000000000011000010111011000000","00000000000011000011001001000100","00000000000011000011010111001000","00000000000011000011100101001100","00000000000011000011110011010000","00000000000011000100000001010100","00000000000011000100001111011000","00000000000011000100011101011100","00000000000011000100101011100000","00000000000011000100111001100100","00000000000011000101000111101000","00000000000011000101010101101100","00000000000011000101100011110000","00000000000011000101110001110100","00000000000011000101111111111000","00000000000011000110001101111100","00000000000011000110011100000000","00000000000011000110101010000100","00000000000011000110111000001000","00000000000011000111000110001100","00000000000011000111010100010000","00000000000011000111100010010100","00000000000011000111110000011000","00000000000011000111111110011100","00000000000011001000001100100000","00000000000011001000011010100100","00000000000011001000101000101000","00000000000011001000110110101100","00000000000011001001000100110000","00000000000011001001010010110100","00000000000011001001100000111000","00000000000011001001101110111100","00000000000011001001111101000000","00000000000011001010001011000100","00000000000011001010011001001000","00000000000011001010100111001100","00000000000011001010110101010000","00000000000011001011000011010100","00000000000011001011010001011000","00000000000011001011011111011100","00000000000011001011101101100000","00000000000011001011111011100100","00000000000011001100001001101000","00000000000011001100010111101100","00000000000011001100100101110000","00000000000011001100110011110100","00000000000011001101000001111000","00000000000011001101001111111100","00000000000011001101011110000000","00000000000011001101101100000100","00000000000011001101111010001000","00000000000011001110001000001100","00000000000011001110010110010000","00000000000011001110100100010100","00000000000011001110110010011000","00000000000011001111000000011100","00000000000011001111001110100000","00000000000011001111011100100100","00000000000011001111101010101000","00000000000011001111111000101100","00000000000011010000000110110000","00000000000011010000010100110100","00000000000011010000100010111000","00000000000011010000110000111100","00000000000011010000111111000000","00000000000011010001001101000100","00000000000011010001011011001000","00000000000011010001101001001100","00000000000011010001110111010000","00000000000011010010000101010100","00000000000011010010010011011000","00000000000011010010100001011100","00000000000011010010101111100000","00000000000011010010111101100100","00000000000011010011001011101000","00000000000011010011011001101100","00000000000011010011100111110000","00000000000011010011110101110100","00000000000011010100000011111000","00000000000011010100010001111100","00000000000011010100100000000000","00000000000011010100101110000100","00000000000011010100111100001000","00000000000011010101001010001100","00000000000011010101011000010000","00000000000011010101100110010100","00000000000011010101110100011000","00000000000011010110000010011100","00000000000011010110010000100000","00000000000011010110011110100100","00000000000011010110101100101000","00000000000011010110111010101100","00000000000011010111001000110000","00000000000011010111010110110100","00000000000011010111100100111000","00000000000011010111110010111100","00000000000011011000000001000000","00000000000011011000001111000100","00000000000011011000011101001000","00000000000011011000101011001100","00000000000011011000111001010000","00000000000011011001000111010100","00000000000011011001010101011000","00000000000011011001100011011100","00000000000011011001110001100000","00000000000011011001111111100100","00000000000011011010001101101000","00000000000011011010011011101100","00000000000011011010101001110000","00000000000011011010110111110100","00000000000011011011000101111000","00000000000011011011010011111100","00000000000011011011100010000000","00000000000011011011110000000100","00000000000011011011111110001000","00000000000011011100001100001100","00000000000011011100011010010000","00000000000011011100101000010100","00000000000011011100110110011000","00000000000011011101000100011100","00000000000011011101010010100000","00000000000011011101100000100100","00000000000011011101101110101000","00000000000011011101111100101100","00000000000011011110001010110000","00000000000011011110011000110100","00000000000011011110100110111000","00000000000011011110110100111100","00000000000011011111000011000000","00000000000011011111010001000100","00000000000011011111011111001000","00000000000011011111101101001100","00000000000011011111111011010000","00000000000011100000001001010100","00000000000011100000010111011000","00000000000011100000100101011100","00000000000011100000110011100000","00000000000011100001000001100100","00000000000011100001001111101000","00000000000011100001011101101100","00000000000011100001101011110000","00000000000011100001111001110100","00000000000011100010000111111000","00000000000011100010010101111100","00000000000011100010100100000000","00000000000011100010110010000100","00000000000011100011000000001000","00000000000011100011001110001100","00000000000011100011011100010000","00000000000011100011101010010100","00000000000011100011111000011000","00000000000011100100000110011100","00000000000011100100010100100000","00000000000011100100100010100100","00000000000011100100110000101000","00000000000011100100111110101100","00000000000011100101001100110000","00000000000011100101011010110100","00000000000011100101101000111000","00000000000011100101110110111100","00000000000011100110000101000000","00000000000011100110010011000100","00000000000011100110100001001000","00000000000011100110101111001100","00000000000011100110111101010000","00000000000011100111001011010100","00000000000011100111011001011000","00000000000011100111100111011100","00000000000011100111110101100000","00000000000011101000000011100100","00000000000011101000010001101000","00000000000011101000011111101100","00000000000011101000101101110000","00000000000011101000111011110100","00000000000011101001001001111000","00000000000011101001010111111100","00000000000011101001100110000000","00000000000011101001110100000100","00000000000011101010000010001000","00000000000011101010010000001100","00000000000011101010011110010000","00000000000011101010101100010100","00000000000011101010111010011000","00000000000011101011001000011100","00000000000011101011010110100000","00000000000011101011100100100100","00000000000011101011110010101000","00000000000011101100000000101100","00000000000011101100001110110000","00000000000011101100011100110100","00000000000011101100101010111000","00000000000011101100111000111100","00000000000011101101000111000000","00000000000011101101010101000100","00000000000011101101100011001000","00000000000011101101110001001100","00000000000011101101111111010000","00000000000011101110001101010100","00000000000011101110011011011000","00000000000011101110101001011100","00000000000011101110110111100000","00000000000011101111000101100100","00000000000011101111010011101000","00000000000011101111100001101100","00000000000011101111101111110000","00000000000011101111111101110100","00000000000011110000001011111000","00000000000011110000011001111100","00000000000011110000101000000000","00000000000011110000110110000100","00000000000011110001000100001000","00000000000011110001010010001100","00000000000011110001100000010000","00000000000011110001101110010100","00000000000011110001111100011000","00000000000011110010001010011100","00000000000011110010011000100000","00000000000011110010100110100100","00000000000011110010110100101000","00000000000011110011000010101100","00000000000011110011010000110000","00000000000011110011011110110100","00000000000011110011101100111000","00000000000011110011111010111100","00000000000011110100001001000000"],"x":[100000.0,100900.0,101800.0,102700.0,103600.0,104500.0,105400.0,106300.0,107200.0,108100.0,109000.0,109900.0,110800.0,111700.0,112600.0,113500.0,114400.0,115300.0,116200.0,117100.0,118000.0,118900.0,119800.0,120700.0,121600.0,122500.0,123400.0,124300.0,125200.0,126100.0,127000.0,127900.0,128800.0,129700.0,130600.0,131500.0,132400.0,133300.0,134200.0,135100.0,136000.0,136900.0,137800.0,138700.0,139600.0,140500.0,141400.0,142300.0,143200.0,144100.0,145000.0,145900.0,146800.0,147700.0,148600.0,149500.0,150400.0,151300.0,152200.0,153100.0,154000.0,154900.0,155800.0,156700.0,157600.0,158500.0,159400.0,160300.0,161200.0,162100.0,163000.0,163900.0,164800.0,165700.0,166600.0,167500.0,168400.0,169300.0,170200.0,171100.0,172000.0,172900.0,173800.0,174700.0,175600.0,176500.0,177400.0,178300.0,179200.0,180100.0,181000.0,181900.0,182800.0,183700.0,184600.0,185500.0,186400.0,187300.0,188200.0,189100.0,190000.0,190900.0,191800.0,192700.0,193600.0,194500.0,195400.0,196300.0,197200.0,198100.0,199000.0,199900.0,200800.0,201700.0,202600.0,203500.0,204400.0,205300.0,206200.0,207100.0,208000.0,208900.0,209800.0,210700.0,211600.0,212500.0,213400.0,214300.0,215200.0,216100.0,217000.0,217900.0,218800.0,219700.0,220600.0,221500.0,222400.0,223300.0,224200.0,225100.0,226000.0,226900.0,227800.0,228700.0,229600.0,230500.0,231400.0,232300.0,233200.0,234100.0,235000.0,235900.0,236800.0,237700.0,238600.0,239500.0,240400.0,241300.0,242200.0,243100.0,244000.0,244900.0,245800.0,246700.0,247600.0,248500.0,249400.0,250300.0,251200.0,252100.0,253000.0,253900.0,254800.0,255700.0,256600.0,257500.0,258400.0,259300.0,260200.0,261100.0,262000.0,262900.0,263800.0,264700.0,265600.0,266500.0,267400.0,268300.0,269200.0,270100.0,271000.0,271900.0,272800.0,273700.0,274600.0,275500.0,276400.0,277300.0,278200.0,279100.0,280000.0,280900.0,281800.0,282700.0,283600.0,284500.0,285400.0,286300.0,287200.0,288100.0,289000.0,289900.0,290800.0,291700.0,292600.0,293500.0,294400.0,295300.0,296200.0,297100.0,298000.0,298900.0,299800.0,300700.0,301600.0,302500.0,303400.0,304300.0,305200.0,306100.0,307000.0,307900.0,308800.0,309700.0,310600.0,311500.0,312400.0,313300.0,314200.0,315100.0,316000.0,316900.0,317800.0,318700.0,319600.0,320500.0,321400.0,322300.0,323200.0,324100.0,325000.0,325900.0,326800.0,327700.0,328600.0,329500.0,330400.0,331300.0,332200.0,333100.0,334000.0,334900.0,335800.0,336700.0,337600.0,338500.0,339400.0,340300.0,341200.0,342100.0,343000.0,343900.0,344800.0,345700.0,346600.0,347500.0,348400.0,349300.0,350200.0,351100.0,352000.0,352900.0,353800.0,354700.0,355600.0,356500.0,357400.0,358300.0,359200.0,360100.0,361000.0,361900.0,362800.0,363700.0,364600.0,365500.0,366400.0,367300.0,368200.0,369100.0,370000.0,370900.0,371800.0,372700.0,373600.0,374500.0,375400.0,376300.0,377200.0,378100.0,379000.0,379900.0,380800.0,381700.0,382600.0,383500.0,384400.0,385300.0,386200.0,387100.0,388000.0,388900.0,389800.0,390700.0,391600.0,392500.0,393400.0,394300.0,395200.0,396100.0,397000.0,397900.0,398800.0,399700.0,400600.0,401500.0,402400.0,403300.0,404200.0,405100.0,406000.0,406900.0,407800.0,408700.0,409600.0,410500.0,411400.0,412300.0,413200.0,414100.0,415000.0,415900.0,416800.0,417700.0,418600.0,419500.0,420400.0,421300.0,422200.0,423100.0,424000.0,424900.0,425800.0,426700.0,427600.0,428500.0,429400.0,430300.0,431200.0,432100.0,433000.0,433900.0,434800.0,435700.0,436600.0,437500.0,438400.0,439300.0,440200.0,441100.0,442000.0,442900.0,443800.0,444700.0,445600.0,446500.0,447400.0,448300.0,449200.0,450100.0,451000.0,451900.0,452800.0,453700.0,454600.0,455500.0,456400.0,457300.0,458200.0,459100.0,460000.0,460900.0,461800.0,462700.0,463600.0,464500.0,465400.0,466300.0,467200.0,468100.0,469000.0,469900.0,470800.0,471700.0,472600.0,473500.0,474400.0,475300.0,476200.0,477100.0,478000.0,478900.0,479800.0,480700.0,481600.0,482500.0,483400.0,484300.0,485200.0,486100.0,487000.0,487900.0,488800.0,489700.0,490600.0,491500.0,492400.0,493300.0,494200.0,495100.0,496000.0,496900.0,497800.0,498700.0,499600.0,500500.0,501400.0,502300.0,503200.0,504100.0,505000.0,505900.0,506800.0,507700.0,508600.0,509500.0,510400.0,511300.0,512200.0,513100.0,514000.0,514900.0,515800.0,516700.0,517600.0,518500.0,519400.0,520300.0,521200.0,522100.0,523000.0,523900.0,524800.0,525700.0,526600.0,527500.0,528400.0,529300.0,530200.0,531100.0,532000.0,532900.0,533800.0,534700.0,535600.0,536500.0,537400.0,538300.0,539200.0,540100.0,541000.0,541900.0,542800.0,543700.0,544600.0,545500.0,546400.0,547300.0,548200.0,549100.0,550000.0,550900.0,551800.0,552700.0,553600.0,554500.0,555400.0,556300.0,557200.0,558100.0,559000.0,559900.0,560800.0,561700.0,562600.0,563500.0,564400.0,565300.0,566200.0,567100.0,568000.0,568900.0,569800.0,570700.0,571600.0,572500.0,573400.0,574300.0,575200.0,576100.0,577000.0,577900.0,578800.0,579700.0,580600.0,581500.0,582400.0,583300.0,584200.0,585100.0,586000.0,586900.0,587800.0,588700.0,589600.0,590500.0,591400.0,592300.0,593200.0,594100.0,595000.0,595900.0,596800.0,597700.0,598600.0,599500.0,600400.0,601300.0,602200.0,603100.0,604000.0,604900.0,605800.0,606700.0,607600.0,608500.0,609400.0,610300.0,611200.0,612100.0,613000.0,613900.0,614800.0,615700.0,616600.0,617500.0,618400.0,619300.0,620200.0,621100.0,622000.0,622900.0,623800.0,624700.0,625600.0,626500.0,627400.0,628300.0,629200.0,630100.0,631000.0,631900.0,632800.0,633700.0,634600.0,635500.0,636400.0,637300.0,638200.0,639100.0,640000.0,640900.0,641800.0,642700.0,643600.0,644500.0,645400.0,646300.0,647200.0,648100.0,649000.0,649900.0,650800.0,651700.0,652600.0,653500.0,654400.0,655300.0,656200.0,657100.0,658000.0,658900.0,659800.0,660700.0,661600.0,662500.0,663400.0,664300.0,665200.0,666100.0,667000.0,667900.0,668800.0,669700.0,670600.0,671500.0,672400.0,673300.0,674200.0,675100.0,676000.0,676900.0,677800.0,678700.0,679600.0,680500.0,681400.0,682300.0,683200.0,684100.0,685000.0,685900.0,686800.0,687700.0,688600.0,689500.0,690400.0,691300.0,692200.0,693100.0,694000.0,694900.0,695800.0,696700.0,697600.0,698500.0,699400.0,700300.0,701200.0,702100.0,703000.0,703900.0,704800.0,705700.0,706600.0,707500.0,708400.0,709300.0,710200.0,711100.0,712000.0,712900.0,713800.0,714700.0,715600.0,716500.0,717400.0,718300.0,719200.0,720100.0,721000.0,721900.0,722800.0,723700.0,724600.0,725500.0,726400.0,727300.0,728200.0,729100.0,730000.0,730900.0,731800.0,732700.0,733600.0,734500.0,735400.0,736300.0,737200.0,738100.0,739000.0,739900.0,740800.0,741700.0,742600.0,743500.0,744400.0,745300.0,746200.0,747100.0,748000.0,748900.0,749800.0,750700.0,751600.0,752500.0,753400.0,754300.0,755200.0,756100.0,757000.0,757900.0,758800.0,759700.0,760600.0,761500.0,762400.0,763300.0,764200.0,765100.0,766000.0,766900.0,767800.0,768700.0,769600.0,770500.0,771400.0,772300.0,773200.0,774100.0,775000.0,775900.0,776800.0,777700.0,778600.0,779500.0,780400.0,781300.0,782200.0,783100.0,784000.0,784900.0,785800.0,786700.0,787600.0,788500.0,789400.0,790300.0,791200.0,792100.0,793000.0,793900.0,794800.0,795700.0,796600.0,797500.0,798400.0,799300.0,800200.0,801100.0,802000.0,802900.0,803800.0,804700.0,805600.0,806500.0,807400.0,808300.0,809200.0,810100.0,811000.0,811900.0,812800.0,813700.0,814600.0,815500.0,816400.0,817300.0,818200.0,819100.0,820000.0,820900.0,821800.0,822700.0,823600.0,824500.0,825400.0,826300.0,827200.0,828100.0,829000.0,829900.0,830800.0,831700.0,832600.0,833500.0,834400.0,835300.0,836200.0,837100.0,838000.0,838900.0,839800.0,840700.0,841600.0,842500.0,843400.0,844300.0,845200.0,846100.0,847000.0,847900.0,848800.0,849700.0,850600.0,851500.0,852400.0,853300.0,854200.0,855100.0,856000.0,856900.0,857800.0,858700.0,859600.0,860500.0,861400.0,862300.0,863200.0,864100.0,865000.0,865900.0,866800.0,867700.0,868600.0,869500.0,870400.0,871300.0,872200.0,873100.0,874000.0,874900.0,875800.0,876700.0,877600.0,878500.0,879400.0,880300.0,881200.0,882100.0,883000.0,883900.0,884800.0,885700.0,886600.0,887500.0,888400.0,889300.0,890200.0,891100.0,892000.0,892900.0,893800.0,894700.0,895600.0,896500.0,897400.0,898300.0,899200.0,900100.0,901000.0,901900.0,902800.0,903700.0,904600.0,905500.0,906400.0,907300.0,908200.0,909100.0,910000.0,910900.0,911800.0,912700.0,913600.0,914500.0,915400.0,916300.0,917200.0,918100.0,919000.0,919900.0,920800.0,921700.0,922600.0,923500.0,924400.0,925300.0,926200.0,927100.0,928000.0,928900.0,929800.0,930700.0,931600.0,932500.0,933400.0,934300.0,935200.0,936100.0,937000.0,937900.0,938800.0,939700.0,940600.0,941500.0,942400.0,943300.0,944200.0,945100.0,946000.0,946900.0,947800.0,948700.0,949600.0,950500.0,951400.0,952300.0,953200.0,954100.0,955000.0,955900.0,956800.0,957700.0,958600.0,959500.0,960400.0,961300.0,962200.0,963100.0,964000.0,964900.0,965800.0,966700.0,967600.0,968500.0,969400.0,970300.0,971200.0,972100.0,973000.0,973900.0,974800.0,975700.0,976600.0,977500.0,978400.0,979300.0,980200.0,981100.0,982000.0,982900.0,983800.0,984700.0,985600.0,986500.0,987400.0,988300.0,989200.0,990100.0,991000.0,991900.0,992800.0,993700.0,994600.0,995500.0,996400.0,997300.0,998200.0,999100.0,1.0e6]} \ No newline at end of file +{"expected":["00000000000000011000011010100000","00000000000000011000101000100100","00000000000000011000110110101000","00000000000000011001000100101100","00000000000000011001010010110000","00000000000000011001100000110100","00000000000000011001101110111000","00000000000000011001111100111100","00000000000000011010001011000000","00000000000000011010011001000100","00000000000000011010100111001000","00000000000000011010110101001100","00000000000000011011000011010000","00000000000000011011010001010100","00000000000000011011011111011000","00000000000000011011101101011100","00000000000000011011111011100000","00000000000000011100001001100100","00000000000000011100010111101000","00000000000000011100100101101100","00000000000000011100110011110000","00000000000000011101000001110100","00000000000000011101001111111000","00000000000000011101011101111100","00000000000000011101101100000000","00000000000000011101111010000100","00000000000000011110001000001000","00000000000000011110010110001100","00000000000000011110100100010000","00000000000000011110110010010100","00000000000000011111000000011000","00000000000000011111001110011100","00000000000000011111011100100000","00000000000000011111101010100100","00000000000000011111111000101000","00000000000000100000000110101100","00000000000000100000010100110000","00000000000000100000100010110100","00000000000000100000110000111000","00000000000000100000111110111100","00000000000000100001001101000000","00000000000000100001011011000100","00000000000000100001101001001000","00000000000000100001110111001100","00000000000000100010000101010000","00000000000000100010010011010100","00000000000000100010100001011000","00000000000000100010101111011100","00000000000000100010111101100000","00000000000000100011001011100100","00000000000000100011011001101000","00000000000000100011100111101100","00000000000000100011110101110000","00000000000000100100000011110100","00000000000000100100010001111000","00000000000000100100011111111100","00000000000000100100101110000000","00000000000000100100111100000100","00000000000000100101001010001000","00000000000000100101011000001100","00000000000000100101100110010000","00000000000000100101110100010100","00000000000000100110000010011000","00000000000000100110010000011100","00000000000000100110011110100000","00000000000000100110101100100100","00000000000000100110111010101000","00000000000000100111001000101100","00000000000000100111010110110000","00000000000000100111100100110100","00000000000000100111110010111000","00000000000000101000000000111100","00000000000000101000001111000000","00000000000000101000011101000100","00000000000000101000101011001000","00000000000000101000111001001100","00000000000000101001000111010000","00000000000000101001010101010100","00000000000000101001100011011000","00000000000000101001110001011100","00000000000000101001111111100000","00000000000000101010001101100100","00000000000000101010011011101000","00000000000000101010101001101100","00000000000000101010110111110000","00000000000000101011000101110100","00000000000000101011010011111000","00000000000000101011100001111100","00000000000000101011110000000000","00000000000000101011111110000100","00000000000000101100001100001000","00000000000000101100011010001100","00000000000000101100101000010000","00000000000000101100110110010100","00000000000000101101000100011000","00000000000000101101010010011100","00000000000000101101100000100000","00000000000000101101101110100100","00000000000000101101111100101000","00000000000000101110001010101100","00000000000000101110011000110000","00000000000000101110100110110100","00000000000000101110110100111000","00000000000000101111000010111100","00000000000000101111010001000000","00000000000000101111011111000100","00000000000000101111101101001000","00000000000000101111111011001100","00000000000000110000001001010000","00000000000000110000010111010100","00000000000000110000100101011000","00000000000000110000110011011100","00000000000000110001000001100000","00000000000000110001001111100100","00000000000000110001011101101000","00000000000000110001101011101100","00000000000000110001111001110000","00000000000000110010000111110100","00000000000000110010010101111000","00000000000000110010100011111100","00000000000000110010110010000000","00000000000000110011000000000100","00000000000000110011001110001000","00000000000000110011011100001100","00000000000000110011101010010000","00000000000000110011111000010100","00000000000000110100000110011000","00000000000000110100010100011100","00000000000000110100100010100000","00000000000000110100110000100100","00000000000000110100111110101000","00000000000000110101001100101100","00000000000000110101011010110000","00000000000000110101101000110100","00000000000000110101110110111000","00000000000000110110000100111100","00000000000000110110010011000000","00000000000000110110100001000100","00000000000000110110101111001000","00000000000000110110111101001100","00000000000000110111001011010000","00000000000000110111011001010100","00000000000000110111100111011000","00000000000000110111110101011100","00000000000000111000000011100000","00000000000000111000010001100100","00000000000000111000011111101000","00000000000000111000101101101100","00000000000000111000111011110000","00000000000000111001001001110100","00000000000000111001010111111000","00000000000000111001100101111100","00000000000000111001110100000000","00000000000000111010000010000100","00000000000000111010010000001000","00000000000000111010011110001100","00000000000000111010101100010000","00000000000000111010111010010100","00000000000000111011001000011000","00000000000000111011010110011100","00000000000000111011100100100000","00000000000000111011110010100100","00000000000000111100000000101000","00000000000000111100001110101100","00000000000000111100011100110000","00000000000000111100101010110100","00000000000000111100111000111000","00000000000000111101000110111100","00000000000000111101010101000000","00000000000000111101100011000100","00000000000000111101110001001000","00000000000000111101111111001100","00000000000000111110001101010000","00000000000000111110011011010100","00000000000000111110101001011000","00000000000000111110110111011100","00000000000000111111000101100000","00000000000000111111010011100100","00000000000000111111100001101000","00000000000000111111101111101100","00000000000000111111111101110000","00000000000001000000001011110100","00000000000001000000011001111000","00000000000001000000100111111100","00000000000001000000110110000000","00000000000001000001000100000100","00000000000001000001010010001000","00000000000001000001100000001100","00000000000001000001101110010000","00000000000001000001111100010100","00000000000001000010001010011000","00000000000001000010011000011100","00000000000001000010100110100000","00000000000001000010110100100100","00000000000001000011000010101000","00000000000001000011010000101100","00000000000001000011011110110000","00000000000001000011101100110100","00000000000001000011111010111000","00000000000001000100001000111100","00000000000001000100010111000000","00000000000001000100100101000100","00000000000001000100110011001000","00000000000001000101000001001100","00000000000001000101001111010000","00000000000001000101011101010100","00000000000001000101101011011000","00000000000001000101111001011100","00000000000001000110000111100000","00000000000001000110010101100100","00000000000001000110100011101000","00000000000001000110110001101100","00000000000001000110111111110000","00000000000001000111001101110100","00000000000001000111011011111000","00000000000001000111101001111100","00000000000001000111111000000000","00000000000001001000000110000100","00000000000001001000010100001000","00000000000001001000100010001100","00000000000001001000110000010000","00000000000001001000111110010100","00000000000001001001001100011000","00000000000001001001011010011100","00000000000001001001101000100000","00000000000001001001110110100100","00000000000001001010000100101000","00000000000001001010010010101100","00000000000001001010100000110000","00000000000001001010101110110100","00000000000001001010111100111000","00000000000001001011001010111100","00000000000001001011011001000000","00000000000001001011100111000100","00000000000001001011110101001000","00000000000001001100000011001100","00000000000001001100010001010000","00000000000001001100011111010100","00000000000001001100101101011000","00000000000001001100111011011100","00000000000001001101001001100000","00000000000001001101010111100100","00000000000001001101100101101000","00000000000001001101110011101100","00000000000001001110000001110000","00000000000001001110001111110100","00000000000001001110011101111000","00000000000001001110101011111100","00000000000001001110111010000000","00000000000001001111001000000100","00000000000001001111010110001000","00000000000001001111100100001100","00000000000001001111110010010000","00000000000001010000000000010100","00000000000001010000001110011000","00000000000001010000011100011100","00000000000001010000101010100000","00000000000001010000111000100100","00000000000001010001000110101000","00000000000001010001010100101100","00000000000001010001100010110000","00000000000001010001110000110100","00000000000001010001111110111000","00000000000001010010001100111100","00000000000001010010011011000000","00000000000001010010101001000100","00000000000001010010110111001000","00000000000001010011000101001100","00000000000001010011010011010000","00000000000001010011100001010100","00000000000001010011101111011000","00000000000001010011111101011100","00000000000001010100001011100000","00000000000001010100011001100100","00000000000001010100100111101000","00000000000001010100110101101100","00000000000001010101000011110000","00000000000001010101010001110100","00000000000001010101011111111000","00000000000001010101101101111100","00000000000001010101111100000000","00000000000001010110001010000100","00000000000001010110011000001000","00000000000001010110100110001100","00000000000001010110110100010000","00000000000001010111000010010100","00000000000001010111010000011000","00000000000001010111011110011100","00000000000001010111101100100000","00000000000001010111111010100100","00000000000001011000001000101000","00000000000001011000010110101100","00000000000001011000100100110000","00000000000001011000110010110100","00000000000001011001000000111000","00000000000001011001001110111100","00000000000001011001011101000000","00000000000001011001101011000100","00000000000001011001111001001000","00000000000001011010000111001100","00000000000001011010010101010000","00000000000001011010100011010100","00000000000001011010110001011000","00000000000001011010111111011100","00000000000001011011001101100000","00000000000001011011011011100100","00000000000001011011101001101000","00000000000001011011110111101100","00000000000001011100000101110000","00000000000001011100010011110100","00000000000001011100100001111000","00000000000001011100101111111100","00000000000001011100111110000000","00000000000001011101001100000100","00000000000001011101011010001000","00000000000001011101101000001100","00000000000001011101110110010000","00000000000001011110000100010100","00000000000001011110010010011000","00000000000001011110100000011100","00000000000001011110101110100000","00000000000001011110111100100100","00000000000001011111001010101000","00000000000001011111011000101100","00000000000001011111100110110000","00000000000001011111110100110100","00000000000001100000000010111000","00000000000001100000010000111100","00000000000001100000011111000000","00000000000001100000101101000100","00000000000001100000111011001000","00000000000001100001001001001100","00000000000001100001010111010000","00000000000001100001100101010100","00000000000001100001110011011000","00000000000001100010000001011100","00000000000001100010001111100000","00000000000001100010011101100100","00000000000001100010101011101000","00000000000001100010111001101100","00000000000001100011000111110000","00000000000001100011010101110100","00000000000001100011100011111000","00000000000001100011110001111100","00000000000001100100000000000000","00000000000001100100001110000100","00000000000001100100011100001000","00000000000001100100101010001100","00000000000001100100111000010000","00000000000001100101000110010100","00000000000001100101010100011000","00000000000001100101100010011100","00000000000001100101110000100000","00000000000001100101111110100100","00000000000001100110001100101000","00000000000001100110011010101100","00000000000001100110101000110000","00000000000001100110110110110100","00000000000001100111000100111000","00000000000001100111010010111100","00000000000001100111100001000000","00000000000001100111101111000100","00000000000001100111111101001000","00000000000001101000001011001100","00000000000001101000011001010000","00000000000001101000100111010100","00000000000001101000110101011000","00000000000001101001000011011100","00000000000001101001010001100000","00000000000001101001011111100100","00000000000001101001101101101000","00000000000001101001111011101100","00000000000001101010001001110000","00000000000001101010010111110100","00000000000001101010100101111000","00000000000001101010110011111100","00000000000001101011000010000000","00000000000001101011010000000100","00000000000001101011011110001000","00000000000001101011101100001100","00000000000001101011111010010000","00000000000001101100001000010100","00000000000001101100010110011000","00000000000001101100100100011100","00000000000001101100110010100000","00000000000001101101000000100100","00000000000001101101001110101000","00000000000001101101011100101100","00000000000001101101101010110000","00000000000001101101111000110100","00000000000001101110000110111000","00000000000001101110010100111100","00000000000001101110100011000000","00000000000001101110110001000100","00000000000001101110111111001000","00000000000001101111001101001100","00000000000001101111011011010000","00000000000001101111101001010100","00000000000001101111110111011000","00000000000001110000000101011100","00000000000001110000010011100000","00000000000001110000100001100100","00000000000001110000101111101000","00000000000001110000111101101100","00000000000001110001001011110000","00000000000001110001011001110100","00000000000001110001100111111000","00000000000001110001110101111100","00000000000001110010000100000000","00000000000001110010010010000100","00000000000001110010100000001000","00000000000001110010101110001100","00000000000001110010111100010000","00000000000001110011001010010100","00000000000001110011011000011000","00000000000001110011100110011100","00000000000001110011110100100000","00000000000001110100000010100100","00000000000001110100010000101000","00000000000001110100011110101100","00000000000001110100101100110000","00000000000001110100111010110100","00000000000001110101001000111000","00000000000001110101010110111100","00000000000001110101100101000000","00000000000001110101110011000100","00000000000001110110000001001000","00000000000001110110001111001100","00000000000001110110011101010000","00000000000001110110101011010100","00000000000001110110111001011000","00000000000001110111000111011100","00000000000001110111010101100000","00000000000001110111100011100100","00000000000001110111110001101000","00000000000001110111111111101100","00000000000001111000001101110000","00000000000001111000011011110100","00000000000001111000101001111000","00000000000001111000110111111100","00000000000001111001000110000000","00000000000001111001010100000100","00000000000001111001100010001000","00000000000001111001110000001100","00000000000001111001111110010000","00000000000001111010001100010100","00000000000001111010011010011000","00000000000001111010101000011100","00000000000001111010110110100000","00000000000001111011000100100100","00000000000001111011010010101000","00000000000001111011100000101100","00000000000001111011101110110000","00000000000001111011111100110100","00000000000001111100001010111000","00000000000001111100011000111100","00000000000001111100100111000000","00000000000001111100110101000100","00000000000001111101000011001000","00000000000001111101010001001100","00000000000001111101011111010000","00000000000001111101101101010100","00000000000001111101111011011000","00000000000001111110001001011100","00000000000001111110010111100000","00000000000001111110100101100100","00000000000001111110110011101000","00000000000001111111000001101100","00000000000001111111001111110000","00000000000001111111011101110100","00000000000001111111101011111000","00000000000001111111111001111100","00000000000010000000001000000000","00000000000010000000010110000100","00000000000010000000100100001000","00000000000010000000110010001100","00000000000010000001000000010000","00000000000010000001001110010100","00000000000010000001011100011000","00000000000010000001101010011100","00000000000010000001111000100000","00000000000010000010000110100100","00000000000010000010010100101000","00000000000010000010100010101100","00000000000010000010110000110000","00000000000010000010111110110100","00000000000010000011001100111000","00000000000010000011011010111100","00000000000010000011101001000000","00000000000010000011110111000100","00000000000010000100000101001000","00000000000010000100010011001100","00000000000010000100100001010000","00000000000010000100101111010100","00000000000010000100111101011000","00000000000010000101001011011100","00000000000010000101011001100000","00000000000010000101100111100100","00000000000010000101110101101000","00000000000010000110000011101100","00000000000010000110010001110000","00000000000010000110011111110100","00000000000010000110101101111000","00000000000010000110111011111100","00000000000010000111001010000000","00000000000010000111011000000100","00000000000010000111100110001000","00000000000010000111110100001100","00000000000010001000000010010000","00000000000010001000010000010100","00000000000010001000011110011000","00000000000010001000101100011100","00000000000010001000111010100000","00000000000010001001001000100100","00000000000010001001010110101000","00000000000010001001100100101100","00000000000010001001110010110000","00000000000010001010000000110100","00000000000010001010001110111000","00000000000010001010011100111100","00000000000010001010101011000000","00000000000010001010111001000100","00000000000010001011000111001000","00000000000010001011010101001100","00000000000010001011100011010000","00000000000010001011110001010100","00000000000010001011111111011000","00000000000010001100001101011100","00000000000010001100011011100000","00000000000010001100101001100100","00000000000010001100110111101000","00000000000010001101000101101100","00000000000010001101010011110000","00000000000010001101100001110100","00000000000010001101101111111000","00000000000010001101111101111100","00000000000010001110001100000000","00000000000010001110011010000100","00000000000010001110101000001000","00000000000010001110110110001100","00000000000010001111000100010000","00000000000010001111010010010100","00000000000010001111100000011000","00000000000010001111101110011100","00000000000010001111111100100000","00000000000010010000001010100100","00000000000010010000011000101000","00000000000010010000100110101100","00000000000010010000110100110000","00000000000010010001000010110100","00000000000010010001010000111000","00000000000010010001011110111100","00000000000010010001101101000000","00000000000010010001111011000100","00000000000010010010001001001000","00000000000010010010010111001100","00000000000010010010100101010000","00000000000010010010110011010100","00000000000010010011000001011000","00000000000010010011001111011100","00000000000010010011011101100000","00000000000010010011101011100100","00000000000010010011111001101000","00000000000010010100000111101100","00000000000010010100010101110000","00000000000010010100100011110100","00000000000010010100110001111000","00000000000010010100111111111100","00000000000010010101001110000000","00000000000010010101011100000100","00000000000010010101101010001000","00000000000010010101111000001100","00000000000010010110000110010000","00000000000010010110010100010100","00000000000010010110100010011000","00000000000010010110110000011100","00000000000010010110111110100000","00000000000010010111001100100100","00000000000010010111011010101000","00000000000010010111101000101100","00000000000010010111110110110000","00000000000010011000000100110100","00000000000010011000010010111000","00000000000010011000100000111100","00000000000010011000101111000000","00000000000010011000111101000100","00000000000010011001001011001000","00000000000010011001011001001100","00000000000010011001100111010000","00000000000010011001110101010100","00000000000010011010000011011000","00000000000010011010010001011100","00000000000010011010011111100000","00000000000010011010101101100100","00000000000010011010111011101000","00000000000010011011001001101100","00000000000010011011010111110000","00000000000010011011100101110100","00000000000010011011110011111000","00000000000010011100000001111100","00000000000010011100010000000000","00000000000010011100011110000100","00000000000010011100101100001000","00000000000010011100111010001100","00000000000010011101001000010000","00000000000010011101010110010100","00000000000010011101100100011000","00000000000010011101110010011100","00000000000010011110000000100000","00000000000010011110001110100100","00000000000010011110011100101000","00000000000010011110101010101100","00000000000010011110111000110000","00000000000010011111000110110100","00000000000010011111010100111000","00000000000010011111100010111100","00000000000010011111110001000000","00000000000010011111111111000100","00000000000010100000001101001000","00000000000010100000011011001100","00000000000010100000101001010000","00000000000010100000110111010100","00000000000010100001000101011000","00000000000010100001010011011100","00000000000010100001100001100000","00000000000010100001101111100100","00000000000010100001111101101000","00000000000010100010001011101100","00000000000010100010011001110000","00000000000010100010100111110100","00000000000010100010110101111000","00000000000010100011000011111100","00000000000010100011010010000000","00000000000010100011100000000100","00000000000010100011101110001000","00000000000010100011111100001100","00000000000010100100001010010000","00000000000010100100011000010100","00000000000010100100100110011000","00000000000010100100110100011100","00000000000010100101000010100000","00000000000010100101010000100100","00000000000010100101011110101000","00000000000010100101101100101100","00000000000010100101111010110000","00000000000010100110001000110100","00000000000010100110010110111000","00000000000010100110100100111100","00000000000010100110110011000000","00000000000010100111000001000100","00000000000010100111001111001000","00000000000010100111011101001100","00000000000010100111101011010000","00000000000010100111111001010100","00000000000010101000000111011000","00000000000010101000010101011100","00000000000010101000100011100000","00000000000010101000110001100100","00000000000010101000111111101000","00000000000010101001001101101100","00000000000010101001011011110000","00000000000010101001101001110100","00000000000010101001110111111000","00000000000010101010000101111100","00000000000010101010010100000000","00000000000010101010100010000100","00000000000010101010110000001000","00000000000010101010111110001100","00000000000010101011001100010000","00000000000010101011011010010100","00000000000010101011101000011000","00000000000010101011110110011100","00000000000010101100000100100000","00000000000010101100010010100100","00000000000010101100100000101000","00000000000010101100101110101100","00000000000010101100111100110000","00000000000010101101001010110100","00000000000010101101011000111000","00000000000010101101100110111100","00000000000010101101110101000000","00000000000010101110000011000100","00000000000010101110010001001000","00000000000010101110011111001100","00000000000010101110101101010000","00000000000010101110111011010100","00000000000010101111001001011000","00000000000010101111010111011100","00000000000010101111100101100000","00000000000010101111110011100100","00000000000010110000000001101000","00000000000010110000001111101100","00000000000010110000011101110000","00000000000010110000101011110100","00000000000010110000111001111000","00000000000010110001000111111100","00000000000010110001010110000000","00000000000010110001100100000100","00000000000010110001110010001000","00000000000010110010000000001100","00000000000010110010001110010000","00000000000010110010011100010100","00000000000010110010101010011000","00000000000010110010111000011100","00000000000010110011000110100000","00000000000010110011010100100100","00000000000010110011100010101000","00000000000010110011110000101100","00000000000010110011111110110000","00000000000010110100001100110100","00000000000010110100011010111000","00000000000010110100101000111100","00000000000010110100110111000000","00000000000010110101000101000100","00000000000010110101010011001000","00000000000010110101100001001100","00000000000010110101101111010000","00000000000010110101111101010100","00000000000010110110001011011000","00000000000010110110011001011100","00000000000010110110100111100000","00000000000010110110110101100100","00000000000010110111000011101000","00000000000010110111010001101100","00000000000010110111011111110000","00000000000010110111101101110100","00000000000010110111111011111000","00000000000010111000001001111100","00000000000010111000011000000000","00000000000010111000100110000100","00000000000010111000110100001000","00000000000010111001000010001100","00000000000010111001010000010000","00000000000010111001011110010100","00000000000010111001101100011000","00000000000010111001111010011100","00000000000010111010001000100000","00000000000010111010010110100100","00000000000010111010100100101000","00000000000010111010110010101100","00000000000010111011000000110000","00000000000010111011001110110100","00000000000010111011011100111000","00000000000010111011101010111100","00000000000010111011111001000000","00000000000010111100000111000100","00000000000010111100010101001000","00000000000010111100100011001100","00000000000010111100110001010000","00000000000010111100111111010100","00000000000010111101001101011000","00000000000010111101011011011100","00000000000010111101101001100000","00000000000010111101110111100100","00000000000010111110000101101000","00000000000010111110010011101100","00000000000010111110100001110000","00000000000010111110101111110100","00000000000010111110111101111000","00000000000010111111001011111100","00000000000010111111011010000000","00000000000010111111101000000100","00000000000010111111110110001000","00000000000011000000000100001100","00000000000011000000010010010000","00000000000011000000100000010100","00000000000011000000101110011000","00000000000011000000111100011100","00000000000011000001001010100000","00000000000011000001011000100100","00000000000011000001100110101000","00000000000011000001110100101100","00000000000011000010000010110000","00000000000011000010010000110100","00000000000011000010011110111000","00000000000011000010101100111100","00000000000011000010111011000000","00000000000011000011001001000100","00000000000011000011010111001000","00000000000011000011100101001100","00000000000011000011110011010000","00000000000011000100000001010100","00000000000011000100001111011000","00000000000011000100011101011100","00000000000011000100101011100000","00000000000011000100111001100100","00000000000011000101000111101000","00000000000011000101010101101100","00000000000011000101100011110000","00000000000011000101110001110100","00000000000011000101111111111000","00000000000011000110001101111100","00000000000011000110011100000000","00000000000011000110101010000100","00000000000011000110111000001000","00000000000011000111000110001100","00000000000011000111010100010000","00000000000011000111100010010100","00000000000011000111110000011000","00000000000011000111111110011100","00000000000011001000001100100000","00000000000011001000011010100100","00000000000011001000101000101000","00000000000011001000110110101100","00000000000011001001000100110000","00000000000011001001010010110100","00000000000011001001100000111000","00000000000011001001101110111100","00000000000011001001111101000000","00000000000011001010001011000100","00000000000011001010011001001000","00000000000011001010100111001100","00000000000011001010110101010000","00000000000011001011000011010100","00000000000011001011010001011000","00000000000011001011011111011100","00000000000011001011101101100000","00000000000011001011111011100100","00000000000011001100001001101000","00000000000011001100010111101100","00000000000011001100100101110000","00000000000011001100110011110100","00000000000011001101000001111000","00000000000011001101001111111100","00000000000011001101011110000000","00000000000011001101101100000100","00000000000011001101111010001000","00000000000011001110001000001100","00000000000011001110010110010000","00000000000011001110100100010100","00000000000011001110110010011000","00000000000011001111000000011100","00000000000011001111001110100000","00000000000011001111011100100100","00000000000011001111101010101000","00000000000011001111111000101100","00000000000011010000000110110000","00000000000011010000010100110100","00000000000011010000100010111000","00000000000011010000110000111100","00000000000011010000111111000000","00000000000011010001001101000100","00000000000011010001011011001000","00000000000011010001101001001100","00000000000011010001110111010000","00000000000011010010000101010100","00000000000011010010010011011000","00000000000011010010100001011100","00000000000011010010101111100000","00000000000011010010111101100100","00000000000011010011001011101000","00000000000011010011011001101100","00000000000011010011100111110000","00000000000011010011110101110100","00000000000011010100000011111000","00000000000011010100010001111100","00000000000011010100100000000000","00000000000011010100101110000100","00000000000011010100111100001000","00000000000011010101001010001100","00000000000011010101011000010000","00000000000011010101100110010100","00000000000011010101110100011000","00000000000011010110000010011100","00000000000011010110010000100000","00000000000011010110011110100100","00000000000011010110101100101000","00000000000011010110111010101100","00000000000011010111001000110000","00000000000011010111010110110100","00000000000011010111100100111000","00000000000011010111110010111100","00000000000011011000000001000000","00000000000011011000001111000100","00000000000011011000011101001000","00000000000011011000101011001100","00000000000011011000111001010000","00000000000011011001000111010100","00000000000011011001010101011000","00000000000011011001100011011100","00000000000011011001110001100000","00000000000011011001111111100100","00000000000011011010001101101000","00000000000011011010011011101100","00000000000011011010101001110000","00000000000011011010110111110100","00000000000011011011000101111000","00000000000011011011010011111100","00000000000011011011100010000000","00000000000011011011110000000100","00000000000011011011111110001000","00000000000011011100001100001100","00000000000011011100011010010000","00000000000011011100101000010100","00000000000011011100110110011000","00000000000011011101000100011100","00000000000011011101010010100000","00000000000011011101100000100100","00000000000011011101101110101000","00000000000011011101111100101100","00000000000011011110001010110000","00000000000011011110011000110100","00000000000011011110100110111000","00000000000011011110110100111100","00000000000011011111000011000000","00000000000011011111010001000100","00000000000011011111011111001000","00000000000011011111101101001100","00000000000011011111111011010000","00000000000011100000001001010100","00000000000011100000010111011000","00000000000011100000100101011100","00000000000011100000110011100000","00000000000011100001000001100100","00000000000011100001001111101000","00000000000011100001011101101100","00000000000011100001101011110000","00000000000011100001111001110100","00000000000011100010000111111000","00000000000011100010010101111100","00000000000011100010100100000000","00000000000011100010110010000100","00000000000011100011000000001000","00000000000011100011001110001100","00000000000011100011011100010000","00000000000011100011101010010100","00000000000011100011111000011000","00000000000011100100000110011100","00000000000011100100010100100000","00000000000011100100100010100100","00000000000011100100110000101000","00000000000011100100111110101100","00000000000011100101001100110000","00000000000011100101011010110100","00000000000011100101101000111000","00000000000011100101110110111100","00000000000011100110000101000000","00000000000011100110010011000100","00000000000011100110100001001000","00000000000011100110101111001100","00000000000011100110111101010000","00000000000011100111001011010100","00000000000011100111011001011000","00000000000011100111100111011100","00000000000011100111110101100000","00000000000011101000000011100100","00000000000011101000010001101000","00000000000011101000011111101100","00000000000011101000101101110000","00000000000011101000111011110100","00000000000011101001001001111000","00000000000011101001010111111100","00000000000011101001100110000000","00000000000011101001110100000100","00000000000011101010000010001000","00000000000011101010010000001100","00000000000011101010011110010000","00000000000011101010101100010100","00000000000011101010111010011000","00000000000011101011001000011100","00000000000011101011010110100000","00000000000011101011100100100100","00000000000011101011110010101000","00000000000011101100000000101100","00000000000011101100001110110000","00000000000011101100011100110100","00000000000011101100101010111000","00000000000011101100111000111100","00000000000011101101000111000000","00000000000011101101010101000100","00000000000011101101100011001000","00000000000011101101110001001100","00000000000011101101111111010000","00000000000011101110001101010100","00000000000011101110011011011000","00000000000011101110101001011100","00000000000011101110110111100000","00000000000011101111000101100100","00000000000011101111010011101000","00000000000011101111100001101100","00000000000011101111101111110000","00000000000011101111111101110100","00000000000011110000001011111000","00000000000011110000011001111100","00000000000011110000101000000000","00000000000011110000110110000100","00000000000011110001000100001000","00000000000011110001010010001100","00000000000011110001100000010000","00000000000011110001101110010100","00000000000011110001111100011000","00000000000011110010001010011100","00000000000011110010011000100000","00000000000011110010100110100100","00000000000011110010110100101000","00000000000011110011000010101100","00000000000011110011010000110000","00000000000011110011011110110100","00000000000011110011101100111000","00000000000011110011111010111100","00000000000011110100001001000000"],"x":[100000.0,100900.0,101800.0,102700.0,103600.0,104500.0,105400.0,106300.0,107200.0,108100.0,109000.0,109900.0,110800.0,111700.0,112600.0,113500.0,114400.0,115300.0,116200.0,117100.0,118000.0,118900.0,119800.0,120700.0,121600.0,122500.0,123400.0,124300.0,125200.0,126100.0,127000.0,127900.0,128800.0,129700.0,130600.0,131500.0,132400.0,133300.0,134200.0,135100.0,136000.0,136900.0,137800.0,138700.0,139600.0,140500.0,141400.0,142300.0,143200.0,144100.0,145000.0,145900.0,146800.0,147700.0,148600.0,149500.0,150400.0,151300.0,152200.0,153100.0,154000.0,154900.0,155800.0,156700.0,157600.0,158500.0,159400.0,160300.0,161200.0,162100.0,163000.0,163900.0,164800.0,165700.0,166600.0,167500.0,168400.0,169300.0,170200.0,171100.0,172000.0,172900.0,173800.0,174700.0,175600.0,176500.0,177400.0,178300.0,179200.0,180100.0,181000.0,181900.0,182800.0,183700.0,184600.0,185500.0,186400.0,187300.0,188200.0,189100.0,190000.0,190900.0,191800.0,192700.0,193600.0,194500.0,195400.0,196300.0,197200.0,198100.0,199000.0,199900.0,200800.0,201700.0,202600.0,203500.0,204400.0,205300.0,206200.0,207100.0,208000.0,208900.0,209800.0,210700.0,211600.0,212500.0,213400.0,214300.0,215200.0,216100.0,217000.0,217900.0,218800.0,219700.0,220600.0,221500.0,222400.0,223300.0,224200.0,225100.0,226000.0,226900.0,227800.0,228700.0,229600.0,230500.0,231400.0,232300.0,233200.0,234100.0,235000.0,235900.0,236800.0,237700.0,238600.0,239500.0,240400.0,241300.0,242200.0,243100.0,244000.0,244900.0,245800.0,246700.0,247600.0,248500.0,249400.0,250300.0,251200.0,252100.0,253000.0,253900.0,254800.0,255700.0,256600.0,257500.0,258400.0,259300.0,260200.0,261100.0,262000.0,262900.0,263800.0,264700.0,265600.0,266500.0,267400.0,268300.0,269200.0,270100.0,271000.0,271900.0,272800.0,273700.0,274600.0,275500.0,276400.0,277300.0,278200.0,279100.0,280000.0,280900.0,281800.0,282700.0,283600.0,284500.0,285400.0,286300.0,287200.0,288100.0,289000.0,289900.0,290800.0,291700.0,292600.0,293500.0,294400.0,295300.0,296200.0,297100.0,298000.0,298900.0,299800.0,300700.0,301600.0,302500.0,303400.0,304300.0,305200.0,306100.0,307000.0,307900.0,308800.0,309700.0,310600.0,311500.0,312400.0,313300.0,314200.0,315100.0,316000.0,316900.0,317800.0,318700.0,319600.0,320500.0,321400.0,322300.0,323200.0,324100.0,325000.0,325900.0,326800.0,327700.0,328600.0,329500.0,330400.0,331300.0,332200.0,333100.0,334000.0,334900.0,335800.0,336700.0,337600.0,338500.0,339400.0,340300.0,341200.0,342100.0,343000.0,343900.0,344800.0,345700.0,346600.0,347500.0,348400.0,349300.0,350200.0,351100.0,352000.0,352900.0,353800.0,354700.0,355600.0,356500.0,357400.0,358300.0,359200.0,360100.0,361000.0,361900.0,362800.0,363700.0,364600.0,365500.0,366400.0,367300.0,368200.0,369100.0,370000.0,370900.0,371800.0,372700.0,373600.0,374500.0,375400.0,376300.0,377200.0,378100.0,379000.0,379900.0,380800.0,381700.0,382600.0,383500.0,384400.0,385300.0,386200.0,387100.0,388000.0,388900.0,389800.0,390700.0,391600.0,392500.0,393400.0,394300.0,395200.0,396100.0,397000.0,397900.0,398800.0,399700.0,400600.0,401500.0,402400.0,403300.0,404200.0,405100.0,406000.0,406900.0,407800.0,408700.0,409600.0,410500.0,411400.0,412300.0,413200.0,414100.0,415000.0,415900.0,416800.0,417700.0,418600.0,419500.0,420400.0,421300.0,422200.0,423100.0,424000.0,424900.0,425800.0,426700.0,427600.0,428500.0,429400.0,430300.0,431200.0,432100.0,433000.0,433900.0,434800.0,435700.0,436600.0,437500.0,438400.0,439300.0,440200.0,441100.0,442000.0,442900.0,443800.0,444700.0,445600.0,446500.0,447400.0,448300.0,449200.0,450100.0,451000.0,451900.0,452800.0,453700.0,454600.0,455500.0,456400.0,457300.0,458200.0,459100.0,460000.0,460900.0,461800.0,462700.0,463600.0,464500.0,465400.0,466300.0,467200.0,468100.0,469000.0,469900.0,470800.0,471700.0,472600.0,473500.0,474400.0,475300.0,476200.0,477100.0,478000.0,478900.0,479800.0,480700.0,481600.0,482500.0,483400.0,484300.0,485200.0,486100.0,487000.0,487900.0,488800.0,489700.0,490600.0,491500.0,492400.0,493300.0,494200.0,495100.0,496000.0,496900.0,497800.0,498700.0,499600.0,500500.0,501400.0,502300.0,503200.0,504100.0,505000.0,505900.0,506800.0,507700.0,508600.0,509500.0,510400.0,511300.0,512200.0,513100.0,514000.0,514900.0,515800.0,516700.0,517600.0,518500.0,519400.0,520300.0,521200.0,522100.0,523000.0,523900.0,524800.0,525700.0,526600.0,527500.0,528400.0,529300.0,530200.0,531100.0,532000.0,532900.0,533800.0,534700.0,535600.0,536500.0,537400.0,538300.0,539200.0,540100.0,541000.0,541900.0,542800.0,543700.0,544600.0,545500.0,546400.0,547300.0,548200.0,549100.0,550000.0,550900.0,551800.0,552700.0,553600.0,554500.0,555400.0,556300.0,557200.0,558100.0,559000.0,559900.0,560800.0,561700.0,562600.0,563500.0,564400.0,565300.0,566200.0,567100.0,568000.0,568900.0,569800.0,570700.0,571600.0,572500.0,573400.0,574300.0,575200.0,576100.0,577000.0,577900.0,578800.0,579700.0,580600.0,581500.0,582400.0,583300.0,584200.0,585100.0,586000.0,586900.0,587800.0,588700.0,589600.0,590500.0,591400.0,592300.0,593200.0,594100.0,595000.0,595900.0,596800.0,597700.0,598600.0,599500.0,600400.0,601300.0,602200.0,603100.0,604000.0,604900.0,605800.0,606700.0,607600.0,608500.0,609400.0,610300.0,611200.0,612100.0,613000.0,613900.0,614800.0,615700.0,616600.0,617500.0,618400.0,619300.0,620200.0,621100.0,622000.0,622900.0,623800.0,624700.0,625600.0,626500.0,627400.0,628300.0,629200.0,630100.0,631000.0,631900.0,632800.0,633700.0,634600.0,635500.0,636400.0,637300.0,638200.0,639100.0,640000.0,640900.0,641800.0,642700.0,643600.0,644500.0,645400.0,646300.0,647200.0,648100.0,649000.0,649900.0,650800.0,651700.0,652600.0,653500.0,654400.0,655300.0,656200.0,657100.0,658000.0,658900.0,659800.0,660700.0,661600.0,662500.0,663400.0,664300.0,665200.0,666100.0,667000.0,667900.0,668800.0,669700.0,670600.0,671500.0,672400.0,673300.0,674200.0,675100.0,676000.0,676900.0,677800.0,678700.0,679600.0,680500.0,681400.0,682300.0,683200.0,684100.0,685000.0,685900.0,686800.0,687700.0,688600.0,689500.0,690400.0,691300.0,692200.0,693100.0,694000.0,694900.0,695800.0,696700.0,697600.0,698500.0,699400.0,700300.0,701200.0,702100.0,703000.0,703900.0,704800.0,705700.0,706600.0,707500.0,708400.0,709300.0,710200.0,711100.0,712000.0,712900.0,713800.0,714700.0,715600.0,716500.0,717400.0,718300.0,719200.0,720100.0,721000.0,721900.0,722800.0,723700.0,724600.0,725500.0,726400.0,727300.0,728200.0,729100.0,730000.0,730900.0,731800.0,732700.0,733600.0,734500.0,735400.0,736300.0,737200.0,738100.0,739000.0,739900.0,740800.0,741700.0,742600.0,743500.0,744400.0,745300.0,746200.0,747100.0,748000.0,748900.0,749800.0,750700.0,751600.0,752500.0,753400.0,754300.0,755200.0,756100.0,757000.0,757900.0,758800.0,759700.0,760600.0,761500.0,762400.0,763300.0,764200.0,765100.0,766000.0,766900.0,767800.0,768700.0,769600.0,770500.0,771400.0,772300.0,773200.0,774100.0,775000.0,775900.0,776800.0,777700.0,778600.0,779500.0,780400.0,781300.0,782200.0,783100.0,784000.0,784900.0,785800.0,786700.0,787600.0,788500.0,789400.0,790300.0,791200.0,792100.0,793000.0,793900.0,794800.0,795700.0,796600.0,797500.0,798400.0,799300.0,800200.0,801100.0,802000.0,802900.0,803800.0,804700.0,805600.0,806500.0,807400.0,808300.0,809200.0,810100.0,811000.0,811900.0,812800.0,813700.0,814600.0,815500.0,816400.0,817300.0,818200.0,819100.0,820000.0,820900.0,821800.0,822700.0,823600.0,824500.0,825400.0,826300.0,827200.0,828100.0,829000.0,829900.0,830800.0,831700.0,832600.0,833500.0,834400.0,835300.0,836200.0,837100.0,838000.0,838900.0,839800.0,840700.0,841600.0,842500.0,843400.0,844300.0,845200.0,846100.0,847000.0,847900.0,848800.0,849700.0,850600.0,851500.0,852400.0,853300.0,854200.0,855100.0,856000.0,856900.0,857800.0,858700.0,859600.0,860500.0,861400.0,862300.0,863200.0,864100.0,865000.0,865900.0,866800.0,867700.0,868600.0,869500.0,870400.0,871300.0,872200.0,873100.0,874000.0,874900.0,875800.0,876700.0,877600.0,878500.0,879400.0,880300.0,881200.0,882100.0,883000.0,883900.0,884800.0,885700.0,886600.0,887500.0,888400.0,889300.0,890200.0,891100.0,892000.0,892900.0,893800.0,894700.0,895600.0,896500.0,897400.0,898300.0,899200.0,900100.0,901000.0,901900.0,902800.0,903700.0,904600.0,905500.0,906400.0,907300.0,908200.0,909100.0,910000.0,910900.0,911800.0,912700.0,913600.0,914500.0,915400.0,916300.0,917200.0,918100.0,919000.0,919900.0,920800.0,921700.0,922600.0,923500.0,924400.0,925300.0,926200.0,927100.0,928000.0,928900.0,929800.0,930700.0,931600.0,932500.0,933400.0,934300.0,935200.0,936100.0,937000.0,937900.0,938800.0,939700.0,940600.0,941500.0,942400.0,943300.0,944200.0,945100.0,946000.0,946900.0,947800.0,948700.0,949600.0,950500.0,951400.0,952300.0,953200.0,954100.0,955000.0,955900.0,956800.0,957700.0,958600.0,959500.0,960400.0,961300.0,962200.0,963100.0,964000.0,964900.0,965800.0,966700.0,967600.0,968500.0,969400.0,970300.0,971200.0,972100.0,973000.0,973900.0,974800.0,975700.0,976600.0,977500.0,978400.0,979300.0,980200.0,981100.0,982000.0,982900.0,983800.0,984700.0,985600.0,986500.0,987400.0,988300.0,989200.0,990100.0,991000.0,991900.0,992800.0,993700.0,994600.0,995500.0,996400.0,997300.0,998200.0,999100.0,1.0e6]} diff --git a/test/fixtures/julia/runner.jl b/test/fixtures/julia/runner.jl index 95856ad..d0107cb 100644 --- a/test/fixtures/julia/runner.jl +++ b/test/fixtures/julia/runner.jl @@ -53,6 +53,7 @@ function gen( x, name ) # Write the data to the output filepath as JSON: outfile = open( filepath, "w" ); write( outfile, JSON.json(data) ); + write( outfile, "\n" ); close( outfile ); end diff --git a/test/fixtures/julia/small.json b/test/fixtures/julia/small.json index e429cd6..bb3d499 100644 --- a/test/fixtures/julia/small.json +++ b/test/fixtures/julia/small.json @@ -1 +1 @@ -{"expected":["00000000000000000000000000000000","00000000000000000000000000000001","00000000000000000000000000000010","00000000000000000000000000000011","00000000000000000000000000000100","00000000000000000000000000000101","00000000000000000000000000000110","00000000000000000000000000000111","00000000000000000000000000001000","00000000000000000000000000001001","00000000000000000000000000001010","00000000000000000000000000001011","00000000000000000000000000001100","00000000000000000000000000001101","00000000000000000000000000001110","00000000000000000000000000001111","00000000000000000000000000010000","00000000000000000000000000010001","00000000000000000000000000010010","00000000000000000000000000010011","00000000000000000000000000010100","00000000000000000000000000010101","00000000000000000000000000010110","00000000000000000000000000010111","00000000000000000000000000011000","00000000000000000000000000011001","00000000000000000000000000011010","00000000000000000000000000011011","00000000000000000000000000011100","00000000000000000000000000011101","00000000000000000000000000011110","00000000000000000000000000011111","00000000000000000000000000100000","00000000000000000000000000100001","00000000000000000000000000100010","00000000000000000000000000100011","00000000000000000000000000100100","00000000000000000000000000100101","00000000000000000000000000100110","00000000000000000000000000100111","00000000000000000000000000101000","00000000000000000000000000101001","00000000000000000000000000101010","00000000000000000000000000101011","00000000000000000000000000101100","00000000000000000000000000101101","00000000000000000000000000101110","00000000000000000000000000101111","00000000000000000000000000110000","00000000000000000000000000110001","00000000000000000000000000110010","00000000000000000000000000110011","00000000000000000000000000110100","00000000000000000000000000110101","00000000000000000000000000110110","00000000000000000000000000110111","00000000000000000000000000111000","00000000000000000000000000111001","00000000000000000000000000111010","00000000000000000000000000111011","00000000000000000000000000111100","00000000000000000000000000111101","00000000000000000000000000111110","00000000000000000000000000111111","00000000000000000000000001000000","00000000000000000000000001000001","00000000000000000000000001000010","00000000000000000000000001000011","00000000000000000000000001000100","00000000000000000000000001000101","00000000000000000000000001000110","00000000000000000000000001000111","00000000000000000000000001001000","00000000000000000000000001001001","00000000000000000000000001001010","00000000000000000000000001001011","00000000000000000000000001001100","00000000000000000000000001001101","00000000000000000000000001001110","00000000000000000000000001001111","00000000000000000000000001010000","00000000000000000000000001010001","00000000000000000000000001010010","00000000000000000000000001010011","00000000000000000000000001010100","00000000000000000000000001010101","00000000000000000000000001010110","00000000000000000000000001010111","00000000000000000000000001011000","00000000000000000000000001011001","00000000000000000000000001011010","00000000000000000000000001011011","00000000000000000000000001011100","00000000000000000000000001011101","00000000000000000000000001011110","00000000000000000000000001011111","00000000000000000000000001100000","00000000000000000000000001100001","00000000000000000000000001100010","00000000000000000000000001100011","00000000000000000000000001100100","00000000000000000000000001100101","00000000000000000000000001100110","00000000000000000000000001100111","00000000000000000000000001101000","00000000000000000000000001101001","00000000000000000000000001101010","00000000000000000000000001101011","00000000000000000000000001101100","00000000000000000000000001101101","00000000000000000000000001101110","00000000000000000000000001101111","00000000000000000000000001110000","00000000000000000000000001110001","00000000000000000000000001110010","00000000000000000000000001110011","00000000000000000000000001110100","00000000000000000000000001110101","00000000000000000000000001110110","00000000000000000000000001110111","00000000000000000000000001111000","00000000000000000000000001111001","00000000000000000000000001111010","00000000000000000000000001111011","00000000000000000000000001111100","00000000000000000000000001111101","00000000000000000000000001111110","00000000000000000000000001111111","00000000000000000000000010000000","00000000000000000000000010000001","00000000000000000000000010000010","00000000000000000000000010000011","00000000000000000000000010000100","00000000000000000000000010000101","00000000000000000000000010000110","00000000000000000000000010000111","00000000000000000000000010001000","00000000000000000000000010001001","00000000000000000000000010001010","00000000000000000000000010001011","00000000000000000000000010001100","00000000000000000000000010001101","00000000000000000000000010001110","00000000000000000000000010001111","00000000000000000000000010010000","00000000000000000000000010010001","00000000000000000000000010010010","00000000000000000000000010010011","00000000000000000000000010010100","00000000000000000000000010010101","00000000000000000000000010010110","00000000000000000000000010010111","00000000000000000000000010011000","00000000000000000000000010011001","00000000000000000000000010011010","00000000000000000000000010011011","00000000000000000000000010011100","00000000000000000000000010011101","00000000000000000000000010011110","00000000000000000000000010011111","00000000000000000000000010100000","00000000000000000000000010100001","00000000000000000000000010100010","00000000000000000000000010100011","00000000000000000000000010100100","00000000000000000000000010100101","00000000000000000000000010100110","00000000000000000000000010100111","00000000000000000000000010101000","00000000000000000000000010101001","00000000000000000000000010101010","00000000000000000000000010101011","00000000000000000000000010101100","00000000000000000000000010101101","00000000000000000000000010101110","00000000000000000000000010101111","00000000000000000000000010110000","00000000000000000000000010110001","00000000000000000000000010110010","00000000000000000000000010110011","00000000000000000000000010110100","00000000000000000000000010110101","00000000000000000000000010110110","00000000000000000000000010110111","00000000000000000000000010111000","00000000000000000000000010111001","00000000000000000000000010111010","00000000000000000000000010111011","00000000000000000000000010111100","00000000000000000000000010111101","00000000000000000000000010111110","00000000000000000000000010111111","00000000000000000000000011000000","00000000000000000000000011000001","00000000000000000000000011000010","00000000000000000000000011000011","00000000000000000000000011000100","00000000000000000000000011000101","00000000000000000000000011000110","00000000000000000000000011000111","00000000000000000000000011001000","00000000000000000000000011001001","00000000000000000000000011001010","00000000000000000000000011001011","00000000000000000000000011001100","00000000000000000000000011001101","00000000000000000000000011001110","00000000000000000000000011001111","00000000000000000000000011010000","00000000000000000000000011010001","00000000000000000000000011010010","00000000000000000000000011010011","00000000000000000000000011010100","00000000000000000000000011010101","00000000000000000000000011010110","00000000000000000000000011010111","00000000000000000000000011011000","00000000000000000000000011011001","00000000000000000000000011011010","00000000000000000000000011011011","00000000000000000000000011011100","00000000000000000000000011011101","00000000000000000000000011011110","00000000000000000000000011011111","00000000000000000000000011100000","00000000000000000000000011100001","00000000000000000000000011100010","00000000000000000000000011100011","00000000000000000000000011100100","00000000000000000000000011100101","00000000000000000000000011100110","00000000000000000000000011100111","00000000000000000000000011101000","00000000000000000000000011101001","00000000000000000000000011101010","00000000000000000000000011101011","00000000000000000000000011101100","00000000000000000000000011101101","00000000000000000000000011101110","00000000000000000000000011101111","00000000000000000000000011110000","00000000000000000000000011110001","00000000000000000000000011110010","00000000000000000000000011110011","00000000000000000000000011110100","00000000000000000000000011110101","00000000000000000000000011110110","00000000000000000000000011110111","00000000000000000000000011111000","00000000000000000000000011111001","00000000000000000000000011111010","00000000000000000000000011111011","00000000000000000000000011111100","00000000000000000000000011111101","00000000000000000000000011111110","00000000000000000000000011111111","00000000000000000000000100000000","00000000000000000000000100000001","00000000000000000000000100000010","00000000000000000000000100000011","00000000000000000000000100000100","00000000000000000000000100000101","00000000000000000000000100000110","00000000000000000000000100000111","00000000000000000000000100001000","00000000000000000000000100001001","00000000000000000000000100001010","00000000000000000000000100001011","00000000000000000000000100001100","00000000000000000000000100001101","00000000000000000000000100001110","00000000000000000000000100001111","00000000000000000000000100010000","00000000000000000000000100010001","00000000000000000000000100010010","00000000000000000000000100010011","00000000000000000000000100010100","00000000000000000000000100010101","00000000000000000000000100010110","00000000000000000000000100010111","00000000000000000000000100011000","00000000000000000000000100011001","00000000000000000000000100011010","00000000000000000000000100011011","00000000000000000000000100011100","00000000000000000000000100011101","00000000000000000000000100011110","00000000000000000000000100011111","00000000000000000000000100100000","00000000000000000000000100100001","00000000000000000000000100100010","00000000000000000000000100100011","00000000000000000000000100100100","00000000000000000000000100100101","00000000000000000000000100100110","00000000000000000000000100100111","00000000000000000000000100101000","00000000000000000000000100101001","00000000000000000000000100101010","00000000000000000000000100101011","00000000000000000000000100101100","00000000000000000000000100101101","00000000000000000000000100101110","00000000000000000000000100101111","00000000000000000000000100110000","00000000000000000000000100110001","00000000000000000000000100110010","00000000000000000000000100110011","00000000000000000000000100110100","00000000000000000000000100110101","00000000000000000000000100110110","00000000000000000000000100110111","00000000000000000000000100111000","00000000000000000000000100111001","00000000000000000000000100111010","00000000000000000000000100111011","00000000000000000000000100111100","00000000000000000000000100111101","00000000000000000000000100111110","00000000000000000000000100111111","00000000000000000000000101000000","00000000000000000000000101000001","00000000000000000000000101000010","00000000000000000000000101000011","00000000000000000000000101000100","00000000000000000000000101000101","00000000000000000000000101000110","00000000000000000000000101000111","00000000000000000000000101001000","00000000000000000000000101001001","00000000000000000000000101001010","00000000000000000000000101001011","00000000000000000000000101001100","00000000000000000000000101001101","00000000000000000000000101001110","00000000000000000000000101001111","00000000000000000000000101010000","00000000000000000000000101010001","00000000000000000000000101010010","00000000000000000000000101010011","00000000000000000000000101010100","00000000000000000000000101010101","00000000000000000000000101010110","00000000000000000000000101010111","00000000000000000000000101011000","00000000000000000000000101011001","00000000000000000000000101011010","00000000000000000000000101011011","00000000000000000000000101011100","00000000000000000000000101011101","00000000000000000000000101011110","00000000000000000000000101011111","00000000000000000000000101100000","00000000000000000000000101100001","00000000000000000000000101100010","00000000000000000000000101100011","00000000000000000000000101100100","00000000000000000000000101100101","00000000000000000000000101100110","00000000000000000000000101100111","00000000000000000000000101101000","00000000000000000000000101101001","00000000000000000000000101101010","00000000000000000000000101101011","00000000000000000000000101101100","00000000000000000000000101101101","00000000000000000000000101101110","00000000000000000000000101101111","00000000000000000000000101110000","00000000000000000000000101110001","00000000000000000000000101110010","00000000000000000000000101110011","00000000000000000000000101110100","00000000000000000000000101110101","00000000000000000000000101110110","00000000000000000000000101110111","00000000000000000000000101111000","00000000000000000000000101111001","00000000000000000000000101111010","00000000000000000000000101111011","00000000000000000000000101111100","00000000000000000000000101111101","00000000000000000000000101111110","00000000000000000000000101111111","00000000000000000000000110000000","00000000000000000000000110000001","00000000000000000000000110000010","00000000000000000000000110000011","00000000000000000000000110000100","00000000000000000000000110000101","00000000000000000000000110000110","00000000000000000000000110000111","00000000000000000000000110001000","00000000000000000000000110001001","00000000000000000000000110001010","00000000000000000000000110001011","00000000000000000000000110001100","00000000000000000000000110001101","00000000000000000000000110001110","00000000000000000000000110001111","00000000000000000000000110010000","00000000000000000000000110010001","00000000000000000000000110010010","00000000000000000000000110010011","00000000000000000000000110010100","00000000000000000000000110010101","00000000000000000000000110010110","00000000000000000000000110010111","00000000000000000000000110011000","00000000000000000000000110011001","00000000000000000000000110011010","00000000000000000000000110011011","00000000000000000000000110011100","00000000000000000000000110011101","00000000000000000000000110011110","00000000000000000000000110011111","00000000000000000000000110100000","00000000000000000000000110100001","00000000000000000000000110100010","00000000000000000000000110100011","00000000000000000000000110100100","00000000000000000000000110100101","00000000000000000000000110100110","00000000000000000000000110100111","00000000000000000000000110101000","00000000000000000000000110101001","00000000000000000000000110101010","00000000000000000000000110101011","00000000000000000000000110101100","00000000000000000000000110101101","00000000000000000000000110101110","00000000000000000000000110101111","00000000000000000000000110110000","00000000000000000000000110110001","00000000000000000000000110110010","00000000000000000000000110110011","00000000000000000000000110110100","00000000000000000000000110110101","00000000000000000000000110110110","00000000000000000000000110110111","00000000000000000000000110111000","00000000000000000000000110111001","00000000000000000000000110111010","00000000000000000000000110111011","00000000000000000000000110111100","00000000000000000000000110111101","00000000000000000000000110111110","00000000000000000000000110111111","00000000000000000000000111000000","00000000000000000000000111000001","00000000000000000000000111000010","00000000000000000000000111000011","00000000000000000000000111000100","00000000000000000000000111000101","00000000000000000000000111000110","00000000000000000000000111000111","00000000000000000000000111001000","00000000000000000000000111001001","00000000000000000000000111001010","00000000000000000000000111001011","00000000000000000000000111001100","00000000000000000000000111001101","00000000000000000000000111001110","00000000000000000000000111001111","00000000000000000000000111010000","00000000000000000000000111010001","00000000000000000000000111010010","00000000000000000000000111010011","00000000000000000000000111010100","00000000000000000000000111010101","00000000000000000000000111010110","00000000000000000000000111010111","00000000000000000000000111011000","00000000000000000000000111011001","00000000000000000000000111011010","00000000000000000000000111011011","00000000000000000000000111011100","00000000000000000000000111011101","00000000000000000000000111011110","00000000000000000000000111011111","00000000000000000000000111100000","00000000000000000000000111100001","00000000000000000000000111100010","00000000000000000000000111100011","00000000000000000000000111100100","00000000000000000000000111100101","00000000000000000000000111100110","00000000000000000000000111100111","00000000000000000000000111101000","00000000000000000000000111101001","00000000000000000000000111101010","00000000000000000000000111101011","00000000000000000000000111101100","00000000000000000000000111101101","00000000000000000000000111101110","00000000000000000000000111101111","00000000000000000000000111110000","00000000000000000000000111110001","00000000000000000000000111110010","00000000000000000000000111110011","00000000000000000000000111110100","00000000000000000000000111110101","00000000000000000000000111110110","00000000000000000000000111110111","00000000000000000000000111111000","00000000000000000000000111111001","00000000000000000000000111111010","00000000000000000000000111111011","00000000000000000000000111111100","00000000000000000000000111111101","00000000000000000000000111111110","00000000000000000000000111111111","00000000000000000000001000000000","00000000000000000000001000000001","00000000000000000000001000000010","00000000000000000000001000000011","00000000000000000000001000000100","00000000000000000000001000000101","00000000000000000000001000000110","00000000000000000000001000000111","00000000000000000000001000001000","00000000000000000000001000001001","00000000000000000000001000001010","00000000000000000000001000001011","00000000000000000000001000001100","00000000000000000000001000001101","00000000000000000000001000001110","00000000000000000000001000001111","00000000000000000000001000010000","00000000000000000000001000010001","00000000000000000000001000010010","00000000000000000000001000010011","00000000000000000000001000010100","00000000000000000000001000010101","00000000000000000000001000010110","00000000000000000000001000010111","00000000000000000000001000011000","00000000000000000000001000011001","00000000000000000000001000011010","00000000000000000000001000011011","00000000000000000000001000011100","00000000000000000000001000011101","00000000000000000000001000011110","00000000000000000000001000011111","00000000000000000000001000100000","00000000000000000000001000100001","00000000000000000000001000100010","00000000000000000000001000100011","00000000000000000000001000100100","00000000000000000000001000100101","00000000000000000000001000100110","00000000000000000000001000100111","00000000000000000000001000101000","00000000000000000000001000101001","00000000000000000000001000101010","00000000000000000000001000101011","00000000000000000000001000101100","00000000000000000000001000101101","00000000000000000000001000101110","00000000000000000000001000101111","00000000000000000000001000110000","00000000000000000000001000110001","00000000000000000000001000110010","00000000000000000000001000110011","00000000000000000000001000110100","00000000000000000000001000110101","00000000000000000000001000110110","00000000000000000000001000110111","00000000000000000000001000111000","00000000000000000000001000111001","00000000000000000000001000111010","00000000000000000000001000111011","00000000000000000000001000111100","00000000000000000000001000111101","00000000000000000000001000111110","00000000000000000000001000111111","00000000000000000000001001000000","00000000000000000000001001000001","00000000000000000000001001000010","00000000000000000000001001000011","00000000000000000000001001000100","00000000000000000000001001000101","00000000000000000000001001000110","00000000000000000000001001000111","00000000000000000000001001001000","00000000000000000000001001001001","00000000000000000000001001001010","00000000000000000000001001001011","00000000000000000000001001001100","00000000000000000000001001001101","00000000000000000000001001001110","00000000000000000000001001001111","00000000000000000000001001010000","00000000000000000000001001010001","00000000000000000000001001010010","00000000000000000000001001010011","00000000000000000000001001010100","00000000000000000000001001010101","00000000000000000000001001010110","00000000000000000000001001010111","00000000000000000000001001011000","00000000000000000000001001011001","00000000000000000000001001011010","00000000000000000000001001011011","00000000000000000000001001011100","00000000000000000000001001011101","00000000000000000000001001011110","00000000000000000000001001011111","00000000000000000000001001100000","00000000000000000000001001100001","00000000000000000000001001100010","00000000000000000000001001100011","00000000000000000000001001100100","00000000000000000000001001100101","00000000000000000000001001100110","00000000000000000000001001100111","00000000000000000000001001101000","00000000000000000000001001101001","00000000000000000000001001101010","00000000000000000000001001101011","00000000000000000000001001101100","00000000000000000000001001101101","00000000000000000000001001101110","00000000000000000000001001101111","00000000000000000000001001110000","00000000000000000000001001110001","00000000000000000000001001110010","00000000000000000000001001110011","00000000000000000000001001110100","00000000000000000000001001110101","00000000000000000000001001110110","00000000000000000000001001110111","00000000000000000000001001111000","00000000000000000000001001111001","00000000000000000000001001111010","00000000000000000000001001111011","00000000000000000000001001111100","00000000000000000000001001111101","00000000000000000000001001111110","00000000000000000000001001111111","00000000000000000000001010000000","00000000000000000000001010000001","00000000000000000000001010000010","00000000000000000000001010000011","00000000000000000000001010000100","00000000000000000000001010000101","00000000000000000000001010000110","00000000000000000000001010000111","00000000000000000000001010001000","00000000000000000000001010001001","00000000000000000000001010001010","00000000000000000000001010001011","00000000000000000000001010001100","00000000000000000000001010001101","00000000000000000000001010001110","00000000000000000000001010001111","00000000000000000000001010010000","00000000000000000000001010010001","00000000000000000000001010010010","00000000000000000000001010010011","00000000000000000000001010010100","00000000000000000000001010010101","00000000000000000000001010010110","00000000000000000000001010010111","00000000000000000000001010011000","00000000000000000000001010011001","00000000000000000000001010011010","00000000000000000000001010011011","00000000000000000000001010011100","00000000000000000000001010011101","00000000000000000000001010011110","00000000000000000000001010011111","00000000000000000000001010100000","00000000000000000000001010100001","00000000000000000000001010100010","00000000000000000000001010100011","00000000000000000000001010100100","00000000000000000000001010100101","00000000000000000000001010100110","00000000000000000000001010100111","00000000000000000000001010101000","00000000000000000000001010101001","00000000000000000000001010101010","00000000000000000000001010101011","00000000000000000000001010101100","00000000000000000000001010101101","00000000000000000000001010101110","00000000000000000000001010101111","00000000000000000000001010110000","00000000000000000000001010110001","00000000000000000000001010110010","00000000000000000000001010110011","00000000000000000000001010110100","00000000000000000000001010110101","00000000000000000000001010110110","00000000000000000000001010110111","00000000000000000000001010111000","00000000000000000000001010111001","00000000000000000000001010111010","00000000000000000000001010111011","00000000000000000000001010111100","00000000000000000000001010111101","00000000000000000000001010111110","00000000000000000000001010111111","00000000000000000000001011000000","00000000000000000000001011000001","00000000000000000000001011000010","00000000000000000000001011000011","00000000000000000000001011000100","00000000000000000000001011000101","00000000000000000000001011000110","00000000000000000000001011000111","00000000000000000000001011001000","00000000000000000000001011001001","00000000000000000000001011001010","00000000000000000000001011001011","00000000000000000000001011001100","00000000000000000000001011001101","00000000000000000000001011001110","00000000000000000000001011001111","00000000000000000000001011010000","00000000000000000000001011010001","00000000000000000000001011010010","00000000000000000000001011010011","00000000000000000000001011010100","00000000000000000000001011010101","00000000000000000000001011010110","00000000000000000000001011010111","00000000000000000000001011011000","00000000000000000000001011011001","00000000000000000000001011011010","00000000000000000000001011011011","00000000000000000000001011011100","00000000000000000000001011011101","00000000000000000000001011011110","00000000000000000000001011011111","00000000000000000000001011100000","00000000000000000000001011100001","00000000000000000000001011100010","00000000000000000000001011100011","00000000000000000000001011100100","00000000000000000000001011100101","00000000000000000000001011100110","00000000000000000000001011100111","00000000000000000000001011101000","00000000000000000000001011101001","00000000000000000000001011101010","00000000000000000000001011101011","00000000000000000000001011101100","00000000000000000000001011101101","00000000000000000000001011101110","00000000000000000000001011101111","00000000000000000000001011110000","00000000000000000000001011110001","00000000000000000000001011110010","00000000000000000000001011110011","00000000000000000000001011110100","00000000000000000000001011110101","00000000000000000000001011110110","00000000000000000000001011110111","00000000000000000000001011111000","00000000000000000000001011111001","00000000000000000000001011111010","00000000000000000000001011111011","00000000000000000000001011111100","00000000000000000000001011111101","00000000000000000000001011111110","00000000000000000000001011111111","00000000000000000000001100000000","00000000000000000000001100000001","00000000000000000000001100000010","00000000000000000000001100000011","00000000000000000000001100000100","00000000000000000000001100000101","00000000000000000000001100000110","00000000000000000000001100000111","00000000000000000000001100001000","00000000000000000000001100001001","00000000000000000000001100001010","00000000000000000000001100001011","00000000000000000000001100001100","00000000000000000000001100001101","00000000000000000000001100001110","00000000000000000000001100001111","00000000000000000000001100010000","00000000000000000000001100010001","00000000000000000000001100010010","00000000000000000000001100010011","00000000000000000000001100010100","00000000000000000000001100010101","00000000000000000000001100010110","00000000000000000000001100010111","00000000000000000000001100011000","00000000000000000000001100011001","00000000000000000000001100011010","00000000000000000000001100011011","00000000000000000000001100011100","00000000000000000000001100011101","00000000000000000000001100011110","00000000000000000000001100011111","00000000000000000000001100100000","00000000000000000000001100100001","00000000000000000000001100100010","00000000000000000000001100100011","00000000000000000000001100100100","00000000000000000000001100100101","00000000000000000000001100100110","00000000000000000000001100100111","00000000000000000000001100101000","00000000000000000000001100101001","00000000000000000000001100101010","00000000000000000000001100101011","00000000000000000000001100101100","00000000000000000000001100101101","00000000000000000000001100101110","00000000000000000000001100101111","00000000000000000000001100110000","00000000000000000000001100110001","00000000000000000000001100110010","00000000000000000000001100110011","00000000000000000000001100110100","00000000000000000000001100110101","00000000000000000000001100110110","00000000000000000000001100110111","00000000000000000000001100111000","00000000000000000000001100111001","00000000000000000000001100111010","00000000000000000000001100111011","00000000000000000000001100111100","00000000000000000000001100111101","00000000000000000000001100111110","00000000000000000000001100111111","00000000000000000000001101000000","00000000000000000000001101000001","00000000000000000000001101000010","00000000000000000000001101000011","00000000000000000000001101000100","00000000000000000000001101000101","00000000000000000000001101000110","00000000000000000000001101000111","00000000000000000000001101001000","00000000000000000000001101001001","00000000000000000000001101001010","00000000000000000000001101001011","00000000000000000000001101001100","00000000000000000000001101001101","00000000000000000000001101001110","00000000000000000000001101001111","00000000000000000000001101010000","00000000000000000000001101010001","00000000000000000000001101010010","00000000000000000000001101010011","00000000000000000000001101010100","00000000000000000000001101010101","00000000000000000000001101010110","00000000000000000000001101010111","00000000000000000000001101011000","00000000000000000000001101011001","00000000000000000000001101011010","00000000000000000000001101011011","00000000000000000000001101011100","00000000000000000000001101011101","00000000000000000000001101011110","00000000000000000000001101011111","00000000000000000000001101100000","00000000000000000000001101100001","00000000000000000000001101100010","00000000000000000000001101100011","00000000000000000000001101100100","00000000000000000000001101100101","00000000000000000000001101100110","00000000000000000000001101100111","00000000000000000000001101101000","00000000000000000000001101101001","00000000000000000000001101101010","00000000000000000000001101101011","00000000000000000000001101101100","00000000000000000000001101101101","00000000000000000000001101101110","00000000000000000000001101101111","00000000000000000000001101110000","00000000000000000000001101110001","00000000000000000000001101110010","00000000000000000000001101110011","00000000000000000000001101110100","00000000000000000000001101110101","00000000000000000000001101110110","00000000000000000000001101110111","00000000000000000000001101111000","00000000000000000000001101111001","00000000000000000000001101111010","00000000000000000000001101111011","00000000000000000000001101111100","00000000000000000000001101111101","00000000000000000000001101111110","00000000000000000000001101111111","00000000000000000000001110000000","00000000000000000000001110000001","00000000000000000000001110000010","00000000000000000000001110000011","00000000000000000000001110000100","00000000000000000000001110000101","00000000000000000000001110000110","00000000000000000000001110000111","00000000000000000000001110001000","00000000000000000000001110001001","00000000000000000000001110001010","00000000000000000000001110001011","00000000000000000000001110001100","00000000000000000000001110001101","00000000000000000000001110001110","00000000000000000000001110001111","00000000000000000000001110010000","00000000000000000000001110010001","00000000000000000000001110010010","00000000000000000000001110010011","00000000000000000000001110010100","00000000000000000000001110010101","00000000000000000000001110010110","00000000000000000000001110010111","00000000000000000000001110011000","00000000000000000000001110011001","00000000000000000000001110011010","00000000000000000000001110011011","00000000000000000000001110011100","00000000000000000000001110011101","00000000000000000000001110011110","00000000000000000000001110011111","00000000000000000000001110100000","00000000000000000000001110100001","00000000000000000000001110100010","00000000000000000000001110100011","00000000000000000000001110100100","00000000000000000000001110100101","00000000000000000000001110100110","00000000000000000000001110100111","00000000000000000000001110101000","00000000000000000000001110101001","00000000000000000000001110101010","00000000000000000000001110101011","00000000000000000000001110101100","00000000000000000000001110101101","00000000000000000000001110101110","00000000000000000000001110101111","00000000000000000000001110110000","00000000000000000000001110110001","00000000000000000000001110110010","00000000000000000000001110110011","00000000000000000000001110110100","00000000000000000000001110110101","00000000000000000000001110110110","00000000000000000000001110110111","00000000000000000000001110111000","00000000000000000000001110111001","00000000000000000000001110111010","00000000000000000000001110111011","00000000000000000000001110111100","00000000000000000000001110111101","00000000000000000000001110111110","00000000000000000000001110111111","00000000000000000000001111000000","00000000000000000000001111000001","00000000000000000000001111000010","00000000000000000000001111000011","00000000000000000000001111000100","00000000000000000000001111000101","00000000000000000000001111000110","00000000000000000000001111000111","00000000000000000000001111001000","00000000000000000000001111001001","00000000000000000000001111001010","00000000000000000000001111001011","00000000000000000000001111001100","00000000000000000000001111001101","00000000000000000000001111001110","00000000000000000000001111001111","00000000000000000000001111010000","00000000000000000000001111010001","00000000000000000000001111010010","00000000000000000000001111010011","00000000000000000000001111010100","00000000000000000000001111010101","00000000000000000000001111010110","00000000000000000000001111010111","00000000000000000000001111011000","00000000000000000000001111011001","00000000000000000000001111011010","00000000000000000000001111011011","00000000000000000000001111011100","00000000000000000000001111011101","00000000000000000000001111011110","00000000000000000000001111011111","00000000000000000000001111100000","00000000000000000000001111100001","00000000000000000000001111100010","00000000000000000000001111100011","00000000000000000000001111100100","00000000000000000000001111100101","00000000000000000000001111100110","00000000000000000000001111100111","00000000000000000000001111101000"],"x":[0.0,1.0,2.0,3.0,4.0,5.0,6.0,7.0,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0,16.0,17.0,18.0,19.0,20.0,21.0,22.0,23.0,24.0,25.0,26.0,27.0,28.0,29.0,30.0,31.0,32.0,33.0,34.0,35.0,36.0,37.0,38.0,39.0,40.0,41.0,42.0,43.0,44.0,45.0,46.0,47.0,48.0,49.0,50.0,51.0,52.0,53.0,54.0,55.0,56.0,57.0,58.0,59.0,60.0,61.0,62.0,63.0,64.0,65.0,66.0,67.0,68.0,69.0,70.0,71.0,72.0,73.0,74.0,75.0,76.0,77.0,78.0,79.0,80.0,81.0,82.0,83.0,84.0,85.0,86.0,87.0,88.0,89.0,90.0,91.0,92.0,93.0,94.0,95.0,96.0,97.0,98.0,99.0,100.0,101.0,102.0,103.0,104.0,105.0,106.0,107.0,108.0,109.0,110.0,111.0,112.0,113.0,114.0,115.0,116.0,117.0,118.0,119.0,120.0,121.0,122.0,123.0,124.0,125.0,126.0,127.0,128.0,129.0,130.0,131.0,132.0,133.0,134.0,135.0,136.0,137.0,138.0,139.0,140.0,141.0,142.0,143.0,144.0,145.0,146.0,147.0,148.0,149.0,150.0,151.0,152.0,153.0,154.0,155.0,156.0,157.0,158.0,159.0,160.0,161.0,162.0,163.0,164.0,165.0,166.0,167.0,168.0,169.0,170.0,171.0,172.0,173.0,174.0,175.0,176.0,177.0,178.0,179.0,180.0,181.0,182.0,183.0,184.0,185.0,186.0,187.0,188.0,189.0,190.0,191.0,192.0,193.0,194.0,195.0,196.0,197.0,198.0,199.0,200.0,201.0,202.0,203.0,204.0,205.0,206.0,207.0,208.0,209.0,210.0,211.0,212.0,213.0,214.0,215.0,216.0,217.0,218.0,219.0,220.0,221.0,222.0,223.0,224.0,225.0,226.0,227.0,228.0,229.0,230.0,231.0,232.0,233.0,234.0,235.0,236.0,237.0,238.0,239.0,240.0,241.0,242.0,243.0,244.0,245.0,246.0,247.0,248.0,249.0,250.0,251.0,252.0,253.0,254.0,255.0,256.0,257.0,258.0,259.0,260.0,261.0,262.0,263.0,264.0,265.0,266.0,267.0,268.0,269.0,270.0,271.0,272.0,273.0,274.0,275.0,276.0,277.0,278.0,279.0,280.0,281.0,282.0,283.0,284.0,285.0,286.0,287.0,288.0,289.0,290.0,291.0,292.0,293.0,294.0,295.0,296.0,297.0,298.0,299.0,300.0,301.0,302.0,303.0,304.0,305.0,306.0,307.0,308.0,309.0,310.0,311.0,312.0,313.0,314.0,315.0,316.0,317.0,318.0,319.0,320.0,321.0,322.0,323.0,324.0,325.0,326.0,327.0,328.0,329.0,330.0,331.0,332.0,333.0,334.0,335.0,336.0,337.0,338.0,339.0,340.0,341.0,342.0,343.0,344.0,345.0,346.0,347.0,348.0,349.0,350.0,351.0,352.0,353.0,354.0,355.0,356.0,357.0,358.0,359.0,360.0,361.0,362.0,363.0,364.0,365.0,366.0,367.0,368.0,369.0,370.0,371.0,372.0,373.0,374.0,375.0,376.0,377.0,378.0,379.0,380.0,381.0,382.0,383.0,384.0,385.0,386.0,387.0,388.0,389.0,390.0,391.0,392.0,393.0,394.0,395.0,396.0,397.0,398.0,399.0,400.0,401.0,402.0,403.0,404.0,405.0,406.0,407.0,408.0,409.0,410.0,411.0,412.0,413.0,414.0,415.0,416.0,417.0,418.0,419.0,420.0,421.0,422.0,423.0,424.0,425.0,426.0,427.0,428.0,429.0,430.0,431.0,432.0,433.0,434.0,435.0,436.0,437.0,438.0,439.0,440.0,441.0,442.0,443.0,444.0,445.0,446.0,447.0,448.0,449.0,450.0,451.0,452.0,453.0,454.0,455.0,456.0,457.0,458.0,459.0,460.0,461.0,462.0,463.0,464.0,465.0,466.0,467.0,468.0,469.0,470.0,471.0,472.0,473.0,474.0,475.0,476.0,477.0,478.0,479.0,480.0,481.0,482.0,483.0,484.0,485.0,486.0,487.0,488.0,489.0,490.0,491.0,492.0,493.0,494.0,495.0,496.0,497.0,498.0,499.0,500.0,501.0,502.0,503.0,504.0,505.0,506.0,507.0,508.0,509.0,510.0,511.0,512.0,513.0,514.0,515.0,516.0,517.0,518.0,519.0,520.0,521.0,522.0,523.0,524.0,525.0,526.0,527.0,528.0,529.0,530.0,531.0,532.0,533.0,534.0,535.0,536.0,537.0,538.0,539.0,540.0,541.0,542.0,543.0,544.0,545.0,546.0,547.0,548.0,549.0,550.0,551.0,552.0,553.0,554.0,555.0,556.0,557.0,558.0,559.0,560.0,561.0,562.0,563.0,564.0,565.0,566.0,567.0,568.0,569.0,570.0,571.0,572.0,573.0,574.0,575.0,576.0,577.0,578.0,579.0,580.0,581.0,582.0,583.0,584.0,585.0,586.0,587.0,588.0,589.0,590.0,591.0,592.0,593.0,594.0,595.0,596.0,597.0,598.0,599.0,600.0,601.0,602.0,603.0,604.0,605.0,606.0,607.0,608.0,609.0,610.0,611.0,612.0,613.0,614.0,615.0,616.0,617.0,618.0,619.0,620.0,621.0,622.0,623.0,624.0,625.0,626.0,627.0,628.0,629.0,630.0,631.0,632.0,633.0,634.0,635.0,636.0,637.0,638.0,639.0,640.0,641.0,642.0,643.0,644.0,645.0,646.0,647.0,648.0,649.0,650.0,651.0,652.0,653.0,654.0,655.0,656.0,657.0,658.0,659.0,660.0,661.0,662.0,663.0,664.0,665.0,666.0,667.0,668.0,669.0,670.0,671.0,672.0,673.0,674.0,675.0,676.0,677.0,678.0,679.0,680.0,681.0,682.0,683.0,684.0,685.0,686.0,687.0,688.0,689.0,690.0,691.0,692.0,693.0,694.0,695.0,696.0,697.0,698.0,699.0,700.0,701.0,702.0,703.0,704.0,705.0,706.0,707.0,708.0,709.0,710.0,711.0,712.0,713.0,714.0,715.0,716.0,717.0,718.0,719.0,720.0,721.0,722.0,723.0,724.0,725.0,726.0,727.0,728.0,729.0,730.0,731.0,732.0,733.0,734.0,735.0,736.0,737.0,738.0,739.0,740.0,741.0,742.0,743.0,744.0,745.0,746.0,747.0,748.0,749.0,750.0,751.0,752.0,753.0,754.0,755.0,756.0,757.0,758.0,759.0,760.0,761.0,762.0,763.0,764.0,765.0,766.0,767.0,768.0,769.0,770.0,771.0,772.0,773.0,774.0,775.0,776.0,777.0,778.0,779.0,780.0,781.0,782.0,783.0,784.0,785.0,786.0,787.0,788.0,789.0,790.0,791.0,792.0,793.0,794.0,795.0,796.0,797.0,798.0,799.0,800.0,801.0,802.0,803.0,804.0,805.0,806.0,807.0,808.0,809.0,810.0,811.0,812.0,813.0,814.0,815.0,816.0,817.0,818.0,819.0,820.0,821.0,822.0,823.0,824.0,825.0,826.0,827.0,828.0,829.0,830.0,831.0,832.0,833.0,834.0,835.0,836.0,837.0,838.0,839.0,840.0,841.0,842.0,843.0,844.0,845.0,846.0,847.0,848.0,849.0,850.0,851.0,852.0,853.0,854.0,855.0,856.0,857.0,858.0,859.0,860.0,861.0,862.0,863.0,864.0,865.0,866.0,867.0,868.0,869.0,870.0,871.0,872.0,873.0,874.0,875.0,876.0,877.0,878.0,879.0,880.0,881.0,882.0,883.0,884.0,885.0,886.0,887.0,888.0,889.0,890.0,891.0,892.0,893.0,894.0,895.0,896.0,897.0,898.0,899.0,900.0,901.0,902.0,903.0,904.0,905.0,906.0,907.0,908.0,909.0,910.0,911.0,912.0,913.0,914.0,915.0,916.0,917.0,918.0,919.0,920.0,921.0,922.0,923.0,924.0,925.0,926.0,927.0,928.0,929.0,930.0,931.0,932.0,933.0,934.0,935.0,936.0,937.0,938.0,939.0,940.0,941.0,942.0,943.0,944.0,945.0,946.0,947.0,948.0,949.0,950.0,951.0,952.0,953.0,954.0,955.0,956.0,957.0,958.0,959.0,960.0,961.0,962.0,963.0,964.0,965.0,966.0,967.0,968.0,969.0,970.0,971.0,972.0,973.0,974.0,975.0,976.0,977.0,978.0,979.0,980.0,981.0,982.0,983.0,984.0,985.0,986.0,987.0,988.0,989.0,990.0,991.0,992.0,993.0,994.0,995.0,996.0,997.0,998.0,999.0,1000.0]} \ No newline at end of file +{"expected":["00000000000000000000000000000000","00000000000000000000000000000001","00000000000000000000000000000010","00000000000000000000000000000011","00000000000000000000000000000100","00000000000000000000000000000101","00000000000000000000000000000110","00000000000000000000000000000111","00000000000000000000000000001000","00000000000000000000000000001001","00000000000000000000000000001010","00000000000000000000000000001011","00000000000000000000000000001100","00000000000000000000000000001101","00000000000000000000000000001110","00000000000000000000000000001111","00000000000000000000000000010000","00000000000000000000000000010001","00000000000000000000000000010010","00000000000000000000000000010011","00000000000000000000000000010100","00000000000000000000000000010101","00000000000000000000000000010110","00000000000000000000000000010111","00000000000000000000000000011000","00000000000000000000000000011001","00000000000000000000000000011010","00000000000000000000000000011011","00000000000000000000000000011100","00000000000000000000000000011101","00000000000000000000000000011110","00000000000000000000000000011111","00000000000000000000000000100000","00000000000000000000000000100001","00000000000000000000000000100010","00000000000000000000000000100011","00000000000000000000000000100100","00000000000000000000000000100101","00000000000000000000000000100110","00000000000000000000000000100111","00000000000000000000000000101000","00000000000000000000000000101001","00000000000000000000000000101010","00000000000000000000000000101011","00000000000000000000000000101100","00000000000000000000000000101101","00000000000000000000000000101110","00000000000000000000000000101111","00000000000000000000000000110000","00000000000000000000000000110001","00000000000000000000000000110010","00000000000000000000000000110011","00000000000000000000000000110100","00000000000000000000000000110101","00000000000000000000000000110110","00000000000000000000000000110111","00000000000000000000000000111000","00000000000000000000000000111001","00000000000000000000000000111010","00000000000000000000000000111011","00000000000000000000000000111100","00000000000000000000000000111101","00000000000000000000000000111110","00000000000000000000000000111111","00000000000000000000000001000000","00000000000000000000000001000001","00000000000000000000000001000010","00000000000000000000000001000011","00000000000000000000000001000100","00000000000000000000000001000101","00000000000000000000000001000110","00000000000000000000000001000111","00000000000000000000000001001000","00000000000000000000000001001001","00000000000000000000000001001010","00000000000000000000000001001011","00000000000000000000000001001100","00000000000000000000000001001101","00000000000000000000000001001110","00000000000000000000000001001111","00000000000000000000000001010000","00000000000000000000000001010001","00000000000000000000000001010010","00000000000000000000000001010011","00000000000000000000000001010100","00000000000000000000000001010101","00000000000000000000000001010110","00000000000000000000000001010111","00000000000000000000000001011000","00000000000000000000000001011001","00000000000000000000000001011010","00000000000000000000000001011011","00000000000000000000000001011100","00000000000000000000000001011101","00000000000000000000000001011110","00000000000000000000000001011111","00000000000000000000000001100000","00000000000000000000000001100001","00000000000000000000000001100010","00000000000000000000000001100011","00000000000000000000000001100100","00000000000000000000000001100101","00000000000000000000000001100110","00000000000000000000000001100111","00000000000000000000000001101000","00000000000000000000000001101001","00000000000000000000000001101010","00000000000000000000000001101011","00000000000000000000000001101100","00000000000000000000000001101101","00000000000000000000000001101110","00000000000000000000000001101111","00000000000000000000000001110000","00000000000000000000000001110001","00000000000000000000000001110010","00000000000000000000000001110011","00000000000000000000000001110100","00000000000000000000000001110101","00000000000000000000000001110110","00000000000000000000000001110111","00000000000000000000000001111000","00000000000000000000000001111001","00000000000000000000000001111010","00000000000000000000000001111011","00000000000000000000000001111100","00000000000000000000000001111101","00000000000000000000000001111110","00000000000000000000000001111111","00000000000000000000000010000000","00000000000000000000000010000001","00000000000000000000000010000010","00000000000000000000000010000011","00000000000000000000000010000100","00000000000000000000000010000101","00000000000000000000000010000110","00000000000000000000000010000111","00000000000000000000000010001000","00000000000000000000000010001001","00000000000000000000000010001010","00000000000000000000000010001011","00000000000000000000000010001100","00000000000000000000000010001101","00000000000000000000000010001110","00000000000000000000000010001111","00000000000000000000000010010000","00000000000000000000000010010001","00000000000000000000000010010010","00000000000000000000000010010011","00000000000000000000000010010100","00000000000000000000000010010101","00000000000000000000000010010110","00000000000000000000000010010111","00000000000000000000000010011000","00000000000000000000000010011001","00000000000000000000000010011010","00000000000000000000000010011011","00000000000000000000000010011100","00000000000000000000000010011101","00000000000000000000000010011110","00000000000000000000000010011111","00000000000000000000000010100000","00000000000000000000000010100001","00000000000000000000000010100010","00000000000000000000000010100011","00000000000000000000000010100100","00000000000000000000000010100101","00000000000000000000000010100110","00000000000000000000000010100111","00000000000000000000000010101000","00000000000000000000000010101001","00000000000000000000000010101010","00000000000000000000000010101011","00000000000000000000000010101100","00000000000000000000000010101101","00000000000000000000000010101110","00000000000000000000000010101111","00000000000000000000000010110000","00000000000000000000000010110001","00000000000000000000000010110010","00000000000000000000000010110011","00000000000000000000000010110100","00000000000000000000000010110101","00000000000000000000000010110110","00000000000000000000000010110111","00000000000000000000000010111000","00000000000000000000000010111001","00000000000000000000000010111010","00000000000000000000000010111011","00000000000000000000000010111100","00000000000000000000000010111101","00000000000000000000000010111110","00000000000000000000000010111111","00000000000000000000000011000000","00000000000000000000000011000001","00000000000000000000000011000010","00000000000000000000000011000011","00000000000000000000000011000100","00000000000000000000000011000101","00000000000000000000000011000110","00000000000000000000000011000111","00000000000000000000000011001000","00000000000000000000000011001001","00000000000000000000000011001010","00000000000000000000000011001011","00000000000000000000000011001100","00000000000000000000000011001101","00000000000000000000000011001110","00000000000000000000000011001111","00000000000000000000000011010000","00000000000000000000000011010001","00000000000000000000000011010010","00000000000000000000000011010011","00000000000000000000000011010100","00000000000000000000000011010101","00000000000000000000000011010110","00000000000000000000000011010111","00000000000000000000000011011000","00000000000000000000000011011001","00000000000000000000000011011010","00000000000000000000000011011011","00000000000000000000000011011100","00000000000000000000000011011101","00000000000000000000000011011110","00000000000000000000000011011111","00000000000000000000000011100000","00000000000000000000000011100001","00000000000000000000000011100010","00000000000000000000000011100011","00000000000000000000000011100100","00000000000000000000000011100101","00000000000000000000000011100110","00000000000000000000000011100111","00000000000000000000000011101000","00000000000000000000000011101001","00000000000000000000000011101010","00000000000000000000000011101011","00000000000000000000000011101100","00000000000000000000000011101101","00000000000000000000000011101110","00000000000000000000000011101111","00000000000000000000000011110000","00000000000000000000000011110001","00000000000000000000000011110010","00000000000000000000000011110011","00000000000000000000000011110100","00000000000000000000000011110101","00000000000000000000000011110110","00000000000000000000000011110111","00000000000000000000000011111000","00000000000000000000000011111001","00000000000000000000000011111010","00000000000000000000000011111011","00000000000000000000000011111100","00000000000000000000000011111101","00000000000000000000000011111110","00000000000000000000000011111111","00000000000000000000000100000000","00000000000000000000000100000001","00000000000000000000000100000010","00000000000000000000000100000011","00000000000000000000000100000100","00000000000000000000000100000101","00000000000000000000000100000110","00000000000000000000000100000111","00000000000000000000000100001000","00000000000000000000000100001001","00000000000000000000000100001010","00000000000000000000000100001011","00000000000000000000000100001100","00000000000000000000000100001101","00000000000000000000000100001110","00000000000000000000000100001111","00000000000000000000000100010000","00000000000000000000000100010001","00000000000000000000000100010010","00000000000000000000000100010011","00000000000000000000000100010100","00000000000000000000000100010101","00000000000000000000000100010110","00000000000000000000000100010111","00000000000000000000000100011000","00000000000000000000000100011001","00000000000000000000000100011010","00000000000000000000000100011011","00000000000000000000000100011100","00000000000000000000000100011101","00000000000000000000000100011110","00000000000000000000000100011111","00000000000000000000000100100000","00000000000000000000000100100001","00000000000000000000000100100010","00000000000000000000000100100011","00000000000000000000000100100100","00000000000000000000000100100101","00000000000000000000000100100110","00000000000000000000000100100111","00000000000000000000000100101000","00000000000000000000000100101001","00000000000000000000000100101010","00000000000000000000000100101011","00000000000000000000000100101100","00000000000000000000000100101101","00000000000000000000000100101110","00000000000000000000000100101111","00000000000000000000000100110000","00000000000000000000000100110001","00000000000000000000000100110010","00000000000000000000000100110011","00000000000000000000000100110100","00000000000000000000000100110101","00000000000000000000000100110110","00000000000000000000000100110111","00000000000000000000000100111000","00000000000000000000000100111001","00000000000000000000000100111010","00000000000000000000000100111011","00000000000000000000000100111100","00000000000000000000000100111101","00000000000000000000000100111110","00000000000000000000000100111111","00000000000000000000000101000000","00000000000000000000000101000001","00000000000000000000000101000010","00000000000000000000000101000011","00000000000000000000000101000100","00000000000000000000000101000101","00000000000000000000000101000110","00000000000000000000000101000111","00000000000000000000000101001000","00000000000000000000000101001001","00000000000000000000000101001010","00000000000000000000000101001011","00000000000000000000000101001100","00000000000000000000000101001101","00000000000000000000000101001110","00000000000000000000000101001111","00000000000000000000000101010000","00000000000000000000000101010001","00000000000000000000000101010010","00000000000000000000000101010011","00000000000000000000000101010100","00000000000000000000000101010101","00000000000000000000000101010110","00000000000000000000000101010111","00000000000000000000000101011000","00000000000000000000000101011001","00000000000000000000000101011010","00000000000000000000000101011011","00000000000000000000000101011100","00000000000000000000000101011101","00000000000000000000000101011110","00000000000000000000000101011111","00000000000000000000000101100000","00000000000000000000000101100001","00000000000000000000000101100010","00000000000000000000000101100011","00000000000000000000000101100100","00000000000000000000000101100101","00000000000000000000000101100110","00000000000000000000000101100111","00000000000000000000000101101000","00000000000000000000000101101001","00000000000000000000000101101010","00000000000000000000000101101011","00000000000000000000000101101100","00000000000000000000000101101101","00000000000000000000000101101110","00000000000000000000000101101111","00000000000000000000000101110000","00000000000000000000000101110001","00000000000000000000000101110010","00000000000000000000000101110011","00000000000000000000000101110100","00000000000000000000000101110101","00000000000000000000000101110110","00000000000000000000000101110111","00000000000000000000000101111000","00000000000000000000000101111001","00000000000000000000000101111010","00000000000000000000000101111011","00000000000000000000000101111100","00000000000000000000000101111101","00000000000000000000000101111110","00000000000000000000000101111111","00000000000000000000000110000000","00000000000000000000000110000001","00000000000000000000000110000010","00000000000000000000000110000011","00000000000000000000000110000100","00000000000000000000000110000101","00000000000000000000000110000110","00000000000000000000000110000111","00000000000000000000000110001000","00000000000000000000000110001001","00000000000000000000000110001010","00000000000000000000000110001011","00000000000000000000000110001100","00000000000000000000000110001101","00000000000000000000000110001110","00000000000000000000000110001111","00000000000000000000000110010000","00000000000000000000000110010001","00000000000000000000000110010010","00000000000000000000000110010011","00000000000000000000000110010100","00000000000000000000000110010101","00000000000000000000000110010110","00000000000000000000000110010111","00000000000000000000000110011000","00000000000000000000000110011001","00000000000000000000000110011010","00000000000000000000000110011011","00000000000000000000000110011100","00000000000000000000000110011101","00000000000000000000000110011110","00000000000000000000000110011111","00000000000000000000000110100000","00000000000000000000000110100001","00000000000000000000000110100010","00000000000000000000000110100011","00000000000000000000000110100100","00000000000000000000000110100101","00000000000000000000000110100110","00000000000000000000000110100111","00000000000000000000000110101000","00000000000000000000000110101001","00000000000000000000000110101010","00000000000000000000000110101011","00000000000000000000000110101100","00000000000000000000000110101101","00000000000000000000000110101110","00000000000000000000000110101111","00000000000000000000000110110000","00000000000000000000000110110001","00000000000000000000000110110010","00000000000000000000000110110011","00000000000000000000000110110100","00000000000000000000000110110101","00000000000000000000000110110110","00000000000000000000000110110111","00000000000000000000000110111000","00000000000000000000000110111001","00000000000000000000000110111010","00000000000000000000000110111011","00000000000000000000000110111100","00000000000000000000000110111101","00000000000000000000000110111110","00000000000000000000000110111111","00000000000000000000000111000000","00000000000000000000000111000001","00000000000000000000000111000010","00000000000000000000000111000011","00000000000000000000000111000100","00000000000000000000000111000101","00000000000000000000000111000110","00000000000000000000000111000111","00000000000000000000000111001000","00000000000000000000000111001001","00000000000000000000000111001010","00000000000000000000000111001011","00000000000000000000000111001100","00000000000000000000000111001101","00000000000000000000000111001110","00000000000000000000000111001111","00000000000000000000000111010000","00000000000000000000000111010001","00000000000000000000000111010010","00000000000000000000000111010011","00000000000000000000000111010100","00000000000000000000000111010101","00000000000000000000000111010110","00000000000000000000000111010111","00000000000000000000000111011000","00000000000000000000000111011001","00000000000000000000000111011010","00000000000000000000000111011011","00000000000000000000000111011100","00000000000000000000000111011101","00000000000000000000000111011110","00000000000000000000000111011111","00000000000000000000000111100000","00000000000000000000000111100001","00000000000000000000000111100010","00000000000000000000000111100011","00000000000000000000000111100100","00000000000000000000000111100101","00000000000000000000000111100110","00000000000000000000000111100111","00000000000000000000000111101000","00000000000000000000000111101001","00000000000000000000000111101010","00000000000000000000000111101011","00000000000000000000000111101100","00000000000000000000000111101101","00000000000000000000000111101110","00000000000000000000000111101111","00000000000000000000000111110000","00000000000000000000000111110001","00000000000000000000000111110010","00000000000000000000000111110011","00000000000000000000000111110100","00000000000000000000000111110101","00000000000000000000000111110110","00000000000000000000000111110111","00000000000000000000000111111000","00000000000000000000000111111001","00000000000000000000000111111010","00000000000000000000000111111011","00000000000000000000000111111100","00000000000000000000000111111101","00000000000000000000000111111110","00000000000000000000000111111111","00000000000000000000001000000000","00000000000000000000001000000001","00000000000000000000001000000010","00000000000000000000001000000011","00000000000000000000001000000100","00000000000000000000001000000101","00000000000000000000001000000110","00000000000000000000001000000111","00000000000000000000001000001000","00000000000000000000001000001001","00000000000000000000001000001010","00000000000000000000001000001011","00000000000000000000001000001100","00000000000000000000001000001101","00000000000000000000001000001110","00000000000000000000001000001111","00000000000000000000001000010000","00000000000000000000001000010001","00000000000000000000001000010010","00000000000000000000001000010011","00000000000000000000001000010100","00000000000000000000001000010101","00000000000000000000001000010110","00000000000000000000001000010111","00000000000000000000001000011000","00000000000000000000001000011001","00000000000000000000001000011010","00000000000000000000001000011011","00000000000000000000001000011100","00000000000000000000001000011101","00000000000000000000001000011110","00000000000000000000001000011111","00000000000000000000001000100000","00000000000000000000001000100001","00000000000000000000001000100010","00000000000000000000001000100011","00000000000000000000001000100100","00000000000000000000001000100101","00000000000000000000001000100110","00000000000000000000001000100111","00000000000000000000001000101000","00000000000000000000001000101001","00000000000000000000001000101010","00000000000000000000001000101011","00000000000000000000001000101100","00000000000000000000001000101101","00000000000000000000001000101110","00000000000000000000001000101111","00000000000000000000001000110000","00000000000000000000001000110001","00000000000000000000001000110010","00000000000000000000001000110011","00000000000000000000001000110100","00000000000000000000001000110101","00000000000000000000001000110110","00000000000000000000001000110111","00000000000000000000001000111000","00000000000000000000001000111001","00000000000000000000001000111010","00000000000000000000001000111011","00000000000000000000001000111100","00000000000000000000001000111101","00000000000000000000001000111110","00000000000000000000001000111111","00000000000000000000001001000000","00000000000000000000001001000001","00000000000000000000001001000010","00000000000000000000001001000011","00000000000000000000001001000100","00000000000000000000001001000101","00000000000000000000001001000110","00000000000000000000001001000111","00000000000000000000001001001000","00000000000000000000001001001001","00000000000000000000001001001010","00000000000000000000001001001011","00000000000000000000001001001100","00000000000000000000001001001101","00000000000000000000001001001110","00000000000000000000001001001111","00000000000000000000001001010000","00000000000000000000001001010001","00000000000000000000001001010010","00000000000000000000001001010011","00000000000000000000001001010100","00000000000000000000001001010101","00000000000000000000001001010110","00000000000000000000001001010111","00000000000000000000001001011000","00000000000000000000001001011001","00000000000000000000001001011010","00000000000000000000001001011011","00000000000000000000001001011100","00000000000000000000001001011101","00000000000000000000001001011110","00000000000000000000001001011111","00000000000000000000001001100000","00000000000000000000001001100001","00000000000000000000001001100010","00000000000000000000001001100011","00000000000000000000001001100100","00000000000000000000001001100101","00000000000000000000001001100110","00000000000000000000001001100111","00000000000000000000001001101000","00000000000000000000001001101001","00000000000000000000001001101010","00000000000000000000001001101011","00000000000000000000001001101100","00000000000000000000001001101101","00000000000000000000001001101110","00000000000000000000001001101111","00000000000000000000001001110000","00000000000000000000001001110001","00000000000000000000001001110010","00000000000000000000001001110011","00000000000000000000001001110100","00000000000000000000001001110101","00000000000000000000001001110110","00000000000000000000001001110111","00000000000000000000001001111000","00000000000000000000001001111001","00000000000000000000001001111010","00000000000000000000001001111011","00000000000000000000001001111100","00000000000000000000001001111101","00000000000000000000001001111110","00000000000000000000001001111111","00000000000000000000001010000000","00000000000000000000001010000001","00000000000000000000001010000010","00000000000000000000001010000011","00000000000000000000001010000100","00000000000000000000001010000101","00000000000000000000001010000110","00000000000000000000001010000111","00000000000000000000001010001000","00000000000000000000001010001001","00000000000000000000001010001010","00000000000000000000001010001011","00000000000000000000001010001100","00000000000000000000001010001101","00000000000000000000001010001110","00000000000000000000001010001111","00000000000000000000001010010000","00000000000000000000001010010001","00000000000000000000001010010010","00000000000000000000001010010011","00000000000000000000001010010100","00000000000000000000001010010101","00000000000000000000001010010110","00000000000000000000001010010111","00000000000000000000001010011000","00000000000000000000001010011001","00000000000000000000001010011010","00000000000000000000001010011011","00000000000000000000001010011100","00000000000000000000001010011101","00000000000000000000001010011110","00000000000000000000001010011111","00000000000000000000001010100000","00000000000000000000001010100001","00000000000000000000001010100010","00000000000000000000001010100011","00000000000000000000001010100100","00000000000000000000001010100101","00000000000000000000001010100110","00000000000000000000001010100111","00000000000000000000001010101000","00000000000000000000001010101001","00000000000000000000001010101010","00000000000000000000001010101011","00000000000000000000001010101100","00000000000000000000001010101101","00000000000000000000001010101110","00000000000000000000001010101111","00000000000000000000001010110000","00000000000000000000001010110001","00000000000000000000001010110010","00000000000000000000001010110011","00000000000000000000001010110100","00000000000000000000001010110101","00000000000000000000001010110110","00000000000000000000001010110111","00000000000000000000001010111000","00000000000000000000001010111001","00000000000000000000001010111010","00000000000000000000001010111011","00000000000000000000001010111100","00000000000000000000001010111101","00000000000000000000001010111110","00000000000000000000001010111111","00000000000000000000001011000000","00000000000000000000001011000001","00000000000000000000001011000010","00000000000000000000001011000011","00000000000000000000001011000100","00000000000000000000001011000101","00000000000000000000001011000110","00000000000000000000001011000111","00000000000000000000001011001000","00000000000000000000001011001001","00000000000000000000001011001010","00000000000000000000001011001011","00000000000000000000001011001100","00000000000000000000001011001101","00000000000000000000001011001110","00000000000000000000001011001111","00000000000000000000001011010000","00000000000000000000001011010001","00000000000000000000001011010010","00000000000000000000001011010011","00000000000000000000001011010100","00000000000000000000001011010101","00000000000000000000001011010110","00000000000000000000001011010111","00000000000000000000001011011000","00000000000000000000001011011001","00000000000000000000001011011010","00000000000000000000001011011011","00000000000000000000001011011100","00000000000000000000001011011101","00000000000000000000001011011110","00000000000000000000001011011111","00000000000000000000001011100000","00000000000000000000001011100001","00000000000000000000001011100010","00000000000000000000001011100011","00000000000000000000001011100100","00000000000000000000001011100101","00000000000000000000001011100110","00000000000000000000001011100111","00000000000000000000001011101000","00000000000000000000001011101001","00000000000000000000001011101010","00000000000000000000001011101011","00000000000000000000001011101100","00000000000000000000001011101101","00000000000000000000001011101110","00000000000000000000001011101111","00000000000000000000001011110000","00000000000000000000001011110001","00000000000000000000001011110010","00000000000000000000001011110011","00000000000000000000001011110100","00000000000000000000001011110101","00000000000000000000001011110110","00000000000000000000001011110111","00000000000000000000001011111000","00000000000000000000001011111001","00000000000000000000001011111010","00000000000000000000001011111011","00000000000000000000001011111100","00000000000000000000001011111101","00000000000000000000001011111110","00000000000000000000001011111111","00000000000000000000001100000000","00000000000000000000001100000001","00000000000000000000001100000010","00000000000000000000001100000011","00000000000000000000001100000100","00000000000000000000001100000101","00000000000000000000001100000110","00000000000000000000001100000111","00000000000000000000001100001000","00000000000000000000001100001001","00000000000000000000001100001010","00000000000000000000001100001011","00000000000000000000001100001100","00000000000000000000001100001101","00000000000000000000001100001110","00000000000000000000001100001111","00000000000000000000001100010000","00000000000000000000001100010001","00000000000000000000001100010010","00000000000000000000001100010011","00000000000000000000001100010100","00000000000000000000001100010101","00000000000000000000001100010110","00000000000000000000001100010111","00000000000000000000001100011000","00000000000000000000001100011001","00000000000000000000001100011010","00000000000000000000001100011011","00000000000000000000001100011100","00000000000000000000001100011101","00000000000000000000001100011110","00000000000000000000001100011111","00000000000000000000001100100000","00000000000000000000001100100001","00000000000000000000001100100010","00000000000000000000001100100011","00000000000000000000001100100100","00000000000000000000001100100101","00000000000000000000001100100110","00000000000000000000001100100111","00000000000000000000001100101000","00000000000000000000001100101001","00000000000000000000001100101010","00000000000000000000001100101011","00000000000000000000001100101100","00000000000000000000001100101101","00000000000000000000001100101110","00000000000000000000001100101111","00000000000000000000001100110000","00000000000000000000001100110001","00000000000000000000001100110010","00000000000000000000001100110011","00000000000000000000001100110100","00000000000000000000001100110101","00000000000000000000001100110110","00000000000000000000001100110111","00000000000000000000001100111000","00000000000000000000001100111001","00000000000000000000001100111010","00000000000000000000001100111011","00000000000000000000001100111100","00000000000000000000001100111101","00000000000000000000001100111110","00000000000000000000001100111111","00000000000000000000001101000000","00000000000000000000001101000001","00000000000000000000001101000010","00000000000000000000001101000011","00000000000000000000001101000100","00000000000000000000001101000101","00000000000000000000001101000110","00000000000000000000001101000111","00000000000000000000001101001000","00000000000000000000001101001001","00000000000000000000001101001010","00000000000000000000001101001011","00000000000000000000001101001100","00000000000000000000001101001101","00000000000000000000001101001110","00000000000000000000001101001111","00000000000000000000001101010000","00000000000000000000001101010001","00000000000000000000001101010010","00000000000000000000001101010011","00000000000000000000001101010100","00000000000000000000001101010101","00000000000000000000001101010110","00000000000000000000001101010111","00000000000000000000001101011000","00000000000000000000001101011001","00000000000000000000001101011010","00000000000000000000001101011011","00000000000000000000001101011100","00000000000000000000001101011101","00000000000000000000001101011110","00000000000000000000001101011111","00000000000000000000001101100000","00000000000000000000001101100001","00000000000000000000001101100010","00000000000000000000001101100011","00000000000000000000001101100100","00000000000000000000001101100101","00000000000000000000001101100110","00000000000000000000001101100111","00000000000000000000001101101000","00000000000000000000001101101001","00000000000000000000001101101010","00000000000000000000001101101011","00000000000000000000001101101100","00000000000000000000001101101101","00000000000000000000001101101110","00000000000000000000001101101111","00000000000000000000001101110000","00000000000000000000001101110001","00000000000000000000001101110010","00000000000000000000001101110011","00000000000000000000001101110100","00000000000000000000001101110101","00000000000000000000001101110110","00000000000000000000001101110111","00000000000000000000001101111000","00000000000000000000001101111001","00000000000000000000001101111010","00000000000000000000001101111011","00000000000000000000001101111100","00000000000000000000001101111101","00000000000000000000001101111110","00000000000000000000001101111111","00000000000000000000001110000000","00000000000000000000001110000001","00000000000000000000001110000010","00000000000000000000001110000011","00000000000000000000001110000100","00000000000000000000001110000101","00000000000000000000001110000110","00000000000000000000001110000111","00000000000000000000001110001000","00000000000000000000001110001001","00000000000000000000001110001010","00000000000000000000001110001011","00000000000000000000001110001100","00000000000000000000001110001101","00000000000000000000001110001110","00000000000000000000001110001111","00000000000000000000001110010000","00000000000000000000001110010001","00000000000000000000001110010010","00000000000000000000001110010011","00000000000000000000001110010100","00000000000000000000001110010101","00000000000000000000001110010110","00000000000000000000001110010111","00000000000000000000001110011000","00000000000000000000001110011001","00000000000000000000001110011010","00000000000000000000001110011011","00000000000000000000001110011100","00000000000000000000001110011101","00000000000000000000001110011110","00000000000000000000001110011111","00000000000000000000001110100000","00000000000000000000001110100001","00000000000000000000001110100010","00000000000000000000001110100011","00000000000000000000001110100100","00000000000000000000001110100101","00000000000000000000001110100110","00000000000000000000001110100111","00000000000000000000001110101000","00000000000000000000001110101001","00000000000000000000001110101010","00000000000000000000001110101011","00000000000000000000001110101100","00000000000000000000001110101101","00000000000000000000001110101110","00000000000000000000001110101111","00000000000000000000001110110000","00000000000000000000001110110001","00000000000000000000001110110010","00000000000000000000001110110011","00000000000000000000001110110100","00000000000000000000001110110101","00000000000000000000001110110110","00000000000000000000001110110111","00000000000000000000001110111000","00000000000000000000001110111001","00000000000000000000001110111010","00000000000000000000001110111011","00000000000000000000001110111100","00000000000000000000001110111101","00000000000000000000001110111110","00000000000000000000001110111111","00000000000000000000001111000000","00000000000000000000001111000001","00000000000000000000001111000010","00000000000000000000001111000011","00000000000000000000001111000100","00000000000000000000001111000101","00000000000000000000001111000110","00000000000000000000001111000111","00000000000000000000001111001000","00000000000000000000001111001001","00000000000000000000001111001010","00000000000000000000001111001011","00000000000000000000001111001100","00000000000000000000001111001101","00000000000000000000001111001110","00000000000000000000001111001111","00000000000000000000001111010000","00000000000000000000001111010001","00000000000000000000001111010010","00000000000000000000001111010011","00000000000000000000001111010100","00000000000000000000001111010101","00000000000000000000001111010110","00000000000000000000001111010111","00000000000000000000001111011000","00000000000000000000001111011001","00000000000000000000001111011010","00000000000000000000001111011011","00000000000000000000001111011100","00000000000000000000001111011101","00000000000000000000001111011110","00000000000000000000001111011111","00000000000000000000001111100000","00000000000000000000001111100001","00000000000000000000001111100010","00000000000000000000001111100011","00000000000000000000001111100100","00000000000000000000001111100101","00000000000000000000001111100110","00000000000000000000001111100111","00000000000000000000001111101000"],"x":[0.0,1.0,2.0,3.0,4.0,5.0,6.0,7.0,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0,16.0,17.0,18.0,19.0,20.0,21.0,22.0,23.0,24.0,25.0,26.0,27.0,28.0,29.0,30.0,31.0,32.0,33.0,34.0,35.0,36.0,37.0,38.0,39.0,40.0,41.0,42.0,43.0,44.0,45.0,46.0,47.0,48.0,49.0,50.0,51.0,52.0,53.0,54.0,55.0,56.0,57.0,58.0,59.0,60.0,61.0,62.0,63.0,64.0,65.0,66.0,67.0,68.0,69.0,70.0,71.0,72.0,73.0,74.0,75.0,76.0,77.0,78.0,79.0,80.0,81.0,82.0,83.0,84.0,85.0,86.0,87.0,88.0,89.0,90.0,91.0,92.0,93.0,94.0,95.0,96.0,97.0,98.0,99.0,100.0,101.0,102.0,103.0,104.0,105.0,106.0,107.0,108.0,109.0,110.0,111.0,112.0,113.0,114.0,115.0,116.0,117.0,118.0,119.0,120.0,121.0,122.0,123.0,124.0,125.0,126.0,127.0,128.0,129.0,130.0,131.0,132.0,133.0,134.0,135.0,136.0,137.0,138.0,139.0,140.0,141.0,142.0,143.0,144.0,145.0,146.0,147.0,148.0,149.0,150.0,151.0,152.0,153.0,154.0,155.0,156.0,157.0,158.0,159.0,160.0,161.0,162.0,163.0,164.0,165.0,166.0,167.0,168.0,169.0,170.0,171.0,172.0,173.0,174.0,175.0,176.0,177.0,178.0,179.0,180.0,181.0,182.0,183.0,184.0,185.0,186.0,187.0,188.0,189.0,190.0,191.0,192.0,193.0,194.0,195.0,196.0,197.0,198.0,199.0,200.0,201.0,202.0,203.0,204.0,205.0,206.0,207.0,208.0,209.0,210.0,211.0,212.0,213.0,214.0,215.0,216.0,217.0,218.0,219.0,220.0,221.0,222.0,223.0,224.0,225.0,226.0,227.0,228.0,229.0,230.0,231.0,232.0,233.0,234.0,235.0,236.0,237.0,238.0,239.0,240.0,241.0,242.0,243.0,244.0,245.0,246.0,247.0,248.0,249.0,250.0,251.0,252.0,253.0,254.0,255.0,256.0,257.0,258.0,259.0,260.0,261.0,262.0,263.0,264.0,265.0,266.0,267.0,268.0,269.0,270.0,271.0,272.0,273.0,274.0,275.0,276.0,277.0,278.0,279.0,280.0,281.0,282.0,283.0,284.0,285.0,286.0,287.0,288.0,289.0,290.0,291.0,292.0,293.0,294.0,295.0,296.0,297.0,298.0,299.0,300.0,301.0,302.0,303.0,304.0,305.0,306.0,307.0,308.0,309.0,310.0,311.0,312.0,313.0,314.0,315.0,316.0,317.0,318.0,319.0,320.0,321.0,322.0,323.0,324.0,325.0,326.0,327.0,328.0,329.0,330.0,331.0,332.0,333.0,334.0,335.0,336.0,337.0,338.0,339.0,340.0,341.0,342.0,343.0,344.0,345.0,346.0,347.0,348.0,349.0,350.0,351.0,352.0,353.0,354.0,355.0,356.0,357.0,358.0,359.0,360.0,361.0,362.0,363.0,364.0,365.0,366.0,367.0,368.0,369.0,370.0,371.0,372.0,373.0,374.0,375.0,376.0,377.0,378.0,379.0,380.0,381.0,382.0,383.0,384.0,385.0,386.0,387.0,388.0,389.0,390.0,391.0,392.0,393.0,394.0,395.0,396.0,397.0,398.0,399.0,400.0,401.0,402.0,403.0,404.0,405.0,406.0,407.0,408.0,409.0,410.0,411.0,412.0,413.0,414.0,415.0,416.0,417.0,418.0,419.0,420.0,421.0,422.0,423.0,424.0,425.0,426.0,427.0,428.0,429.0,430.0,431.0,432.0,433.0,434.0,435.0,436.0,437.0,438.0,439.0,440.0,441.0,442.0,443.0,444.0,445.0,446.0,447.0,448.0,449.0,450.0,451.0,452.0,453.0,454.0,455.0,456.0,457.0,458.0,459.0,460.0,461.0,462.0,463.0,464.0,465.0,466.0,467.0,468.0,469.0,470.0,471.0,472.0,473.0,474.0,475.0,476.0,477.0,478.0,479.0,480.0,481.0,482.0,483.0,484.0,485.0,486.0,487.0,488.0,489.0,490.0,491.0,492.0,493.0,494.0,495.0,496.0,497.0,498.0,499.0,500.0,501.0,502.0,503.0,504.0,505.0,506.0,507.0,508.0,509.0,510.0,511.0,512.0,513.0,514.0,515.0,516.0,517.0,518.0,519.0,520.0,521.0,522.0,523.0,524.0,525.0,526.0,527.0,528.0,529.0,530.0,531.0,532.0,533.0,534.0,535.0,536.0,537.0,538.0,539.0,540.0,541.0,542.0,543.0,544.0,545.0,546.0,547.0,548.0,549.0,550.0,551.0,552.0,553.0,554.0,555.0,556.0,557.0,558.0,559.0,560.0,561.0,562.0,563.0,564.0,565.0,566.0,567.0,568.0,569.0,570.0,571.0,572.0,573.0,574.0,575.0,576.0,577.0,578.0,579.0,580.0,581.0,582.0,583.0,584.0,585.0,586.0,587.0,588.0,589.0,590.0,591.0,592.0,593.0,594.0,595.0,596.0,597.0,598.0,599.0,600.0,601.0,602.0,603.0,604.0,605.0,606.0,607.0,608.0,609.0,610.0,611.0,612.0,613.0,614.0,615.0,616.0,617.0,618.0,619.0,620.0,621.0,622.0,623.0,624.0,625.0,626.0,627.0,628.0,629.0,630.0,631.0,632.0,633.0,634.0,635.0,636.0,637.0,638.0,639.0,640.0,641.0,642.0,643.0,644.0,645.0,646.0,647.0,648.0,649.0,650.0,651.0,652.0,653.0,654.0,655.0,656.0,657.0,658.0,659.0,660.0,661.0,662.0,663.0,664.0,665.0,666.0,667.0,668.0,669.0,670.0,671.0,672.0,673.0,674.0,675.0,676.0,677.0,678.0,679.0,680.0,681.0,682.0,683.0,684.0,685.0,686.0,687.0,688.0,689.0,690.0,691.0,692.0,693.0,694.0,695.0,696.0,697.0,698.0,699.0,700.0,701.0,702.0,703.0,704.0,705.0,706.0,707.0,708.0,709.0,710.0,711.0,712.0,713.0,714.0,715.0,716.0,717.0,718.0,719.0,720.0,721.0,722.0,723.0,724.0,725.0,726.0,727.0,728.0,729.0,730.0,731.0,732.0,733.0,734.0,735.0,736.0,737.0,738.0,739.0,740.0,741.0,742.0,743.0,744.0,745.0,746.0,747.0,748.0,749.0,750.0,751.0,752.0,753.0,754.0,755.0,756.0,757.0,758.0,759.0,760.0,761.0,762.0,763.0,764.0,765.0,766.0,767.0,768.0,769.0,770.0,771.0,772.0,773.0,774.0,775.0,776.0,777.0,778.0,779.0,780.0,781.0,782.0,783.0,784.0,785.0,786.0,787.0,788.0,789.0,790.0,791.0,792.0,793.0,794.0,795.0,796.0,797.0,798.0,799.0,800.0,801.0,802.0,803.0,804.0,805.0,806.0,807.0,808.0,809.0,810.0,811.0,812.0,813.0,814.0,815.0,816.0,817.0,818.0,819.0,820.0,821.0,822.0,823.0,824.0,825.0,826.0,827.0,828.0,829.0,830.0,831.0,832.0,833.0,834.0,835.0,836.0,837.0,838.0,839.0,840.0,841.0,842.0,843.0,844.0,845.0,846.0,847.0,848.0,849.0,850.0,851.0,852.0,853.0,854.0,855.0,856.0,857.0,858.0,859.0,860.0,861.0,862.0,863.0,864.0,865.0,866.0,867.0,868.0,869.0,870.0,871.0,872.0,873.0,874.0,875.0,876.0,877.0,878.0,879.0,880.0,881.0,882.0,883.0,884.0,885.0,886.0,887.0,888.0,889.0,890.0,891.0,892.0,893.0,894.0,895.0,896.0,897.0,898.0,899.0,900.0,901.0,902.0,903.0,904.0,905.0,906.0,907.0,908.0,909.0,910.0,911.0,912.0,913.0,914.0,915.0,916.0,917.0,918.0,919.0,920.0,921.0,922.0,923.0,924.0,925.0,926.0,927.0,928.0,929.0,930.0,931.0,932.0,933.0,934.0,935.0,936.0,937.0,938.0,939.0,940.0,941.0,942.0,943.0,944.0,945.0,946.0,947.0,948.0,949.0,950.0,951.0,952.0,953.0,954.0,955.0,956.0,957.0,958.0,959.0,960.0,961.0,962.0,963.0,964.0,965.0,966.0,967.0,968.0,969.0,970.0,971.0,972.0,973.0,974.0,975.0,976.0,977.0,978.0,979.0,980.0,981.0,982.0,983.0,984.0,985.0,986.0,987.0,988.0,989.0,990.0,991.0,992.0,993.0,994.0,995.0,996.0,997.0,998.0,999.0,1000.0]}